diff --git a/cpld/XC95144/MXSE.cmd_log b/cpld/XC95144/MXSE.cmd_log deleted file mode 100644 index 779e4df..0000000 --- a/cpld/XC95144/MXSE.cmd_log +++ /dev/null @@ -1,46 +0,0 @@ -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -i -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -XSLTProcess MXSE_build.xml -tsim -intstyle ise MXSE MXSE.nga -hprep6 -s IEEE1149 -n MXSE -i MXSE -taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -i -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -XSLTProcess MXSE_build.xml -tsim -intstyle ise MXSE MXSE.nga -taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm -ngdbuild -intstyle ise -dd _ngo -uc MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -i -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -XSLTProcess MXSE_build.xml -tsim -intstyle ise MXSE MXSE.nga -hprep6 -s IEEE1149 -n MXSE -i MXSE -taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd diff --git a/cpld/XC95144/MXSE.gyd b/cpld/XC95144/MXSE.gyd deleted file mode 100644 index c59d459..0000000 --- a/cpld/XC95144/MXSE.gyd +++ /dev/null @@ -1,116 +0,0 @@ -Pin Freeze File: version P.20131013 - -95144XL100 XC95144XL-10-TQ100 -A_FSB<10> S:PIN89 -A_FSB<11> S:PIN66 -A_FSB<12> S:PIN65 -A_FSB<13> S:PIN12 -A_FSB<14> S:PIN63 -A_FSB<15> S:PIN86 -A_FSB<16> S:PIN91 -A_FSB<17> S:PIN82 -A_FSB<18> S:PIN93 -A_FSB<19> S:PIN61 -A_FSB<1> S:PIN72 -A_FSB<20> S:PIN14 -A_FSB<21> S:PIN80 -A_FSB<22> S:PIN95 -A_FSB<23> S:PIN78 -A_FSB<2> S:PIN55 -A_FSB<3> S:PIN67 -A_FSB<4> S:PIN59 -A_FSB<5> S:PIN16 -A_FSB<6> S:PIN97 -A_FSB<7> S:PIN76 -A_FSB<8> S:PIN73 -A_FSB<9> S:PIN71 -CLK2X_IOB S:PIN22 -CLK_FSB S:PIN23 -CLK_IOB S:PIN27 -E_IOB S:PIN53 -nAS_FSB S:PIN70 -nBERR_IOB S:PIN56 -nDTACK_IOB S:PIN20 -nLDS_FSB S:PIN9 -nRES S:PIN99 -nUDS_FSB S:PIN18 -nVPA_IOB S:PIN25 -nWE_FSB S:PIN60 -RA<11> S:PIN33 -RA<10> S:PIN19 -RA<0> S:PIN29 -RA<1> S:PIN87 -RA<2> S:PIN35 -RA<3> S:PIN37 -RA<4> S:PIN40 -RA<5> S:PIN74 -RA<6> S:PIN77 -RA<7> S:PIN79 -RA<8> S:PIN81 -RA<9> S:PIN42 -nADoutLE0 S:PIN54 -nADoutLE1 S:PIN85 -nAS_IOB S:PIN8 -nAoutOE S:PIN68 -nBERR_FSB S:PIN11 -nCAS S:PIN46 -nDTACK_FSB S:PIN64 -nDinLE S:PIN50 -nDinOE S:PIN13 -nDoutOE S:PIN10 -nLDS_IOB S:PIN6 -nOE S:PIN90 -nRAMLWE S:PIN92 -nRAMUWE S:PIN94 -nRAS S:PIN24 -nROMCS S:PIN15 -nROMWE S:PIN96 -nUDS_IOB S:PIN7 -nVMA_IOB S:PIN17 -nVPA_FSB S:PIN58 - - -;The remaining section of the .gyd file is for documentation purposes only. -;It shows where your internal equations were placed in the last successful fit. - -PARTITION FB1_1 EXP10_ nBERR_FSB_OBUF EXP11_ iobs/IORW1 - nDinOE_OBUF EXP12_ IOREQ nROMCS_OBUF - iobs/PS_FSM_FFd2 EXP13_ nVMA_IOB_OBUF BERR_IOBS - fsb/BERR0r A_FSB_21_IBUF$BUF0 cs/nOverlay0 IORW0 - EXP14_ EXP15_ -PARTITION FB2_1 iobm/RESrr iobm/RESrf iobm/IOREQr iobm/Er2 - iobm/ETACK iobm/DTACKrr iobm/DTACKrf iobm/BERRrr - iobm/BERRrf iobm/ES<3> nLDS_IOB_OBUF nUDS_IOB_OBUF - iobm/ES<1> nAS_IOB_OBUF iobm/ES<0> iobm/ES<4> - nDoutOE_OBUF iobm/ES<2> -PARTITION FB3_1 EXP16_ EXP17_ EXP18_ ram/RASEL - nRAS_OBUF EXP19_ EXP20_ ram/RAMDIS2 - EXP21_ iobs/Load1 RA_0_OBUF EXP22_ - ram/RAMReady EXP23_ A_FSB_19_IBUF$BUF0 EXP24_ - ram/RAMDIS1 EXP25_ -PARTITION FB4_1 ram/BACTr RA_1_OBUF cnt/RefCnt<7> cnt/RefCnt<6> - cnt/RefCnt<5> nOE_OBUF cnt/RefCnt<4> cnt/RefCnt<3> - nRAMLWE_OBUF cnt/RefCnt<2> fsb/BERR1r nRAMUWE_OBUF - cnt/RefDone cnt/TimeoutBPre nROMWE_OBUF TimeoutB - TimeoutA IOU0 -PARTITION FB5_1 iobs/IOACTr RA_2_OBUF fsb/ASrf cnt/RefCnt<1> - cnt/RefCnt<0> RA_3_OBUF $OpTx$$OpTx$FX_DC$355_INV$439 iobs/IOU1 - RA_4_OBUF iobm/IOS_FSM_FFd2 iobm/IOS_FSM_FFd1 RA_9_OBUF - ALE0M iobm/IOS_FSM_FFd4 nCAS_OBUF iobm/IOS_FSM_FFd3 - IOACT IOBERR -PARTITION FB6_1 ram/Once RA_5_OBUF ALE0S ram/RS_FSM_FFd3 - iobs/PS_FSM_FFd1 RA_6_OBUF iobs/IOL1 cs/nOverlay1 - RA_7_OBUF fsb/Ready0r IOL0 RA_8_OBUF - iobs/IOReady ram/RS_FSM_FFd1 nADoutLE1_OBUF iobs/Clear1 - ram/RS_FSM_FFd2 RefAck -PARTITION FB7_2 nDinLE_OBUF -PARTITION FB7_8 nADoutLE0_OBUF -PARTITION FB7_12 nVPA_FSB_OBUF -PARTITION FB7_15 iobm/VPArr iobm/VPArf iobm/Er $OpTx$FX_DC$360 - -PARTITION FB8_1 EXP26_ EXP27_ EXP28_ EXP29_ - nDTACK_FSB_OBUF EXP30_ EXP31_ fsb/Ready2r - fsb/Ready1r EXP32_ nAoutOE_OBUF EXP33_ - iobs/Once EXP34_ EXP35_ EXP36_ - EXP37_ fsb/VPA - diff --git a/cpld/XC95144/MXSE.jed b/cpld/XC95144/MXSE.jed deleted file mode 100644 index 24a54e5..0000000 --- a/cpld/XC95144/MXSE.jed +++ /dev/null @@ -1,1714 +0,0 @@ -Programmer Jedec Bit Map -Date Extracted: Mon Feb 07 00:05:00 2022 - -QF93312* -QP100* -QV0* -F0* -X0* -J0 0* -N VERSION P.20131013* -N DEVICE XC95144XL-10-TQ100* -N PPMAP 2 1* -N PPMAP 17 10* -N PPMAP 18 11* -N PPMAP 19 12* -N PPMAP 21 13* -N PPMAP 22 14* -N PPMAP 23 15* -N PPMAP 24 16* -N PPMAP 26 17* -N PPMAP 28 18* -N PPMAP 29 19* -N PPMAP 4 2* -N PPMAP 30 20* -N PPMAP 33 22* -N PPMAP 35 23* -N PPMAP 36 24* -N PPMAP 37 25* -N PPMAP 42 27* -N PPMAP 44 28* -N PPMAP 47 29* -N PPMAP 6 3* -N PPMAP 49 30* -N PPMAP 54 32* -N PPMAP 56 33* -N PPMAP 57 34* -N PPMAP 58 35* -N PPMAP 59 36* -N PPMAP 60 37* -N PPMAP 62 39* -N PPMAP 8 4* -N PPMAP 63 40* -N PPMAP 64 41* -N PPMAP 68 42* -N PPMAP 69 43* -N PPMAP 72 46* -N PPMAP 77 49* -N PPMAP 79 50* -N PPMAP 82 52* -N PPMAP 86 53* -N PPMAP 88 54* -N PPMAP 90 55* -N PPMAP 92 56* -N PPMAP 95 58* -N PPMAP 96 59* -N PPMAP 11 6* -N PPMAP 97 60* -N PPMAP 98 61* -N PPMAP 102 63* -N PPMAP 103 64* -N PPMAP 104 65* -N PPMAP 105 66* -N PPMAP 107 67* -N PPMAP 109 68* -N PPMAP 12 7* -N PPMAP 112 70* -N PPMAP 114 71* -N PPMAP 116 72* -N PPMAP 117 73* -N PPMAP 118 74* -N PPMAP 123 76* -N PPMAP 125 77* -N PPMAP 127 78* -N PPMAP 130 79* -N PPMAP 13 8* -N PPMAP 134 80* -N PPMAP 135 81* -N PPMAP 136 82* -N PPMAP 139 85* -N PPMAP 140 86* -N PPMAP 141 87* -N PPMAP 143 89* -N PPMAP 15 9* -N PPMAP 144 90* -N PPMAP 145 91* -N PPMAP 146 92* -N PPMAP 147 93* -N PPMAP 149 94* -N PPMAP 153 95* -N PPMAP 155 96* -N PPMAP 157 97* -N PPMAP 160 99* -L0000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0000064 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* -L0000128 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* -L0000192 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0000256 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000100* -L0000320 00000000 10000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0000384 00000000 00000000 10000000 00000000 00000000 00000000 00000000 10000000* -L0000448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* -L0000512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* -L0000576 000000 000000 000000 000000 000000 000000 000000 001000* -L0000624 000000 000000 100100 000101 000000 000000 000000 000000* -L0000672 001100 000000 100100 000000 000000 000000 000000 000000* -L0000720 000100 000000 000000 000000 000000 000000 000000 000000* -L0000768 000000 000000 000000 000000 000000 000000 000000 000000* -L0000816 000000 000000 000100 000000 000000 000000 000000 001000* -L0000864 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00100100* -L0000928 00100000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0000992 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000100* -L0001056 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* -L0001120 00000000 00000000 00000000 00000000 10000100 00000000 00000000 00100000* -L0001184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* -L0001248 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00100000* -L0001312 00000000 00000000 00100000 00000000 00000000 00000100 00000000 00000000* -L0001376 00000000 00000000 00100000 00000000 00000000 00000100 00000000 10000000* -L0001440 000000 000000 000000 000000 000000 000001 000000 100000* -L0001488 001000 000000 000000 000000 000000 000000 000000 000000* -L0001536 000000 000000 000000 000000 000010 000000 000000 100000* -L0001584 000000 000000 000001 000000 000000 000000 000000 001000* -L0001632 000000 000000 000000 000000 000000 000000 000000 001000* -L0001680 000000 000000 000000 000000 000001 000000 000000 000000* -L0001728 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001792 00000010 00000000 00000000 00000100 00000000 10000000 00000000 00000000* -L0001856 00000110 00000000 00000000 00000000 00000000 00001000 00000000 00000000* -L0001920 00000110 00000000 00000000 00000000 00000000 10000000 00000000 00000000* -L0001984 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0002048 01000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002112 01001000 00000000 00000000 00001100 00000000 00001000 00000000 00000000* -L0002176 00000100 00000000 00000000 00000000 00000000 01000000 00000000 00000000* -L0002240 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* -L0002304 000001 000000 001000 000000 000000 000000 000000 000000* -L0002352 000000 000000 000000 011110 000000 000000 000000 000000* -L0002400 000011 000000 000000 000000 000000 000000 000000 000000* -L0002448 000110 000000 000000 000000 000000 000001 000000 000000* -L0002496 000000 000000 000000 000000 000000 000000 000000 000000* -L0002544 000000 000000 000000 000000 000000 000000 000000 000000* -L0002592 00011000 00000000 00000000 10000100 00000000 10011000 00000000 00001000* -L0002656 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0002720 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0002784 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0002848 00100000 00000000 00000000 00000000 10000100 00000000 00000000 00000000* -L0002912 10000000 00000000 00000000 00011100 00000000 00000000 00000000 01000000* -L0002976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003104 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0003168 000000 000000 000000 000000 000000 000000 000000 000000* -L0003216 000100 000000 000000 000000 000000 000000 000000 001000* -L0003264 100000 000000 000000 000000 000010 000000 000000 001000* -L0003312 000000 000000 000000 000000 000000 000000 000000 000000* -L0003360 000000 000000 000000 000000 000000 000000 000000 000000* -L0003408 000000 000000 000000 000000 000001 100001 000000 000000* -L0003456 00000000 00000000 00000000 10000100 00000000 00000000 00000000 00001000* -L0003520 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* -L0003584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003648 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0003712 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* -L0003776 00000000 00000000 00000000 00011100 00000000 00000000 00100000 01000000* -L0003840 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* -L0003904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003968 10110000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* -L0004032 000000 000000 000000 000000 000000 000001 000000 000000* -L0004080 000000 000000 000000 000000 000000 000000 000000 001000* -L0004128 000000 000000 000000 000000 000000 000000 000000 001000* -L0004176 000000 000000 000000 000000 000000 000000 000000 000000* -L0004224 000000 000000 000000 000000 000000 000000 000000 000000* -L0004272 000000 000000 000000 000000 000000 000000 000000 000000* -L0004320 00000000 00000000 10100000 00000000 00000000 00000000 00000000 00000000* -L0004384 00000000 00000000 10100000 00000000 00000000 00000000 00000000 00000000* -L0004448 00000000 00000000 10100000 00000100 00000000 00000000 00000000 00000000* -L0004512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0004576 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* -L0004640 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0004704 00000100 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0004768 00000000 00000000 10100000 00001100 00000000 01000000 00000000 00000000* -L0004832 00000000 00000000 11100000 00000000 00000000 01000000 00000000 00000000* -L0004896 000001 000000 110000 000000 000000 000000 000000 000000* -L0004944 000001 000000 000001 000000 000000 000000 000000 000000* -L0004992 000000 000000 000010 000000 000000 000000 000000 000000* -L0005040 000000 000000 000001 000000 000000 000000 000000 000000* -L0005088 000000 000000 000001 000000 000000 000000 000000 000000* -L0005136 000000 000000 000001 000000 000000 000001 000000 000000* -L0005184 00000110 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0005248 00000000 00000000 00000000 00001100 00000000 00000000 00000000 00000000* -L0005312 00000011 00010100 00000000 00000100 00000000 00000000 00000000 00000000* -L0005376 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0005440 01000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0005504 00000010 00000000 00000000 00000000 10000000 10000000 00000000 00000000* -L0005568 00000001 00000000 00000000 00001100 00000000 00000000 00000000 00000000* -L0005632 00000000 00000000 00000000 00001100 00000000 00000000 00000000 00000000* -L0005696 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0005760 000000 000000 000000 000000 000000 000000 000000 000000* -L0005808 000000 000000 000000 000000 011010 010000 000000 000000* -L0005856 000000 000000 000000 000000 000100 001100 000000 000000* -L0005904 100000 000010 000000 000000 000000 000000 000000 000000* -L0005952 000000 000000 000000 000000 000000 000000 000000 000000* -L0006000 000000 000000 000000 000000 000000 000000 000000 000000* -L0006048 00000010 00010100 10100000 01110000 00000000 00000000 00000000 00100100* -L0006112 00000110 00000000 10100000 00000000 00000000 00000000 00000000 00100100* -L0006176 00000000 00001000 10100000 00000000 00000000 00000000 00000000 00100100* -L0006240 01000011 00000000 00000000 00000000 00000000 00000000 00000000 00100100* -L0006304 00000010 00000000 01000000 00000000 00000000 00000000 00000000 00100100* -L0006368 00000000 00000000 00000000 01100000 00000000 00000000 00000000 10100000* -L0006432 00000010 00000000 00100000 00000000 10000000 10000000 00000000 10100000* -L0006496 00000010 00000000 10100000 00000000 00000000 00000000 00000000 10100000* -L0006560 00000000 00000000 11100000 00000000 00000000 00000000 00000000 10100000* -L0006624 000000 000000 110000 000000 000000 000000 000000 101000* -L0006672 000000 000010 000001 100000 000100 001100 000000 000000* -L0006720 000000 000000 000010 000000 011000 010000 000000 100000* -L0006768 000000 000001 000001 000000 000000 000000 000000 001000* -L0006816 000000 000000 000001 000000 000000 000000 000000 001000* -L0006864 000000 000000 000001 000000 000000 000000 000000 001000* -L0006912 00000000 00100000 00000000 00000000 00000000 00000000 00000000 00000000* -L0006976 00000000 00000000 00000000 00001100 00000000 00000100 00000000 00000000* -L0007040 00000000 00000000 00000000 00000100 00000000 01000000 00000000 00000000* -L0007104 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0007168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0007232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0007296 00000000 00000000 00000000 00001100 00000000 00000000 00000000 00000000* -L0007360 00010000 00000000 00000000 00001100 00000000 00000100 00000000 00000000* -L0007424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0007488 010000 000000 000000 000000 000000 000000 000000 000000* -L0007536 000000 010000 000000 000000 000000 000000 000000 000000* -L0007584 000000 000000 000000 000000 000000 000000 000000 000000* -L0007632 000000 000000 000000 000000 000000 000000 000000 000000* -L0007680 010000 000000 000000 000000 000000 000000 000000 000000* -L0007728 000000 000000 000000 000000 000000 000000 000000 000000* -L0007776 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* -L0007840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0007904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0007968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0008032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* -L0008096 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0008160 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0008224 00000100 00000000 00000000 00000000 00000000 01000000 00000000 00000000* -L0008288 00000100 00000000 00000000 00000000 00000000 01000000 00000000 00000000* -L0008352 000001 000000 000000 000000 000000 000000 000000 000000* -L0008400 000001 000000 000000 100000 000000 000000 000000 000100* -L0008448 000001 000000 000000 000000 000100 000000 000000 000000* -L0008496 000001 000000 000000 000000 000000 000000 000000 000100* -L0008544 000000 000000 000000 000000 000000 000000 000000 000000* -L0008592 000000 000000 000000 000000 000000 000001 000001 000000* -L0008640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0008704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0008768 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00001000* -L0008832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0008896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0008960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0009024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0009088 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0009152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0009216 000000 000000 000000 000000 000000 000000 000000 010000* -L0009264 000000 000000 000000 000000 000000 000000 000000 000000* -L0009312 000000 000000 000000 000000 000000 000000 000000 000000* -L0009360 000000 000000 000000 000000 000000 000000 000000 000000* -L0009408 000000 000000 000000 000000 000000 000000 000000 000000* -L0009456 000000 000000 000000 000000 000000 000000 000000 000001* -L0009504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* -L0009568 00000000 00000000 00000000 00000000 10000100 00000000 00000000 10110100* -L0009632 00000000 00000000 00000000 00000000 10000100 00000000 00000000 10110100* -L0009696 00000000 00000000 00000000 00000000 10000100 00000000 00000000 10110100* -L0009760 00000000 00000000 00000000 00000000 10000100 00000000 00000000 11110100* -L0009824 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10110000* -L0009888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* -L0009952 00000000 00000000 00000000 00000000 00001100 00000000 00000000 10100000* -L0010016 00000000 00000000 00000000 00000000 00000100 00000000 00000000 10100000* -L0010080 000000 000000 000000 000000 000001 000000 000000 101001* -L0010128 000000 000000 000000 000000 000000 000000 000000 100000* -L0010176 000000 000000 000000 000000 000011 000000 000000 100000* -L0010224 000000 000000 000000 000000 000001 000000 000000 011000* -L0010272 000000 000000 000000 000000 000001 000000 000000 011000* -L0010320 000000 000000 000000 000000 000001 000000 000000 011000* -L0010368 00000000 00000011 00000001 00000011 00000011 00000011 00000000 10000100* -L0010432 00000010 00000011 00000001 00001111 00000011 00000011 00000010 10000100* -L0010496 00000001 00000011 00000000 00000111 00000011 00000011 00000001 10000100* -L0010560 00000111 00000011 00000011 00000011 00000011 00000011 00000000 10000101* -L0010624 00000111 00000011 00000000 00000011 00000001 00000011 00000000 10000110* -L0010688 00000100 00000011 00000001 00000011 00000011 00000011 00000001 10000100* -L0010752 00000111 00000011 00000000 00001111 00000011 00000011 00000001 10000100* -L0010816 00000110 00000011 00000011 00001111 00000011 00000011 00000011 10000110* -L0010880 00000110 00000011 00000000 00000011 00000011 00000011 00000001 10000111* -L0010944 000001 000000 000000 000000 000000 000000 000000 100001* -L0010992 000001 000000 000000 000000 000000 000000 000000 000001* -L0011040 000001 000000 000000 000000 000000 000000 000000 000001* -L0011088 100011 000000 000000 000000 000000 000000 000000 000001* -L0011136 000000 000000 000000 000000 000000 000000 000000 000001* -L0011184 000010 000000 000000 000000 000000 000000 000000 000001* -L0011232 00000011 00000000 00000010 01000000 00000000 00000000 00000000 00000011* -L0011296 00000001 00000000 00000010 00000000 00000000 00000000 00000000 00000011* -L0011360 00000110 00000000 00000011 00000000 00000000 00000000 00000000 00000011* -L0011424 01000000 00000000 00000000 00000000 10000100 00000000 00000000 00000010* -L0011488 00010000 00000000 00000011 00000000 00000000 00000000 00000000 00000001* -L0011552 01000011 00000000 00000010 01000000 00000000 00000000 00000000 00000011* -L0011616 01000000 00000000 00000011 00000000 00000000 00010000 00000000 00000011* -L0011680 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000001* -L0011744 00000001 00000000 00000011 00000000 00000000 00000000 00000000 00000000* -L0011808 000000 000000 000000 000000 000001 000000 000000 000000* -L0011856 000010 100000 000000 100000 000000 000000 000000 000000* -L0011904 000010 000000 000000 000000 000000 000000 000000 000000* -L0011952 000000 000000 000000 000000 000000 000000 000000 000000* -L0012000 000010 000000 000000 000000 000001 000000 000000 000000* -L0012048 010000 000000 001000 000000 000000 000000 000000 000000* -L0012096 00000000 00000001 00100001 00000000 00000001 00000011 00000000 00100100* -L0012160 00000010 00000001 00100001 00001111 00000011 00000011 00000010 00100100* -L0012224 00010001 00000001 00100000 00000100 00000001 00010001 00000000 00100100* -L0012288 00000011 00000001 00000011 00000001 00000001 10000011 00000000 00000101* -L0012352 00000010 00000001 00000000 00000001 00000001 10000011 00000000 00000110* -L0012416 00000100 00000001 00000000 00000000 00000010 00000011 00000000 10100000* -L0012480 00000111 00000001 00100000 00001101 00000001 00000000 00000000 10100000* -L0012544 00000100 00000001 00100011 00001101 00000001 00000001 00000000 10100010* -L0012608 00000110 00000001 00100000 00000001 00000011 00001010 00000000 00100001* -L0012672 000000 000000 000000 000000 000000 000010 000000 001000* -L0012720 000000 000000 000000 000000 000000 000000 000000 000000* -L0012768 010000 000000 010000 000000 000000 000000 000000 100000* -L0012816 000000 000000 010000 000000 000000 000001 000000 001000* -L0012864 000000 000000 000000 000000 000000 100001 000000 001000* -L0012912 101000 000000 000000 000000 000000 000000 000000 001000* -L0012960 00000001 00000000 00000010 01100000 00000010 00000000 00000000 00000011* -L0013024 00000001 00000000 00000010 00000000 00000000 10010000 00000000 00000010* -L0013088 00000010 00000000 00000011 00000000 10000100 10000010 00000000 00000011* -L0013152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000010* -L0013216 00000001 00000000 00000011 00000000 00000000 00000100 00000000 00000001* -L0013280 00000011 00000000 00100011 01000000 00000001 00000000 00000000 00000011* -L0013344 00000000 00000000 00000011 00000000 00000000 00001001 00000000 00000011* -L0013408 00000011 00000000 00000000 00000000 00000000 00001000 00000000 00000001* -L0013472 00000001 00000000 00000011 00000000 00000100 00000001 00000000 00000010* -L0013536 101101 000000 000000 000000 000000 000000 000000 000000* -L0013584 000001 000000 000000 100000 000000 000000 000001 000000* -L0013632 000001 000000 000000 000000 000000 000001 000000 000000* -L0013680 000001 000000 000000 000000 000001 100000 000000 000000* -L0013728 000000 000000 000000 000000 000000 000000 000000 000000* -L0013776 000000 000000 000000 000000 000000 000000 000000 000000* -L0013824 00110100 00000001 00000001 00000000 00000000 00000011 00000000 00010000* -L0013888 00010100 00000001 00000000 00000000 00000000 00001000 00000000 10010000* -L0013952 00110101 00000001 00000000 00000000 00000000 00001000 00000000 10010000* -L0014016 00111111 00000001 00000011 00000000 00000000 00001011 00000000 11010001* -L0014080 00110100 00000000 00000000 00000001 00000001 00000001 00000000 11010010* -L0014144 00010100 00000001 00000000 00000000 00000000 00000000 00000000 00010000* -L0014208 00110111 00000001 00000000 00000001 00000001 00000000 00000000 00000000* -L0014272 00110100 00000000 00010011 00000001 00000001 00000001 00000000 00000110* -L0014336 00110110 00000001 00000000 00000000 00000001 00000000 00000000 00000001* -L0014400 001101 000000 000000 000000 000000 000000 000000 000001* -L0014448 110011 000000 000000 000000 000000 000000 000000 100000* -L0014496 011111 000000 000000 000000 000000 000000 000000 000000* -L0014544 011111 000000 000000 000000 000000 000000 000000 010000* -L0014592 011010 000000 000000 000000 000000 000000 000000 010000* -L0014640 010010 000000 000000 000000 000000 000000 000000 010000* -L0014688 00000001 00000000 00000010 00000000 00000010 00000100 00000000 00000011* -L0014752 00000011 00000000 00000011 00000000 00000000 00000000 00000000 00000010* -L0014816 00000010 00000000 00000011 00000000 10000100 00000010 00000000 00000001* -L0014880 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100010* -L0014944 00000011 00000000 00000011 00000000 00000000 00000010 00000000 00000001* -L0015008 00000011 00000000 00000010 00000000 00000000 01000000 00000000 00000010* -L0015072 00000000 00000000 00000011 00000000 00000000 00000001 00000000 00000011* -L0015136 00000011 00000000 00000000 00000000 00000000 00000000 00000000 00000001* -L0015200 00000001 00000000 00000011 00000000 00000100 00010001 00000000 10000010* -L0015264 000000 000000 000000 000000 000000 000000 000000 000000* -L0015312 001000 000000 000000 000000 000000 100000 000000 000000* -L0015360 000000 000000 000000 000000 000000 000000 000000 000000* -L0015408 000000 000000 000000 000000 000001 000000 000000 000000* -L0015456 000000 000000 000000 000001 000000 000000 000000 000000* -L0015504 000000 000000 000000 000000 000000 000000 000000 000000* -L0015552 00000000 00000000 00000001 00000000 00010000 00000010 00000000 10000000* -L0015616 00000000 00000001 00000000 00001100 00001000 00000001 00000000 00000000* -L0015680 00000000 00000001 00000000 00000100 00000000 00000000 00000000 00000000* -L0015744 00000010 00000000 00000011 00000000 00000000 00000011 00000000 00000001* -L0015808 00000000 00000000 00000000 00000000 00000001 00000001 00000000 00000010* -L0015872 00000000 00000000 00000000 00000000 00010000 00000000 00000000 00000000* -L0015936 00000011 00000001 00000000 00001100 00010101 00000010 00000000 00000000* -L0016000 00000000 00000000 00000011 00001100 00001011 00000011 00000000 00000010* -L0016064 00000010 00000001 00000000 00000001 00001001 00000000 00000000 00000101* -L0016128 000000 000000 000000 000000 000000 000000 000000 000000* -L0016176 000000 000000 000000 000000 000001 000000 000000 010000* -L0016224 000000 000000 000000 000000 000000 000000 000000 010000* -L0016272 000000 000000 000000 000000 000000 000000 000000 000000* -L0016320 000100 000000 000000 000000 000000 000000 000000 000000* -L0016368 000100 000000 000000 000000 000000 000000 000000 000000* -L0016416 00000001 00000000 00000000 01100000 00000010 00000000 00000000 00000011* -L0016480 00000011 00000000 00000011 00000000 10000000 00000001 00000000 00000010* -L0016544 00000011 00000000 00000011 00000000 10000000 00000010 00000000 00000001* -L0016608 00000001 00000000 00000000 00000000 10000000 00100000 00000000 00000010* -L0016672 00000010 00000000 00000011 00000000 10000100 00000010 00000000 00000001* -L0016736 00000011 00000000 00000000 01100000 00001000 00000000 00000100 00000010* -L0016800 00000000 00000000 00010001 00000000 00000000 00000011 00000000 00000011* -L0016864 00000011 00000000 00000000 00000000 00000110 00000010 00000000 00000001* -L0016928 00000000 00000000 00000011 00000001 00000100 00000001 00000000 00000010* -L0016992 000000 000000 000000 000000 000001 000000 000000 000000* -L0017040 000000 000000 000000 100000 000000 000010 000000 000000* -L0017088 000000 000000 000000 000000 000000 000000 000000 000000* -L0017136 000000 000000 000000 000000 000000 000000 000000 000000* -L0017184 000000 000000 000000 000000 000000 000000 000000 000000* -L0017232 000000 000000 000000 000000 000000 000000 000000 000000* -L0017280 00000000 00000000 00000001 00000000 00010000 00100010 00000000 00000000* -L0017344 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0017408 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0017472 00000010 00000000 00000011 00000000 00000000 00000010 00000000 00100001* -L0017536 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00100010* -L0017600 00000000 00010000 00000000 00000000 00000000 00010000 00000000 00000000* -L0017664 00000011 00000000 00000000 00010000 00010000 00000000 00000000 00000000* -L0017728 00000000 00000000 00000001 00000000 00000001 00000001 00000000 00000010* -L0017792 00000000 00000001 00000000 00000000 00000001 00000000 00000001 10000001* -L0017856 000000 000000 000000 000000 000000 000000 000000 100000* -L0017904 000000 000000 000000 000000 000001 000000 000000 000000* -L0017952 000000 000000 000000 000000 000000 000000 000000 000000* -L0018000 000000 000000 000000 000000 000000 000000 000000 000000* -L0018048 000100 000000 000000 000000 000000 000000 000000 000000* -L0018096 000000 000000 000000 000000 000000 000000 000000 000000* -L0018144 00000001 00000000 00000000 00000000 00001010 00000000 00000000 00000011* -L0018208 00000011 00000000 00000011 00000000 10010000 00000000 00000000 00000010* -L0018272 00000011 00000000 00000011 00000000 10000000 00000010 00000000 00000001* -L0018336 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000010* -L0018400 00000010 00000000 00000011 00000000 10000100 00000000 00000000 00000001* -L0018464 00000011 00000000 00000000 00000000 00001100 00000000 00000000 00000010* -L0018528 00000000 00000000 00000001 00000000 00001001 00000001 10000000 00000011* -L0018592 00000011 00000000 00000010 00000000 00000100 00000000 00000000 00000001* -L0018656 00000010 00000000 00000011 00000000 00000100 00000001 00000001 01000010* -L0018720 000000 000000 000000 000000 000001 000000 000000 000000* -L0018768 000000 000000 000000 000000 000000 000000 000000 000000* -L0018816 000000 000000 000000 000000 000000 000000 000000 000000* -L0018864 000000 000000 000000 000000 000000 000000 000000 000001* -L0018912 000000 000000 000000 000000 000000 000000 000000 000000* -L0018960 000100 000000 000000 000000 000000 000000 000000 000000* -L0019008 00000000 00000000 00000001 00000010 00000001 00000001 00000000 00000000* -L0019072 00000000 00000000 00000000 00000001 00000001 00000000 00000000 00000000* -L0019136 00000000 00000000 00000000 00000001 00000000 00000000 00000001 00000000* -L0019200 00000011 00000001 00000001 00000001 00000001 00000010 00000000 00100001* -L0019264 00000000 00000000 00000010 00000000 00000011 00000000 00000000 00100000* -L0019328 00000000 00000001 00000000 00000011 00000000 00000001 00000000 00000000* -L0019392 00000010 00000000 00000000 00010000 00000001 00000000 00000000 00000000* -L0019456 00000010 00000000 00000000 00000000 00000001 00000001 00000000 00000010* -L0019520 00000010 00000001 00000000 00000010 00000000 00000000 00000001 10000010* -L0019584 000000 000000 000000 000000 000000 000000 000000 100000* -L0019632 000000 000000 000000 000000 000000 000000 000000 000000* -L0019680 000000 000000 000000 000000 000000 100000 000000 000000* -L0019728 000000 000000 000000 000000 000000 000000 000000 000000* -L0019776 000000 000000 000000 000000 000000 000000 000000 000000* -L0019824 000000 000000 000000 000000 000000 000000 000000 000000* -L0019872 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00000000* -L0019936 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0020000 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* -L0020064 00000010 00000000 00000011 00000000 00000000 10000010 00000000 00000001* -L0020128 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000010* -L0020192 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0020256 00000011 00000000 00000000 00000000 00000001 00000000 00000000 01000000* -L0020320 00000000 00000000 00000011 00000000 00000001 00000001 00000000 00000000* -L0020384 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000001* -L0020448 000000 000000 000000 000000 000000 000010 000000 000000* -L0020496 000000 000000 000000 000000 000000 000000 000000 000001* -L0020544 000000 000000 000000 000000 000000 000000 000000 000000* -L0020592 000000 000000 000000 000000 000000 000001 000000 000000* -L0020640 000000 000000 000000 000000 000000 000001 000000 000000* -L0020688 000000 000000 000000 000000 000000 000000 000000 000000* -L0020736 00000000 00000000 10101101 00001000 00000000 00000000 00000000 00000000* -L0020800 00000001 00000000 10101100 00000000 00000000 00010000 00000000 00000000* -L0020864 00000001 00000000 11101100 00000000 00000000 00010000 00000000 00000000* -L0020928 00000010 00000000 01001111 00000000 00000000 00000010 00000000 00100001* -L0020992 00000000 00000000 01000110 00000000 00000000 00000000 00000000 00100010* -L0021056 00000000 00000000 01000000 00000000 00000000 00000000 00100000 00000000* -L0021120 00000011 00000000 01100000 00000000 00000000 00000000 00000000 00000000* -L0021184 00000010 00000000 11100001 00000000 00000000 00000001 00000000 00000010* -L0021248 00000010 00000000 11101100 00000000 00000001 00000000 00000000 10000011* -L0021312 000000 000000 110011 000000 000000 000000 000000 100000* -L0021360 000000 000000 000001 000000 000000 000000 000000 000000* -L0021408 000000 000000 000011 000000 000000 000000 000000 000000* -L0021456 000000 000000 100101 000000 000000 000000 000000 000000* -L0021504 000100 000000 100101 000000 000000 000000 000000 000000* -L0021552 000000 000000 100001 000000 000000 000000 000000 000000* -L0021600 00000001 00000000 00000010 00000000 00000010 00000000 00000000 00000011* -L0021664 00000001 00000000 00000001 00000000 00000000 00000000 00000000 00000011* -L0021728 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0021792 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0021856 00000010 00000000 00000011 00000000 00000000 00000010 00000000 00000001* -L0021920 00000000 00000000 00000011 00000000 00000000 00000000 00000000 00000011* -L0021984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000010* -L0022048 00000011 00000000 00000000 00000000 00000000 00000000 00000000 01000000* -L0022112 00000011 00000000 00000001 00000000 00000000 00000001 00000000 00000010* -L0022176 000000 000000 000000 000000 000000 000000 000000 000000* -L0022224 000000 000000 000000 000000 000000 000000 000000 000000* -L0022272 000000 000000 000000 000000 000000 000000 000000 000001* -L0022320 000000 000000 000000 000000 000000 000000 000000 000000* -L0022368 000000 000000 000000 000000 000000 000000 000000 000000* -L0022416 000100 000000 000000 000000 000000 000000 000000 000000* -L0022464 00000000 00000011 00000001 00000011 00001111 01000011 00000000 00000000* -L0022528 00000011 00000011 00000001 00000011 10011111 11000111 00000010 00000001* -L0022592 00000001 00000011 00000000 00000011 10000111 11000111 00000001 00000000* -L0022656 00000011 00000011 00000011 00000011 10000111 11000111 00000000 00000001* -L0022720 00000011 00000011 00000010 00000011 10000111 11000111 00000000 00000010* -L0022784 00000001 00000011 00000001 00000011 00010111 00000011 10000001 00000000* -L0022848 00000011 00000011 00000000 00001011 00001111 00001111 00000001 00000000* -L0022912 00000010 00000011 00000011 00001011 00001111 00001111 00000011 00000010* -L0022976 00000010 00000011 00000000 00000011 00001111 00001111 00000001 00000011* -L0023040 000000 000000 000000 000000 000001 000011 000000 000000* -L0023088 000000 000000 000000 000000 000000 000001 000000 000000* -L0023136 000000 000000 000000 000000 000011 100001 000000 000000* -L0023184 000000 000000 000000 000000 000001 100001 000000 000000* -L0023232 000000 000000 000000 000000 000001 100001 000000 000000* -L0023280 000000 000000 000000 000000 000001 100000 000000 000000* -L0023328 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* -L0023392 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00100100* -L0023456 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0023520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* -L0023584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* -L0023648 00000000 00000000 00000000 00001000 00000000 00000000 00000000 10100000* -L0023712 00000000 00000000 00000000 00000000 00010000 00000000 10000000 10100000* -L0023776 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00100000* -L0023840 00000000 00000000 00000000 00000000 00000000 01000000 00000000 10100000* -L0023904 000000 000000 000000 000000 000000 000000 000000 100000* -L0023952 000000 000000 000000 000000 000001 000000 000000 000000* -L0024000 000000 000000 000000 000000 000000 000000 000000 000000* -L0024048 000000 000000 000000 000000 000000 000000 000000 000000* -L0024096 000000 000000 000000 000000 000000 000000 000000 000000* -L0024144 000000 000000 000000 000000 000000 000001 000000 000000* -L0024192 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0024256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0024320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0024384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* -L0024448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10010000* -L0024512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* -L0024576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0024640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0024704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0024768 000000 000000 000000 000000 000000 000000 000000 000000* -L0024816 000000 000000 000000 000000 000000 000000 000000 100000* -L0024864 000000 000000 000000 000001 000001 000000 000000 000100* -L0024912 000000 000000 000000 000000 000001 000000 000000 000100* -L0024960 000100 000000 000000 000000 000001 000000 000000 000000* -L0025008 000000 000000 000000 000000 000001 000000 000000 010000* -L0025056 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025120 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0025184 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0025248 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0025312 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0025376 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0025440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025632 000000 000000 000000 000000 000000 000000 000000 000000* -L0025680 000000 000000 000000 000001 000000 000000 000000 000000* -L0025728 000000 000000 000000 000000 000000 000000 000000 000000* -L0025776 000000 000000 000000 000000 000000 000000 000000 000000* -L0025824 000000 000000 000000 000000 000000 000000 000000 000000* -L0025872 000100 000000 000000 000000 000000 000000 000000 000000* -L0025920 00000000 00000000 00000000 00000000 00011100 00000000 00000000 00000000* -L0025984 00000111 00000001 00000001 00000110 00011010 00000010 00000010 00000001* -L0026048 00000100 00000001 00000000 00000101 00000001 00000001 00000001 00000000* -L0026112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* -L0026176 00000011 00000001 00000010 00000000 00000000 00000000 00000000 00100010* -L0026240 00000000 00000000 00000001 00000011 00010111 00000011 00000000 00000000* -L0026304 00000000 00000000 00000000 00000000 00001100 00000000 00000000 00000000* -L0026368 00000010 00000001 00000000 00000000 00001000 00000000 00000010 00000000* -L0026432 00000000 00000000 00000000 00000010 00001010 00000010 00000000 10000000* -L0026496 000000 000000 000000 000000 000000 000000 000000 100000* -L0026544 000010 000000 000000 000000 000001 000000 000000 000000* -L0026592 000010 000010 000000 000000 000000 000010 000000 000000* -L0026640 000000 000010 000000 000000 000000 000000 000000 000000* -L0026688 000010 000000 000000 000000 000000 000000 000000 000000* -L0026736 000000 000000 000000 000000 000000 000000 000000 000000* -L0026784 00000100 00000100 00000000 00000100 00000000 00000000 00000000 01000000* -L0026848 00000000 00000000 00000000 00000000 10000000 00000000 00000000 01000000* -L0026912 00000000 00000000 00000000 00000000 10000000 00000000 00000000 01000000* -L0026976 00000100 00000100 00000000 00000000 10000000 00000000 00000000 00000000* -L0027040 00000100 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0027104 00000100 01000000 00000000 00000000 00001000 00000000 10000000 00000100* -L0027168 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0027232 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0027296 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0027360 000001 000000 000000 000000 000000 000000 000000 010000* -L0027408 000001 000000 000000 000000 000000 000000 000000 000000* -L0027456 000001 000000 000000 000000 000001 000000 000000 000000* -L0027504 000011 000000 000000 000000 000001 000000 000000 000000* -L0027552 000000 000000 000000 000000 000001 000000 000000 000001* -L0027600 000010 000001 000000 000000 000001 000000 000000 000001* -L0027648 00000000 00010100 00000000 00000000 00000000 01000000 00000100 00100100* -L0027712 00000010 00000000 00000001 00000010 00000010 11000010 00000000 00100101* -L0027776 00000000 00001000 00000000 00000001 00000001 11000001 00000001 00100100* -L0027840 00000000 00000000 00000000 00000000 00000000 11000000 00000000 00000100* -L0027904 00000011 00000000 00000010 00000000 00000000 11000000 00000000 00000100* -L0027968 00000000 00000000 00000001 00000011 00000010 00000010 00000000 10100000* -L0028032 00000000 00000000 00000000 00000000 00000010 00001000 00000000 10100000* -L0028096 00000010 00000000 00000000 00000000 00000000 00001000 00000010 10100000* -L0028160 00000000 00000000 00000000 00000010 00000010 00001010 00000001 00100000* -L0028224 000000 000000 000000 000000 000000 000010 000000 001000* -L0028272 000000 000010 000000 000000 000000 000000 000010 000000* -L0028320 000000 000000 010000 000000 000000 100000 000000 100000* -L0028368 000000 000001 010000 000000 000000 100000 000000 001000* -L0028416 000000 000000 000000 000000 000000 100000 000000 001000* -L0028464 000000 000000 000000 000000 000000 100000 000000 001000* -L0028512 00000000 01000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0028576 00000000 00010100 00000000 00000000 00000000 00000000 00000000 00000000* -L0028640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0028704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0028768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0028832 00000000 00000000 00000000 00000000 00000000 00001100 00000000 00000000* -L0028896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0028960 00000000 00000000 00000000 00000000 00000000 01000000 00000001 00000000* -L0029024 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* -L0029088 000000 000000 000000 000000 000000 000000 000000 000000* -L0029136 000000 000000 000000 000000 000000 000001 000000 000000* -L0029184 000000 000010 000000 000000 000000 000000 000000 000000* -L0029232 000000 000000 000000 000000 000000 000000 000000 000000* -L0029280 000000 000000 000000 000000 000000 000000 000000 000000* -L0029328 000100 000000 000000 000000 000000 000001 000000 000000* -L0029376 00000000 00000011 00000000 00001000 00000001 00000000 00000000 00100000* -L0029440 00000001 00000011 00000000 00000000 00000001 00000000 00000010 00100000* -L0029504 00000000 00000011 00000000 00000000 00000000 00001000 00000000 00100000* -L0029568 00000000 00000011 00000000 00000000 00000001 00001000 00000000 00000000* -L0029632 00000000 00000011 00000000 00000000 00000001 00000000 00000000 00000000* -L0029696 00000000 00000011 00000000 00000000 00000000 00000000 00000001 00000000* -L0029760 00000000 00000011 00000000 00000000 00000001 00000000 00000001 00000000* -L0029824 00000000 00000011 00000000 00000000 00000001 00000000 00000000 00000000* -L0029888 00000000 00000011 00000000 00000000 00000001 00000000 00000000 00000000* -L0029952 000000 000000 000000 000000 000000 000000 000000 000000* -L0030000 000000 000100 000000 000000 000000 000000 000000 000000* -L0030048 000000 000000 000000 000000 000001 000000 000000 100000* -L0030096 000000 000000 000000 000000 000001 000000 000000 000000* -L0030144 000100 000000 000000 000000 000001 000000 000000 000000* -L0030192 000000 000000 000000 000000 000001 000000 000000 000000* -L0030240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0030304 00000000 00000011 00000000 00000000 10000000 00001000 00000010 00000000* -L0030368 00000000 00000011 00000000 00000000 10000010 00000000 00000000 00000000* -L0030432 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0030496 00000000 00000001 00000000 00000000 10000000 00000000 00000000 00000000* -L0030560 00000000 00000000 00000000 00000000 00000001 00000000 00000000 00000000* -L0030624 00000000 00000010 00000000 00000000 00000000 00000000 00000001 00000000* -L0030688 00000000 00000000 00000000 00000000 00000000 00000000 00000001 00000000* -L0030752 00000000 00000010 00000000 00000000 00000000 00000000 00000000 00000000* -L0030816 000000 000000 000000 000000 000000 000000 000000 000000* -L0030864 000000 000000 010000 000000 000001 000000 000100 000000* -L0030912 000000 000000 000000 000000 000000 000000 000000 000000* -L0030960 000000 000000 000000 000000 000000 000000 000000 000000* -L0031008 000000 000000 000000 000000 000000 000000 000000 000000* -L0031056 000100 000000 000000 000000 000000 000000 000000 000000* -L0031104 00000000 00000000 10000100 00000000 00000000 00000000 00000000 00000000* -L0031168 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00010000* -L0031232 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0031296 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0031360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* -L0031424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0031488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0031552 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0031616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0031680 000000 000000 000000 000000 000000 000000 000000 000001* -L0031728 000000 000000 001000 000000 000000 000000 000000 000000* -L0031776 000000 000000 000000 000000 000000 000000 000000 000000* -L0031824 000000 000000 100000 000000 000000 000000 000000 000000* -L0031872 000000 000000 100000 000000 000000 000000 000000 000100* -L0031920 000000 000000 000000 000000 000000 000000 000000 000000* -L0031968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100100* -L0032032 00000000 00000000 00100000 00000000 00000000 00000001 00000000 00100100* -L0032096 00000000 00000000 00100000 00000000 00000000 00000000 00000000 01100100* -L0032160 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100100* -L0032224 00000000 00000000 00001000 00000000 00000000 00000000 00000000 10110100* -L0032288 00000000 00000000 10000000 00000000 00000000 00000000 00000000 10111000* -L0032352 00000000 00000000 10000000 00000000 00000000 00000010 00000000 10101100* -L0032416 00000000 00000000 00010000 00000000 00000010 00000010 00000000 10101000* -L0032480 00000000 00000000 00010000 00000001 00000000 00000000 00000000 10101000* -L0032544 000000 000000 001100 000000 000000 000000 000000 101010* -L0032592 000000 000000 000000 000000 000000 000000 000000 100010* -L0032640 000000 000000 000000 000000 000000 000000 000000 110010* -L0032688 000000 000000 011000 000000 000000 000000 000000 001000* -L0032736 000000 000000 001000 000000 000000 000000 000000 001000* -L0032784 000000 000000 001100 000000 000000 000000 000000 011000* -L0032832 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000100* -L0032896 00010000 00000000 00001000 00000000 00000000 10010000 00000000 01000100* -L0032960 00010000 00000000 10000000 00000000 00000000 10000000 00000000 00000000* -L0033024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000100* -L0033088 00000000 00000000 00000100 00000000 00000000 00000100 00000000 10010100* -L0033152 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00010100* -L0033216 00000000 00000000 01000000 00000000 00000000 00001000 00000000 00100000* -L0033280 00000000 00000000 01000000 00000000 00000000 00001000 00000000 00000000* -L0033344 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00100100* -L0033408 000001 000000 100000 000000 000000 000000 000000 000000* -L0033456 010001 000000 101100 000000 000000 000000 000000 110000* -L0033504 010001 000000 111101 000000 000000 000001 000000 000000* -L0033552 000001 000000 000000 000000 000000 000000 000000 000000* -L0033600 100000 000000 000100 000000 000000 100000 000000 001100* -L0033648 100000 000000 000000 000000 000000 000000 000000 011100* -L0033696 10000000 00000001 10001100 00000001 00000000 00000010 00000000 10000000* -L0033760 00000001 00000000 10100100 00000000 00000000 00000000 00000000 10010000* -L0033824 00001001 00000000 00100100 00000010 00000000 00010000 00000000 10010100* -L0033888 00000010 00000000 00000100 00000010 00000010 10000011 00000000 00111000* -L0033952 00000000 00000000 00001000 00000011 00000010 10000001 00000000 01101000* -L0034016 00100101 00000001 11000000 00000000 00000000 00000000 00000000 10100000* -L0034080 01001101 00000001 10000000 00000011 00000000 00000000 00000000 10000000* -L0034144 00001100 00000000 10000010 00000011 00000000 00000001 00000000 10100000* -L0034208 00000100 00000000 10000000 00000000 00000001 00001000 00000000 10000000* -L0034272 101100 000000 000100 000000 000000 000010 000000 101001* -L0034320 000000 000000 000000 000000 000000 000000 000000 000000* -L0034368 000010 000000 000000 000000 000000 100000 000000 010010* -L0034416 000010 000000 110100 000000 000000 100001 000000 011000* -L0034464 000010 000000 100000 000000 000000 000001 000000 010000* -L0034512 000010 000000 100100 000000 000000 000000 000000 000000* -L0034560 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0034624 00000000 00000000 01100000 00000000 00000000 00000000 00000000 00000000* -L0034688 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0034752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0034816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0034880 00000001 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0034944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035008 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0035072 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0035136 000000 000000 000000 000000 000000 000000 000000 000000* -L0035184 000000 000000 000000 000000 000000 000000 000000 000000* -L0035232 000000 000000 000000 000000 000000 000000 000000 000000* -L0035280 000000 000000 000000 000000 000000 000000 000000 000000* -L0035328 000000 000000 000000 000000 000000 000000 000000 000000* -L0035376 000000 000000 000000 000000 000000 000000 000000 000000* -L0035424 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* -L0035488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035552 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035680 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035744 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035808 00000000 00000000 00100100 00000000 00000000 00000000 00000000 00000000* -L0035872 00000000 00000000 00101000 00000000 00000000 00000000 00000000 00000000* -L0035936 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0036000 000000 000000 010000 000000 000000 000000 000000 000000* -L0036048 000000 000000 000000 000000 000000 000000 000000 000000* -L0036096 000000 000000 000000 000000 000000 000000 000000 000000* -L0036144 000000 000000 000000 000000 000000 000000 000000 000000* -L0036192 000000 000000 000001 000000 000000 000000 000000 000000* -L0036240 000000 000000 000000 000000 000000 000000 000000 000000* -L0036288 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0036352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0036416 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0036480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0036544 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0036608 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* -L0036672 00010000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0036736 00100000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0036800 01000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0036864 000000 000000 000000 000000 000000 000000 000000 000000* -L0036912 000000 000000 000000 000000 000000 000000 000000 000000* -L0036960 000000 000000 000000 000000 000000 000000 000000 000000* -L0037008 000000 000000 000000 000000 000000 000000 000000 000000* -L0037056 000000 000000 000000 000000 000000 000000 000000 000000* -L0037104 000000 000000 000000 000000 000000 000000 000000 000000* -L0037152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0037216 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0037280 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0037344 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0037408 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0037472 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0037536 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0037600 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0037664 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0037728 000001 000000 000000 000000 000000 000000 000000 000000* -L0037776 000001 000000 000000 000000 000000 000000 000000 000000* -L0037824 000001 000000 000000 000000 000000 000000 000000 000000* -L0037872 000001 000000 000000 000000 000000 000000 000000 000000* -L0037920 000000 000000 000000 000000 000000 000000 000000 000000* -L0037968 000000 000000 000000 000000 000000 000000 000000 000000* -L0038016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0038080 00000011 00000001 00000001 00000010 00000010 00000010 00000010 00000001* -L0038144 00000000 00000001 00000000 00000001 00000001 00000001 00000001 00000000* -L0038208 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0038272 00000011 00000001 00000010 00000000 00000000 00000000 00000000 00000010* -L0038336 00000000 00000000 00000001 00000011 00000011 00000011 00000000 00000000* -L0038400 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0038464 00000010 00000001 00000000 00000000 00000000 00000000 00000010 00000000* -L0038528 00000000 00000000 00000000 00000010 00000010 00000010 00000000 00000000* -L0038592 000000 000000 000000 000000 000000 000000 000000 000000* -L0038640 000000 000000 000000 000000 000000 000000 000000 000000* -L0038688 000000 000000 000000 000000 000000 000000 000000 000000* -L0038736 000000 000000 000000 000000 000000 000000 000000 000000* -L0038784 000000 000000 000000 000000 000000 000000 000000 000000* -L0038832 000000 000000 000000 000000 000000 000000 000000 000000* -L0038880 00000011 00000011 00000011 00000011 00000011 00000011 00000000 00000011* -L0038944 00000011 00000011 00000011 00000011 00000011 00000011 00000010 00000011* -L0039008 00000011 00000011 00000011 00000011 00000011 00000011 00000001 00000011* -L0039072 00000011 00000011 00000011 00000011 00000011 00000011 00000000 00000011* -L0039136 00000011 00000011 00000011 00000011 00000001 00000011 00000000 00000011* -L0039200 00000011 00000011 00000011 00000011 00000011 00000011 00000001 00000011* -L0039264 00000011 00000011 00000011 00000011 00000011 00000011 00000001 00000011* -L0039328 00000011 00000011 00000011 00000011 00000011 00000011 00000011 00000011* -L0039392 00000011 00000011 00000011 00000011 00000011 00000011 00000001 00000011* -L0039456 000000 000000 000000 000000 000000 000000 000000 000000* -L0039504 000000 000000 000000 000000 000000 000000 000000 000000* -L0039552 000000 000000 000000 000000 000000 000000 000000 000000* -L0039600 000000 000000 000000 000000 000000 000000 000000 000000* -L0039648 000000 000000 000000 000000 000000 000000 000000 000000* -L0039696 000000 000000 000000 000000 000000 000000 000000 000000* -L0039744 00000001 00000001 00000011 00000000 00000011 00000011 00000000 00000011* -L0039808 00000011 00000001 00000011 00000011 00000011 00000011 00000010 00000010* -L0039872 00000011 00000001 00000011 00000000 00000001 00000011 00000000 00000011* -L0039936 00000011 00000001 00000011 00000001 00000001 00000011 00000000 00000011* -L0040000 00000011 00000001 00000011 00000001 00000001 00000011 00000000 00000011* -L0040064 00000011 00000001 00000011 00000000 00000011 00000011 00000000 00000011* -L0040128 00000011 00000001 00000011 00000001 00000001 00000001 00000000 00000011* -L0040192 00000011 00000001 00000011 00000001 00000001 00000001 00000000 00000011* -L0040256 00000011 00000001 00000011 00000001 00000011 00000011 00000000 00000011* -L0040320 000000 000000 000000 000000 000000 000000 000000 000000* -L0040368 000000 000000 000000 000000 000000 000000 000000 000000* -L0040416 000000 000000 000000 000000 000000 000000 000000 000000* -L0040464 000000 000000 000000 000000 000000 000000 000000 000000* -L0040512 000000 000000 000000 000000 000000 000000 000000 000000* -L0040560 000000 000000 000000 000000 000000 000000 000000 000000* -L0040608 00000001 00000001 00000011 00000000 00000010 00000011 00000000 00000011* -L0040672 00000011 00000001 00000011 00000000 00000000 00000000 00000000 00000010* -L0040736 00000011 00000001 00000011 00000000 00000000 00000010 00000000 00000001* -L0040800 00000011 00000001 00000011 00000000 00000000 00000011 00000000 00000011* -L0040864 00000011 00000000 00000011 00000001 00000001 00000011 00000000 00000011* -L0040928 00000011 00000001 00000010 00000000 00000000 00000000 00000000 00000010* -L0040992 00000011 00000001 00000011 00000001 00000001 00000001 00000000 00000011* -L0041056 00000011 00000000 00000011 00000001 00000001 00000001 00000000 00000011* -L0041120 00000011 00000001 00000011 00000000 00000001 00000001 00000000 00000011* -L0041184 000000 000000 000000 000000 000000 000000 000000 000000* -L0041232 000000 000000 000000 000000 000000 000000 000000 000000* -L0041280 000000 000000 000000 000000 000000 010000 000000 000000* -L0041328 000000 000000 000000 000000 000000 000000 000000 000000* -L0041376 000000 000000 000000 000000 000000 000000 000000 000000* -L0041424 000000 000000 000000 000000 000000 000000 000000 000000* -L0041472 00000001 00000000 00000001 00000000 00000010 00000010 00000000 10000011* -L0041536 00000011 00000001 00000011 00000000 00000000 10000001 00000000 00000010* -L0041600 00000011 00000001 00000011 00000000 00000000 10000010 00000000 00000001* -L0041664 00000011 00000000 00000011 00000000 00000000 10000011 00000000 00000011* -L0041728 00000010 00000000 00000011 00000000 00000001 10000011 00000000 00000011* -L0041792 00000011 00000000 00000000 00000000 00000000 00000000 00000000 00000010* -L0041856 00000011 00000001 00000001 00000000 00000001 00001011 00000000 00000011* -L0041920 00000011 00000000 00000011 00000000 00000011 00001011 00000000 00000011* -L0041984 00000010 00000001 00000011 00000001 00000001 00001001 00000000 00000111* -L0042048 000000 000000 000000 000000 000000 000010 000000 000000* -L0042096 000000 000000 000000 000000 000000 000000 000000 010000* -L0042144 000000 000000 000000 000000 000000 000000 000000 010000* -L0042192 000000 000000 000000 000000 000000 000000 000000 000000* -L0042240 000000 000000 000000 000000 000000 000000 000000 000000* -L0042288 000000 000000 000000 000000 000000 000000 000000 000000* -L0042336 00000001 00000000 00000001 00000000 00000010 10000010 00000000 00000011* -L0042400 00000011 00000000 00000011 00000000 10000100 01000000 00000000 00000010* -L0042464 00000011 00000000 00000011 00000000 00000000 01000010 00000000 00000001* -L0042528 00000010 00000000 00000011 00000000 00000000 01000010 00000000 00000011* -L0042592 00000010 00000000 00000011 00000000 00000000 01000001 00000000 00000011* -L0042656 00000011 00000000 00000000 00000000 00000000 00000000 00000000 00000010* -L0042720 00000011 00000000 00000001 00000000 00000001 00000001 00000000 00000011* -L0042784 00000011 00000000 00000011 00000000 00000101 00000001 00000000 00000011* -L0042848 00000010 00000001 00000011 00000000 00000001 00000001 00000001 00000011* -L0042912 000000 000000 000000 000000 000000 000000 000000 000000* -L0042960 000000 000000 000000 000000 000000 000000 000000 000000* -L0043008 000000 000000 000000 000000 000001 000000 000000 000000* -L0043056 000000 000000 000000 000000 000000 000000 000000 000000* -L0043104 000000 000000 000000 000000 000000 000000 000000 000000* -L0043152 000000 000000 000000 000000 000000 000000 000000 000000* -L0043200 00000000 00000010 00000000 00000000 00000000 00000010 00000000 00000010* -L0043264 00000011 00000010 00000011 00000010 00000000 00000001 00000000 00100101* -L0043328 00000000 00000000 00000010 00000010 00000010 00000010 00000000 00100000* -L0043392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0043456 00000010 00000000 00000010 00000000 00000010 00000010 00000000 00000100* -L0043520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0043584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* -L0043648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* -L0043712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* -L0043776 000000 000000 000000 000000 000000 000000 000000 001000* -L0043824 000000 000000 000000 000000 000000 000000 000000 000000* -L0043872 000000 000000 000000 000000 000000 000000 000000 000000* -L0043920 000000 000000 000000 000000 000000 000000 000000 000000* -L0043968 000000 000000 000000 000000 000000 000000 000000 000000* -L0044016 000000 000000 000000 000000 000000 000000 000000 001000* -L0044064 00000000 00000000 00000010 00000000 00000000 00000000 00000000 00100100* -L0044128 00000001 00000000 00000000 00000001 00000000 00000001 00000000 00000000* -L0044192 00000011 00000000 00000010 00000011 00000010 00000011 00000000 00000110* -L0044256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* -L0044320 00000001 00000000 00000000 00000001 00000010 00000001 00000000 00100000* -L0044384 00000000 00000000 00000000 00000000 10000000 10000000 00000000 10100000* -L0044448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* -L0044512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0044576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* -L0044640 000000 000000 000000 000000 000000 000000 000000 100000* -L0044688 000000 000000 000000 000000 000000 000000 000000 000000* -L0044736 000000 000000 000000 000000 000000 000000 000000 100000* -L0044784 000000 000000 000000 000000 000000 000000 000000 001000* -L0044832 000000 000000 000000 000000 000000 000000 000000 001000* -L0044880 000100 000000 000000 000000 000000 000000 000000 000000* -L0044928 00000010 00000000 00000010 00000000 00000000 00000010 00000000 00000000* -L0044992 00000000 00000000 00000000 00000011 00000001 00000000 00000010 00000010* -L0045056 00000010 00000000 00000010 00000000 00000010 00000010 00000010 00000000* -L0045120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0045184 00000000 00000000 00000010 00000011 00000011 00000010 00000010 00000010* -L0045248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0045312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0045376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0045440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0045504 000000 000000 000000 000000 000000 000000 000000 000000* -L0045552 000000 000000 000000 000000 000000 000000 000000 000000* -L0045600 000000 000000 000000 000000 000000 000000 000000 000000* -L0045648 000000 000000 000000 000000 000000 000000 000000 000000* -L0045696 000000 000000 000000 000000 000000 000000 000000 000000* -L0045744 000000 000000 000000 000000 000000 000000 000000 000000* -L0045792 00000001 00000000 00000010 00000000 00000010 00000011 00000000 00000011* -L0045856 00000000 00000001 00000000 00000010 00000000 00000000 00000000 00000000* -L0045920 00000000 00000010 00000011 00000000 00000001 00000000 00000001 00000000* -L0045984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0046048 00000010 00000011 00000010 00000010 00000010 00000010 00000000 00000000* -L0046112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0046176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0046240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0046304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0046368 000000 000000 000000 000000 000000 000000 000000 000000* -L0046416 000000 000000 000000 000000 000000 000000 000000 000000* -L0046464 000000 000000 000000 000000 000000 000000 000000 000000* -L0046512 000000 000000 000000 000000 000000 000000 000000 000000* -L0046560 000000 000000 000000 000000 000000 000000 000000 000000* -L0046608 000000 000000 000000 000000 000000 000000 000000 000000* -L0046656 10000010 00000000 00100000 00000010 00000010 00000010 00000000 10100100* -L0046720 00010000 00000010 00100010 00000000 00000000 10010000 00000010 00100110* -L0046784 01011001 00000010 00110010 00000010 00000010 10010010 00000000 00101110* -L0046848 00110000 00000000 00000000 00000000 00000000 10000000 00000000 00000100* -L0046912 01010001 00000000 00001010 00000010 00000000 10000110 00000000 00000110* -L0046976 00110000 00000000 10000000 00000000 00000000 00000000 00000000 10101000* -L0047040 00111000 00000000 10000000 00000000 00000000 00001000 00000000 10101000* -L0047104 11111000 00000000 00010000 00000000 00000000 00001000 00000000 10101000* -L0047168 11110000 00000000 00110000 00000000 00000000 00001000 00000000 00101100* -L0047232 111100 000000 001100 000000 000000 000010 000000 001010* -L0047280 010000 000000 101100 000000 000000 000000 000000 010010* -L0047328 010000 000000 111100 000000 000000 000001 000000 110010* -L0047376 010000 000000 011000 000000 000000 000001 000000 001000* -L0047424 111000 000000 001000 000000 000000 000001 000000 001100* -L0047472 111000 000000 001100 000000 000000 000000 000000 001100* -L0047520 00000001 00000000 00000011 00000000 00000010 01000001 00000000 01010011* -L0047584 00000001 00000001 00000001 00000000 00000010 00000001 00000000 00001001* -L0047648 00000101 00000000 01000011 00000000 00000010 00000001 00000000 00000011* -L0047712 00000100 00000000 01000000 00000000 00000000 00000000 00000000 01100000* -L0047776 00000101 00000001 01000001 00000000 00000000 00000001 00000000 00100001* -L0047840 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0047904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0047968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0048032 00000000 00000000 00001100 00000000 00000000 00000000 00000000 10000000* -L0048096 000000 000000 000011 000000 000000 000000 000000 100000* -L0048144 000010 000000 000001 000000 000000 000000 000000 000100* -L0048192 000000 000000 000000 000000 000000 000000 000000 000000* -L0048240 000000 000000 000000 000000 000000 000000 000000 000000* -L0048288 000000 000000 000000 000000 000000 000000 000000 000001* -L0048336 000000 000000 000000 000000 000000 000000 000000 000000* -L0048384 00010111 00000000 00000010 00000011 00000000 00000100 00000000 00000000* -L0048448 00010101 00000000 00000000 00000000 00000000 00000011 00000000 00000010* -L0048512 00010110 00000000 00000010 00000011 00000011 00000011 00000000 00000000* -L0048576 00010100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0048640 00010101 00000010 00000001 00000011 00000010 00000011 00000000 00000011* -L0048704 00010100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0048768 00010100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0048832 00010100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0048896 00010100 00000000 00000000 00000000 00000000 00010000 00000000 00000000* -L0048960 000101 000000 000000 000000 000000 000000 000000 000000* -L0049008 100011 000000 000000 000000 000000 100000 000000 000000* -L0049056 000011 000000 000000 000000 000000 000000 000000 000000* -L0049104 001011 000000 000000 000000 000000 000000 000000 000000* -L0049152 001010 000000 000000 000001 000000 000000 000000 000000* -L0049200 000010 000000 000000 000000 000000 000000 000000 000000* -L0049248 00100000 00000000 00000010 00000010 00000000 00000000 00000000 00000010* -L0049312 00100010 00000000 00000000 00000000 00000000 00000010 00000010 00000010* -L0049376 00000010 00000000 00000000 00000010 00000010 00000010 00000010 00000010* -L0049440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0049504 00000010 00000000 00000010 00000010 00000010 00000010 00000010 00000010* -L0049568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0049632 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* -L0049696 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0049760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0049824 000000 000000 000000 000000 000000 000000 000000 000000* -L0049872 001000 000000 000000 000000 000000 000000 000000 000000* -L0049920 001000 000000 000000 000000 000000 000000 000000 000000* -L0049968 000000 000000 000000 000000 000000 000000 000000 000000* -L0050016 000000 000000 000000 000000 000000 000000 000000 000000* -L0050064 000000 000000 000000 000000 000000 000000 000000 000000* -L0050112 00000001 00000000 00000010 00000000 00000001 00000000 00000000 00000010* -L0050176 00000000 00000000 00000001 00001100 00000000 00000000 00000000 00000010* -L0050240 00000001 00000000 00000001 00000101 00000011 00000000 00000000 00000010* -L0050304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0050368 00000010 00000000 00000001 00000010 00000010 00000010 00000000 00000010* -L0050432 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0050496 00000000 00000000 00000000 00001100 00000000 00000000 00000000 00000000* -L0050560 00000000 00000000 00000000 00001100 00000000 00000000 00000000 00000000* -L0050624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0050688 000000 000000 000000 000000 000000 000000 000000 000000* -L0050736 000000 000000 000000 000000 000000 000000 000000 000000* -L0050784 000000 000000 000000 000000 000000 000000 000000 000000* -L0050832 000000 000000 000000 000000 000000 000000 000000 000000* -L0050880 000000 000000 000000 000000 000000 000000 000000 000000* -L0050928 000000 000000 000000 000000 000000 000000 000000 000000* -L0050976 00000000 00000000 00000010 01110010 01000000 00000010 00000000 00000000* -L0051040 00000000 00000000 00000010 00000000 00000010 00000001 00000010 00000010* -L0051104 00000010 00000000 00000000 00000010 00000000 00000000 00000010 00000010* -L0051168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0051232 00000010 00000000 00000000 00000010 00000010 00000010 00000000 00000010* -L0051296 00000000 00000000 00000000 01100000 00000000 00000000 00000000 00000000* -L0051360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0051424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0051488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0051552 000000 000000 000000 000000 000000 000000 000000 000000* -L0051600 000000 000000 000000 100000 000000 000000 000000 000000* -L0051648 000000 000000 000000 000000 000000 000000 000000 000000* -L0051696 000000 000000 000000 000000 000000 000000 000000 000000* -L0051744 000000 000000 000000 000000 000000 000000 000000 000000* -L0051792 000000 000000 000000 000000 000000 000000 000000 000000* -L0051840 00000000 00000000 00001101 00000011 00000001 00000000 00000000 00000011* -L0051904 00000000 00000010 00101000 00000000 00000010 00000000 00000010 00000000* -L0051968 00000000 00000011 01001101 00000011 00000001 00000010 00000000 00000011* -L0052032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0052096 00000010 00000011 00001100 00000011 00000010 00000000 00000010 00000010* -L0052160 00010000 00001000 10000000 00000000 00000000 00000000 00000000 00000000* -L0052224 00100000 00010100 01100000 00000000 00000000 00000000 00000000 00001000* -L0052288 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0052352 00000000 00010000 00101100 00000000 00000000 00000000 00000000 00000000* -L0052416 000000 000000 000000 000000 000000 000000 000000 000000* -L0052464 000000 000000 100100 000000 100000 000000 000100 000000* -L0052512 000000 000100 010000 000000 000000 000000 000000 000000* -L0052560 000000 000000 110000 000000 000000 000000 000000 000000* -L0052608 000000 000100 000000 000000 000000 000000 000000 000000* -L0052656 000000 000000 100000 000000 000000 000000 000000 000000* -L0052704 00000000 00000000 00000001 00000001 00100000 00000010 00000000 00100101* -L0052768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100101* -L0052832 00000000 00000001 00000000 00000000 00000000 00000000 00000000 10110101* -L0052896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10110100* -L0052960 00000000 00000001 00010001 00000011 00000000 00000000 00000000 10110111* -L0053024 00000100 00000000 00100000 00000000 00000000 00000000 00000000 10110000* -L0053088 00000100 00000000 00000000 00000000 00000000 00000000 00000000 10100000* -L0053152 00000100 00000000 00000000 00000000 00000000 00000000 00000000 10100000* -L0053216 00000100 00000000 01000000 00000000 00000000 00000000 00000000 10100000* -L0053280 000001 000000 010000 000000 000000 000000 000000 101000* -L0053328 000001 000000 000000 000000 000000 000000 000000 100000* -L0053376 000001 000000 000000 000000 000000 000100 000000 100000* -L0053424 000001 000000 000000 000000 000000 000000 000000 011000* -L0053472 000000 000000 000000 000000 000000 000000 000000 011000* -L0053520 000000 000000 000001 000000 000000 000000 000000 011000* -L0053568 00000000 00000000 00000010 00000011 00000000 00000010 00000000 00000001* -L0053632 00000001 00000000 00000001 00000000 00000001 00000001 00000010 00000000* -L0053696 00000010 00000000 00000010 00000011 00000000 00000000 00000000 00000001* -L0053760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0053824 00000011 00000001 00000011 00000010 00000001 00000011 00000010 00000010* -L0053888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0053952 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0054016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0054080 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0054144 000000 000000 000000 000000 000000 000000 000000 000000* -L0054192 000000 000000 000000 000000 000000 000000 000000 000000* -L0054240 000000 000000 000000 000000 000000 000000 000000 000000* -L0054288 000000 000000 000000 000000 000000 000000 000000 000000* -L0054336 000100 000000 000000 000000 000000 000000 000000 000000* -L0054384 000100 000000 000000 000000 000000 000000 000000 000000* -L0054432 00000000 00000000 00000001 00000010 00000000 00000000 00000000 00000010* -L0054496 00000000 00000000 00000000 00000000 00000010 00000011 00000010 00000010* -L0054560 00000000 00000001 00000001 00000010 00000000 00000011 00000000 00000000* -L0054624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0054688 00000000 00000001 00000001 00000010 00000010 00000011 00000010 00000000* -L0054752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0054816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0054880 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0054944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0055008 000000 000000 000000 000000 000000 000000 000000 000000* -L0055056 000000 000000 000000 000000 000000 000000 000000 000000* -L0055104 000000 000000 000000 000000 000000 000000 000000 000000* -L0055152 000000 000000 000000 000000 000000 000000 000000 000000* -L0055200 000000 000000 000000 000000 000000 000000 000000 000000* -L0055248 000000 000000 000000 000000 000000 000000 000000 000000* -L0055296 00000000 00000000 00000000 00000000 00000011 00000001 00000000 00000001* -L0055360 00000000 00000000 00000010 00000011 00000000 00000001 00000000 00001011* -L0055424 00000110 00000000 00000010 00000011 00000011 00000000 00000000 00001010* -L0055488 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00001000* -L0055552 00000111 00000000 00000010 00000010 00000000 00000010 00000000 00001010* -L0055616 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00001000* -L0055680 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00001000* -L0055744 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00001000* -L0055808 00000100 00010000 00000000 00000000 00000000 00000000 00000000 00001000* -L0055872 000001 000000 000000 000000 000000 000000 000000 000010* -L0055920 000011 000000 000000 000000 000000 000000 000000 000110* -L0055968 000011 000000 000000 000000 000000 000000 000000 000110* -L0056016 000011 000000 000000 000000 000000 000000 000000 000100* -L0056064 000010 000100 000000 000000 000000 000000 000000 000100* -L0056112 000010 000000 000000 000000 000000 000000 000000 000100* -L0056160 00000001 00000000 00000000 00000011 00000000 00000001 00000000 00000010* -L0056224 01000000 00000000 00010001 00000000 00000010 00001010 00000010 00000001* -L0056288 00100000 00000010 00000001 00000011 00000000 00001011 00000000 00000011* -L0056352 00001000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* -L0056416 00000010 00000010 00000001 00000011 00000010 00000011 00000010 00000011* -L0056480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0056544 00000000 00001000 00000000 00000000 00000000 00000000 00000000 00000000* -L0056608 00000000 00010000 00000000 00000000 00000000 00000000 00000000 00000000* -L0056672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0056736 000000 000000 000000 000000 000000 000000 000000 000000* -L0056784 000000 000000 000000 000000 000000 000000 000000 000000* -L0056832 000100 000000 000000 000000 000000 000000 000000 000000* -L0056880 001100 000100 000000 000000 000000 000000 000000 000000* -L0056928 000000 000000 000000 000000 000000 000000 000000 000000* -L0056976 000000 000000 000000 000000 000000 000000 000000 000000* -L0057024 00000000 00000010 00000010 00000000 00000000 01000000 00000000 00000010* -L0057088 00000010 00000011 00000000 00001100 00000000 11000111 00000010 00000001* -L0057152 00000000 00000000 00000000 00000100 00000000 11000111 00000010 00000011* -L0057216 00000000 00000000 00010000 00000000 00000000 11000100 00000000 00000000* -L0057280 00000010 00000011 00000010 00000000 00000000 11000111 00000000 00000011* -L0057344 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0057408 00000000 00000000 00000000 00001000 00000000 00001100 00000000 00000000* -L0057472 00000000 00000000 00000000 00001000 00000000 00001100 00000000 00000000* -L0057536 00000000 00000000 00000000 00000000 00000000 00001100 00000000 00000000* -L0057600 000000 000000 000000 000000 000000 000011 000000 000000* -L0057648 000000 000000 000000 000000 000000 000000 000000 000000* -L0057696 000000 000000 000000 000000 000000 100001 000000 000000* -L0057744 000000 000000 000000 000000 000000 100001 000000 000000* -L0057792 000000 000000 000000 000000 000000 100001 000000 000000* -L0057840 000000 000000 000000 000000 000000 100000 000000 000000* -L0057888 00000001 00000010 00000000 00000000 00000000 00000000 00000010 00000011* -L0057952 00000000 00000000 00000010 00000010 00000010 00000010 00000010 00001010* -L0058016 00000011 00000010 00000010 00000010 00000000 00000000 00000010 00000001* -L0058080 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* -L0058144 00000011 00000010 00000010 00000010 00000010 00000010 00000010 00000010* -L0058208 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* -L0058272 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0058336 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* -L0058400 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* -L0058464 000000 000000 000000 000000 000000 000000 000000 000000* -L0058512 000000 000000 000000 000000 000000 000000 000000 000000* -L0058560 000000 000000 000000 000000 000000 000000 000000 000100* -L0058608 000000 000000 000000 000000 000000 000000 000000 000000* -L0058656 000000 000000 000000 000000 000000 000000 000000 000000* -L0058704 000100 000000 000000 000000 000000 000000 000000 000000* -L0058752 00000000 00000000 00000010 00000000 00000000 00000000 00000000 00000010* -L0058816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0058880 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0058944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0059008 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0059072 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0059136 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0059200 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0059264 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0059328 000000 000000 000000 000000 000000 000000 000000 000000* -L0059376 000000 000000 000000 000000 000000 000000 000000 000000* -L0059424 000000 000000 000000 000000 000000 000000 000000 000000* -L0059472 000000 000000 000000 000000 000000 000000 000000 000000* -L0059520 000000 000000 000000 000000 000000 000000 000000 000000* -L0059568 000000 000000 000000 000000 000000 000000 000000 000000* -L0059616 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000001* -L0059680 00000011 00000000 00000010 00000000 00000010 00000010 00000000 00000010* -L0059744 00000000 00000000 00000000 00000000 00000010 00000000 00000000 00000000* -L0059808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0059872 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0059936 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0060000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0060064 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0060128 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0060192 000000 000000 000000 000000 000000 000000 000000 000000* -L0060240 000000 000000 000000 000000 000000 000000 000000 000000* -L0060288 000000 000000 000000 000000 000000 000000 000000 000000* -L0060336 000000 000000 000000 000000 000000 000000 000000 000000* -L0060384 000000 000000 000000 000000 000000 000000 000000 000000* -L0060432 000000 000000 000000 000000 000000 000000 000000 000000* -L0060480 00000001 00000000 01100001 00000000 00000001 00000000 00000000 00000001* -L0060544 00000000 00000000 00100010 00000000 00000000 00000001 00000000 00000000* -L0060608 00000001 00000001 01100011 00000000 00000000 00000000 00000000 00000001* -L0060672 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* -L0060736 00000000 00000001 01000010 00000000 00000001 00000001 00000000 00000000* -L0060800 00000000 00000000 11000000 00000000 00000000 00000000 00000000 00000000* -L0060864 00000000 00000000 11001000 00000000 00000000 00000000 00000000 00000000* -L0060928 00000000 00000000 11000100 00000000 00000000 00000000 00000000 00000000* -L0060992 10110000 00000000 11100000 00000000 00000000 00000000 00000000 00000000* -L0061056 000000 000000 100000 000000 000000 000000 000000 000000* -L0061104 000000 000000 100000 000000 000000 000000 000000 000000* -L0061152 000000 000000 100000 000000 000000 000000 000000 000000* -L0061200 000000 000000 100000 000000 000000 000000 000000 000000* -L0061248 000000 000000 100000 000000 000000 000000 000000 000000* -L0061296 000000 000000 100000 000000 000000 000000 000000 000000* -L0061344 00000010 00000000 00000011 00000000 00000000 00000000 00000000 00000000* -L0061408 00000001 00000000 01000000 00000001 00000000 00000001 00000000 00000000* -L0061472 00000001 00000000 00000000 00000000 00000001 00000001 00000000 00000001* -L0061536 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0061600 00000001 00000000 00000000 00000001 00000001 00000001 00000000 00000000* -L0061664 00000000 00000000 00001100 10000000 00000000 00000000 00000000 00000000* -L0061728 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0061792 00000100 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0061856 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0061920 000000 000000 000000 000000 000000 000000 000000 000000* -L0061968 000000 000000 000000 000000 010000 000000 000000 000000* -L0062016 000001 000000 000000 000000 000000 000000 000000 000000* -L0062064 000001 000000 000000 000000 000000 000000 000000 000000* -L0062112 000000 000000 000001 000000 000000 000000 000000 000000* -L0062160 000000 000000 000000 000000 000000 000000 000000 000000* -L0062208 00000000 00000000 00000000 00000001 00000000 00000001 00000000 00000001* -L0062272 00000000 00000000 00000001 00000000 00000001 00000000 00000000 00000001* -L0062336 00000000 00000000 00000001 00000000 00000000 00000001 00000000 00000001* -L0062400 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0062464 00000001 00000000 00000001 00000001 00000001 00000001 00000000 00000001* -L0062528 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0062592 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0062656 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0062720 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0062784 000000 000000 000000 000000 000000 000000 000000 000000* -L0062832 000000 000000 000000 000000 000000 000000 000000 000000* -L0062880 000000 000000 000000 000000 000000 000000 000000 000000* -L0062928 000000 000000 000000 000000 000000 000000 000000 000000* -L0062976 000000 000000 000000 000000 000000 000000 000000 000000* -L0063024 000000 000000 000000 000000 000000 000000 000000 000000* -L0063072 00000001 00000000 00000000 00000000 00000001 00000001 00000000 00000000* -L0063136 00000000 00000000 00000000 00000000 00000000 00000011 00000000 00000000* -L0063200 00000001 00000000 00000000 00000000 00000001 00000000 00000000 00000000* -L0063264 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0063328 00000001 00000001 00000000 00000000 00000000 00000000 00000000 00000000* -L0063392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0063456 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* -L0063520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0063584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0063648 000000 000000 000000 000000 000000 000000 000000 000000* -L0063696 000000 000000 000000 000000 000000 000000 000000 000000* -L0063744 000000 000000 000000 000000 000000 000000 000000 000000* -L0063792 000000 000000 000000 000000 000000 000000 000000 000000* -L0063840 000000 000000 000000 000000 000000 000000 000000 000000* -L0063888 000000 000000 000000 000000 000000 000000 000000 000000* -L0063936 00000000 00000000 00000001 00000000 00000000 00000001 00000000 00000011* -L0064000 00000000 00001000 00000000 00000000 00000000 00000010 00000000 00100100* -L0064064 00000000 00000000 00000000 00000000 00000010 00000010 00000000 00100000* -L0064128 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0064192 00000000 00000000 00000000 00000000 00000010 00000010 00000000 00000110* -L0064256 00000000 01000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0064320 00000000 00000000 00000000 00000100 00000000 00000000 00000000 10000000* -L0064384 00000000 00000000 00000000 00000100 00000000 00000000 00000000 10100000* -L0064448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* -L0064512 000000 000000 001000 000000 000000 000000 000000 001000* -L0064560 000000 000001 000000 000000 000000 000000 000000 000010* -L0064608 000000 000010 000000 000000 000000 000000 000000 000000* -L0064656 000000 000010 000000 000000 000000 000000 000000 000000* -L0064704 000000 000000 000000 000000 000000 000000 000000 000000* -L0064752 000000 000000 000000 000000 000000 000000 000000 001000* -L0064800 00000010 00001001 00000001 00000000 00000000 00000010 00000000 00100100* -L0064864 00000010 00010100 00000000 00000000 00000000 00000000 00000000 10000000* -L0064928 00000000 00010101 00000001 00000001 00000010 00000000 00000000 00010110* -L0064992 00000000 00000100 00000000 00000000 00000000 00000000 00000000 10100100* -L0065056 00000011 00000000 00000001 00000000 00000000 00000000 00000000 00110000* -L0065120 00000000 00000000 00000000 00000100 00000000 00000000 00000000 10100000* -L0065184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* -L0065248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0065312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* -L0065376 000000 000000 000000 000000 000000 000000 000000 100000* -L0065424 000000 000010 000000 000000 001000 000000 000000 000000* -L0065472 000000 000000 000000 000000 000000 000000 000000 100000* -L0065520 000000 000000 000000 000000 000000 000000 000000 011000* -L0065568 000000 000001 000000 000000 000000 000000 000000 001000* -L0065616 000000 000000 000000 000000 000000 000000 000000 010000* -L0065664 00000001 00000000 00000000 00000001 00000001 00000000 00000000 00000000* -L0065728 00000000 00000001 00000000 00000000 00000000 00000000 00000000 00000000* -L0065792 00000001 00000000 00000000 00000000 00000001 00000000 00000000 00000000* -L0065856 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* -L0065920 00000000 00000001 00000000 00000001 00000000 00000000 00000000 00000000* -L0065984 00000000 01000000 00010000 10000000 00000000 00000000 00000000 00000000* -L0066048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066240 000000 000000 000000 000000 000000 000000 000000 000000* -L0066288 000000 000000 000000 000000 000000 000000 000000 000000* -L0066336 000000 000010 000000 000000 000000 000000 000000 000000* -L0066384 000000 000010 000000 000000 000000 000000 000000 000000* -L0066432 000000 000000 000000 000000 000000 000000 000000 000000* -L0066480 000000 000001 000000 000000 000000 000000 000000 000000* -L0066528 00000000 00010000 00000000 00000000 00000000 00000000 00000000 01100000* -L0066592 00000000 00000100 00000000 00000000 00000000 00000000 00000000 01100000* -L0066656 00000000 00000100 00000000 00000000 00000000 00000000 00000000 01100000* -L0066720 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* -L0066784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* -L0066848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066912 00000000 00000000 00010000 10000000 00000000 00000000 00000000 01000000* -L0066976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* -L0067040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* -L0067104 000000 000000 000000 000000 000000 000000 000000 010000* -L0067152 000000 000000 000000 000000 000000 000000 000000 110000* -L0067200 000000 000000 000000 000000 000000 000000 000000 110000* -L0067248 000000 000000 000000 000000 000000 000000 000000 010000* -L0067296 000000 000000 000000 000000 000000 000000 000000 010000* -L0067344 000000 000000 000000 000000 000000 000000 000000 010000* -L0067392 00011010 00000010 00000010 00000010 00100010 10011010 00000010 00100110* -L0067456 00000010 00000000 00000010 00000000 00000010 00000110 00000000 10100110* -L0067520 00000000 00000000 00000000 00000000 00000000 00000100 00000000 10110100* -L0067584 00000000 00000000 00000000 00000000 00000000 00000100 00000000 10010100* -L0067648 00100000 00000000 00010000 00000000 00000000 00000000 00000000 10010100* -L0067712 00000000 01000000 00100000 00000000 00000000 00000000 00000000 10110000* -L0067776 00000010 00000010 00000010 00000010 00000010 00000010 00000010 10100010* -L0067840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* -L0067904 00000000 00000000 01000000 00000000 00000000 00100000 00000000 00100000* -L0067968 000000 000000 010000 000000 000000 000000 000000 001000* -L0068016 000100 000000 000000 001110 000000 000000 000000 100000* -L0068064 100000 000000 000000 000000 000000 000000 000000 100000* -L0068112 000000 000000 000000 000000 000000 000000 000000 011000* -L0068160 000000 000000 000000 000000 000000 000000 000000 011000* -L0068208 000000 000000 000001 000000 000000 100001 000000 011000* -L0068256 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0068320 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0068384 00000000 00000000 00100000 00000000 00000000 10000000 00000000 00000000* -L0068448 00001000 00000000 01001100 00000000 00000000 00001000 00000000 00000000* -L0068512 00000100 00000000 00000000 00000000 00000000 10000000 00000000 00000000* -L0068576 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* -L0068640 00000100 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0068704 00001000 00000000 01100000 00000000 00000000 00001000 00000000 00000000* -L0068768 00000100 00000000 00000000 00000000 00000000 01000000 00000000 00000000* -L0068832 000000 000000 000011 000000 000000 000010 000000 000010* -L0068880 000011 000000 000000 010000 000000 000000 000000 000000* -L0068928 000000 000000 100101 000000 000000 000001 000000 000000* -L0068976 000001 000000 000100 000000 000000 000000 000000 000000* -L0069024 000010 000000 100100 000000 000000 000001 000000 000000* -L0069072 000010 000000 000100 000000 000000 000000 000000 000000* -L0069120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0069184 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* -L0069248 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* -L0069312 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* -L0069376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0069440 00000000 01000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0069504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0069568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0069632 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0069696 000000 000000 000000 000000 000000 000000 000000 000000* -L0069744 000000 000001 000000 000000 000000 000000 000000 000000* -L0069792 000000 000011 000000 000000 000000 000000 000000 000000* -L0069840 000000 000010 000000 000000 000000 000000 000000 000000* -L0069888 000000 000000 000000 000000 000000 000000 000000 000000* -L0069936 000000 000001 000000 000000 000000 000000 000000 000000* -L0069984 00000000 00000000 10100000 00000000 00000000 00000000 00000000 00000000* -L0070048 00000000 00010100 10100000 00000000 00000000 00000000 00000000 00000000* -L0070112 00000000 00010100 10100000 00000000 00000000 00000000 00000000 00000000* -L0070176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070240 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* -L0070304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070368 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0070432 00000000 00000000 10100000 00000000 00000000 00000000 00000000 00000000* -L0070496 00000000 00000000 11100000 00000000 00000000 00000000 00000000 00000000* -L0070560 000000 000000 110000 000000 000000 000000 000000 000000* -L0070608 000000 000000 000001 000000 000000 000000 000000 000000* -L0070656 000000 000000 000010 000000 000000 000000 000000 000000* -L0070704 000000 000000 000001 000000 000000 000000 000000 000000* -L0070752 000000 000001 000001 000000 000000 000000 000000 000000* -L0070800 000000 000000 000001 000000 000000 000000 000000 000000* -L0070848 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071040 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071232 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071424 000000 000000 000000 000000 000000 000000 000000 000000* -L0071472 000000 000000 000000 000000 000000 000000 000000 000000* -L0071520 000000 000000 000000 000000 000000 000000 000000 000000* -L0071568 000100 000000 000000 000000 000000 000000 000000 000000* -L0071616 000000 000000 000000 000000 000000 000000 000000 000000* -L0071664 000000 000000 000000 000000 000000 000000 000000 000000* -L0071712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071776 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* -L0071904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* -L0071968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* -L0072032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0072096 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* -L0072160 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* -L0072224 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* -L0072288 000000 000000 000000 000000 000000 000000 000000 101000* -L0072336 000100 000000 000000 000000 000000 001000 000000 000000* -L0072384 000100 000000 000000 000000 010000 000000 000000 100000* -L0072432 000000 000000 000000 000000 000000 000000 000000 001000* -L0072480 000000 000000 000000 000000 000000 000000 000000 001000* -L0072528 000000 000000 000000 000000 000000 000000 000000 001000* -L0072576 10000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* -L0072640 00010000 00000000 00101000 00001100 00000000 00000000 00000000 10000000* -L0072704 00001000 00000000 10101000 00000100 00000000 00000100 00000000 10010100* -L0072768 00000000 00000000 00001000 00000000 00000000 01000000 00000000 00010000* -L0072832 00000000 00000000 00001100 00000000 00000000 00000000 00000000 00000000* -L0072896 00100000 00000000 10000000 00000000 00000000 00000000 00000000 10100000* -L0072960 01001000 00000000 11000000 00001100 00000000 00000000 00000000 10000000* -L0073024 00001000 00000000 01000000 00001100 00000000 00000000 00000000 10100000* -L0073088 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0073152 000000 000000 100000 000000 000000 000000 000000 001000* -L0073200 010000 000000 000000 000000 000000 000000 000000 000000* -L0073248 000010 000000 001001 000000 000000 000000 000000 010000* -L0073296 000010 000000 010000 000000 000000 000000 000000 011000* -L0073344 100010 000000 000100 000000 000000 000000 000000 010000* -L0073392 001010 000000 000100 000000 000000 000000 000000 000100* -L0073440 00000000 00000000 00101000 00000000 00000000 00000000 00000000 00000100* -L0073504 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000100* -L0073568 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0073632 00010000 00000000 00000100 00000000 00000000 00000000 00000000 10000100* -L0073696 01010000 00000000 00000000 00000000 00000000 00000000 00000000 10010100* -L0073760 00011000 00000000 01000000 00000000 00000000 00000000 00000000 00010000* -L0073824 00110000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* -L0073888 11110000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* -L0073952 11110000 00000000 10100000 00000000 00000000 01000000 00000000 00100100* -L0074016 111101 000000 000100 000000 000000 000000 000000 000000* -L0074064 000001 000000 100100 100000 000000 000000 000000 110000* -L0074112 000001 000000 110100 000000 000000 000000 000000 000010* -L0074160 010001 000000 000100 000000 000000 000000 000000 000000* -L0074208 010000 000000 000000 000000 000000 000000 000000 001000* -L0074256 010000 000000 100000 000000 000000 000001 000000 011000* -L0074304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* -L0074368 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10010000* -L0074432 00000000 00000000 00000000 00000000 00000000 00001000 00000000 10010000* -L0074496 00000000 00000000 00000000 00000000 00000000 00001000 00000000 11010000* -L0074560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11010000* -L0074624 00000000 00001000 00000000 00000000 00000000 00000000 00000000 00010000* -L0074688 00000000 00011100 00000000 00000000 00000000 00000000 00000000 00000000* -L0074752 00000000 00010000 00000000 00000000 00000000 00000000 00000000 00000100* -L0074816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0074880 000000 000000 000000 000000 000000 000000 000000 000001* -L0074928 000000 000000 000000 000000 000000 000000 000000 100000* -L0074976 000000 000100 000000 000000 000000 000000 000000 000000* -L0075024 000000 000100 000000 000000 000000 000000 000000 010000* -L0075072 000000 000000 000000 000000 000000 000000 000000 010000* -L0075120 000000 000000 000000 000000 000000 000000 000000 010000* -L0075168 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* -L0075232 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* -L0075296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0075360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0075424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* -L0075488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0075552 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0075616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0075680 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0075744 000000 000000 000000 000000 000000 000000 000000 100000* -L0075792 000000 000000 000000 000000 000000 000000 000000 000000* -L0075840 000000 000000 000000 000000 000000 000000 000000 000000* -L0075888 000000 000000 000000 000000 000000 000000 000000 000000* -L0075936 000000 000000 000000 000000 000000 000000 000000 000000* -L0075984 000000 000000 000000 000000 000000 000000 000000 000000* -L0076032 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076160 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076224 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076288 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076416 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076544 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076608 000000 000000 000000 000000 000000 000000 000000 000000* -L0076656 000000 000000 000000 000000 000000 000000 000000 000010* -L0076704 000000 000000 000000 000000 000000 000000 000000 000000* -L0076752 000000 000000 000000 000000 000000 000000 000000 000000* -L0076800 000000 000000 000000 000000 000000 000000 000000 000000* -L0076848 000000 000000 000000 000000 000000 000000 000000 000000* -L0076896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* -L0076960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* -L0077024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100100* -L0077088 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00110100* -L0077152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100100* -L0077216 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10110000* -L0077280 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* -L0077344 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* -L0077408 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* -L0077472 000000 000000 000000 000000 000000 000000 000000 101000* -L0077520 000000 000000 000000 000000 000000 000000 000000 100000* -L0077568 000000 000000 000000 000000 000000 000000 000000 100000* -L0077616 000000 000000 000000 000000 000000 000000 000000 001000* -L0077664 000000 000000 000000 000000 000000 000000 000000 011000* -L0077712 000000 000000 000000 000000 000000 000000 000000 001000* -L0077760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0077824 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0077888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0077952 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078080 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078144 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078208 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078272 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078336 000000 000000 000000 000000 000000 000000 000000 000000* -L0078384 000000 000000 000000 000000 000000 000000 000000 000000* -L0078432 000000 000000 000000 000000 000000 000000 000000 000000* -L0078480 000000 000000 000000 000000 000000 000000 000000 000000* -L0078528 000000 000000 000000 000000 000000 000000 000000 000000* -L0078576 000000 000000 000000 000000 000000 000000 000000 000000* -L0078624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078688 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078880 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079008 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079072 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079136 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079200 000000 000000 000000 000000 000000 000000 000000 000000* -L0079248 000000 000000 000000 000000 000000 000000 000000 000000* -L0079296 000000 000000 000000 000000 000000 000000 000000 000000* -L0079344 000000 000000 000000 000000 000000 000000 000000 000000* -L0079392 000000 000000 000000 000000 000000 000000 000000 000000* -L0079440 000000 000000 000000 000000 000000 000000 000000 000000* -L0079488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079552 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079680 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079744 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079872 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079936 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* -L0080000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080064 000000 000000 000000 000000 000000 000000 000000 000000* -L0080112 000000 000000 000000 000000 000000 000000 000000 000000* -L0080160 000100 000000 000000 000000 000000 000000 000000 000000* -L0080208 000000 000000 000000 000000 000000 000000 000000 000000* -L0080256 000000 000000 000000 000000 000000 000000 000000 000000* -L0080304 000000 000000 000000 000000 000000 000000 000000 000000* -L0080352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* -L0080416 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100100* -L0080480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10110100* -L0080544 00001000 00000000 00000000 00000000 00000000 00000000 00000000 10110100* -L0080608 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10110100* -L0080672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10110000* -L0080736 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* -L0080800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* -L0080864 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* -L0080928 000000 000000 000000 000000 000000 000000 000000 101000* -L0080976 000000 000000 000000 000000 000000 000000 000000 100000* -L0081024 000000 000000 000000 000000 000000 001000 000000 100000* -L0081072 000100 000000 000000 000000 000000 000000 000000 011000* -L0081120 000000 000000 000000 000000 000000 000000 000000 011000* -L0081168 000000 000000 000000 000000 000000 000000 000000 011000* -L0081216 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0081280 00000000 00001000 00000000 00000000 00000000 00001000 00000000 00000000* -L0081344 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* -L0081408 00010000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* -L0081472 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0081536 00000000 01000000 00000000 00000000 00000000 00000000 00000000 00001000* -L0081600 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0081664 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0081728 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0081792 000000 000000 000000 000000 000000 000000 000000 000000* -L0081840 000000 000000 000000 000000 000000 000000 000000 000000* -L0081888 000000 000011 000000 000000 000000 000000 000000 000000* -L0081936 010000 000010 001000 000000 000000 000000 000000 000000* -L0081984 000000 000000 000000 000000 000000 000000 000000 000000* -L0082032 000000 000000 000000 000000 000000 000000 000000 000000* -L0082080 00000000 00001000 00000000 00000000 00000000 00000000 00000000 00100100* -L0082144 00000000 00010100 00000000 00000000 00000000 00000000 00000000 10100100* -L0082208 00000000 00010100 00000000 00000000 00000000 00000000 00000000 10110100* -L0082272 00000000 00000100 00000000 00000000 00000000 00000000 00000000 10110100* -L0082336 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10110100* -L0082400 00000100 00000000 00000000 00000000 00000000 00000000 00000000 10110000* -L0082464 00000100 00000000 00000000 00000000 00000000 01000000 00000000 10100000* -L0082528 00000100 00000000 00000000 00000000 00000000 00000000 00000000 10100000* -L0082592 00000100 00000000 00000000 00000000 00100000 00000000 00000000 10100000* -L0082656 000001 000000 000000 000000 000000 000000 000000 101000* -L0082704 000001 000000 000010 000000 000000 000000 000000 100000* -L0082752 000001 000000 000000 000000 000000 000000 000000 100000* -L0082800 000001 000000 000000 000000 000000 000000 000000 011000* -L0082848 000000 000001 000000 000000 000000 000000 000000 011000* -L0082896 000000 000000 000000 000000 000000 000000 000000 011000* -L0082944 01000100 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0083008 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00100100* -L0083072 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* -L0083136 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0083200 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0083264 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0083328 00000000 00000000 00000000 00000000 00000000 00010000 00000000 10000000* -L0083392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* -L0083456 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* -L0083520 000000 000000 000000 000000 000000 000000 000000 001000* -L0083568 000000 000000 000000 000000 000000 000000 000000 000000* -L0083616 000000 000000 000000 000000 000000 000010 000000 000000* -L0083664 000000 000000 000000 000000 000000 000000 000000 000000* -L0083712 000000 000000 000000 000000 000000 000000 000000 000000* -L0083760 000000 000000 000000 000000 000000 000000 000000 001000* -L0083808 00010000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* -L0083872 00010000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* -L0083936 00110100 00000000 00000000 00000000 00000000 00001000 00000000 00000100* -L0084000 00111100 00000000 00000000 00000000 10000100 00001000 00000000 00100100* -L0084064 00110100 00000000 00000000 00000000 00000000 00000000 00000000 00100000* -L0084128 00010100 00000000 00010000 00000000 00000000 00010000 00000000 10100000* -L0084192 00110100 00000000 00000000 00000000 00000000 00000000 00000000 00100000* -L0084256 00110100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084320 00110100 00000000 00000000 00000000 00000000 00000000 00000000 10000000* -L0084384 001101 000000 000000 000000 000001 000000 000000 100000* -L0084432 010011 000000 000000 000000 000000 000000 000000 000000* -L0084480 010111 000000 000000 000001 000000 000000 000000 100000* -L0084528 011111 000000 000000 000000 000000 000000 000000 001000* -L0084576 011010 000000 000000 000000 000001 000000 000000 001000* -L0084624 010010 000000 000000 000000 000000 000000 000000 000000* -L0084672 00000000 00000000 10101100 00000000 00000000 00000000 00000000 00000000* -L0084736 00000000 00000000 11101100 00000000 00000000 00000000 00000000 00000000* -L0084800 00000000 00000000 11101100 00000000 00000000 00000000 00000000 00000000* -L0084864 00000000 00000000 01001100 00000000 00000000 00000000 00000000 00000000* -L0084928 00000000 00000000 01001100 00000000 00000000 00000000 00000000 00000000* -L0084992 00000000 00000000 11000100 00000000 00000000 00000000 00000000 00000000* -L0085056 00001000 00000000 11000100 00000000 00000000 00000000 00000000 00000000* -L0085120 00001000 00000000 11001000 00000000 00000000 01000000 00000000 00000000* -L0085184 00000000 00000000 11101100 00000000 00000000 01000000 00000000 00000000* -L0085248 000000 000000 100011 000000 000000 000000 000000 000000* -L0085296 000000 000000 100101 001100 000000 000000 000000 000000* -L0085344 000000 000000 100101 000000 000000 000000 000000 000000* -L0085392 000000 000000 100101 000000 000000 000000 000000 000000* -L0085440 000000 000000 100100 000000 000000 000000 000000 000000* -L0085488 000000 000000 100101 000000 000000 000001 000000 000000* -L0085536 00000000 00000000 00000000 00000000 10000000 00000000 00000000 10000100* -L0085600 00000000 00000000 00000000 00000000 00000000 01000000 00000000 10000100* -L0085664 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000100* -L0085728 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000100* -L0085792 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000100* -L0085856 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000100* -L0085920 00000000 00000000 00101000 00000000 00000000 00000100 00000000 10000100* -L0085984 00000000 00000000 00100100 00000000 00000000 00000000 00000000 10000100* -L0086048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0086112 000000 000000 010000 000000 000000 000000 000000 000001* -L0086160 000000 000000 000000 000000 000000 000000 000000 000001* -L0086208 000000 000000 000000 000000 000000 000000 000000 000001* -L0086256 000000 000000 000000 000000 000000 000000 000000 000001* -L0086304 000000 000000 000000 000000 000000 000000 000000 000001* -L0086352 000000 000000 000000 000000 000000 000000 000000 000001* -L0086400 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0086464 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0086528 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0086592 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0086656 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0086720 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* -L0086784 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0086848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0086912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0086976 000000 000000 000000 000000 000000 000000 000000 000000* -L0087024 000000 000000 000000 000000 000000 000000 000000 000000* -L0087072 000000 000000 000000 000000 000000 000000 000000 000000* -L0087120 000000 000000 000000 000000 000000 000000 000000 000000* -L0087168 000000 000000 000000 000000 000000 000000 000000 000000* -L0087216 000000 000000 000000 000000 000000 000000 000000 000000* -L0087264 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0087328 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0087392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0087456 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0087520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0087584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0087648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0087712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0087776 00000000 00010000 00000000 00000000 00000000 00000000 00000000 00000000* -L0087840 000000 000000 000000 000000 000000 000000 000000 000000* -L0087888 000000 000000 000000 000000 000000 000100 000000 000000* -L0087936 000000 000000 000000 000000 001000 000000 000000 000000* -L0087984 000000 000000 000000 000000 000000 000000 000000 000000* -L0088032 000000 000100 000000 000000 000000 000000 000000 000000* -L0088080 000000 000000 000000 000000 000000 000000 000000 000000* -L0088128 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0088192 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0088256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0088320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0088384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0088448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0088512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0088576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0088640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* -L0088704 000000 000000 000000 000000 000000 000000 000000 000000* -L0088752 000000 000000 000000 000000 000000 000000 000000 000000* -L0088800 000000 000000 000000 000000 000000 000000 000000 000000* -L0088848 000000 000000 000000 000000 000000 000000 000000 000000* -L0088896 000000 000000 001000 000000 000000 000000 000000 000000* -L0088944 000000 000000 000000 000000 000000 000000 000000 000000* -L0088992 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* -L0089056 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100100* -L0089120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10110100* -L0089184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10110100* -L0089248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10110100* -L0089312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10110000* -L0089376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* -L0089440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* -L0089504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* -L0089568 000000 000000 000000 000000 000000 000000 000000 101000* -L0089616 000000 000000 000000 000000 000000 010000 000000 100000* -L0089664 000000 000000 000000 000000 000000 000000 000000 100000* -L0089712 000000 000000 000000 000000 000000 000000 000000 011000* -L0089760 000000 000000 000000 000000 000000 000000 000000 011000* -L0089808 000000 000000 000000 000000 000000 000000 000000 011000* -L0089856 10000000 00000000 00001100 00000000 00000000 00000000 00000000 00000000* -L0089920 00001000 00000000 00001100 00000000 00000000 00000000 00000000 00000000* -L0089984 00001000 00000000 01001100 00000000 00000000 00000000 00000000 00000000* -L0090048 00000000 00000000 01001100 00000000 00000000 00000000 00000000 00000000* -L0090112 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0090176 00000000 00100000 01000000 00000000 00000000 00000000 00000000 00000000* -L0090240 10000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* -L0090304 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* -L0090368 00000000 00000000 00001100 00000000 00000000 00000000 00000000 00000000* -L0090432 000000 000000 000011 000000 000000 000000 000000 000000* -L0090480 000000 001000 000000 001000 000000 000000 000000 000000* -L0090528 000000 000000 000001 000000 000000 000000 000000 000000* -L0090576 000000 000000 100100 000000 000000 000000 000000 000000* -L0090624 000000 000000 100100 000000 000000 000000 000000 000000* -L0090672 000000 000000 100000 000000 000000 000000 000000 000000* -L0090720 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0090784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0090848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0090912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0090976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091296 000000 000000 000000 000000 000000 000000 000000 000000* -L0091344 000000 000000 000000 000000 000000 000000 000000 000000* -L0091392 000000 000000 000000 000000 000000 000000 000000 000000* -L0091440 000000 000000 000000 000000 000000 000000 000000 000000* -L0091488 000000 000000 000000 000000 000000 000000 000000 000000* -L0091536 000000 000000 000000 000000 000000 000000 000000 000000* -L0091584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091712 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091776 00100000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091904 00100000 00001000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091968 00001000 00011100 00000000 00000000 00000000 00000000 00000000 00000000* -L0092032 00001000 00010000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092160 000000 000000 000000 000000 000000 000000 000000 000000* -L0092208 000000 000000 000000 001100 000000 000000 000000 000000* -L0092256 000000 000100 000000 000000 000000 000000 000000 000000* -L0092304 000000 000100 000000 000000 000000 000000 000000 000000* -L0092352 001000 000000 000000 000000 000000 000000 000000 000000* -L0092400 001000 000000 000000 000000 000000 000000 000000 000000* -L0092448 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092576 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092768 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092832 01000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092896 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092960 00000100 00010000 00000000 00000000 00000000 00000000 00000000 00000000* -L0093024 000001 000000 000000 000000 000000 000000 000000 000000* -L0093072 000001 000000 000000 000000 000100 000000 000000 000000* -L0093120 000011 000000 000000 000000 000000 000000 000000 000000* -L0093168 000011 000000 000000 000000 000000 000000 000000 000000* -L0093216 000010 000100 000000 000000 000000 000000 000000 000000* -L0093264 000010 000000 000000 000000 000000 000000 000000 000000* -C3A8B* -2CE6 diff --git a/cpld/XC95144/MXSE.lfp b/cpld/XC95144/MXSE.lfp deleted file mode 100644 index c87fa10..0000000 --- a/cpld/XC95144/MXSE.lfp +++ /dev/null @@ -1,5 +0,0 @@ -# begin LFP file C:\Users\zanek\Documents\GitHub\Warp-SE\cpld\XC95144\MXSE.lfp -designfile MXSE.v -parttype xc95144xl-tq100-10 -bus_delimiter 1; -set_busdelim_onsave 1; diff --git a/cpld/XC95144/MXSE.nga b/cpld/XC95144/MXSE.nga deleted file mode 100644 index ca7838f..0000000 --- a/cpld/XC95144/MXSE.nga +++ /dev/null @@ -1,3 +0,0 @@ -XILINX-XDB 0.1 STUB 0.1 ASCII -XILINX-XDM V1.6e -$4ga4`<,Fz_t)*@pUz'B]YCK-9>9RGAV%60=+B582.D|Yv+$NrW|!@_WMI/?8;PIOT'06?)L88;7)ATy&'KuR,ORTHN*<54]JJS"3;0$O>85#_=1:&LtQ~#,Fz_t)HW_EA'703XAG\/8>7!UHO25>"Hx]r/JUQKC%154+B6:2.D|Yv+FY]GG!518'N:=?5+OqV{ C^XLJ.8:= K2038 JvSp-LSSIM+372-U45<,Fz_t)HW_EA'736)]@G>7)\jv%58,5<729#m7%>50;2*$-6=83:"==5'1123>46783;;<='>0:*2356=9>:;6<9?0(33?-7?890:4=>51923-46< ;2;<7<70181<56.991#?=>?:2234?5789#9=6&<012975672::;<$.'3423>637839><='>0:*0156=;<:;6>;?0(f8,1672=:;69>?)028,13783>><=4;512*55=/>9:;6;>?0;4345/682"<<=>57123>2678 ;;7%7?018:456=19:;%<5?1:31?46538;97<<>2:31>JSSX\^1^L2>1;2=6>74:2;?>6?:2:356>70:2;3>6?62:036>45:288=95=3;KMTPR=IVLXN1<<:0<1?7253;?97?9=;3;1?6553:?97>9=;521?1453=<978>=;411?0253=80:8<46708224<>1;0;?58039457=0:;1>7:22:>66=2::66>>1:22<>6661:;1?<6530;974<=;811?<2530?9748=;851?1J@H>B.P;8EIC7E'XNK85NLD2P3>GKM9Y%H55NLD2P*A7?3HFN<^ K289BH@6T&LR[i6OCE1Q-A]VXX]U;i6OCE1Q-A]VXX]U:i6OCE1Q-A]VXX]U9i6OCE1Q-A]VXX]U8=l5NLD2P*@^WW}ybakaalgg[kcsW>1J@H>\.P;8EIC7['XNK95NLD30?DHC12KEBBZNTDF:?DYA[K6:<3j4A^DPF9776'ZJH45N_GQA8479l2KTJ^L310<-TDB>3HUM_O2>2?f8EZ@TJ5;92#^ND89B[CUE4895h6OPFR@?568)XHN27LQISC>20;b/RB@<=FWOYI0<;1d:C\BVD;9<4%\LJ6;@]EWG:6>7n0MRH\B=35:+VFL01JSK]M<05=`>GXNZH7=:0!P@F:?DYA[K6:43j4A^DPF97?6'ZJH45N_GQA84?9l2KTJ^L318<-TDB?3HUM_O2>>b9B[CUE484%\LJ6;@]EWG:587n0MRH\B=03:+VFL01JSK]M<33=`>GXNZH7><0!P@F:?DYA[K69>3j4A^DPF9456'ZJHo5N_GQA875=9730MRH\B=00:a=FWOYI0?=1.QCG<>GXNZH7>3m4A^DPF949&YKO46OPFR@?7;eGXNZH783m4A^DPF929&YKO46OPFR@?1;eGXNZH7:3m4A^DPF909&YKO46OPFR@?3;eGXNZH743m4A^DPF9>9&YKO46OPFR@?=;eGXNZHT==QFBTDg?DYA[KU:=RGMUGf8EZ@TJV;9SDLZFe9B[CUEW89TEO[Id:C\BVDX9=UBNXHk;@]EWGY6=VCIYKj4A^DPFZ71W@H^Ji5N_GQA[41XAK_Mh6OPFR@\5=YNJ\Lo7LQISC]2=ZOE]O;97LQISC]2=ZOE]O.IYK>>4:C\BVDX90UBNXH+BTD3*A723HUM_OQ>9^KAQC"E]O:%H9^KAQC"E]O:%IU^=7:C\BVDX90UBNXH+BTD3*@^WW}ybakaalgg[kcsW8>0MRH\B^3:[LDRN-H^J= ^c:C\BVDX9VCIYKj4A^DPFZ47W@H^Ji5N_GQA[77XAK_M=?5N_GQA[77XAK_M(O[I0068EZ@TJV8:SDLZF%@VB5(C9<1JSK]M_33\MGSA,K_M<#J>149B[CUEW;;TEO[I$CWE4+B59?1JSK]M_33\MGSA,K_M<#KWP0`8EZ@TJV8:SDLZF%@VB5(BPYU[XR>>b:C\BVDX:8UBNXH+BTD3*@^WWY^T=?94A^DPFZ46W@H^J)LZF1,F\UYs{`gyicobee]maqY6<2KTJ^LP20]JFP@#J\L;"\j4A^DPFZ45W@H^Ji5N_GQA[75XAK_Mo6OPFR@\6ZOE]Oi0MRH\B^1\MGSAk2KTJ^LP4^KAQCeGXNZHT:RGMUGa8EZ@TJV=TEO[Ic:C\BVDX0VCIYKm4A^DPFZ?XAK_M56LJRS]JKGUe3KOY^RG@BR,Gg>DBZ[UBCO]!D0a8F@TUW@EI_#J=109AAWTXAFHX"I2:@FVWYNGKY%IU^PPU]357=EM[XTEBL\.DZS[URX98o0NH\]_HMAW+C_XV~xe`|jn`of`Zhb|Vh0NH\]_HMAW+Wc3KOY^RG@BR,QAB7f3KOY^RG@BR]wwlkdzlkiiQwos]0?GSA02H^_RGAFN08G@5EKCVCDN#^NDb9@HNYNGKUBNXH6;BMNILRSMM=0O_KNTDF:?FjhkbY{in64D`vbWucd=2Nn`lwn;DZS55Y)MQZ:n6KWP02\*@^WW}ybakaalgg[kcsWh1NT]?>_/G[Tc=BPY;:S#KWP^RW[5`_/G[TZVSW=l0IU^>1^,F\UYW\V?:n6KWP03\*@^WW}ybakaalgg[kcsWh1NT]?=_/G[Tc=BPY;9S#KWP^RW[5`R JXQ]SPZ7a3LR[=?Q!EYR\TQY5n2OS\<C_X89T"HV__QV\4c=BPY;8S#KWP^RW[4`Q!EYR\TQY4n2OS\<=P.DZS[URXC_X8>T"HV__QV\4c=BPY;?S#KWP^RW[4`C_X8?T"HV__QV\4c=BPY;>S#KWP^RW[4`V$NT]Q_T^3e?@^W9?U%IU^PPU]15g=BPY;=S#KWP^vpmhtbfhgnhR`jt^c8A]V6?V$NT]h4EYR23Z(BPYU[XR>i;DZS52Y)MQZT\YQ>f:G[T41X&LR[S]ZP2g9F\U70W'OS\R^[_2d8A]V6?V$NT]Q_T^62f>C_X8=T"HV__uqjiwciidooSck{_`9F\U7?W'OS\k5JXQ3;[+C_XVZ_S=h4EYR2X&LR[S]ZP3g9F\U7?W'OS\R^[_5d8A]V60V$NT]Q_T^72f>C_X82T"HV__uqjiwciidooSck{_`9F\U7>W'OS\k5JXQ3:[+C_XVZ_S=h4EYR2=Z(BPYU[XR?i;DZS5W'OS\Rz|ilpfjdkblVdnxRo4EYR14Z(BPYl0IU^=0^,F\UYW\V:m7HV_21]-A]VXX]U:j6KWP32\*@^WWY^T>_/G[TZVSW;l0IU^=1^,F\UYW\V9m7HV_20]-A]VXX]U?j6KWP33\*@^WWY^T9?Q!EYR\TQY7n2OS\?>Q!EYR\TQY6n2OS\?=P.DZS[URX:o1NT]<<_/G[TZVSW:l0IU^=3^,F\UYW\V>m7HV_22]-A]VXX]U>=o5JXQ00[+C_XV~xe`|jn`of`Zhb|Vk0IU^=4^,F\U`9Q!EYR\TQY6n2OS\?:P.DZS[URX:o1NT]<;_/G[TZVSW:l0IU^=4^,F\UYW\V>:n6KWP36\*@^WW}ybakaalgg[kcsWh1NT]<:_/G[Tc=BPY8>S#KWP^RW[5`8Q!EYR\TQY5n2OS\?;P.DZS[URX;o1NT]<:_/G[TZVSW=l0IU^=5^,F\UYW\V?:n6KWP37\*@^WW}ybakaalgg[kcsWh1NT]<9_/G[Tc=BPY8=S#KWP^RW[5`;Q!EYR\TQY5n2OS\?8P.DZS[URX;o1NT]<9_/G[TZVSW=l0IU^=6^,F\UYW\V?:n6KWP34\*@^WW}ybakaalgg[kcsWh1NT]<8_/G[Tc=BPY8:Q!EYR\TQY5n2OS\?9P.DZS[URX;o1NT]<8_/G[TZVSW=;i7HV_26]-A]VX|zcf~h`nmdf\j`rXi2OS\?6P.DZSb>C_X;2T"HV__QV\4c=BPY83S#KWP^RW[47e3LR[>5Q!EYR\pvojzldjahjPndv\e>C_X;3T"HV_f:G[T7?X&LR[S]ZP0g9F\U4>W'OS\R^[_0d8A]V51V$NT]Q_T^0e?@^W:0U%IU^PPU]0b>C_X;3T"HV__QV\0c=BPY82S#KWP^RW[07e3LR[>4Q!EYR\pvojzldjahjPndv\e>C_X::T"HV_f:G[T66X&LR[S]ZP0g9F\U57W'OS\R^[_0d8A]V48V$NT]Q_T^0e?@^W;9U%IU^PPU]0b>C_X::T"HV__QV\0c=BPY9;S#KWP^RW[07e3LR[?=Q!EYR\pvojzldjahjPndv\e>C_X:;T"HV_f:G[T67X&LR[S]ZP0g9F\U56W'OS\R^[_0d8A]V49V$NT]Q_T^0e?@^W;8U%IU^PPU]0b>C_X:;T"HV__QV\04dC_X:8T"HV__QV\14dR JXQ]wwlkumgkfiiQaeu]b?@^W;:U%IU^i;DZS76Y)MQZT\YQ?f:G[T65X&LR[S]ZP1g9F\U54W'OS\R^[_3d8A]V4;V$NT]Q_T^1e?@^W;:U%IU^PPU]75g=BPY98S#KWP^vpmhtbfhgnhR`jt^c8A]V4i;DZS71Y)MQZT\YQ>f:G[T62X&LR[S]ZP2g9F\U53W'OS\R^[_2d8A]V4i;DZS70Y)MQZT\YQ>1c9F\U52W'OS\Rz|ilpfjdkblVdnxRo4EYR02Z(BPYl0IU^<6^,F\UYW\V:m7HV_37]-A]VXX]U:j6KWP24\*@^WWY^T>k5JXQ15[+C_XVZ_S>h4EYR02Z(BPYU[XR:>b:G[T60X&LR[Sy}fmsgmehccWgoSl5JXQ14[+C_Xo1NT]=8_/G[TZVSW9l0IU^<7^,F\UYW\V;m7HV_36]-A]VXX]U9j6KWP25\*@^WWY^T?k5JXQ14[+C_XVZ_S9h4EYR03Z(BPYU[XR;>b:G[T61X&LR[Sy}fmsgmehccWgoS85J_HMA=>CXAFH%\LJn;D]JKGYNJ\L<7HjheWco=>@DDB'BCR?6;GAOO(OHW:30JNBD-HM\0==AKEABCR>7;GAOOLIX:;1MJ55ISS/JKZ343Ndo>6GA3:KM46=NF8>0EC??4:KM542OI9>>0EC?74:KM5<595FN317?LH5<=1BB?;;;HL121=NF;=?7D@=859JJ7?43@D886GA3168MK56;2CE8>5FN418MK043@DOHIJ^%H?Q_T^3g?LIFK]$O>R^[_3f8MJGD\'N9S]ZP3e9JKDES&M8T\YQ;d:KLEFR)L;U[XR;8;HMBGQ(V12CDMNZ!RDE5?LIEM[X37DAMESP-@<=NGKOY^#J>9:KLF@TU&M8n7DAMESP-@7YW\V:n7DAMESP-@7YW\V;n7DAMESP-@7YW\V8n7DAMESP-@7YW\V9n7DAMESP-@7YW\V>n7DAMESP-@7YW\V?37DAMESP-Ud=NGKOY^#\JG058MJDBZ[UdclrdcwaaYg{U?7DAC069JKI6)KL<0EBB?.E58MJJ7&M;<7DAC0/F1g>OHD9$O>R^[_1a8MJJ7&M8T\YQ>6:KLH5(V02CD@= ]EF78MJTBY>1BC_K^.E:8MJTBY'N:46G@RDS-@7bOHZL[%H?Q_T^0g?LIUMX$O>R^[_2f8MJTBY'N9S]ZP4e9JKWCV&M8T\YQ:d:KLV@W)L;U[XR88;HMQAT(V12CD^H_!RDE6?LIU_9=0EB\X0/F;?LIU_9$O=55FOSU3*A4c3@EY[= K2^RW[5bOHZ^:%H?Q_T^1g?LIU_9$O>R^[_5f8MJTP8'N9S]ZP5e9JKWQ7&M8T\YQ97:KLVR6)Y01BC_Y?.SGD53=NG[];Sy}fmbpfeqccWqeyS95FOT24?LIR8'IN:6G@U1,G3>OH]9$O=:5FOT2-@7eOH]9$O>R^[_048MJS7&X20EB[?.SGD<>Oi|Mogmt=4LNA4?II@AJKG86CWSD:8I]UB&gmjo6CnjnpUawunggi0Ad``rWgqwlii?2DNXZA]K29LJ@4VFZ]=0\D@AALGb?UOIWK_XEIVm;QKM[GSTFHGN:6^jrhmg<>Vhz}Zjxe;4R@>3:<=UI5:5"]OK6:PB8469i2XJ0<>1.QCG<>TF48;1<384R@>25;gTF4;427_O32?,SEA389QE939&YKO96\N<7<:?WG;>7$[MI;4R@>4:<=UI5=5"]OK5:PB8=8>3[K743 _AE78VD:>601YM171.QCG=>TFW9UDNXHm;SC\4ZIE]O$Oo6\N_1]LFP@)L8i0^LQ?_N@VB+B5981YMR>POCWE*A4XX]U;=<5]A^2\KGSA&M8T\YQ>d:PB[5YHJ\L%IU^>2:PB[5YHJ\L%IU^PPU]357=UIV:TCO[I.DZS[URX9880^LQ?_N@VB+C_XVZ_S??j;SC\4ZIE]O$NT]Q{shoqakgjmmUeiyQm;SC\4ZIE]O$Z56\N_0]LFP@e3[KT=RAMUG,Gg>TFW8UDNXH!D0a8VDY6WFH^J#J=109QEZ7XGK_M"ITFW:UDNXH!D332?WGX;VEIYK K2^RW[5763[KT?RAMUG,G6ZVSW8h0^LQ<_N@VB+W>3[KT8RAMUG`8VDY3WFH^J#Jl;SC\0ZIE]O$O=n5]A^6\KGSA&M8:=6\N_5]LFP@)L;U[XR>>1:PB[1YHJ\L%H?Q_T^3a?WGXSBLZF/F154=UIV?TCO[I.E0\TQY7981YMR;POCWE*A4XX]U:n6\N_4]LFP@)Y01YMR8POCWEf>TFW?UDNXH!Db9QEZ0XGK_M"I?l;SC\2ZIE]O$O>XGK_M"I<>1:PB[=YHJ\L%H?Q_T^225>TFW1UDNXH!D3]SPZ7e3[KT4RAMUG,R=>TFW0UDNXHm;SC\=ZIE]O$Oo6\N_8]LFP@)L8i0^LQ6_N@VB+B5981YMR7POCWE*A4XX]U;=<5]A^;\KGSA&M8T\YQ>b:PB[5]SU48V``Fkb20^hhNcj,G=>TbnHi`"I?6;SgeEfm)L;k0^hhNcj,F\U`Rnele~xLo4ThofkprF&Mh0XdcjotvB*A7e3]cfib{{A/F155=SadodyyO!D3]SPZ6682^bahazt@,G6ZVSW8;;7YgbenwwE+B5WY^T>l5[ilglqqG)Yj1_e`k`uuC-V@A612^bahazt@]wwlkdzlkiiQwos];?QojmfNl5[ilglqqD)Lk1_e`k`uu@-@4d<\`gncxzM.E024>Rnele~xO K2^RW[5773]cfib{{B/F1[URX98:0XdcjotvA*A4XX]U9m6ZfmdmvpG(Vk2^bahaztC,QAB7>3]cfib{{B^vpmheumh~nhRv`r^a8QVCUW_CXEOBJ9:TJARYSQYO87[ml5:Uj`qn5k2RH58?;4YN.55+SY8:;,%]edf#Bljb2)\n~~g`n!1-4,2^c`VZye`Xjrrklj==_laU_e`kk;Yfk[QojmJbnofj4Xej\PlkbLlfju<>4Xeo\Idlhz_oydaa119[`hYJageyZh||inl7?_CUGm1hby!]egAmp969n2iex"\jfBlw858)L8:0ocz Rdd@jq:76'N:==5lnu-QacEi|5:5"I1.SGD5`=df}%YikMat=2=[qunejxnmykk_ymq[a=df}%YikMat=3=b>ei|&XnjN`{<0<-@462:+B6991hby!]egAmp979&M8m7n`{/SgeGkr;97$Z=<5lnu-QacEi|5;5"_KH1d9`jq)UmoIex1?1_uqjiftbi}ooSua}_e9`jq)UmoIex1<1f:amp*TbnJd0?0!D028gkr(ZllHby2=>/F255=df}%YikMat=0=*A4a3jd#_kiCov?6;(V981hby!]egAmp949&[OL=h5lnu-QacEi|585Sy}fmbpfeqccWqeySi5lnu-QacEi|595j6mat.PfbFhs4:4%H<>4cov,V``Df}682#J>119`jq)UmoIex1=1.E0e?fhs'[omOcz33?,R54=df}%YikMat=1=*WC@9l1hby!]egAmp959W}yban|jaugg[}iuWm1hby!]egAmp929n2iex"\jfBlw818)L8:0ocz Rdd@jq:36'N:==5lnu-QacEi|5>5"Iei|&XnjN`{<4<-@466:+B6991hby!]egAmp939&M8m7n`{/SgeGkr;=7$Z=<5lnu-QacEi|5?5"_KH1d9`jq)UmoIex1;1_uqjiftbi}ooSua}_e9`jq)UmoIex181f:amp*TbnJd0;0!D028gkr(ZllHby29>/F255=df}%YikMat=4=*A4a3jd#_kiCov?2;(V981hby!]egAmp909&[OL=h5lnu-QacEi|5<5Sy}fmbpfeqccWqeySi5lnu-QacEi|5=5j6mat.PfbFhs4>4%H<>4cov,V``Df}6<2#J>119`jq)UmoIex191.E0e?fhs'[omOcz37?,R54=df}%YikMat=5=*WC@9l1hby!]egAmp919W}yban|jaugg[}iuWk1hby!]egFlj`b6mat.Vji`ir|KZyi#J=169`jq)SadodyyL_rd,G6ZVSW9;<7n`{/UknajssJYxn"I;blw+QojmfN]|j.P30?fhs']cfib{{BQpf*WC@:91hby![ilglqqDWzlUdclrdcwaaYg{Uh7n} nNtfvig~8l1h"`@vdpoe|6)Lo1h"`@vdpoe|6)L8l0o~!aOwgqhd7&M8:<6m|/oMuawjfq9$NT]?:;bq,jJpbzekr<#KWP^RW[5723jy$bBxjrmcz4+C_XVZ_S?:;bq,jJpbzekr<#KWP^RW[1463jy$bBxjrmcz4+C_XV~xe`|jn`of`Zhb|Vo0o~!aOwgqhd7&X;;7n} nNtfvig~8'XNK0:ap+kIqm{fju< ]EF68aaab02lxn"O\rgc8bvd(IZxm"Il4fr`,EVta&M;i7k}m/@Qqb+B5i2lxn"O\rg,Rg>`tj&KX~k ]EFc8bvd(JLXY<m4fr`,F@TU8{$Oh6h|b.@FVW6u&M;o7k}m/CGQV5t)L;;97k}m/CGQV5t)L;U[XR>>2:dpf*DBZ[:y"Ir/Fg?cue'KOY^<|!D0f8bvd(JLXY= K2008bvd(JLXY= K2^RW[5753oyi#OK]R0p-@7YW\V;h7k}m/CGQV4t)Yl1mo!MESP2v+TBOk1mo!]e`fz4wb`tj&Xnmiw>r/Ff?cue'[ojht?}.E3f?cue'[ojht?}.E027>`tj&Xnmiw>r/F1[URX8890j~l Rdcg}4t)L;U[XR?i;gqa+Wcflp;y"HV_159ewg)Umhnr= JXQ]SPZ66<2lxn"\jae{2v+C_XVZ_S=5isc-Qadb~9{$NT]Q{shoqakgjmmUeiyQk;gqa+Wcflp;y"\h4fr`,V`gcq8x%^HIm;gqa+Wcflp8yh6h|b.Pfea5z'Nn7k}m/Sgb`|4u&M;n7k}m/Sgb`|4u&M8:?6h|b.Pfea5z'N9S]ZP0018bvd(Zlkou?|!D3]SPZ76;2lxn"\jae{1v+B5WY^T><=4fr`,V`gcq;x%H?Q_T^127>`tj&Xnmiw=r/F1[URX<890j~l Rdcg}7t)L;U[XR;k;gqa+Wcflp8y"\h4fr`,V`gcq;x%^HI8;gqa+SVF12lxn"X_A/Fb?cue'_ZJ"I?n;gqa+SVF&M8m7k}m/WRB*A4XX]U;j6h|b.TSE+B5WY^T=k5isc-UTD(C:VZ_S?h4fr`,RUG)L;U[XR=i;gqa+SVF&M8T\YQ;f:dpf*PWI'N9S]ZP5g9ewg)QXH$O>R^[_7;8bvd(^YK%]o5isc-UTD(UMNh0eblb/CGQVw`c3`eia"LJRSpe*Acohjd%OXLMDrs,G6`=ngkg$HYOLKsp-U46ohjd%N_1>1.Ef8mjdj'LY7<3 K1e9jkgk(MZ6;2#J=139jkgk(MZ6;2#J=_QV\444>/F2`>ohjd%N_1?1.E026>ohjd%N_1?1.E0\TQY79;1bcoc ER>2:+B5WY^T=<<4in`n+@U;97$O>R^[_3a8mjdj'LY7=3 ^e:klfh)B[5;5"_KHa:klfh)B[585o6g`bl-FW949&Mn0eblb/DQ?6;(C9m1bcoc ER>1:+B59;1bcoc ER>1:+B5WY^T<<<4in`n+@U;:7$O>R^[_031?liee&OX0?0!D3]SPZ46:2cdn`!JS=0=*A4XX]U8=?5foco,AV:56'N9S]ZP4b9jkgk(MZ692#_j;hmai*CT4;4%^HIn;hmai*CT4:4h7damm.GP868)Lm1bcoc ER>0:+B6l2cdn`!JS=1=*A46:2cdn`!JS=1=*A4XX]U;=?5foco,AV:46'N9S]ZP1008mjdj'LY7?3 K2^RW[7eohjd%N_1=1.SGD5g=ngkg$I^2<>^vpmheumh~nhRv`r^c8mjdj'LY783m4in`n+@U;<7$Oh6g`bl-FW929&M;o7damm.GP818)L;;97damm.GP818)L;U[XR>>2:klfh)B[5>5"I/F1[URX:880eblb/DQ?0;(C:VZ_S>m4in`n+@U;<7$Zi6g`bl-FW929&[OL=o5foco,AV:36V~xe`m}e`vf`Z~hzVk0eblb/DVBGNeohjd%NXLMD.E3g?liee&O_MNE!D3a8mjdj'L^JOF ^e:klfh)B\HI@"_KH7:klfh)Bz01bcoc Es,Ge>ohjd%N~#J>a:klfh)Bz'N956g`bl-Fv+We3`eia"K}.SGD<>ohjd%N~?o4in`n+@t5&Mh0eblb/Dp1*A7e3`eia"K}2/F1e>ohjd%N~? ^c:klfh)Bz;$YIJl4in`n+LIUMXxo7damm.KLV@Wu&Mo0eblb/HMQATt)L8o0eblb/HMQATt)L;n0eblb/HMQATt)Yo1bcoc INPFUw(UMN;:7damm.KLWZ@TEVLMh5foco,MJUXNZGTJKj=.E37?liee&CD_RH\M^DE`7(C98>0eblb/HMP[CUJWOLo>#J=189jkgk(AFYTJ^CPFGf1*A4XX]U;=45foco,MJUXNZGTJKj=.E0\TQY69:1bcoc INQ\BVKXNOn9"\?:;hmai*OH[VLXARHId3,QAB763`eia"G@S^DPIZ@Al:;87damm.KLWZ@TEVLMh> K159jkgk(AFYTJ^CPFGf0*A76<2cdn`!FOR]EWHYANm9%H??6;hmai*OH[VLXARHId2,G6ZVSW9;27damm.KLWZ@TEVLMh> K2^RW[47>3`eia"G@S^DPIZ@Al:$O>R^[_33:?liee&CD_RH\M^DE`6(C:VZ_S>?6;hmai*OH[VLXARHId2,G6ZVSW=;>7damm.KLWZ@TEVLMh> JXQ05?liee&CD_RH\M^DE`6(BPYUdc}eocnaaYim}U:?6g`bl-JKVYA[DUMJi=!Q078mjdj'@EXSK]B_GDg7+TBO8;0eblb/HMP[CUJWOLo8<=4in`n+LITWOYFSKHk4/F20>ohjd%BC^QISL]EBa2)L8;?7damm.KLWZ@TEVLMh9 K20;8mjdj'@EXSK]B_GDg0+B5WY^T<<74in`n+LITWOYFSKHk4/F1[URX9830eblb/HMP[CUJWOLo8#J=_QV\64?%^HIn;hmai*TB[{lh7damm.PFWw`)Lm1bcoc RDQqb+B6l2cdn`!]ERpe*A4d3`eia"\JSsd-U`=ngkg$^H]}f/PFCd=ngkg$^H]}rb9jkgk(ZLYy~#Jk;hmai*TB[{x%Hn5foco,V@Uuz'[n7damm.PFWwt)ZLMj7damm.TSEw`d3`eia"X_Asd-@a=ngkg$Z]O}f/F2`>ohjd%]\L|i.E0`?liee&\[Mh!Qd9jkgk(^YKyj#\JG`9jkgk(^YKy~n5foco,RUGuz'No7damm.TSEwt)L8n0eblb/WRBvw(C:j1bcoc VQCqv+Wb3`eia"X_Asp-V@Ae3`ei"Mce`p2`>ohjz%H`ho}1/Ff?lie{&Igil|>.E3f?lie{&Igil|>.E0e?lie{&Igil|>.DZS51=ngky$Oaknr0,F\UYW\V::86g`br-@h`gu9'OS\R^[_037?lie{&Igil|>.DZS[URX:8>0ebl|/Bnfew7)MQZT\YQ<219jkgu(Keoj~< JXQ]wwlkumgkfiiQaeu]g?lie{&Igil|>.Pd8mjdt'Jfnm?!RDEa?lie{&CDMNZ}d:klfv)NGHI_~#Jj;hmaw*OHIJ^y"I?j;hmaw*OHIJ^y"I=5focq,MJGD\{$NT]Q{shoqakgjmmUeiyQk;hmaw*OHIJ^y"\h4in`p+LIFK]x%^HI6;hmaw*OHD8i0ebl|/HMO5+EBj2cdn~!FOM3-@f=ngky$EBB>.E3`?lie{&CD@< K2c9jkgu(AFF:"\j4in`p+LIK9'XNKl5focq,MJTP9j1bco} INPT5+Bc3`ei"G@RV3-@4b2:klfv)NG[]:"Iohjz%BC_Y>.E0\TQY1k2cdn~!FOSU2*Tcohjz%BC_kndxg8mjdt'@EYiljv.Ed8mjdt'@EYiljv.E3e?lie{&CD^hoky/F151=ngky$EB\jae{-@7YW\V::86g`br-JKWcflp$O>R^[_037?lie{&CD^hoky/F1[URX:8>0ebl|/HMQadb~&M8T\YQohjz%BC_kndx]wwlkdzlkiiQwos]:?lie{&CDY.Pf8mjdt'@E^=#\JG`9jkgu(Dfko=n5focq,Hjgc9'No7dams.Nlea7)L8n0ebl|/Mmb`4(C:880ebl|/Mmb`4(C:VZ_S=?=;hmaw*Jhim;%H?Q_T^326>ohjz%Gclj>.E0\TQY59;1bco} Lncg5+B5WY^T?<<4in`p+Iifl8$O>R^[_531?lie{&Fdmi?!D3]SPZ36:2cdn~!Co`f2*A4XX]U=o6g`br-Okdb6&Xo0ebl|/Mmb`4(UMN30ebl|/Nl`ag=ngky$Ccmj.Ea8mjdt'Fdhi#J>c:klfv)Hfjo%H??>;hmaw*Iikl$O>R^[_132?lie{&Eeoh K2^RW[4763`ei"Aacd,G6ZVSW;;:7dams.Mmg`(C:VZ_S>?>;hmaw*Iikl$O>R^[_532?lie{&Eeoh K2^RW[0763`ei"Aacd,G6ZVSW?h0ebl|/Nl`a+Wc3`ei"Aacd,QAB773`ei"^\_GQN[C@c9880ebl|/QQ\BVKXNOn:"I?<;hmaw*VTWOYFSKHk1/F256=ngky$\^QISL]EBa7)L;;37dams.RP[CUJWOLo=#J=_QV\44>159jkgu(XZUM_@QIFe3-A]V612cdn~!_S^DPIZ@Al8$NT]Q_T^22=>ohjz%[_RH\M^DE`4(BPYU[XR?=5:klfv)W[VLXARHId0,F\UYs{`gyicobee]maqY6:2cdn~!_S^DPIZ@Al8$Z=95focq,TVYA[DUMJi?!RDE24>ohjz%[_RH\M^DE`7753`ei"^\_GQN[C@c:'N:?6g`br-SWZ@TEVLMh? K1018mjdt'YYTJ^CPFGf1*A4602cdn~!_S^DPIZ@Al;$O>R^[_13;?lie{&ZXSK]B_GDg6+B5WY^T=<64in`p+UUXNZGTJKj=.E0\TQY5911bco} PR]EWHYANm8%H?Q_T^12<>ohjz%[_RH\M^DE`7(C:VZ_S9?;;hmaw*VTWOYFSKHk2/G[T73hFLf@H>!P@Ff?kGCg|~GI=Q@BTD24>hFLf@H>POCWE*A763gKOcxzCE1]LFP@)L8;:7cOKotvOA5YHJ\L%H???;oCGkprKM9UDNXH!Q89mEAir|EO:h6`NDnwwH@7)XHNn7cOKotvOA4YHJ\L:<6`NDnwwH@7XGK_M"I?>;oCGkprKM8UDNXH!D032?kGCg|~GI>6:lB@jssDL;TCO[I.E0\TQY6991eMIaztMG2[JDRN'[:>6`NDnwwH@7XGK_M"_KH7:lBWZ@TJk1eM^QISC,SEAehF[VCDNo5aAR]JKG(WIMi0bL]PIN@\KGSAm2dJ_RG@B^MAQC(Cn2dJ_RG@B^MAQC(C9o1eM^QFOC]LFP@)L;;?7cO\_HMA[JDRN'N9S]ZP0068jDUXAFHTCO[I.E0\TQY6m2dJ_RG@B^MAQC(V991eM^QFOC]LFP@)ZLM<7cO`uuMFf>hFg|~DI#^NDd9mEjssGLUDNXH+Qd9mEjssGLUDNXH!Dg9mEjssGLUDNXH!D0d8jDir|FOTCO[I.E024>hFg|~DIRAMUG,F\U463gKdyyAJ_N@VB+C_XV~xe`|jn`of`Zhb|Vo0bLaztNG\KGSA&X30bOK]R^DPFa=iJLXYSK]M.QCGa>hEM[XTJ^LPOCWE55=iJLXYSK]M_N@VB+B692dII_\PFR@\KGSA&M;:=6`MESP\BVDXGK_M"I<>6:lAAWTXNZHTCO[I.E0\TQY79?1eNH\]_GQA[JDRN'N9S]ZP1048jGCUZVLXNRAMUG,G6ZVSW;;97cLJRS]EWGYHJ\L%IU^>7:lAAWTXNZHTCO[I.DZS[URX88=0bOK]R^DPFZIE]O$NT]Q_T^323>hEM[XTJ^LPOCWE*@^WWY^T>?=4nCGQVZ@TJVEIYK JXQ]wwlkumgkfiiQaeu]24>hEM[XTJ^LPOCWE*T?hDIZUDNXH!EYR2a>hDIZUDNXH!EYR\pvojzldjahjPndv\f>hDIZUDNXH!Qe9mGDUXGK_M"_KHa:lGPDELWOYIi6`KT@AH[CUE&YKOj6`KT@AH[CUEWFH^J7:lGPDELWOYISBLZF/F1[URX:8=0bIZNCJ]EWGYHJ\L%H?Q_T^123>hC\HI@SK]M_N@VB+B5WY^T8<94nEVBGNYA[KUDNXH!D3]SPZ36?2dOXLMD_GQA[JDRN'N9S]ZP6038jARFKBUM_OQ@BTD-U45=5aDhlLAZIE]O$NT]Q{shoqakgjmmUeiyQk;oFjjJCXGK_M"\94nEmvpJCe3gNdyyAJ.QCGg>hCg|~DIRAMUGg8jAir|FOTCO[I.Ed8jAir|FOTCO[I.E3e?kBh}}ENSBLZF/F151=iLfCHQ@BTD-@7YW\V::86`KotvLAZIE]O$O>R^[_0g8jAir|FOTCO[I.P33?kBh}}ENSBLZF/PFC==iDMYTJ^Ll;oNGWZ@TJ'ZJHi5aLEQ\BVDXAK_M46`CDR]JKGehKLZUBCOQ@BTDe?kJC[VCDNRAMUG,G55=iDMYTEBLPOCWE*A7682dGH^QFOC]LFP@)L;;>7cBKS^KLFZIE]O$O>R^[_136?kJC[VCDNRAMUG,G6ZVSW8;>7cBKS^KLFZIE]O$O>R^[_336?kJC[VCDNRAMUG,G6ZVSW:l0bAJ\_HMA[JDRN'[:=6`CDR]JKGYHJ\L%^HI<;oMF3>hHM'ZJH55aOD]LFP@f3gENSBLZF/Fa?kIBWFH^J#J>b:lLAZIE]O$O>l5aOD]LFP@)Y>1e^LCCWD`8jWGJD^O%\LJl;oPBIIQBWFH^Jh5aR@OOS@YHJ\L%Hk5aR@OOS@YHJ\L%HhUID_\IRAMUG,G6`=iZHG^[HQ@BTD-U1=iZHY37c\NS/RB@<=iZHYTCO[Ib:lQEVYHJ\L%Hn5aR@Q\KGSA&M;h7c\NS^MAQC(C:m1e^L]POCWE*@^W9;1e^L]POCWE*@^WWY^T<<<4nSCP[JDRN'OS\R^[_031?kTF[VEIYK JXQ]SPZ46:2dYM^Q@BTD-A]VXX]U8=?5aR@Q\KGSA&LR[S]ZP40g8jWGTWFH^J#KWP^vpmhtbfhgnhR`jt^`8jWGTWFH^J#_;;oPFW==iZLY%\LJ6;oPFWZOE]O<0b_ABCRc8jWIJKZ$[MIl4nSMNGVYHJ\Lo7c\@MBQ\KGSA&Mo0b_ABCR]LFP@)L8o0b_ABCR]LFP@)L;;87c\@MBQ\KGSA&M8T\YQ?129mVJKD[VEIYK K2^RW[4`hUGDIXSBLZF/G[TZrtadxnblcjd^lfpZbhRLZUM_Om4nTFP[CUE&YKOh6`ZDR]EWGYNJ\L37c[KS^KLFf=i]MYTEBL!P@Fg?kSC[VCDNRAMUGd8jPBTW@EISBLZF/F24>hRLZUBCOQ@BTD-@4773g_O_RG@B^MAQC(C:8?0bXJ\_HMA[JDRN'N9S]ZP0078jPBTW@EISBLZF/F1[URX98?0bXJ\_HMA[JDRN'N9S]ZP2078jPBTW@EISBLZF/F1[URX;o1eYI]PIN@\KGSA&X;:7c[KS^KLFZIE]O$YIJ64nWOB[LIEk2d]ALQFOC,SEAbhQEHUBCOQ@BTD-A]VXX]U9=;5aVLC\MJDXGK_M"HV__QV\7401eijkkgd58tjublno27ob/CC@Pwd5}al-QEHBN[8$O>R^[_130?wgj'[KFHD]>.E0\TQY69:1ym`!]ALFJW4(C:VZ_S??<;scn+WGJL@Y:"I#KWP328vdk(ZHGOE^tfe&XJAIG\2/Se?wgj'[KFHD]=.SGD5f=uid%YM@JFS3]wwlkdzlkiiQwos]`?wgj'[KF^hokyd9qeh)UIDXnmiw!Dg9qeh)UIDXnmiw!D0d8vdk(ZHGYiljv.E020>tfe&XJA_kndx,G6ZVSW9;?7ob/SCNV`gcq'N9S]ZP1068vdk(ZHGYiljv.E0\TQY59=1ym`!]ALPfea)L;U[XR=>4:pbi*TFE[ojht K2^RW[1733{kf#_OBRdcg}+B5WY^T9<:4r`o,VDKUmhnr"Itfe&XJA_kndx,R55=uid%YM@\jae{-V@A>3{kf#_O\EM`8vdk(ZHYN@#Jl;scn+WGTME$O=n5}al-QEVCK&M8:=6|nm.PBW@J)L;U[XR>>1:pbi*TF[LF%H?Q_T^325>tfe&XJ_HB!D3]SPZ4692xja"\NSDN-@7YW\V9:=6|nm.PBW@J)L;U[XR:>1:pbi*TF[LF%H?Q_T^725>tfe&XJ_HB!D3]SPZ0e3{kf#_O\EM,R`>tfe&XJ_HB!RDEe?wgj'[YTJ^CPFGf254=uid%Y_RH\M^DE`4(C9;1ym`!]S^DPIZ@Al8$O=<<4r`o,VVYA[DUMJi?!D334?wgj'[YTJ^CPFGf2*A4XX]U;=:5}al-QWZ@TEVLMh< K2^RW[4703{kf#_]PFRO\BCb6&M8T\YQ=169qeh)U[VLXARHId0,G6ZVSW:;<7ob/SQ\BVKXNOn:"I_uqjiftbi}ooSua}_g9qeh)U[VLXARHId332?wgj'[YTJ^CPFGf1*A753{kf#_]PFRO\BCb5&M;:>6|nm.PP[CUJWOLo>#J=169qeh)U[VLXARHId3,G6ZVSW9;<7ob/SQ\BVKXNOn9"I1ym`!]S^DPIZ@Al;$O>R^[_534?wgj'[YTJ^CPFGf1*A4XX]U>=:5}al-QWZ@TEVLMh? K2^RW[3763{kf#_]PFRO\BCb5&X;87ob/SQ\BVKXNOn9"_KH219qeh)U[VLXARHId3]wwlkdzlkiiQwos]e?wgj'[YTJ^CPFGf054=uid%Y_RH\M^DE`6(C9;1ym`!]S^DPIZ@Al:$O=<<4r`o,VVYA[DUMJi=!D334?wgj'[YTJ^CPFGf0*A4XX]U;=:5}al-QWZ@TEVLMh> K2^RW[4703{kf#_]PFRO\BCb4&M8T\YQ=169qeh)U[VLXARHId2,G6ZVSW:;<7ob/SQ\BVKXNOn8"I5}al-QWZ@TEVLMh> ]EF03?wgj'[YTJ^CPFGf0[qunejxnmykk_ymq[0=qienqMN781b?EF:;81J7<51zQf:793d9g07fsg8h;7?4n3a;>3=#:j:1>ok4}Rg4>7dc2h0:?9662g8`14g:0yPa=<5jm0j6<=;880e>f36i2|_;;4?:082>1g2sZo36?lk:`8271>>:o0h97}r:;91=6{=2583?x"5j009>=5+23c96gev<6:0g9ylg3290/>?j5929m67e=m21bm?4?:%01`??43g89o7h4;h:2>5<#:;n15>5a23a9<>=n?o0;6)<=d;;0?k45k3307d9k:18'67b=1:1e>?m5a:9j3g<72-89h77<;o01g?d<3`=26=4+23f9=6=i:;i1o65f7683>!45l3387c<=c;f8?l?3290/>?j5929m67e=821b5<4?:%01`??43g89o7?4;h:e>5<#:;n15>5a23a96>=n0m0;6)<=d;;0?k45k3907d66:18'67b=1:1e>?m54:9j<2<72-89h77<;o01g?3<3`2>6=4+23f9=6=i:;i1:65f8283>!45l3387c<=c;58?l2em3:17dk?:188m`4=831b84750;9j123=831b9><50;9j156=831b99l50;9ja1<722c:ml4?::k7`g<722c>;?4?::k;f?6=,;8o64=4n30`>40<3`3=6=4+23f9=6=i:;i1=854i8:94?"5:m02?6`=2b820>=n1h0;6)<=d;;0?k45k3;876g6c;29 74c2090b??j5929m67e=9810el>50;&16a<>;2d9>n4>0:9l10g=831d8nl50;9l0i4=359m67e=j21d>>950;&16a<5;=1e>?m5a:9l66?=83.9>i4=359m67e=121d>>l50;&16a<5;=1e>?m58:9l66b=83.9>i4=359m67e=?21d>>h50;&16a<5;=1e>?m56:9l617=83.9>i4=359m67e==21d>9=50;&16a<5;=1e>?m54:9l613=83.9>i4=359m67e=;21d>9950;&16a<5;=1e>?m52:9l0d`=831d9=h50;9l112=831d9;l50;9l0=>=831d8h650;9l172=831d>>;50;&16a<5;=1e>?m50:9l664=83.9>i4=359m67e=921d85h50;9l140=831d9f;65?!45j3>=76smcb83>7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;30?!3013;87psr}:ae6<7280;6=u+2b0967?<@;h37d<=9;29 7e52;827)<>f;6;?!45j3>376smcc83>7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;30?!3013;87psr}:a<7<7280;6=u+2b0967?<@;h37d<=9;29 7e52;827)<>f;70?!45j3?876smac83>7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;30?!3013;87psr}:a<5<7280;6=u+2b0967?<@;h37d<=9;29 7e52;827)<>f;1f?!45j39n76sma`83>7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;30?!3013;87psr}:a3`<7280;6=u+2b0967?<@;h37d<=9;29 7e52;827)<>f;3g?!45j3;o76sma883>7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;30?!3013;87psr}:a3f<7280;6=u+2b0967?<@;h37d<=9;29 7e52;827)<>f;63?!45j3>;76sma983>7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;30?!3013;87psr}:a3d<7280;6=u+2b0967?<@;h37d<=9;29 7e52;827)<>f;62?!45j3>:76sma683>7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;30?!3013;87psr}:a3=<7280;6=u+2b0967?<@;h37d<=9;29 7e52;827)<>f;76?!45j3?>76sma783>7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;30?!3013;87psr}:a=0<7280;6=u+2b0967?<@;h37d<=9;29 7e52;827)<>f;6`?!45j3>h76smc583>7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;30?!3013;87psr}:a=7<7280;6=u+2b0967?<@;h37d<=9;29 7e52;827)<>f;7`?!45j3?h76smc283>7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;30?!3013;87psr}:a=5<7280;6=u+2b0967?<@;h37d<=9;29 7e52;827)<>f;6e?!45j3>m76smb883>7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;30?!3013;87psr}:a<`<7280;6=u+2b0967?<@;h37d<=9;29 7e52;827)<>f;03?!45j38;76smb983>7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;30?!3013;87psr}:af;1g?!45j39o76smag83>7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;30?!3013;87psr}:a<=<7280;6=u+2b0967?<@;h37d<=9;29 7e52;827)<>f;7b?!45j3?j76smad83>7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;30?!3013;87psr}:a<3<7280;6=u+2b0967?<@;h37d<=9;29 7e52;827)<>f;72?!45j3?:76smae83>7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;30?!3013;87psr}:a<1<7280;6=u+2b0967?<@;h37d<=9;29 7e52;827)<>f;7;?!45j3?376smab83>7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;30?!3013;87psr}:a0g`=83;1<7>t$3a1>74>3A8i46g=2883>!4d:38956*=1g80<>"5:k08465rb5a3>5<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c956=#=>31=>5r}|8ygc6290:6=4?{%0`6?4512B9n55f23;94?"5k;09>45+20d962=#:;h1>:54}c3a6?6=:3:1N5j11b=o850;&1g7<6j?10c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7c=#=>31j6sr}|9~f4ga29096=4?{%0`6?bd3A8i46g>b783>!4d:3;i:65`23:94?"5k;09>55G2b38R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6k5+56;9b>{zut1vnh=50;394?6|,;i96?<6;I0a<>o5:00;6)"59o0946*=2c81<>=zj8h:6=4=:183!4d:3nh7E7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1j6*:788e?x{zu2wi=lk50;094?6|,;i96im4H3`;?l7e>3:1(?m=:0`5?>i5:10;6)N5k81]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9b>"2?00m7psr}:a0t$3a1>74>3A8i46g=2883>!4d:38956*=1g871>"5:k0?965rb5;a>5<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c956=#=>31=>5r}|8yg30>3:1=7>50z&1g7<5:01C>o64i30:>5<#:j81>?74$33e>6e<,;8i6>m4;|`632<72;0;6=u+2b09`f=O:k20e{e=:91<7?50;2x 7e52;827E7<=9:&15c<2n2.9>o4:f:9~f05329096=4?{%0`6?bd3A8i46g>b783>!4d:3;i:65`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z52;294~"5k;0oo6F=b99j5g0=83.9o?4>b798k74?290/>n<523:8L7e63_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;36?!3013;>7psr}:a157=83;1<7>t$3a1>74>3A8i46g=2883>!4d:38956*=1g862>"5:k0>:65rb421>5<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c956=#=>31=>5r}|8yg33k3:1=7>50z&1g7<5:01C>o64i30:>5<#:j81>?74$33e>72<,;8i6?:4;|`60a<72;0;6=u+2b09`f=O:k20e{em<0;6<4?:1y'6f4=:;30D?l7;h01=?6=,;i96?<6;%02b?4d3-89n7{e9k:1<7<50;2x 7e52mi0D?l7;h3a2?6=,;i967<=8:J1g4=Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5f:&63<44?:%0`6?4512.9=k4<5:&16g<4=21vn5<7s-8h>7jl;I0a<>o6j?0;6)=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5129'12?=9:1vqps4}c6gg?6=93:17<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;30?!3013;87psr}:a125=83;1<7>t$3a1>74>3A8i46g=2883>!4d:38956*=1g81f>"5:k09n65rb457>5<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c956=#=>31=>5r}|8yg>d290:6=4?{%0`6?4512B9n55f23;94?"5k;09>45+20d902=#:;h18:54}c`4>5<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c956=#=>31=>5r}|8yg?0290:6=4?{%0`6?4512B9n55f23;94?"5k;09>45+20d972=#:;h1?:54}ca6>5<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c956=#=>31=>5r}|8yg?>290:6=4?{%0`6?4512B9n55f23;94?"5k;09>45+20d907=#:;h18?54}ca5>5<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c956=#=>31=>5r}|8yg?e290:6=4?{%0`6?4512B9n55f23;94?"5k;09>45+20d97d=#:;h1?l54}ca4>5<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c956=#=>31=>5r}|8yg?c290:6=4?{%0`6?4512B9n55f23;94?"5k;09>45+20d967=#:;h1>?54}ca;>5<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c956=#=>31=>5r}|8yg?a290:6=4?{%0`6?4512B9n55f23;94?"5k;09>45+20d91`=#:;h19h54}ca:>5<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c956=#=>31=>5r}|8ygg6290:6=4?{%0`6?4512B9n55f23;94?"5k;09>45+20d90d=#:;h18l54}cab>5<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c956=#=>31=>5r}|8yg32j3:1=7>50z&1g7<5:01C>o64o30:>5<#:j81>?74$33e>75<,;8i6?=4;|`61d<72;0;6=u+2b09`f=O:k20e;|~y>{e7<=9:&15c<4n2.9>o4b783>!4d:3;i:65`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z2h7>51;294~"5k;09>45G2c:8k74>290/>n<523;8 77a2=o0(?o64i0`5>5<#:j81=o84;n01ae81!30i3;:7);89;32?x{zu2wi8n<50;394?6|,;i96?<6;I0a<>i5:00;6)"59o08?6*=2c807>=zj=i:6=4=:183!4d:3nh7E7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1=<5+56;954=zutw0qo:kf;295?6=8r.9o?4=289K6g>:4$30a>62<3th?hh4?:383>5}#:j81hn5G2c:8m4d1290/>n<51c48?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?;%74=?763twvq6sm4g594?7=83:p(?m=:30:?M4e02e9>44?:%0`6?4512.9=k4>b:&16g<6j21vn9h9:181>5<7s-8h>7jl;I0a<>o6j?0;6)=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5109'12?=981vqps4}c730?6=93:17<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;32?!3013;:7psr}:a11`=83;1<7>t$3a1>74>3A8i46a=2883>!4d:38956*=1g870>"5:k0?865rb46f>5<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c954=#=>31=<5r}|8yg4493:1=7>50z&1g7<5:01C>o64o30:>5<#:j81>?74$33e>7b<,;8i6?j4;|`175<72;0;6=u+2b09`f=O:k20e;|~y>{e::21<7?50;2x 7e52;827E7<=9:&15c<2<2.9>o4:4:9~f75029096=4?{%0`6?bd3A8i46g>b783>!4d:3;i:65`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z51;294~"5k;09>45G2c:8k74>290/>n<523;8 77a2;l0(?o64i0`5>5<#:j81=o84;n01ae81!30i3;:7);89;32?x{zu2wi>>m50;394?6|,;i96?<6;I0a<>i5:00;6)"59o08<6*=2c804>=zj;9i6=4=:183!4d:3nh7E7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1=<5+56;954=zutw0qo<?4$30a>67<3th9?i4?:383>5}#:j81hn5G2c:8m4d1290/>n<51c48?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?;%74=?763twvq6sm25294?7=83:p(?m=:30:?M4e02e9>44?:%0`6?4512.9=k4;9:&16g<3121vn?=i:181>5<7s-8h>7jl;I0a<>o6j?0;6)=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5109'12?=981vqps4}c076?6=93:17<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;32?!3013;:7psr}:a612=83;1<7>t$3a1>74>3A8i46a=2883>!4d:38956*=1g87`>"5:k0?h65rb360>5<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c954=#=>31=<5r}|8yg43>3:1=7>50z&1g7<5:01C>o64o30:>5<#:j81>?74$33e>06<,;8i68>4;|`100<72;0;6=u+2b09`f=O:k20e;|~y>{e:=21<7?50;2x 7e52;827E7<=9:&15c<4:2.9>o4<2:9~f72029096=4?{%0`6?bd3A8i46g>b783>!4d:3;i:65`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Zi<7>51;294~"5k;09>45G2c:8k74>290/>n<523;8 77a28i0(?o64i0`5>5<#:j81=o84;n01ae81!30i3;:7);89;32?x{zu2wi9<>50;394?6|,;i96?<6;I0a<>i5:00;6)"59o0>;6*=2c863>=zj<:m6=4=:183!4d:3nh7E7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1=<5+56;954=zutw0qo;;5;295?6=8r.9o?4=289K6g>0b<3th>894?:383>5}#:j81hn5G2c:8m4d1290/>n<51c48?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?;%74=?763twvq6sm57a94?7=83:p(?m=:30:?M4e02e9>44?:%0`6?4512.9=k4<9:&16g<4121vn88m:181>5<7s-8h>7jl;I0a<>o6j?0;6)=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5109'12?=981vqps4}c6;=?6=93:17<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;32?!3013;:7psr}:a0`?=83;1<7>t$3a1>74>3A8i46a=2883>!4d:38956*=1g82b>"5:k0:j65rb5g;>5<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c954=#=>31=<5r}|8yg35=3:1=7>50z&1g7<5:01C>o64o30:>5<#:j81>?74$33e>7g<,;8i6?o4;|`661<72;0;6=u+2b09`f=O:k20e;|~y>{e::<1<7?50;2x 7e52;827E7<=9:&15c<5m2.9>o4=e:9~f75229096=4?{%0`6?bd3A8i46g>b783>!4d:3;i:65`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z51;294~"5k;09>45G2c:8k74>290/>n<523;8 77a2;?0(?o64i0`5>5<#:j81=o84;n01ae81!30i3;:7);89;32?x{zu2wi84>50;394?6|,;i96?<6;I0a<>i5:00;6)"59o0>>6*=2c866>=zj=2m6=4=:183!4d:3nh7E7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1=<5+56;954=zutw0qo;>7;295?6=8r.9o?4=289K6g>0?<3th>=;4?:383>5}#:j81hn5G2c:8m4d1290/>n<51c48?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?;%74=?763twvq6sm50g94?7=83:p(?m=:30:?M4e02e9>44?:%0`6?4512.9=k4:b:&16g<2j21vn8?k:181>5<7s-8h>7jl;I0a<>o6j?0;6)=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5109'12?=981vqps4}c702?6=93:17<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;32?!3013;:7psr}:a0d1=83;1<7>t$3a1>74>3A8i46a=2883>!4d:38956*=1g877>"5:k0??65rb5c5>5<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c954=#=>31=<5r}|8yg32l3:1>7>50z&1g75<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi9;?50;094?6|,;i96im4H3`;?l7e>3:1(?m=:0`5?>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d6<729q/>n<52cd8L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2890(896:018yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c75=?6=>3:1n<51c48R7e328qG>n851zT15`<5s-89j7?m6:T2ea<5s-?g=zutw0eik50;&1g7{M0`2?7|^;;n6?u+23d9``=Q9hn1>v*:7`8a?!3013h0qpsr;hfe>5<#:j81hk5Y2b696~J5k?0:w[<>e;0x 74a2ml0Z;44k;|~H7e22=q]>l<53zTf=?4|,<=36ih4$20b>01f3t\nm74d13-99m7;8a:'1`g=9;1vZ?o=:2yUa<<5s-?<47ji;%11e?30i2w]il4={%742.8>l4:789~ 0cf2880q[;54kf:&06d<2?h1vZho52z&63=l4:7`9~ 0cf2j1vZ?1>vB=c782S46m38p(?28=0qpsC2b795~P5jk09w[k6:3y'12>=:h;0(>7<64:T1g1<5sE8h:7?tV33f>7}#:;l1>4:4V0cg>7}#=>k1=:5+56;952=zutF9o84>{W0af?4|^l31>v*:7981=1=#;;k19:o4}%7fe?703twv7b<=8;29 7e52;8376sm1c794?7=83:p(?m=:3a:?M4e02e9>54?:%0`6?45021vn?ln:182>5<7s-8h>7<=6:J1f==h:;21<7*=c3816==i7>53;294~"5k;09nk5G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c9f>"2?00i7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th>9k4?:083>5}#:j81>n74H3`;?j4503:1(?m=:30;?>{e=?:1<7=50;2x 7e52>?0D?l7;h3a3?6=,;i96ae81!30i3h0(896:c9~yx{55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e=?81<7950;2x 7e52>?0D?l7;h3a3?6=,;i96P5k=0:wAk4>b69U5db=:r.>;l4>0:&63<<682wvqp5f1c:94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k20Zo6kl0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95fc<^8ko6?u+56c952=#=>31=:5r}|8m4b6290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3g5>P6im09w);8a;34?!3013;<7psr}:k2`7<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h?5Y1`f96~"2?h0:;6*:78823>{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a135=83=1<7>t$3a1>23<@;h37d?m7;29 7e528h=7E{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c955=#=>31==5r}|8m4e4290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;33?!3013;;7psr}:k2g`<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51bg8R4gc2;q/9:o5169'12?=9>1vqps4i0f2>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k1:T2ea<5s-?d383>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l;1]=lj52z&63d<6?2.>;44>7:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d<682.>;44>0:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5119'12?=991vqps4i0a0>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?l3:T2ea<5s-?cd83>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=nk4V0cg>7}#=>k1=:5+56;952=zutw0e:18'6f4=9k<0D?m>;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:h?4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`7=Q9hn1>v*:7`823>"2?00:;6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi9;;50;:94?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`824>"2?00:<6sr}|9j5g>=83.9o?4>b79K6f7<^;i?628:0qpsr;h3`7?6=,;i96P5k=0:wAk4>c29U5db=:r.>;l4>0:&63<<682wvqp5f1bg94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9jo0Zo6l;0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a4<^8ko6?u+56c952=#=>31=:5r}|8m4b4290/>n<51c48R7e328qG>n851zTff?4|,;8m6413:147>50z&1g7<0=2B9n55f1c594?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64$45:>46o6k:0;6)P5k=0:wAk4>c29U5db=:r.>;l4>0:&63<<682wvqp5f1bg94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m641{zut1b=i<50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95a4<^8ko6?u+56c952=#=>31=:5r}|8m4b4290/>n<51c48R7e328qG>n851zTff?4|,;8m64150z&1g7<5:>1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2k1/9:75b:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5b:&63<c283>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63dg=zutw0e:18'6f4=9k<0Z?m;:0yO6f0=9r\nn74b63_;jh7g=#=>31n6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi??=50;094?6|,;i96im4H3`;?l7e>3:1(?m=:0`5?>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d3<729q/>n<51c18L7d?3-;o;7?6;h3a2?6=,;i961=vB=c782S46m38p(?a=#=>31h6sr}M0`1?2|^;k96>uYe881!3003nm7)==a;74e>{Qmh09w);88;3a2>"4:h0>;l5r$4gb>447=tVd;96~"2?10oj6*<2`863d=z^lk1>v*:7982f3=#;;k19:74}%7fe?753t\9m?4<{Wg:>7}#=>21hk5+33c912gh4={Wg:>7}#=>21hk5+33c912gk4=a09U5db=:r.>;l4>7:&63<<6?2wvqAl4:7`9~ 0cf28=0qps4i3;7>5<#:j81>4:4V3a7>7}K:j<1=vX=1d81!45n38286X>ae81!30i3;<7);89;34?x{zD;i>6?650;&1g7<5:110qo==5;297?6=8r.9o?4=bg9K6g>;44m;|~y>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d4<729q/>n<52b;8L7d?3f8947>5$3a1>74?32wi??950;194?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<6>2.>;44>6:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;d8 01>2o1vqps4i0`;>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`5>5<#:j81=o84;n01ae81!30i3h0(896:c9~yx{53;294~"5k;09nk5G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c956=#=>31=>5r}|8k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn86m:185>5<7s-8h>7?m3:J1f==#9m=1=45f1c494?"5k;0:n;5Y2b695~J5k?0:w[<>e;0x 74a28h=7[?nd;0x 01f2k1/9:75b:~yx=nll0;6)k4ke:T2ea<5s-?g=zutw0eih50;&1g7v*:7`8g?!3013n0qpsC2b790~P5i;08w[k6:3y'12>=lo1/??o556c8yScf2;q/9:651c48 64f2<=j7p*:e`826>{Q:h81?vXj9;0x 01?2ml0(>uYe881!3003nm7)==a;74e>{Qmh09w);88;ff?!55i3?{Q:;o1>vXj9;0x 01?2ml0(>77}#:;l1>l?4V0cg>7}#=>k1=:5+56;952=zutF9o84>{W0af?4|^l31>v*:7981e4=#;;k19:o4}%7fe?703twv7d<64;29 7e52;3?7[;44>7:~yI4d=3;pZ?lm:3yUa<<5s-?<47<64:&06d<2?h1v(8kn:058yx{<3th>4?4?:283>5}#:j81>oh4H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?g=zutw0e4d?3_;jh7g=#=>31n6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi95=50;394?6|,;i96?m6;I0a<>i5:10;6)=zj<2>6=4;:183!4d:3=>7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0m7);89;d8yx{z3`;i47>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<6>2.>;44>6:~yx=n9j91<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51b18R4gc2;q/9:o5179'12?=9?1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj<2=6=46:183!4d:3=>7E7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5f:&63<b983>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1j6*:788e?x{zu2c:o>4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`822>"2?00::6sr}|9j5fc=83.9o?4>b79K6f7<^;i?6n851zTff?4|,;8m6;W3b`?4|,<=j6<84$45:>40{zut1b=i=50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n87[?nd;0x 01f28<0(896:048yx{z3`;o87>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l=1]=lj52z&63d<6>2.>;44>6:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`8e?!3013l0qpsr;h3`7?6=,;i96ae81!30i3;=7);89;35?x{zu2c:oh4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`822>"2?00::6sr}|9j5a7=83.9o?4>b79K6f7<^;i?628<0qpsr;h3g6?6=,;i96P5k=0:wAk4>d39U5db=:r.>;l4>6:&63<<6>2wvqp5f1e194?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m640{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a1=>=83;:6=4?{%0`6?123A8i46g>b683>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1j6*:788e?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`8e?!3013l0qpsr;h3`7?6=,;i96ae81!30i3l0(896:g9~yx{o6l80;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a7<^8ko6?u+56c9b>"2?00m7psr}:k2`7<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e08R4gc2;q/9:o5179'12?=9?1vqps4i0f0>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k3:T2ea<5s-?d583>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i:4V0cg>7}#=>k1=;5+56;953=zutw0e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2c:h;4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`3=Q9hn1>v*:7`8a?!3013h0qpsr;h3a=?6=,;i96ae81!30i3h0(896:c9~yx{;44m;|~y>o6jj0;6)P5k=0:wAk4>bb9U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a1=?=83;:6=4?{%0`6?123A8i46g>b683>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1j6*:788e?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`8e?!3013l0qpsr;h3`7?6=,;i96ae81!30i3l0(896:g9~yx{o6l80;6)P5k=0:wAk4>d09U5db=:r.>;l4>6:&63<<6>2wvqp5f1e094?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m6405Y1`f96~"2?h0::6*:78822>{zut1b=i:50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n?7[?nd;0x 01f28<0(896:048yx{z3`;o97>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l<1]=lj52z&63d<6>2.>;44>6:~yx=n9m<1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e48R4gc2;q/9:o5b:&63<b883>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j01]=lj52z&63dg=zutw0e4de3_;jh7g=#=>31n6sr}|9j5ge=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=om4V0cg>7}#=>k1n6*:788a?x{zu2c:ni4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82fa=Q9hn1>v*:7`8a?!3013h0qpsr;h3aa?6=,;i96ae81!30i3h0(896:c9~yx{<^;i?65<1290;w)N5j11b=o950;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c9f>"2?00i7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0i7);89;`8yx{z3`;h?7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;`8 01>2k1vqps4i0af>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28in7[?nd;0x 01f2k1/9:75b:~yx=n9m;1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e38R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`5>5<#:j81=o84;n01ae81!30i3h0(896:c9~yx{56;294~"5k;0:n>5G2c:8 4b02830e2\:mi4={%74e?d<,<=26o5r}|8mac=83.9o?4ke:T1g1<6sE8h:7?tV33f>7}#:;l1hh5Y1`f96~"2?h0i7);89;`8yx{z3`nm6=4+2b09`c=Q:j>1>vB=c782S46m38p(?a`<,:8j689n;|Tfe?4|,<=36l4:7`9~R`g=:r.>;54ke:&06d<2?h1v(8kn:b9~R74b2;q]i44={%74e;0x 74a2;k:7[?nd;0x 01f28=0(896:058yx{K:j?1=vX=bc81Sc>2;q/9:652`38 64f2<=j7p*:e`823>{zu2c9594?:%0`6?4><2\9o94={M0`2?7|^;;n6?u+23d96<2<^8ko6?u+56c952=#=>31=:5r}|N1g0<6s_8in7{e;991<7=50;2x 7e52;hm7E7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5b:&63<b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0qo=?4;295?6=8r.9o?4=c89K6g><3th8<;4?:283>5}#:j81;85G2c:8m4d0290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;35?!3013;=7psr}:k2f=<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5179'12?=9?1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj::<6=46:183!4d:3=>7E7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5179'12?=9?1vqps4i0`;>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?c283>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1=;5+56;953=zutw0e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2c:h<4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m;0Zo6l:0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a5<^8ko6?u+56c953=#=>31=;5r}|8m4b3290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3g0>P6im09w);8a;35?!3013;=7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th8<84?:283>5}#:j81>?94H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?g=zutw0e4d?3_;jh7g=#=>31n6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi8;<50;094?6|,;i96im4H3`;?l7e>3:1(?m=:0`5?>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d3<729q/>n<51c18L7d?3-;o;7?6;h3a2?6=,;i961=vB=c782S46m38p(?a=#=>31h6sr}M0`1?2|^;k96>uYe881!3003nm7)==a;74e>{Qmh09w);88;3a2>"4:h0>;l5r$4gb>447=tVd;96~"2?10oj6*<2`863d=z^lk1>v*:7982f3=#;;k19:74}%7fe?753t\9m?4<{Wg:>7}#=>21hk5+33c912gn<52`38R7e32;qG>n851zT15`<5s-89j77g63-99m7;8a:'1`g=9>1vqp5f28694?"5k;09595Y2b696~J5k?0:w[<>e;0x 74a2;3?7[?nd;0x 01f28=0(896:058yx{K:j?1=vX=bc81Sc>2;q/9:652868 64f2<=j7p*:e`823>{zu2e9>54?:%0`6?45021vn98<:180>5<7s-8h>74d03_;jh7g=#=>31n6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`721<7280;6=u+2b096f?<@;h37b<=8;29 7e52;8376sm47494?5=83:p(?m=:678L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2k1/9:75b:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2k1/9:75b:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;d8 01>2o1vqps4i0`;>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d00;694?:1y'6f4=?<1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2o1/9:75f:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5179'12?=9?1vqps4i0a0>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?l3:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63dh0;694?:1y'6f4=?<1C>o64i0`4>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?c=zutw0e;W0`0?7|D;i=6ae81!30i3l0(896:g9~yx{i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d1<729q/>n<5749K6g>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d1<729q/>n<5749K6g>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d=<729q/>n<52358L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2k1/9:75b:~yx=n9j91<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51b18R4gc2;q/9:o5b:&63<cd83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6kl1]=lj52z&63dg=zutw0e4b53_;jh7g=#=>31n6sr}|9j5a5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i=4V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|```?6=:3:1N5j11b=o850;&1g7<6j?10c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~fa3=8381<7>t$3a1>ae<@;h37d?m6;29 7e528h=76a=2983>!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d50z&1g7<5jo1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2k1/9:75b:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5129'12?=9:1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zjmk1<7850;2x 7e528h87E2\9o94>{M0`2?7|^;;n6?u+23d95g0<^8ko6?u+56c9f>"2?00i7psr}:kga?6=,;i96ik4V3a7>4}K:j<1=vX=1d81!45n3nn7[?nd;0x 01f2k1/9:75b:~yx=nlo0;6)k4kf:T2ea<5s-?a=zutF9o84;{W0b6?5|^l31>v*:798gb>"4:h0>;l5rVdc96~"2?10:n;5+33c912g7}#=>21=o84$20b>01>3t.>il4>2:U6d4=;r\n57a`<,:8j689n;|Tfe?4|,<=36ik4$20b>01f3t.>il4l;|T16`<5s_o26?u+56:9`c=#;;k19:o4}%7fe?7?3twv7d;44>7:~yI4d=3;pZ?lm:3yUa<<5s-?<47P6im09w);8a;34?!3013;<7psrL3a6>4}Q:kh1>vXj9;0x 01?2;3?7)==a;74e>{#=lk1=:5r}|9l67>=83.9o?4=2998ygeb29086=4?{%0`6?4en2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z;44m;|~y>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4m;%74=?d554}cf2>5<4290;w)2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8a?!3013h0qpsr;h3aae81!30i3h0(896:c9~yx{<^;i?65$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;d8 01>2o1vqps4i0`;>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?c283>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63d<6>2.>;44>6:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<2<729q/>n<5749K6g>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4>6:&63<<6>2wvqp5f1b194?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9j90Z28<0qpsr;h3g5?6=,;i96P5k=0:wAk4>d09U5db=:r.>;l4>6:&63<<6>2wvqp5f1e094?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m640b683>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1=;5+56;953=zutw0e4d?3_;jh740<,<=26<84}|~?l7d;3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`8e?!3013l0qpsr;h3`a?6=,;i96ae81!30i3;=7);89;35?x{zu2c:h<4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m;0Zo6l:0;6)P5k=0:wAk4>d29U5db=:r.>;l4>6:&63<<6>2wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{el90;684?:1y'6f4=:;=0D?l7;h3a3?6=,;i96ae81!30i3h0(896:c9~yx{;44m;|~y>o6kl0;6)P5k=0:wAk4>cd9U5db=:r.>;l4m;%74=?db683>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1=:5+56;952=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:o>4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9j90Z1/9:75169~yx{o6l80;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a7<^8ko6?u+56c952=#=>31=:5r}|8m4b5290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3g6>P6im09w);8a;34?!3013;<7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3tho;7>51083>5}#:j81;85G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m641o6k:0;6)P5k=0:wAk4>c29U5db=:r.>;l4>7:&63<<6?2wvqp5f1bg94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9jo0Z1/9:75169~yx{o6l;0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a4<^8ko6?u+56c952=#=>31=:5r}|8m4b4290/>n<51c48R7e328qG>n851zTff?4|,;8m641o6l<0;6)P5k=0:wAk4>d49U5db=:r.>;l4>7:&63<<6?2wvqp5f1e494?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9m<0Z;44m;|~y>o6j00;6)P5k=0:wAk4>b89U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=om50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95ge<^8ko6?u+56c9f>"2?00i7psr}:k2fa<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:ni5Y1`f96~"2?h0i7);89;`8yx{z3`;ii7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3aa>P6im09w);8a;`8 01>2k1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zjm21<7=50;2x 7e52;8<7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0i7);89;`8yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;`8 01>2k1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj;:<6=4=:183!4d:3nh7E7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi><:50;194?6|,;i96?li;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4m;%74=?di5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d3<729q/>n<51c18L7d?3-;o;7?6;h3a2?6=,;i961=vB=c782S46m38p(?a=#=>31h6sr}M0`1?2|^;k96>uYe881!3003nm7)==a;74e>{Qmh09w);88;3a2>"4:h0>;l5r$4gb>447=tVd;96~"2?10oj6*<2`863d=z^lk1>v*:7982f3=#;;k19:74}%7fe?753t\9m?4<{Wg:>7}#=>21hk5+33c912gn<52`38R7e32;qG>n851zT15`<5s-89j77g63-99m7;8a:'1`g=9>1vqp5f28694?"5k;09595Y2b696~J5k?0:w[<>e;0x 74a2;3?7[?nd;0x 01f28=0(896:058yx{K:j?1=vX=bc81Sc>2;q/9:652868 64f2<=j7p*:e`823>{zu2e9>54?:%0`6?45021vn?>7:180>5<7s-8h>71=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5b:&63<b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0qo<3th95}#:j81;85G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c9f>"2?00i7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th95}#:j81;85G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c9f>"2?00i7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th95}#:j81;85G2c:8m4d0290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;35?!3013;=7psr}:k2f=<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5179'12?=9?1vqps4i0a0>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?l3:T2ea<5s-?cd83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6kl1]=lj52z&63d<6>2.>;44>6:~yx=n9m;1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74b63_;jh740<,<=26<84}|~?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo4d03_;jh740<,<=26<84}|~?l7e03:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`822>"2?00::6sr}|9j5f5=83.9o?4>b79K6f7<^;i?628<0qpsr;h3`a?6=,;i96P5k=0:wAk4>cd9U5db=:r.>;l4>6:&63<<6>2wvqp5f1e394?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9m;0Z<^;i?65<>290;w)2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8e?!3013l0qpsr;h3aP5k=0:wAk4>b99U5db=:r.>;l4>6:&63<<6>2wvqp5f1b194?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m640o6l80;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a7<^8ko6?u+56c9b>"2?00m7psr}:k2`7<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h?5Y1`f96~"2?h0m7);89;d8yx{z3`;o?7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l:1]=lj52z&63d<6>2.>;44>6:~yx=n9m>1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74b33_;jh740<,<=26<84}|~?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo<>0;29=?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5f:&63<b983>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1=;5+56;953=zutw0e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2c:oh4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`822>"2?00::6sr}|9j5a7=83.9o?4>b79K6f7<^;i?6n851zTff?4|,;8m6{zut1b=i=50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n87[?nd;0x 01f28<0(896:048yx{z3`;o87>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g0>P6im09w);8a;35?!3013;=7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th9=<4?:883>5}#:j81;85G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28<0(896:048yx{z3`;h?7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63d<6>2.>;44>6:~yx=n9jo1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51bg8R4gc2;q/9:o5179'12?=9?1vqps4i0f2>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n:7[?nd;0x 01f28<0(896:048yx{z3`;o>7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l;1]=lj52z&63d{M0`2?7|^lh1>v*=2g82`6=Q9hn1>v*:7`822>"2?00::6sr}|9j5a2=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i:4V0cg>7}#=>k1=;5+56;953=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f76f29036=4?{%0`6?45?2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z;44m;|~y>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=i?50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95a7<^8ko6?u+56c9f>"2?00i7psr}:k2`7<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h?5Y1`f96~"2?h0i7);89;`8yx{z3`;o?7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g7>P6im09w);8a;`8 01>2k1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj:;?6=4=:183!4d:3nh7E7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi?<650;094?6|,;i96im4H3`;?l7e>3:1(?m=:0`5?>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d6<729q/>n<52cd8L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2890(896:018yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c115?6=;3:14d03_;jh7g=#=>31n6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`065<72?0;6=u+2b095g5<@;h37)?k7;3:?l7e>3:1(?m=:0`5?S4d<3;p@?m9:0yU64c=:r.9>k4>b79U5db=:r.>;l4m;%74=?dn:51zN1g3<6s_8:i7ac<^8ko6?u+56c9f>"2?00i7psr}:kgb?6=,;i96ih4V3a7>7}K:j<1=vX=1d81!45n3nm7[?nd;0x 01f2m1/9:75d:~yI4d=3>pZ?o=:2yUa<<5s-?<47ji;%11e?30i2w]il4={%742.8>l4:7`9~ 0cf2880q[;54kf:&06d<2?h1vZho52z&63=<6j?1/??o556;8y!3bi3;97pX=a380Sc>2;q/9:65dg9'77g==>k0q[kn:3y'12>=ll1/??o556c8y!3bi3i0q[<=e;0xR`?=:r.>;54kf:&06d<2?h1v(8kn:0:8yx{P6im09w);8a;34?!3013;<7psrL3a6>4}Q:kh1>vXj9;0x 01?2;k:7)==a;74e>{#=lk1=:5r}|9j6<2=83.9o?4=959U6f2=:rF9o;4>{W02a?4|,;8m6?7;;W3b`?4|,<=j6<94$45:>41n;51zT1fg<5s_o26?u+56:96<2<,:8j689n;|&6ad<6?2wvq6a=2983>!4d:389465rb236>5<4290;w)N5j11b=o950;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c9f>"2?00i7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0i7);89;`8yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c122?6=93:16<729q/>n<5749K6g>;44m;|~y>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d<<729q/>n<5749K6g>28:0qpsr;h3aP5k=0:wAk4>b99U5db=:r.>;l4>7:&63<<6?2wvqp5f1b194?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m641o6l80;6)P5k=0:wAk4>d09U5db=:r.>;l4>7:&63<<6?2wvqp5f1e094?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9m80Zo6l=0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a2<^8ko6?u+56c952=#=>31=:5r}|8k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn>?n:1825?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5119'12?=991vqps4i0`;>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?c283>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63d<682.>;44>0:~yx=n9jo1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74eb3_;jh741<,<=26<94}|~?l7c93:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82`4=Q9hn1>v*:7`823>"2?00:;6sr}|9j5a4=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i<4V0cg>7}#=>k1=:5+56;952=zutw0e;W0`0?7|D;i=6ae81!30i3;;7);89;33?x{zu2c:h94?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`1=Q9hn1>v*:7`824>"2?00:<6sr}|9j5a3=83.9o?4>b79K6f7<^;i?628=0qpsr;h3g2?6=,;i96P5k=0:wAk4>d79U5db=:r.>;l4>7:&63<<6?2wvqp5f1c;94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k30Z;44m;|~y>o6jh0;6)P5k=0:wAk4>b`9U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=oj50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95gb<^8ko6?u+56c9f>"2?00i7psr}:k2f`<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:nh5Y1`f96~"2?h0i7);89;`8yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c12f?6=980;6=u+2b0930=O:k20e4d03_;jh746<,<=26<>4}|~?l7e03:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`824>"2?00:<6sr}|9j5f5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1==5+56;955=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:h<4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m;0Z1/9:75169~yx{28=0qpsr;h3g7?6=,;i96P5k=0:wAk4>d29U5db=:r.>;l4>0:&63<<682wvqp5f1e694?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64$45:>46{zut1b=i850;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95a0<^8ko6?u+56c952=#=>31=:5r}|8m4d>290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=oo50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95gg<^8ko6?u+56c9f>"2?00i7psr}:k2fg<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:no5Y1`f96~"2?h0i7);89;`8yx{z3`;io7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3ag>P6im09w);8a;`8 01>2k1vqps4i0`g>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28ho7[?nd;0x 01f2k1/9:75b:~yx=n9ko1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51cg8R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d?0D?l7;h3a3?6=,;i96ae81!30i3;;7);89;33?x{zu2c:n54?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k20Z28:0qpsr;h3`a?6=,;i96P5k=0:wAk4>cd9U5db=:r.>;l4>7:&63<<6?2wvqp5f1e394?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m6;W3b`?4|,<=j6<94$45:>41o6l:0;6)P5k=0:wAk4>d29U5db=:r.>;l4>7:&63<<6?2wvqp5f1e694?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9m>0Z6=4+2b095g0<@;i:7[o6l?0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a0<^8ko6?u+56c952=#=>31=:5r}|8m4d>290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=oo50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95gg<^8ko6?u+56c9f>"2?00i7psr}:k2fg<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:no5Y1`f96~"2?h0i7);89;`8yx{z3`;io7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3ag>P6im09w);8a;`8 01>2k1vqps4i0`g>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28ho7[?nd;0x 01f2k1/9:75b:~yx=n9ko1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51cg8R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d?0D?l7;h3a3?6=,;i96ae81!30i3;;7);89;33?x{zu2c:n54?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k20Z28:0qpsr;h3`a?6=,;i96P5k=0:wAk4>cd9U5db=:r.>;l4>7:&63<<6?2wvqp5f1e394?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m6;W3b`?4|,<=j6<94$45:>41o6l:0;6)P5k=0:wAk4>d29U5db=:r.>;l4>7:&63<<6?2wvqp5f1e694?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64$45:>46{zut1b=i850;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95a0<^8ko6?u+56c952=#=>31=:5r}|8m4d>290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=oo50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95gg<^8ko6?u+56c9f>"2?00i7psr}:k2fg<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:no5Y1`f96~"2?h0i7);89;`8yx{z3`;io7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3ag>P6im09w);8a;`8 01>2k1vqps4i0`g>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28ho7[?nd;0x 01f2k1/9:75b:~yx=n9ko1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51cg8R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63dae81!30i3h0(896:c9~yx{;44m;|~y>o6kl0;6)P5k=0:wAk4>cd9U5db=:r.>;l4m;%74=?dn<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn:181>5<7s-8h>7jl;I0a<>o6j?0;6)=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<9:k2f3<72-8h>7?m6:T1g1<6sE8h:7?tV33f>7}#:;l1=o84V0cg>7}#=>k1n6*:788a?x{zu2coi7>5$3a1>ac<^;i?6!4d:3nm7[7=tVd;96~"2?10oj6*<2`863d=z^lk1>v*:7982f3=#;;k19:o4}%7fe?753t\9m?4<{Wg:>7}#=>21hk5+33c912gl<53zTf=?4|,<=36ih4$20b>01f3t\nm7ac<,:8j689n;|&6ad<6:2w]>?k52zTf=?4|,<=36ih4$20b>01f3t.>il4>8:~y>o5i80;6)P5k=09wA?h52`38R4gc2;q/9:o5169'12?=9>1vqpB=c482S4ej38pZh752z&63=<5i81/??o556c8y!3bi3;<7psr;h0:0?6=,;i96?7;;W0`0?4|D;i=6{zuE8h97?tV3`a>7}Qm009w);88;0:0>"4:h0>;l5r$4gb>41f283>6<729q/>n<52cd8L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2k1/9:75b:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<54?:%0`6?45021vn5<7s-8h>79:;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4>6:&63<<6>2wvqp5f1c:94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a5c1=8391<7>t$3a1>23<@;h37d?m7;29 7e528h=7E{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c953=#=>31=;5r}|8k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn5<7s-8h>7<=7:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5b:&63<b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0qo?i2;297?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5179'12?=9?1vqps4i0`;>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`5>5<#:j81=o84;n01ae81!30i3h0(896:c9~yx{56;294~"5k;0:n>5G2c:8 4b02830e2\:mi4={%74e?d<,<=26o5r}|8mac=83.9o?4ke:T1g1<6sE8h:7?tV33f>7}#:;l1hh5Y1`f96~"2?h0i7);89;`8yx{z3`nm6=4+2b09`c=Q:j>1>vB=c782S46m38p(?a`<,:8j689n;|Tfe?4|,<=36l4:7`9~R`g=:r.>;54ke:&06d<2?h1v(8kn:008yS45m38pZh752z&63=l4:7`9~ 0cf2820qps4i3c2>5<#:j81>l?4V3a7>7}K:j<1=vX=1d81!45n38j=6X>ae81!30i3;<7);89;34?x{zD;i>64:50;&1g7<51=1]>n:52zN1g3<6s_8:i77?33_;jh741<,<=26<94}|O6f3=9r\9no4={Wg:>7}#=>21>4:4$20b>01f3t.>il4>7:~y>i5:10;6)=zj;;<6=4<:183!4d:38ij6F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1n6*:788a?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`8a?!3013h0qpsr;n01ae81!30i3h0(896:c9~yx{51;294~"5k;09o45G2c:8k74?290/>n<523:8?xd59h0;6>4?:1y'6f4=?<1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28<0(896:048yx{z3`;i47>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0qo<>b;297?6=8r.9o?485:J1f==n9k=1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d03_;jh740<,<=26<84}|~?l7e03:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d4?:1y'6f4=:;=0D?l7;h3a3?6=,;i96ae81!30i3h0(896:c9~yx{55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e:8<1<7=50;2x 7e52>?0D?l7;h3a3?6=,;i96ae81!30i3;=7);89;35?x{zu2c:n54?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k20Z<^;i?65<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a6db=8391<7>t$3a1>7da3A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d3`;i:7>5$3a1>4d13_8h87?tL3a5>4}Q:8o1>v*=2g82f3=Q9hn1>v*:7`8a?!3013h0qpsr;hff>5<#:j81hh5Y2b695~J5k?0:w[<>e;0x 74a2mo0Z;44m;|~y>ocn3:1(?m=:ed8R7e32;qG>n851zT15`<5s-89j7ji;W3b`?4|,<=j6i5+56;9`>{zuE8h97:tV3c1>6}Qm009w);88;fe?!55i3?v*:798gb>"4:h0>;l5rVdc96~"2?10:n;5+33c912?7}#=>21hh5+33c912gv*:798gb>"4:h0>;l5r$4gb>4>:18'6f4=:h;0Z?m;:3yO6f0=9r\9=h4={%01b?4f92\:mi4={%74e?703-?<57?8;|~H7e228q]>ol52zTf=?4|,<=36?o>;%11e?30i2w/9ho5169~yx=n:0>1<7*=c381=1=Q:j>1>vB=c782S46m38p(?28=0qpsC2b795~P5jk09w[k6:3y'12>=:0>0(>7<=8:9~f7g229086=4?{%0`6?4en2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z;44m;|~y>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4m;%74=?d3:1=7>50z&1g7<5k01C>o64o30;>5<#:j81>?64;|`1e=<72=0;6=u+2b0930=O:k20e4d03_;jh7c=#=>31j6sr}|9j5g>=83.9o?4>b79K6f7<^;i?628<0qpsr;h3`7?6=,;i96ae81!30i3;=7);89;35?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`1e<<728;1<7>t$3a1>23<@;h37d?m7;29 7e528h=7E5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<6>2.>;44>6:~yx=n9j91<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74e43_;jh740<,<=26<84}|~?l7dm3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`822>"2?00::6sr}|9j5a7=83.9o?4>b79K6f7<^;i?628<0qpsr;h3g6?6=,;i96P5k=0:wAk4>d39U5db=:r.>;l4>6:&63<<6>2wvqp5f1e194?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m640{zut1b=i;50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n>7[?nd;0x 01f28<0(896:048yx{z3`;o:7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g2>P6im09w);8a;`8 01>2k1vqps4i0`:>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h27[?nd;0x 01f2k1/9:75b:~yx=n9kk1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51cc8R4gc2;q/9:o5b:&63<bc83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6jk1]=lj52z&63dg=zutw0e4dc3_;jh7g=#=>31n6sr}|9j5gc=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=ok4V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`1ed<728;1<7>t$3a1>23<@;h37d?m7;29 7e528h=7[o6j10;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c953=#=>31=;5r}|8m4e4290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;35?!3013;=7psr}:k2g`<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51bg8R4gc2;q/9:o5179'12?=9?1vqps4i0f2>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k1:T2ea<5s-?d383>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i<4V0cg>7}#=>k1=;5+56;953=zutw0e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2c:h94?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m>0Z6=4+2b095g0<@;i:7[o6l?0;6)P5k=0:wAk4>d79U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=ol50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95gd<^8ko6?u+56c9f>"2?00i7psr}:k2ff<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:nn5Y1`f96~"2?h0i7);89;`8yx{z3`;ih7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a`>P6im09w);8a;`8 01>2k1vqps4i0`f>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28hn7[?nd;0x 01f2k1/9:75b:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8a?!3013h0qpsr;h3aae81!30i3h0(896:c9~yx{55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e:ho1<7<50;2x 7e52mi0D?l7;h3a2?6=,;i967<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th9n:4?:283>5}#:j81>oh4H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?g=zutw0e4d?3_;jh745<,<=26<=4}|~?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qob29K6g><,8n<6<74i0`5>5<#:j81=o84V3a7>4}K:j<1=vX=1d81!45n3;i:6X>ae81!30i3h0(896:c9~yx{P5k=0:wA?h5dd9U5db=:r.>;l4m;%74=?dn:52zN1g3<6s_8:i7a`<^8ko6?u+56c9`>"2?00o7psrL3a6>1}Q:h81?vXj9;0x 01?2ml0(>uYe881!3003nm7)==a;74e>{Qmh09w);88;3a2>"4:h0>;45r$4gb>447=tVd;96~"2?10oj6*<2`863d=z^lk1>v*:798ga>"4:h0>;l5r$4gb>f=z^;8n6?uYe881!3003nm7)==a;74e>{#=lk1=55r}|9j6d7=83.9o?4=a09U6f2=:rF9o;4>{W02a?4|,;8m6?o>;W3b`?4|,<=j6<94$45:>41n;51zT1fg<5s_o26?u+56:96d7<,:8j689n;|&6ad<6?2wvq6g=9583>!4d:38286X=c581I4d>3;pZ??j:3y'67`=:0>0Z1/9:75169~yxJ5k<0:w[;54=959'77g==>k0q);ja;34?x{z3f8947>5$3a1>74?32wi>lh50;194?6|,;i96?li;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4m;%74=?dn<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn?l?:182>5<7s-8h>77>54;294~"5k;0<96F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1j6*:788e?x{zu2c:n54?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k20Z28<0qpsr;n01ae81!30i3h0(896:c9~yx{51083>5}#:j81;85G2c:8m4d0290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;d8 01>2o1vqps4i0`;>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?c283>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1=;5+56;953=zutw0e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2c:h<4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m;0Zo6l:0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a5<^8ko6?u+56c953=#=>31=;5r}|8m4b3290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3g0>P6im09w);8a;35?!3013;=7psr}:k2`0<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e78R4gc2;q/9:o5179'12?=9?1vqps4i0f5>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k6:T2ea<5s-?b883>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j01]=lj52z&63d<6>2.>;44>6:~yx=n9kk1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51cc8R4gc2;q/9:o5b:&63<bc83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6jk1]=lj52z&63dg=zutw0e4dc3_;jh7g=#=>31n6sr}|9j5gc=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=ok4V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`1f1<728;1<7>t$3a1>23<@;h37d?m7;29 7e528h=7[o6j10;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c953=#=>31=;5r}|8m4e4290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;35?!3013;=7psr}:k2g`<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51bg8R4gc2;q/9:o5179'12?=9?1vqps4i0f2>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k1:T2ea<5s-?d383>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i<4V0cg>7}#=>k1=;5+56;953=zutw0e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2c:h94?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m>0Z6=4+2b095g0<@;i:7[o6l?0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a0<^8ko6?u+56c953=#=>31=;5r}|8m4d>290/>n<51c48R7e328qG>n851zTff?4|,;8m640n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=om50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95ge<^8ko6?u+56c9f>"2?00i7psr}:k2fa<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:ni5Y1`f96~"2?h0i7);89;`8yx{z3`;ii7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3aa>P6im09w);8a;`8 01>2k1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj;h:6=4;:183!4d:389;6F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1n6*:788a?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`8a?!3013h0qpsr;h3`7?6=,;i96ae81!30i3h0(896:c9~yx{<^;i?65<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a745=8391<7>t$3a1>7da3A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d3`;i:7>5$3a1>4d13_8h87?tL3a5>4}Q:8o1>v*=2g82f3=Q9hn1>v*:7`8a?!3013h0qpsr;hff>5<#:j81hh5Y2b695~J5k?0:w[<>e;0x 74a2mo0Z;44m;|~y>ocn3:1(?m=:ed8R7e32;qG>n851zT15`<5s-89j7ji;W3b`?4|,<=j6i5+56;9`>{zuE8h97:tV3c1>6}Qm009w);88;fe?!55i3?v*:798gb>"4:h0>;l5rVdc96~"2?10:n;5+33c912?7}#=>21hh5+33c912gv*:798gb>"4:h0>;l5r$4gb>4>:18'6f4=:h;0Z?m;:3yO6f0=9r\9=h4={%01b?4f92\:mi4={%74e?703-?<57?8;|~H7e228q]>ol52zTf=?4|,<=36?o>;%11e?30i2w/9ho5169~yx=n:0>1<7*=c381=1=Q:j>1>vB=c782S46m38p(?28=0qpsC2b795~P5jk09w[k6:3y'12>=:0>0(>7<=8:9~f66e29086=4?{%0`6?4en2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z;44m;|~y>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4m;%74=?d50z&1g7<5k01C>o64o30;>5<#:j81>?64;|`04`<72=0;6=u+2b0930=O:k20e4d03_;jh7c=#=>31j6sr}|9j5g>=83.9o?4>b79K6f7<^;i?628<0qpsr;h3`7?6=,;i96ae81!30i3;=7);89;35?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`04c<728;1<7>t$3a1>23<@;h37d?m7;29 7e528h=7E5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<6>2.>;44>6:~yx=n9j91<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74e43_;jh740<,<=26<84}|~?l7dm3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`822>"2?00::6sr}|9j5a7=83.9o?4>b79K6f7<^;i?628<0qpsr;h3g6?6=,;i96P5k=0:wAk4>d39U5db=:r.>;l4>6:&63<<6>2wvqp5f1e194?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m640{zut1b=i;50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n>7[?nd;0x 01f28<0(896:048yx{z3`;o:7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l?1]=lj52z&63d<6>2.>;44>6:~yx=n9k31<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c;8R4gc2;q/9:o5b:&63<b`83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6jh1]=lj52z&63dg=zutw0e4dd3_;jh7g=#=>31n6sr}|9j5gb=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=oj4V0cg>7}#=>k1n6*:788a?x{zu2c:nh4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f`=Q9hn1>v*:7`8a?!3013h0qpsr;n01ae81!30i3h0(896:c9~yx{51083>5}#:j81;85G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m640{zut1b=n=50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28i87[?nd;0x 01f28<0(896:048yx{z3`;hi7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6kl1]=lj52z&63d<6>2.>;44>6:~yx=n9m;1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74b63_;jh740<,<=26<84}|~?l7c:3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82`7=Q9hn1>v*:7`822>"2?00::6sr}|9j5a5=83.9o?4>b79K6f7<^;i?628<0qpsr;h3g0?6=,;i96P5k=0:wAk4>d59U5db=:r.>;l4>6:&63<<6>2wvqp5f1e794?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m640{zut1b=o750;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g?<^8ko6?u+56c9f>"2?00i7psr}:k2fd<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:nl5Y1`f96~"2?h0i7);89;`8yx{z3`;in7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3af>P6im09w);8a;`8 01>2k1vqps4i0``>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28hh7[?nd;0x 01f2k1/9:75b:~yx=n9kn1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51cf8R4gc2;q/9:o5b:&63<bd83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6jl1]=lj52z&63dg=zutw0qo=?d;290?6=8r.9o?4=269K6g>;44m;|~y>o6k:0;6)P5k=0:wAk4>c29U5db=:r.>;l4m;%74=?d7>50z&1g75<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj:936=4=:183!4d:3nh7E7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi?>l50;494?6|,;i96"6l>0:56g>b783>!4d:3;i:6X=c582I4d>3;pZ??j:3y'67`=9k<0Z;44m;|~y>ocm3:1(?m=:eg8R7e328qG>n851zT15`<5s-89j7jj;W3b`?4|,<=j6o5+56;9f>{zut1bhk4?:%0`6?ba3_8h874}Q:8o1>v*=2g8gb>P6im09w);8a;f8 01>2m1vqpB=c487S4f:39pZh752z&63=l4:7`9~R`g=:r.>;54>b79'77g==>k0q);ja;31?xP5i;08w[k6:3y'12>=lo1/??o556c8yScf2;q/9:651c48 64f2<=27p*:e`826>{Q:h81?vXj9;0x 01?2ml0(>=lo1/??o556c8y!3bi3;37psr;h0b5?6=,;i96?o>;W0`0?4|D;i=6{zuE8h97?tV3`a>7}Qm009w);88;0b5>"4:h0>;l5r$4gb>410Z?m;:3yO6f0=9r\9=h4={%01b?4><2\:mi4={%74e?703-?<57?8;|~H7e228q]>ol52zTf=?4|,<=36?7;;%11e?30i2w/9ho5169~yx=h:;21<7*=c3816==7>53;294~"5k;09nk5G2c:8m4d0290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2k1/9:75b:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<54?:%0`6?45021vn>=::180>5<7s-8h>79:;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4i;%74=?`{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a760=8391<7>t$3a1>23<@;h37d?m7;29 7e528h=7E{zut1b=o650;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28<0(896:048yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c103?6=>3:1N5j11b=o950;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2o1/9:75f:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5f:&63<c283>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1j6*:788e?x{zu2c:oh4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`8e?!3013l0qpsr;h3g5?6=,;i96P5k=0:wAk4>d09U5db=:r.>;l4>6:&63<<6>2wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e;:>1<7:50;2x 7e52;8<7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0i7);89;`8yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;`8 01>2k1vqps4i0a0>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i87[?nd;0x 01f2k1/9:75b:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<=83:p(?m=:678L7d?3`;i;7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d<6?2.>;44>7:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5169'12?=9>1vqps4i0a0>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i87[?nd;0x 01f28=0(896:058yx{z3`;hi7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`a>P6im09w);8a;34?!3013;<7psr}:k2`4<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e38R4gc2;q/9:o5169'12?=9>1vqps4i0f1>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k2:T2ea<5s-?d283>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l:1]=lj52z&63d<6?2.>;44>7:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d132e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`006<72?0;6=u+2b095g5<@;h37)?k7;3:?l7e>3:1(?m=:0`5?S4d<3;p@?m9:0yU64c=:r.9>k4>b79U5db=:r.>;l4m;%74=?dn:51zN1g3<6s_8:i7ac<^8ko6?u+56c9f>"2?00i7psr}:kgb?6=,;i96ih4V3a7>7}K:j<1=vX=1d81!45n3nm7[?nd;0x 01f2m1/9:75d:~yI4d=3>pZ?o=:2yUa<<5s-?<47ji;%11e?30i2w]il4={%742.8>l4:7`9~ 0cf2880q[;54kf:&06d<2?h1vZho52z&63=<6j?1/??o556;8y!3bi3;97pX=a380Sc>2;q/9:65dg9'77g==>k0q[kn:3y'12>=ll1/??o556c8y!3bi3i0q[<=e;0xR`?=:r.>;54kf:&06d<2?h1v(8kn:0:8yx{P6im09w);8a;34?!3013;<7psrL3a6>4}Q:kh1>vXj9;0x 01?2;k:7)==a;74e>{#=lk1=:5r}|9j6<2=83.9o?4=959U6f2=:rF9o;4>{W02a?4|,;8m6?7;;W3b`?4|,<=j6<94$45:>41n;51zT1fg<5s_o26?u+56:96<2<,:8j689n;|&6ad<6?2wvq6a=2983>!4d:389465rb21g>5<4290;w)N5j11b=o950;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2k1/9:75b:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63dt$3a1>23<@;h37d?m7;29 7e528h=7[n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;35?!3013;=7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th88<4?:283>5}#:j81;85G2c:8m4d0290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;35?!3013;=7psr}:k2f=<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5179'12?=9?1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj:9m6=4<:183!4d:389;6F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1n6*:788a?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`8a?!3013h0qpsr;n01ae81!30i3h0(896:c9~yx{52;294~"5k;0oo6F=b99j5g0=83.9o?4>b798k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn>:l:185>5<7s-8h>7?m3:J1f==#9m=1=45f1c494?"5k;0:n;5Y2b695~J5k?0:w[<>e;0x 74a28h=7[?nd;0x 01f2k1/9:75b:~yx=nll0;6)k4ke:T2ea<5s-?g=zutw0eih50;&1g7v*:7`8g?!3013n0qpsC2b790~P5i;08w[k6:3y'12>=lo1/??o556c8yScf2;q/9:651c48 64f2<=j7p*:e`826>{Q:h81?vXj9;0x 01?2ml0(>uYe881!3003nm7)==a;74e>{Qmh09w);88;ff?!55i3?{Q:;o1>vXj9;0x 01?2ml0(>77}#:;l1>l?4V0cg>7}#=>k1=:5+56;952=zutF9o84>{W0af?4|^l31>v*:7981e4=#;;k19:o4}%7fe?703twv7d<64;29 7e52;3?7[;44>7:~yI4d=3;pZ?lm:3yUa<<5s-?<47<64:&06d<2?h1v(8kn:058yx{<3th8884?:283>5}#:j81>oh4H3`;?l7e?3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8a?!3013h0qpsr;h3aae81!30i3h0(896:c9~yx{<^;i?65<6290;w)N5j11d>?650;&1g7<5:110qo=;8;297?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5f:&63<b983>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1=;5+56;953=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f62>290=6=4?{%0`6?123A8i46g>b683>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1j6*:788e?x{zu2c:n54?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k20Z;44i;|~y>o6k:0;6)P5k=0:wAk4>c29U5db=:r.>;l4>6:&63<<6>2wvqp5f1bg94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m640{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a71g=83=1<7>t$3a1>23<@;h37d?m7;29 7e528h=7E5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;d8 01>2o1vqps4i0a0>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i87[?nd;0x 01f2o1/9:75f:~yx=n9jo1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74eb3_;jh740<,<=26<84}|~?l7c93:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82`4=Q9hn1>v*:7`822>"2?00::6sr}|9j5a4=83.9o?4>b79K6f7<^;i?628<0qpsr;n01ae81!30i3h0(896:c9~yx{54;294~"5k;09>:5G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c9f>"2?00i7psr}:k2g6<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o>5Y1`f96~"2?h0i7);89;`8yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c17`?6=:3:1N5j11b=o850;&1g7<6j?10c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f63429096=4?{%0`6?bd3A8i46g>b783>!4d:3;i:65`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e;2\9o94>{M0`2?7|^;;n6?u+23d95g0<^8ko6?u+56c9f>"2?00i7psr}:kga?6=,;i96ik4V3a7>4}K:j<1=vX=1d81!45n3nn7[?nd;0x 01f2k1/9:75b:~yx=nlo0;6)k4kf:T2ea<5s-?a=zutF9o84;{W0b6?5|^l31>v*:798gb>"4:h0>;l5rVdc96~"2?10:n;5+33c912g7}#=>21=o84$20b>01>3t.>il4>2:U6d4=;r\n57a`<,:8j689n;|Tfe?4|,<=36ik4$20b>01f3t.>il4l;|T16`<5s_o26?u+56:9`c=#;;k19:o4}%7fe?7?3twv7d;44>7:~yI4d=3;pZ?lm:3yUa<<5s-?<47P6im09w);8a;34?!3013;<7psrL3a6>4}Q:kh1>vXj9;0x 01?2;3?7)==a;74e>{#=lk1=:5r}|9l67>=83.9o?4=2998yg53m3:1?7>50z&1g7<5jo1C>o64i0`4>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?g=zutw0e4d?3_;jh7g=#=>31n6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi?9h50;394?6|,;i96?m6;I0a<>i5:10;6)=zj:?:6=4<:183!4d:3=>7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0i7);89;`8yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;`8 01>2k1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj:?96=4<:183!4d:3=>7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0m7);89;d8yx{z3`;i47>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<6>2.>;44>6:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8a?!3013h0qpsr;h3aae81!30i3h0(896:c9~yx{<^;i?65<4290;w)2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`824>"2?00:<6sr}|9j5g>=83.9o?4>b79K6f7<^;i?628=0qpsr;n01ae81!30i3h0(896:c9~yx{97>52083>5}#:j81;85G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46o6k:0;6)P5k=0:wAk4>c29U5db=:r.>;l4>0:&63<<682wvqp5f1bg94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9jo0Z28:0qpsr;h3g6?6=,;i966X>ae81!30i3;;7);89;33?x{zu2c:h>4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`6=Q9hn1>v*:7`824>"2?00:<6sr}|9j5a2=83.9o?4>b79K6f7<^;i?628:0qpsr;h3g1?6=,;i96ae81!30i3;;7);89;33?x{zu2c:h;4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`3=Q9hn1>v*:7`824>"2?00:<6sr}|9j5g?=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o74V0cg>7}#=>k1==5+56;955=zutw0e4df3_;jh746<,<=26<>4}|~?l7ej3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?mb:T2ea<5s-?bb83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6jj1]=lj52z&63d<682.>;44>0:~yx=n9kn1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51cf8R4gc2;q/9:o5119'12?=991vqps4i0`f>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?me:T2ea<5s-?bg83>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=oh4V0cg>7}#=>k1==5+56;955=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:o<4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9j;0Z1/9:75169~yx{0Z;44m;|~y>o6k<0;6)P5k=0:wAk4>c49U5db=:r.>;l4m;%74=?d2\:mi4={%74e?d<,<=26o5r}|8m4e0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=n650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f><^8ko6?u+56c9f>"2?00i7psr}:k2g<<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o45Y1`f96~"2?h0i7);89;`8yx{z3`;hm7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`e>P6im09w);8a;`8 01>2k1vqps4i0aa>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28ii7[?nd;0x 01f2k1/9:75b:~yx=n9ji1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51ba8R4gc2;q/9:o5b:&63<ce83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6km1]=lj52z&63dg=zutw0e4b73_;jh7g=#=>31n6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi?8850;02>5<7s-8h>79:;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4>0:&63<<682wvqp5f1c:94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k20Z28:0qpsr;h3`a?6=,;i96ae81!30i3;;7);89;33?x{zu2c:h<4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`4=Q9hn1>v*:7`824>"2?00:<6sr}|9j5a4=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i<4V0cg>7}#=>k1==5+56;955=zutw0e4b43_;jh746<,<=26<>4}|~?l7c<3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82`1=Q9hn1>v*:7`824>"2?00:<6sr}|9j5a3=83.9o?4>b79K6f7<^;i?628:0qpsr;h3g2?6=,;i96ae81!30i3;;7);89;33?x{zu2c:n44?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f<=Q9hn1>v*:7`824>"2?00:<6sr}|9j5gg=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=oo4V0cg>7}#=>k1==5+56;955=zutw0e4de3_;jh746<,<=26<>4}|~?l7ek3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?mc:T2ea<5s-?be83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6jm1]=lj52z&63d<682.>;44>0:~yx=n9ko1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51cg8R4gc2;q/9:o5169'12?=9>1vqps4i0`e>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?mf:T2ea<5s-?c183>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=n>4V0cg>7}#=>k1=:5+56;952=zutw0e:18'6f4=9k<0D?m>;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:o?4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g7=Q9hn1>v*:7`8a?!3013h0qpsr;h3`0?6=,;i96ae81!30i3h0(896:c9~yx{6=4+2b095g0<^;i?6;44m;|~y>o6k>0;6)P5k=0:wAk4>c69U5db=:r.>;l4m;%74=?d290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=no50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95fg<^8ko6?u+56c9f>"2?00i7psr}:k2gg<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:oo5Y1`f96~"2?h0i7);89;`8yx{z3`;ho7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`g>P6im09w);8a;`8 01>2k1vqps4i0ag>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28io7[?nd;0x 01f2k1/9:75b:~yx=n9jl1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51bd8R4gc2;q/9:o5b:&63<d183>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l91]=lj52z&63dg=zutw0qo=:7;2964<729q/>n<5749K6g>28:0qpsr;h3aae81!30i3;;7);89;33?x{zu2c:o>4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9j90Z28:0qpsr;h3g5?6=,;i96P5k=0:wAk4>d09U5db=:r.>;l4>0:&63<<682wvqp5f1e094?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64$45:>465Y1`f96~"2?h0:<6*:78824>{zut1b=i:50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n?7[?nd;0x 01f28:0(896:028yx{z3`;o97>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l<1]=lj52z&63d<682.>;44>0:~yx=n9m<1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e48R4gc2;q/9:o5119'12?=991vqps4i0`:>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h27[?nd;0x 01f28:0(896:028yx{z3`;im7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3ae>P6im09w);8a;33?!3013;;7psr}:k2fg<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:no5Y1`f96~"2?h0:<6*:78824>{zut1b=om50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95ge<^8ko6?u+56c955=#=>31==5r}|8m4dc290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46o6jo0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g`<^8ko6?u+56c955=#=>31==5r}|8m4e7290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`4>P6im09w);8a;34?!3013;<7psr}:k2g4<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51b38R4gc2;q/9:o5169'12?=9>1vqps4i0a1>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i97[?nd;0x 01f2k1/9:75b:~yx=n9j>1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51b68R4gc2;q/9:o5b:&63<c483>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k<1]=lj52z&63d3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?l6:T2ea<5s-?g=zutw0e4e03_;jh7g=#=>31n6sr}|9j5f>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n64V0cg>7}#=>k1n6*:788a?x{zu2c:o44?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g<=Q9hn1>v*:7`8a?!3013h0qpsr;h3`e?6=,;i96ae81!30i3h0(896:c9~yx{;44m;|~y>o6km0;6)P5k=0:wAk4>ce9U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a70>=83?1<7>t$3a1>7403A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63dg=zutw0e4e43_;jh7g=#=>31n6sr}|9j5fc=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=nk4V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`01g<72;0;6=u+2b09`f=O:k20e3:1:7>50z&1g7<6j:1C>o64$0f4>4?P6im09w);8a;`8 01>2k1vqps4ieg94?"5k;0oi6X=c582I4d>3;pZ??j:3y'67`=ll1]=lj52z&63dn<5dg9U6f2=:rF9o;4>{W02a?4|,;8m6ih4V0cg>7}#=>k1h6*:788g?x{zD;i>69uY2`097~Pb138p(897:ed8 64f2<=j7pXja;0x 01?28h=7)==a;74e>{#=lk1=?5rV3c1>6}Qm009w);88;fe?!55i3?v*:798gb>"4:h0>;l5rVdc96~"2?10oi6*<2`863d=z,7}Qm009w);88;fe?!55i3?l?50;&1g7<5i81]>n:52zN1g3<6s_8:i77g63_;jh741<,<=26<94}|O6f3=9r\9no4={Wg:>7}#=>21>l?4$20b>01f3t.>il4>7:~y>o51=0;6)P5k=09wA?h52868R4gc2;q/9:o5169'12?=9>1vqpB=c482S4ej38pZh752z&63=<51=1/??o556c8y!3bi3;<7psr;n01t$3a1>7da3A8i46g>b683>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1n6*:788a?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`8a?!3013h0qpsr;n01ae81!30i3h0(896:c9~yx{h7>51;294~"5k;09o45G2c:8k74?290/>n<523:8?xd4=o0;6>4?:1y'6f4=?<1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2k1/9:75b:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d90;6??50;2x 7e52>?0D?l7;h3a3?6=,;i96ae81!30i3l0(896:g9~yx{;44i;|~y>o6kl0;6)P5k=0:wAk4>cd9U5db=:r.>;l4i;%74=?`n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=i=50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95a5<^8ko6?u+56c9b>"2?00m7psr}:k2`1<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e68R4gc2;q/9:o5f:&63<d483>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l<1]=lj52z&63d3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?k6:T2ea<5s-?c=zutw0e4d>3_;jh7c=#=>31j6sr}|9j5gg=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=oo4V0cg>7}#=>k1j6*:788e?x{zu2c:no4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82fg=Q9hn1>v*:7`8e?!3013l0qpsr;h3ag?6=,;i96ae81!30i3l0(896:g9~yx{n851zTff?4|,;8m6405$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6k91]=lj52z&63d<6>2.>;44>6:~yx=n9j;1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74e63_;jh740<,<=26<84}|~?l7d:3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?l2:T2ea<5s-?g=zutw0e4e33_;jh7g=#=>31n6sr}|9j5f3=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n;4V0cg>7}#=>k1n6*:788a?x{zu2c:o;4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g3=Q9hn1>v*:7`8a?!3013h0qpsr;h3`3?6=,;i96ae81!30i3h0(896:c9~yx{;44m;|~y>o6kh0;6)P5k=0:wAk4>c`9U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=nj50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95fb<^8ko6?u+56c9f>"2?00i7psr}:k2gc<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:ok5Y1`f96~"2?h0i7);89;`8yx{z3`;o<7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g4>P6im09w);8a;`8 01>2k1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj:<:6=4=1;294~"5k;0<96F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1j6*:788e?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`8e?!3013l0qpsr;h3`7?6=,;i96ae81!30i3l0(896:g9~yx{;44i;|~y>o6l;0;6)P5k=0:wAk4>d39U5db=:r.>;l4i;%74=?`n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3g0>P6im09w);8a;d8 01>2o1vqps4i0f6>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k5:T2ea<5s-?c=zutw0e4b13_;jh7c=#=>31j6sr}|9j5g?=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o74V0cg>7}#=>k1j6*:788e?x{zu2c:nl4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82fd=Q9hn1>v*:7`8e?!3013l0qpsr;h3af?6=,;i96ae81!30i3l0(896:g9~yx{;44i;|~y>o6jl0;6)P5k=0:wAk4>bd9U5db=:r.>;l4>6:&63<<6>2wvqp5f1cd94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m6{zut1b=n>50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28i;7[?nd;0x 01f28<0(896:048yx{z3`;h=7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6k81]=lj52z&63d<6>2.>;44>6:~yx=n9j81<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51b08R4gc2;q/9:o5b:&63<c583>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k=1]=lj52z&63dg=zutw0e4e13_;jh7g=#=>31n6sr}|9j5f1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n94V0cg>7}#=>k1n6*:788a?x{zu2c:o54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g==Q9hn1>v*:7`8a?!3013h0qpsr;h3`=?6=,;i96ae81!30i3h0(896:c9~yx{;44m;|~y>o6kj0;6)P5k=0:wAk4>cb9U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=i>50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95a6<^8ko6?u+56c9f>"2?00i7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th8:?4?:3394?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?c=zutw0e4d?3_;jh7c=#=>31j6sr}|9j5f5=83.9o?4>b79K6f7<^;i?6;44i;|~y>o6l80;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a7<^8ko6?u+56c9b>"2?00m7psr}:k2`7<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e08R4gc2;q/9:o5f:&63<d283>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i=4V0cg>7}#=>k1j6*:788e?x{zu2c:h94?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m>0Z;44i;|~y>o6l<0;6)P5k=0:wAk4>d49U5db=:r.>;l4i;%74=?`2\:mi4={%74e?`<,<=26k5r}|8m4d>290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=oo50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95gg<^8ko6?u+56c9b>"2?00m7psr}:k2fg<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:no5Y1`f96~"2?h0m7);89;d8yx{z3`;io7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3ag>P6im09w);8a;d8 01>2o1vqps4i0`g>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28ho7[?nd;0x 01f2o1/9:75f:~yx=n9ko1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74db3_;jh740<,<=26<84}|~?l7en3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82fc=Q9hn1>v*:7`8e?!3013l0qpsr;h3`4?6=,;i96P5k=0:wAk4>c19U5db=:r.>;l4>6:&63<<6>2wvqp5f1b394?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m6;W3b`?4|,<=j6<84$45:>40n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=n;50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f3<^8ko6?u+56c9f>"2?00i7psr}:k2g3<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o;5Y1`f96~"2?h0i7);89;`8yx{z3`;h;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`3>P6im09w);8a;`8 01>2k1vqps4i0a;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i37[?nd;0x 01f2k1/9:75b:~yx=n9j31<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51b;8R4gc2;q/9:o5b:&63<c`83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6kh1]=lj52z&63dg=zutw0e4ed3_;jh7g=#=>31n6sr}|9j5fb=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=nj4V0cg>7}#=>k1n6*:788a?x{zu2c:ok4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82gc=Q9hn1>v*:7`8a?!3013h0qpsr;h3g4?6=,;i96ae81!30i3h0(896:c9~yx{<^;i?65<593:1N5j11b=o950;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c9b>"2?00m7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0m7);89;d8yx{z3`;h?7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63dc=zutw0e:18'6f4=9k<0D?m>;W0`0?7|D;i=6ae81!30i3l0(896:g9~yx{n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3g7>P6im09w);8a;d8 01>2o1vqps4i0f7>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k4:T2ea<5s-?c=zutw0e;W0`0?7|D;i=6ae81!30i3l0(896:g9~yx{;44i;|~y>o6jh0;6)P5k=0:wAk4>b`9U5db=:r.>;l4i;%74=?`n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=oj50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95gb<^8ko6?u+56c9b>"2?00m7psr}:k2f`<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:nh5Y1`f96~"2?h0::6*:78822>{zut1b=oh50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28hm7[?nd;0x 01f2o1/9:75f:~yx=n9j:1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74e73_;jh740<,<=26<84}|~?l7d93:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g4=Q9hn1>v*:7`822>"2?00::6sr}|9j5f4=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n<4V0cg>7}#=>k1n6*:788a?x{zu2c:o94?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g1=Q9hn1>v*:7`8a?!3013h0qpsr;h3`1?6=,;i96ae81!30i3h0(896:c9~yx{;44m;|~y>o6k10;6)P5k=0:wAk4>c99U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=nl50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95fd<^8ko6?u+56c9f>"2?00i7psr}:k2gf<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:on5Y1`f96~"2?h0i7);89;`8yx{z3`;hh7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3``>P6im09w);8a;`8 01>2k1vqps4i0ae>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28im7[?nd;0x 01f2k1/9:75b:~yx=n9m:1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e28R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d=0;6??50;2x 7e52>?0D?l7;h3a3?6=,;i96ae81!30i3l0(896:g9~yx{n851zTff?4|,;8m6{zut1b=nk50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95fc<^8ko6?u+56c9b>"2?00m7psr}:k2`4<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e38R4gc2;q/9:o5f:&63<d383>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i<4V0cg>7}#=>k1j6*:788e?x{zu2c:h>4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m90Z;44i;|~y>o6l=0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a2<^8ko6?u+56c9b>"2?00m7psr}:k2`0<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h85Y1`f96~"2?h0m7);89;d8yx{z3`;o:7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g2>P6im09w);8a;d8 01>2o1vqps4i0`:>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h27[?nd;0x 01f2o1/9:75f:~yx=n9kk1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51cc8R4gc2;q/9:o5f:&63<bc83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6jk1]=lj52z&63dc=zutw0e4dc3_;jh7c=#=>31j6sr}|9j5gc=83.9o?4>b79K6f7<^;i?628<0qpsr;h3ab?6=,;i96P5k=0:wAk4>bg9U5db=:r.>;l4i;%74=?`{zut1b=n?50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28i:7[?nd;0x 01f28<0(896:048yx{z3`;h>7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`6>P6im09w);8a;`8 01>2k1vqps4i0a7>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i?7[?nd;0x 01f2k1/9:75b:~yx=n9j?1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51b78R4gc2;q/9:o5b:&63<c783>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k?1]=lj52z&63dg=zutw0e4e?3_;jh7g=#=>31n6sr}|9j5f?=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n74V0cg>7}#=>k1n6*:788a?x{zu2c:ol4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82gd=Q9hn1>v*:7`8a?!3013h0qpsr;h3`f?6=,;i96ae81!30i3h0(896:c9~yx{;44m;|~y>o6ko0;6)P5k=0:wAk4>cg9U5db=:r.>;l4m;%74=?dn<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn>;j:184>5<7s-8h>7<=7:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5b:&63<b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0e4eb3_;jh7g=#=>31n6sr}|9j5a7=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i?4V0cg>7}#=>k1n6*:788a?x{zu2c:h?4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`7=Q9hn1>v*:7`8a?!3013h0qpsr;n01ae81!30i3h0(896:c9~yx{52;294~"5k;0oo6F=b99j5g0=83.9o?4>b798k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn>9<:185>5<7s-8h>7?m3:J1f==#9m=1=45f1c494?"5k;0:n;5Y2b695~J5k?0:w[<>e;0x 74a28h=7[?nd;0x 01f2k1/9:75b:~yx=nll0;6)k4ke:T2ea<5s-?g=zutw0eih50;&1g7v*:7`8g?!3013n0qpsC2b790~P5i;08w[k6:3y'12>=lo1/??o556c8yScf2;q/9:651c48 64f2<=j7p*:e`826>{Q:h81?vXj9;0x 01?2ml0(>uYe881!3003nm7)==a;74e>{Qmh09w);88;ff?!55i3?{Q:;o1>vXj9;0x 01?2ml0(>77}#:;l1>l?4V0cg>7}#=>k1=:5+56;952=zutF9o84>{W0af?4|^l31>v*:7981e4=#;;k19:o4}%7fe?703twv7d<64;29 7e52;3?7[;44>7:~yI4d=3;pZ?lm:3yUa<<5s-?<47<64:&06d<2?h1v(8kn:058yx{<3th8:54?:283>5}#:j81>oh4H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?g=zutw0e4d?3_;jh7g=#=>31n6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi?;750;394?6|,;i96?m6;I0a<>i5:10;6)=zj:7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0i7);89;`8yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;`8 01>2k1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj:7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0i7);89;`8yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;`8 01>2k1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj:7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0::6*:78822>{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c953=#=>31=;5r}|8m4e4290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;35?!3013;=7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th8:h4?:583>5}#:j81;85G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m640o6k:0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c953=#=>31=;5r}|8k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn>8i:187>5<7s-8h>79:;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4>6:&63<<6>2wvqp5f1c:94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k20Zi5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d0<729q/>n<5749K6g>28<0qpsr;h3`a?6=,;i96P5k=0:wAk4>cd9U5db=:r.>;l4>6:&63<<6>2wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e;>;1<7;50;2x 7e52>?0D?l7;h3a3?6=,;i96P5k=0:wAk4>b69U5db=:r.>;l4i;%74=?`o6k:0;6)P5k=0:wAk4>c29U5db=:r.>;l4>6:&63<<6>2wvqp5f1bg94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64050z&1g7<5:>1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2k1/9:75b:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5b:&63<c283>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63dg=zutw0e:18'6f4=9k<0Z?m;:0yO6f0=9r\nn74b63_;jh7g=#=>31n6sr}|9j5a4=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i<4V0cg>7}#=>k1n6*:788a?x{zu2c:h>4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`6=Q9hn1>v*:7`8a?!3013h0qpsr;n01ae81!30i3h0(896:c9~yx{9i7>52;294~"5k;0oo6F=b99j5g0=83.9o?4>b798k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn9=;:185>5<7s-8h>7?m3:J1f==#9m=1=45f1c494?"5k;0:n;5Y2b695~J5k?0:w[<>e;0x 74a28h=7[?nd;0x 01f2k1/9:75b:~yx=nll0;6)k4ke:T2ea<5s-?g=zutw0eih50;&1g7v*:7`8g?!3013n0qpsC2b790~P5i;08w[k6:3y'12>=lo1/??o556c8yScf2;q/9:651c48 64f2<=j7p*:e`826>{Q:h81?vXj9;0x 01?2ml0(>uYe881!3003nm7)==a;74e>{Qmh09w);88;ff?!55i3?{#=lk1=55r}|9j6d7=83.9o?4=a09U6f2=:rF9o;4>{W02a?4|,;8m6?o>;W3b`?4|,<=j6<94$45:>41n;51zT1fg<5s_o26?u+56:96d7<,:8j689n;|&6ad<6?2wvq6g=9583>!4d:38286X=c581I4d>3;pZ??j:3y'67`=:0>0Z1/9:75169~yxJ5k<0:w[;54=959'77g==>k0q);ja;34?x{z3f8947>5$3a1>74?32wi8>>50;194?6|,;i96?li;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4m;%74=?dn<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn9=>:182>5<7s-8h>78>7>53;294~"5k;0<96F=b99j5g1=83.9o?4>b79K6f7<^;i?6n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a07`=8391<7>t$3a1>23<@;h37d?m7;29 7e528h=7[o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4>6:&63<<6>2wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e<:?1<7<50;2x 7e52mi0D?l7;h3a2?6=,;i967<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th?8?4?:283>5}#:j81>oh4H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?g=zutw0e4d?3_;jh745<,<=26<=4}|~?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo:;1;292?6=8r.9o?4>b29K6g><,8n<6<74i0`5>5<#:j81=o84V3a7>4}K:j<1=vX=1d81!45n3;i:6X>ae81!30i3h0(896:c9~yx{P5k=0:wA?h5dd9U5db=:r.>;l4m;%74=?dn:52zN1g3<6s_8:i7a`<^8ko6?u+56c9`>"2?00o7psrL3a6>1}Q:h81?vXj9;0x 01?2ml0(>uYe881!3003nm7)==a;74e>{Qmh09w);88;3a2>"4:h0>;45r$4gb>447=tVd;96~"2?10oj6*<2`863d=z^lk1>v*:798ga>"4:h0>;l5r$4gb>f=z^;8n6?uYe881!3003nm7)==a;74e>{#=lk1=55r}|9j6d7=83.9o?4=a09U6f2=:rF9o;4>{W02a?4|,;8m6?o>;W3b`?4|,<=j6<94$45:>41n;51zT1fg<5s_o26?u+56:96d7<,:8j689n;|&6ad<6?2wvq6g=9583>!4d:38286X=c581I4d>3;pZ??j:3y'67`=:0>0Z1/9:75169~yxJ5k<0:w[;54=959'77g==>k0q);ja;34?x{z3f8947>5$3a1>74?32wi8>850;194?6|,;i96?li;I0a<>o6j>0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c9f>"2?00i7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0i7);89;`8yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c603?6=93:16<729q/>n<5749K6g>o6j10;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c953=#=>31=;5r}|8k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn9=n:180>5<7s-8h>79:;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4>6:&63<<6>2wvqp5f1c:94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k20Z<^;i?65<4290;w)2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8a?!3013h0qpsr;h3aae81!30i3h0(896:c9~yx{<^;i?65<4290;w)2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8a?!3013h0qpsr;h3aae81!30i3h0(896:c9~yx{<^;i?65<4290;w)2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k=0Z;44i;|~y>o6j10;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c9b>"2?00m7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th??h4?:283>5}#:j81;85G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c953=#=>31=;5r}|8k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn9=i:187>5<7s-8h>79:;I0a<>o6j>0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c9b>"2?00m7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0m7);89;d8yx{z3`;h?7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63d<6>2.>;44>6:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<=83:p(?m=:304?M4e02c:n:4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8a?!3013h0qpsr;h3aae81!30i3h0(896:c9~yx{;44m;|~y>o6l80;6)P5k=0:wAk4>d09U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a015=8381<7>t$3a1>ae<@;h37d?m6;29 7e528h=76a=2983>!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d4?:1y'6f4=:kl0D?l7;h3a3?6=,;i96ae81!30i3h0(896:c9~yx{2890qpsr;n01ae81!30i3h0(896:c9~yx{?o7>56;294~"5k;0:n>5G2c:8 4b02830e2\:mi4={%74e?d<,<=26o5r}|8mac=83.9o?4ke:T1g1<6sE8h:7?tV33f>7}#:;l1hh5Y1`f96~"2?h0i7);89;`8yx{z3`nm6=4+2b09`c=Q:j>1>vB=c782S46m38p(?a`<,:8j689n;|Tfe?4|,<=36l4:7`9~R`g=:r.>;54ke:&06d<2?h1v(8kn:b9~R74b2;q]i44={%74e;0x 74a2;k:7[?nd;0x 01f28=0(896:058yx{K:j?1=vX=bc81Sc>2;q/9:652`38 64f2<=j7p*:e`823>{zu2c9594?:%0`6?4><2\9o94={M0`2?7|^;;n6?u+23d96<2<^8ko6?u+56c952=#=>31=:5r}|N1g0<6s_8in7{e<=>1<7=50;2x 7e52;hm7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0i7);89;`8yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;`8 01>2k1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj=>>6=4>:183!4d:38h56F=b99l67>=83.9o?4=2998yg23?3:187>50z&1g7<0=2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z;44i;|~y>o6j10;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c953=#=>31=;5r}|8m4e4290/>n<51c48R7e328qG>n851zTff?4|,;8m64050z&1g7<0=2B9n55f1c594?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m640o6k:0;6)P5k=0:wAk4>c29U5db=:r.>;l4>6:&63<<6>2wvqp5f1bg94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m640o6l;0;6)P5k=0:wAk4>d39U5db=:r.>;l4>6:&63<<6>2wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e<=31<7650;2x 7e52>?0D?l7;h3a3?6=,;i96P5k=0:wAk4>b69U5db=:r.>;l4>6:&63<<6>2wvqp5f1c:94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k20Zn<51c48R7e328qG>n851zTff?4|,;8m640{zut1b=i<50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n97[?nd;0x 01f28<0(896:048yx{z3`;o?7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l:1]=lj52z&63d<6>2.>;44>6:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<=83:p(?m=:678L7d?3`;i;7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d<6>2.>;44>6:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5179'12?=9?1vqps4i0a0>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i87[?nd;0x 01f28<0(896:048yx{z3`;hi7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`a>P6im09w);8a;35?!3013;=7psr}:k2`4<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e38R4gc2;q/9:o5179'12?=9?1vqps4i0f1>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k2:T2ea<5s-?d283>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i=4V0cg>7}#=>k1=;5+56;953=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f121290>6=4?{%0`6?45?2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z;44m;|~y>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a01c=8381<7>t$3a1>ae<@;h37d?m6;29 7e528h=76a=2983>!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d3`;i:7>5$3a1>4d13_8h87?tL3a5>4}Q:8o1>v*=2g82f3=Q9hn1>v*:7`8a?!3013h0qpsr;hff>5<#:j81hh5Y2b695~J5k?0:w[<>e;0x 74a2mo0Z;44m;|~y>ocn3:1(?m=:ed8R7e32;qG>n851zT15`<5s-89j7ji;W3b`?4|,<=j6i5+56;9`>{zuE8h97:tV3c1>6}Qm009w);88;fe?!55i3?v*:798gb>"4:h0>;l5rVdc96~"2?10:n;5+33c912?7}#=>21hh5+33c912g;44>7:~yI4d=3;pZ?lm:3yUa<<5s-?<47P6im09w);8a;34?!3013;<7psrL3a6>4}Q:kh1>vXj9;0x 01?2;3?7)==a;74e>{#=lk1=:5r}|9l67>=83.9o?4=2998yg2283:1?7>50z&1g7<5jo1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2k1/9:75b:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63dt$3a1>23<@;h37d?m7;29 7e528h=7E5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0qo:;f;297?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5179'12?=9?1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28<0(896:048yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c7:e?6=:3:1N5j11b=o850;&1g7<6j?10c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f0g429096=4?{%0`6?bd3A8i46g>b783>!4d:3;i:65`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e=h=1<7=50;2x 7e52;hm7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0i7);89;`8yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;30?!3013;87psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th>m;4?:783>5}#:j81=o=4H3`;?!7c?3;27d?m6;29 7e528h=7[n<5dd9U6f2=9rF9o;4>{W02a?4|,;8m6ik4V0cg>7}#=>k1n6*:788a?x{zu2coj7>5$3a1>a`<^;i?6?uC2b495~P59l09w)<=f;fe?S7fl38p(89n:e9'12?=l2wvqAl4:7`9~R`g=:r.>;54>b79'77g==>30q);ja;31?xP5i;08w[k6:3y'12>=lo1/??o556c8yScf2;q/9:65dd9'77g==>k0q);ja;a8yS45m38pZh752z&63=l4:7`9~ 0cf2820qps4i3c2>5<#:j81>l?4V3a7>7}K:j<1=vX=1d81!45n38j=6X>ae81!30i3;<7);89;34?x{zD;i>64:50;&1g7<51=1]>n:52zN1g3<6s_8:i77?33_;jh741<,<=26<94}|O6f3=9r\9no4={Wg:>7}#=>21>4:4$20b>01f3t.>il4>7:~y>i5:10;6)=zj<3i6=4<:183!4d:38ij6F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1n6*:788a?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`8a?!3013h0qpsr;n01ae81!30i3h0(896:c9~yx{51;294~"5k;09o45G2c:8k74?290/>n<523:8?xd21l0;6>4?:1y'6f4=?<1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2k1/9:75b:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2o1/9:75f:~yx=n9k21<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d?3_;jh740<,<=26<84}|~?l7d;3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?l3:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d?0D?l7;h3a3?6=,;i96P5k=0:wAk4>b69U5db=:r.>;l4i;%74=?`o6k:0;6)P5k=0:wAk4>c29U5db=:r.>;l4>6:&63<<6>2wvqp5f1bg94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9jo0Z28<0qpsr;h3g6?6=,;i96P5k=0:wAk4>d39U5db=:r.>;l4>6:&63<<6>2wvqp5f1e194?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9m90Z28<0qpsr;h3g1?6=,;i96ae81!30i3;=7);89;35?x{zu2c:h;4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m<0Z;44m;|~y>o6jk0;6)P5k=0:wAk4>bc9U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=ok50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95gc<^8ko6?u+56c9f>"2?00i7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th>m<4?:0394?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<6>2.>;44>6:~yx=n9j91<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51b18R4gc2;q/9:o5179'12?=9?1vqps4i0af>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28in7[?nd;0x 01f28<0(896:048yx{z3`;o=7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g5>P6im09w);8a;35?!3013;=7psr}:k2`7<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e08R4gc2;q/9:o5179'12?=9?1vqps4i0f0>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n87[?nd;0x 01f28<0(896:048yx{z3`;o87>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g0>P6im09w);8a;35?!3013;=7psr}:k2`0<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h85Y1`f96~"2?h0::6*:78822>{zut1b=i850;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n=7[?nd;0x 01f28<0(896:048yx{z3`;i57>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a=>P6im09w);8a;`8 01>2k1vqps4i0`b>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28hj7[?nd;0x 01f2k1/9:75b:~yx=n9kh1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c`8R4gc2;q/9:o5b:&63<bb83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6jj1]=lj52z&63dg=zutw0e4db3_;jh7g=#=>31n6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi9l<50;32>5<7s-8h>79:;I0a<>o6j>0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c9b>"2?00m7psr}:k2f=<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5f:&63<c283>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63d<6>2.>;44>6:~yx=n9jo1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74eb3_;jh7c=#=>31j6sr}|9j5a7=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i?4V0cg>7}#=>k1=;5+56;953=zutw0e;W0`0?7|D;i=66X>ae81!30i3;=7);89;35?x{zu2c:h>4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m90Zo6l<0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a3<^8ko6?u+56c953=#=>31=;5r}|8m4b1290/>n<51c48R7e328qG>n851zTff?4|,;8m640o6jh0;6)P5k=0:wAk4>b`9U5db=:r.>;l4>6:&63<<6>2wvqp5f1c`94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m640n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=ok50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95gc<^8ko6?u+56c9f>"2?00i7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th>5i4?:783>5}#:j81>?94H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?g=zutw0e4d?3_;jh7g=#=>31n6sr}|9j5f5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1n6*:788a?x{zu2c:oh4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`8a?!3013h0qpsr;h3g5?6=,;i96ae81!30i3h0(896:c9~yx{<^;i?65<2290;w)2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k=0Zo6k:0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c955=#=>31==5r}|8m4eb290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>467>50z&1g75<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj=nj6=4=:183!4d:3nh7E7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi8i750;494?6|,;i96"6l>0:56g>b783>!4d:3;i:6X=c582I4d>3;pZ??j:3y'67`=9k<0Z;44m;|~y>ocm3:1(?m=:eg8R7e328qG>n851zT15`<5s-89j7jj;W3b`?4|,<=j6o5+56;9f>{zut1bhk4?:%0`6?ba3_8h874}Q:8o1>v*=2g8gb>P6im09w);8a;f8 01>2m1vqpB=c487S4f:39pZh752z&63=l4:7`9~R`g=:r.>;54>b79'77g==>k0q);ja;31?xP5i;08w[k6:3y'12>=lo1/??o556c8yScf2;q/9:651c48 64f2<=27p*:e`826>{Q:h81?vXj9;0x 01?2ml0(>=lo1/??o556c8y!3bi3;37psr;h0b5?6=,;i96?o>;W0`0?4|D;i=6{zuE8h97?tV3`a>7}Qm009w);88;0b5>"4:h0>;l5r$4gb>410Z?m;:3yO6f0=9r\9=h4={%01b?4><2\:mi4={%74e?703-?<57?8;|~H7e228q]>ol52zTf=?4|,<=36?7;;%11e?30i2w/9ho5169~yx=h:;21<7*=c3816==hi7>53;294~"5k;09nk5G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c9f>"2?00i7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th?ok4?:083>5}#:j81>n74H3`;?j4503:1(?m=:30;?>{e?0D?l7;h3a3?6=,;i96ae81!30i3h0(896:c9~yx{55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e?0D?l7;h3a3?6=,;i96ae81!30i3h0(896:c9~yx{55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e?0D?l7;h3a3?6=,;i96ae81!30i3l0(896:g9~yx{i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d6<729q/>n<5749K6g>28<0qpsr;h3aae81!30i3;=7);89;35?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`7`0<72:0;6=u+2b0930=O:k20e4d03_;jh740<,<=26<84}|~?l7e03:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d4?:1y'6f4=?<1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28<0(896:048yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;35?!3013;=7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th?h:4?:583>5}#:j81;85G2c:8m4d0290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;d8 01>2o1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28<0(896:048yx{z3`;h?7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;35?!3013;=7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th?h=4?:983>5}#:j81>?94H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?g=zutw0e4d?3_;jh7g=#=>31n6sr}|9j5f5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1n6*:788a?x{zu2c:oh4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`8a?!3013h0qpsr;h3g5?6=,;i96ae81!30i3h0(896:c9~yx{;44m;|~y>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c7`5?6=>3:1n<51c48R7e328qG>n851zT15`<5s-89j7?m6:T2ea<5s-?g=zutw0eik50;&1g7{M0`2?7|^;;n6?u+23d9``=Q9hn1>v*:7`8a?!3013h0qpsr;hfe>5<#:j81hk5Y2b696~J5k?0:w[<>e;0x 74a2ml0Z;44k;|~H7e22=q]>l<53zTf=?4|,<=36ih4$20b>01f3t\nm74d13-99m7;8a:'1`g=9;1vZ?o=:2yUa<<5s-?<47ji;%11e?30i2w]il4={%742.8>l4:789~ 0cf2880q[;54kf:&06d<2?h1vZho52z&63=l4:7`9~ 0cf2j1vZ?1>vB=c782S46m38p(?28=0qpsC2b795~P5jk09w[k6:3y'12>=:h;0(>7<64:T1g1<5sE8h:7?tV33f>7}#:;l1>4:4V0cg>7}#=>k1=:5+56;952=zutF9o84>{W0af?4|^l31>v*:7981=1=#;;k19:o4}%7fe?703twv7b<=8;29 7e52;8376sm5c494?5=83:p(?m=:3`e?M4e02c:n:4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8a?!3013h0qpsr;h3aae81!30i3h0(896:c9~yx{<^;i?65<6290;w)N5j11d>?650;&1g7<5:110qo;m9;297?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5b:&63<b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0qo;ma;297?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5b:&63<b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0qo;mb;297?6=8r.9o?485:J1f==n9k=1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d03_;jh740<,<=26<84}|~?l7e03:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28<0(896:048yx{z3`;i47>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<6>2.>;44>6:~yx=n9j91<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74e43_;jh740<,<=26<84}|~?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo;md;293?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5f:&63<b983>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1j6*:788e?x{zu2c:o>4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9j90Zo6l80;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a7<^8ko6?u+56c953=#=>31=;5r}|8m4b5290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3g6>P6im09w);8a;35?!3013;=7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th>nh4?:683>5}#:j81;85G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c953=#=>31=;5r}|8m4e4290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;35?!3013;=7psr}:k2g`<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51bg8R4gc2;q/9:o5179'12?=9?1vqps4i0f2>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k1:T2ea<5s-?d383>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i<4V0cg>7}#=>k1=;5+56;953=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f0da29036=4?{%0`6?123A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`822>"2?00::6sr}|9j5f5=83.9o?4>b79K6f7<^;i?628<0qpsr;h3`a?6=,;i96P5k=0:wAk4>cd9U5db=:r.>;l4>6:&63<<6>2wvqp5f1e394?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9m;0Z28<0qpsr;h3g7?6=,;i96ae81!30i3;=7);89;35?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`6f=<7210;6=u+2b09671<@;h37d?m7;29 7e528h=7[n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=n=50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c9f>"2?00i7psr}:k2g`<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:oh5Y1`f96~"2?h0i7);89;`8yx{z3`;o=7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g5>P6im09w);8a;`8 01>2k1vqps4i0f1>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n97[?nd;0x 01f2k1/9:75b:~yx=n9m91<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e18R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`5>5<#:j81=o84;n01ae81!30i3h0(896:c9~yx{53;294~"5k;09nk5G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c956=#=>31=>5r}|8k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn8jn:185>5<7s-8h>7?m3:J1f==#9m=1=45f1c494?"5k;0:n;5Y2b695~J5k?0:w[<>e;0x 74a28h=7[?nd;0x 01f2k1/9:75b:~yx=nll0;6)k4ke:T2ea<5s-?g=zutw0eih50;&1g7v*:7`8g?!3013n0qpsC2b790~P5i;08w[k6:3y'12>=lo1/??o556c8yScf2;q/9:651c48 64f2<=j7p*:e`826>{Q:h81?vXj9;0x 01?2ml0(>uYe881!3003nm7)==a;74e>{Qmh09w);88;ff?!55i3?{Q:;o1>vXj9;0x 01?2ml0(>77}#:;l1>l?4V0cg>7}#=>k1=:5+56;952=zutF9o84>{W0af?4|^l31>v*:7981e4=#;;k19:o4}%7fe?703twv7d<64;29 7e52;3?7[;44>7:~yI4d=3;pZ?lm:3yUa<<5s-?<47<64:&06d<2?h1v(8kn:058yx{<3th>ok4?:283>5}#:j81>oh4H3`;?l7e?3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8a?!3013h0qpsr;h3aae81!30i3h0(896:c9~yx{<^;i?65<6290;w)N5j11d>?650;&1g7<5:110qo;k2;297?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5b:&63<b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0qo;k3;297?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5b:&63<b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0qo;k4;297?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5179'12?=9?1vqps4i0`;>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`4>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?b983>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1=;5+56;953=zutw0e4e43_;jh740<,<=26<84}|~?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo;k6;291?6=8r.9o?485:J1f==n9k=1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d03_;jh740<,<=26<84}|~?l7e03:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`822>"2?00::6sr}|9j5f5=83.9o?4>b79K6f7<^;i?628<0qpsr;h3`a?6=,;i96ae81!30i3;=7);89;35?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`6`2<72<0;6=u+2b0930=O:k20e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2c:n54?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k20Zo6kl0;6)P5k=0:wAk4>cd9U5db=:r.>;l4>6:&63<<6>2wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e=m21<7;50;2x 7e52>?0D?l7;h3a3?6=,;i96P5k=0:wAk4>b69U5db=:r.>;l4>6:&63<<6>2wvqp5f1c:94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m6405Y1`f96~"2?h0::6*:78822>{zut1b=nk50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95fc<^8ko6?u+56c953=#=>31=;5r}|8k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn8j>:18;>5<7s-8h>7<=7:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5b:&63<b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0e4eb3_;jh7g=#=>31n6sr}|9j5a7=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i?4V0cg>7}#=>k1n6*:788a?x{zu2c:h?4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`7=Q9hn1>v*:7`8a?!3013h0qpsr;h3g7?6=,;i96ae81!30i3h0(896:c9~yx{<^;i?65<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a7cb=83<1<7>t$3a1>4d43A8i46*>d682=>o6j?0;6)P5k=0:wA?h51c48R4gc2;q/9:o5b:&63<g=#=>31n6sr}|9j`c<72-8h>7ji;W0`0?4|D;i=6ae81!30i3n0(896:e9~yxJ5k<0?w[;54kf:&06d<2?h1vZho52z&63=<6j?1/??o556c8y!3bi3;97pX=a380Sc>2;q/9:65dg9'77g==>k0q[kn:3y'12>=9k<0(>6sY2`097~Pb138p(897:ed8 64f2<=j7pXja;0x 01?2mo0(>2;q/9:65dg9'77g==>k0q);ja;3;?x{z3`8j=7>5$3a1>7g63_8h874}Q:8o1>v*=2g81e4=Q9hn1>v*:7`823>"2?00:;6sr}M0`1?7|^;hi6?uYe881!30038j=6*<2`863d=z,n<52868R7e32;qG>n851zT15`<5s-89j7<64:T2ea<5s-?7?33-99m7;8a:'1`g=9>1vqp5`23:94?"5k;09>554}c1e1?6=;3:1;W0`0?7|D;i=6ae81!30i3h0(896:c9~yx{55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e;o<1<7?50;2x 7e52;i27E7<=8:9~f6`?290?6=4?{%0`6?123A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d<6>2.>;44>6:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5179'12?=9?1vqps4i0a0>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i87[?nd;0x 01f28<0(896:048yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c1e=?6=<3:1N5j11b=o950;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28<0(896:048yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;35?!3013;=7psr}:k2g6<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51b18R4gc2;q/9:o5179'12?=9?1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj:lj6=4::183!4d:3=>7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0m7);89;d8yx{z3`;i47>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<6>2.>;44>6:~yx=n9j91<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74e43_;jh740<,<=26<84}|~?l7dm3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`822>"2?00::6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi?kl50;794?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`822>"2?00::6sr}|9j5g>=83.9o?4>b79K6f7<^;i?628<0qpsr;h3`7?6=,;i96P5k=0:wAk4>c29U5db=:r.>;l4>6:&63<<6>2wvqp5f1bg94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64050z&1g7<5:>1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2k1/9:75b:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5b:&63<c283>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63dg=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f0e529096=4?{%0`6?bd3A8i46g>b783>!4d:3;i:65`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e=jn1<7=50;2x 7e52;hm7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0i7);89;`8yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;30?!3013;87psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th>on4?:783>5}#:j81=o=4H3`;?!7c?3;27d?m6;29 7e528h=7[n<5dd9U6f2=9rF9o;4>{W02a?4|,;8m6ik4V0cg>7}#=>k1n6*:788a?x{zu2coj7>5$3a1>a`<^;i?6?uC2b495~P59l09w)<=f;fe?S7fl38p(89n:e9'12?=l2wvqAl4:7`9~R`g=:r.>;54>b79'77g==>30q);ja;31?xP5i;08w[k6:3y'12>=lo1/??o556c8yScf2;q/9:65dd9'77g==>k0q);ja;a8yS45m38pZh752z&63=l4:7`9~ 0cf2820qps4i3c2>5<#:j81>l?4V3a7>7}K:j<1=vX=1d81!45n38j=6X>ae81!30i3;<7);89;34?x{zD;i>64:50;&1g7<51=1]>n:52zN1g3<6s_8:i77?33_;jh741<,<=26<94}|O6f3=9r\9no4={Wg:>7}#=>21>4:4$20b>01f3t.>il4>7:~y>i5:10;6)=zjb79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1n6*:788a?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`8a?!3013h0qpsr;n01ae81!30i3h0(896:c9~yx{51;294~"5k;09o45G2c:8k74?290/>n<523:8?xd2k?0;6>4?:1y'6f4=?<1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28<0(896:048yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;35?!3013;=7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th>o:4?:883>5}#:j81;85G2c:8m4d0290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;d8 01>2o1vqps4i0`;>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?c=zutw0e4e43_;jh740<,<=26<84}|~?l7dm3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`8e?!3013l0qpsr;h3g5?6=,;i96P5k=0:wAk4>d09U5db=:r.>;l4>6:&63<<6>2wvqp5f1e094?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m6405Y1`f96~"2?h0::6*:78822>{zut1b=i:50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n?7[?nd;0x 01f28<0(896:048yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c7`N5j11b=o950;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2o1/9:75f:~yx=n9k21<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d?3_;jh7c=#=>31j6sr}|9j5f5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1=;5+56;953=zutw0e4eb3_;jh740<,<=26<84}|~?l7c93:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82`4=Q9hn1>v*:7`822>"2?00::6sr}|9j5a4=83.9o?4>b79K6f7<^;i?628<0qpsr;h3g7?6=,;i96P5k=0:wAk4>d29U5db=:r.>;l4>6:&63<<6>2wvqp5f1e694?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m640o64i0`4>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?c=zutw0e4d?3_;jh7c=#=>31j6sr}|9j5f5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1j6*:788e?x{zu2c:oh4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9jo0Zn<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3g6>P6im09w);8a;35?!3013;=7psr}:k2`6<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e18R4gc2;q/9:o5179'12?=9?1vqps4i0f7>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k4:T2ea<5s-?d483>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i;4V0cg>7}#=>k1=;5+56;953=zutw0e4b13_;jh7g=#=>31n6sr}|9j5g?=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o74V0cg>7}#=>k1n6*:788a?x{zu2c:nl4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82fd=Q9hn1>v*:7`8a?!3013h0qpsr;h3af?6=,;i96ae81!30i3h0(896:c9~yx{;44m;|~y>o6jl0;6)P5k=0:wAk4>bd9U5db=:r.>;l4m;%74=?do64i0`4>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?c=zutw0e4d?3_;jh7c=#=>31j6sr}|9j5f5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1j6*:788e?x{zu2c:oh4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9jo0Z28<0qpsr;h3g6?6=,;i96P5k=0:wAk4>d39U5db=:r.>;l4>6:&63<<6>2wvqp5f1e194?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m640{zut1b=i;50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n>7[?nd;0x 01f28<0(896:048yx{z3`;o:7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g2>P6im09w);8a;`8 01>2k1vqps4i0`:>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h27[?nd;0x 01f2k1/9:75b:~yx=n9kk1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51cc8R4gc2;q/9:o5b:&63<bc83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6jk1]=lj52z&63dg=zutw0e4dc3_;jh7g=#=>31n6sr}|9j5gc=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=ok4V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`6g0<72?0;6=u+2b09671<@;h37d?m7;29 7e528h=7[n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=n=50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c9f>"2?00i7psr}:k2g`<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:oh5Y1`f96~"2?h0i7);89;`8yx{z3`;o=7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g5>P6im09w);8a;`8 01>2k1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi9h750;194?6|,;i96?li;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4m;%74=?di5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d3<729q/>n<51c18L7d?3-;o;7?6;h3a2?6=,;i961=vB=c782S46m38p(?a=#=>31h6sr}M0`1?2|^;k96>uYe881!3003nm7)==a;74e>{Qmh09w);88;3a2>"4:h0>;l5r$4gb>447=tVd;96~"2?10oj6*<2`863d=z^lk1>v*:7982f3=#;;k19:74}%7fe?753t\9m?4<{Wg:>7}#=>21hk5+33c912gn<52`38R7e32;qG>n851zT15`<5s-89j77g63-99m7;8a:'1`g=9>1vqp5f28694?"5k;09595Y2b696~J5k?0:w[<>e;0x 74a2;3?7[?nd;0x 01f28=0(896:058yx{K:j?1=vX=bc81Sc>2;q/9:652868 64f2<=j7p*:e`823>{zu2e9>54?:%0`6?45021vn8jk:180>5<7s-8h>74d03_;jh7g=#=>31n6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`6``<7280;6=u+2b096f?<@;h37b<=8;29 7e52;8376sm5d294?5=83:p(?m=:678L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2k1/9:75b:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2k1/9:75b:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;d8 01>2o1vqps4i0`;>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?c283>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1=;5+56;953=zutw0e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`6a6<72?0;6=u+2b0930=O:k20e4d03_;jh740<,<=26<84}|~?l7e03:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`822>"2?00::6sr}|9j5f5=83.9o?4>b79K6f7<^;i?628<0qpsr;h3`a?6=,;i96P5k=0:wAk4>cd9U5db=:r.>;l4>6:&63<<6>2wvqp5f1e394?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9m;0Z<^;i?65<1290;w)2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`822>"2?00::6sr}|9j5g>=83.9o?4>b79K6f7<^;i?628<0qpsr;h3`7?6=,;i96P5k=0:wAk4>c29U5db=:r.>;l4>6:&63<<6>2wvqp5f1bg94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m640{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a1`3=83<1<7>t$3a1>23<@;h37d?m7;29 7e528h=7[o6j10;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c953=#=>31=;5r}|8m4e4290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;35?!3013;=7psr}:k2g`<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51bg8R4gc2;q/9:o5179'12?=9?1vqps4i0f2>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k1:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28<0(896:048yx{z3`;i47>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<6>2.>;44>6:~yx=n9j91<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74e43_;jh740<,<=26<84}|~?l7dm3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`822>"2?00::6sr}|9j5a7=83.9o?4>b79K6f7<^;i?628<0qpsr;n01ae81!30i3h0(896:c9~yx{58;294~"5k;09>:5G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c9f>"2?00i7psr}:k2g6<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o>5Y1`f96~"2?h0i7);89;`8yx{z3`;hi7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`a>P6im09w);8a;`8 01>2k1vqps4i0f2>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n:7[?nd;0x 01f2k1/9:75b:~yx=n9m81<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e08R4gc2;q/9:o5b:&63<d283>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l:1]=lj52z&63dg=zutw0qo=j7;296?6=8r.9o?4kc:J1f==n9k<1<7*=c382f3=<^;i?65<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a7c5=83<1<7>t$3a1>4d43A8i46*>d682=>o6j?0;6)P5k=0:wA?h51c48R4gc2;q/9:o5b:&63<g=#=>31n6sr}|9j`c<72-8h>7ji;W0`0?4|D;i=6ae81!30i3n0(896:e9~yxJ5k<0?w[;54kf:&06d<2?h1vZho52z&63=<6j?1/??o556c8y!3bi3;97pX=a380Sc>2;q/9:65dg9'77g==>k0q[kn:3y'12>=9k<0(>6sY2`097~Pb138p(897:ed8 64f2<=j7pXja;0x 01?2mo0(>2;q/9:65dg9'77g==>k0q);ja;3;?x{z3`8j=7>5$3a1>7g63_8h874}Q:8o1>v*=2g81e4=Q9hn1>v*:7`823>"2?00:;6sr}M0`1?7|^;hi6?uYe881!30038j=6*<2`863d=z,n<52868R7e32;qG>n851zT15`<5s-89j7<64:T2ea<5s-?7?33-99m7;8a:'1`g=9>1vqp5`23:94?"5k;09>554}c1f;W0`0?7|D;i=6ae81!30i3h0(896:c9~yx{55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e;l31<7?50;2x 7e52;i27E7<=8:9~f6ce29086=4?{%0`6?123A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63dg=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f6cd29086=4?{%0`6?123A8i46g>b683>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1=;5+56;953=zutw0e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`0aa<72=0;6=u+2b0930=O:k20e4d03_;jh7c=#=>31j6sr}|9j5g>=83.9o?4>b79K6f7<^;i?628<0qpsr;h3`7?6=,;i96ae81!30i3;=7);89;35?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`0a`<72<0;6=u+2b0930=O:k20e4d03_;jh7c=#=>31j6sr}|9j5g>=83.9o?4>b79K6f7<^;i?628<0qpsr;h3`7?6=,;i96ae81!30i3;=7);89;35?x{zu2c:oh4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`822>"2?00::6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi?hh50;794?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?c=zutw0e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2c:o>4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`822>"2?00::6sr}|9j5fc=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=nk4V0cg>7}#=>k1=;5+56;953=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f6cf290=6=4?{%0`6?45?2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z;44m;|~y>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=i?50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95a7<^8ko6?u+56c9f>"2?00i7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th8j<4?:683>5}#:j81;85G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46o6k:0;6)P5k=0:wAk4>c29U5db=:r.>;l4>7:&63<<6?2wvqp5f1bg94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m641o6l;0;6)P5k=0:wAk4>d39U5db=:r.>;l4>7:&63<<6?2wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e;ml1<7<50;2x 7e52mi0D?l7;h3a2?6=,;i967<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th8i;4?:783>5}#:j81=o=4H3`;?!7c?3;27d?m6;29 7e528h=7[n<5dd9U6f2=9rF9o;4>{W02a?4|,;8m6ik4V0cg>7}#=>k1n6*:788a?x{zu2coj7>5$3a1>a`<^;i?6?uC2b495~P59l09w)<=f;fe?S7fl38p(89n:e9'12?=l2wvqAl4:7`9~R`g=:r.>;54>b79'77g==>30q);ja;31?xP5i;08w[k6:3y'12>=lo1/??o556c8yScf2;q/9:65dd9'77g==>k0q);ja;a8yS45m38pZh752z&63=l4:7`9~ 0cf2820qps4i3c2>5<#:j81>l?4V3a7>7}K:j<1=vX=1d81!45n38j=6X>ae81!30i3;<7);89;34?x{zD;i>64:50;&1g7<51=1]>n:52zN1g3<6s_8:i77?33_;jh741<,<=26<94}|O6f3=9r\9no4={Wg:>7}#=>21>4:4$20b>01f3t.>il4>7:~y>i5:10;6)=zj:o;6=4<:183!4d:38ij6F=b99j5g1=83.9o?4>b79K6f7<^;i?6;44m;|~y>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d4<729q/>n<52b;8L7d?3f8947>5$3a1>74?32wi?h=50;694?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`822>"2?00::6sr}|9j5g>=83.9o?4>b79K6f7<^;i?628<0qpsr;h3`7?6=,;i96P5k=0:wAk4>c29U5db=:r.>;l4>6:&63<<6>2wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e;l>1<7:50;2x 7e52>?0D?l7;h3a3?6=,;i96P5k=0:wAk4>b69U5db=:r.>;l4>6:&63<<6>2wvqp5f1c:94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k20Zi5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d6<729q/>n<52358L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2k1/9:75b:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d132e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`0``<72?0;6=u+2b095g5<@;h37)?k7;3:?l7e>3:1(?m=:0`5?S4d<3;p@?m9:0yU64c=:r.9>k4>b79U5db=:r.>;l4m;%74=?dn:51zN1g3<6s_8:i7ac<^8ko6?u+56c9f>"2?00i7psr}:kgb?6=,;i96ih4V3a7>7}K:j<1=vX=1d81!45n3nm7[?nd;0x 01f2m1/9:75d:~yI4d=3>pZ?o=:2yUa<<5s-?<47ji;%11e?30i2w]il4={%742.8>l4:7`9~ 0cf2880q[;54kf:&06d<2?h1vZho52z&63=<6j?1/??o556;8y!3bi3;97pX=a380Sc>2;q/9:65dg9'77g==>k0q[kn:3y'12>=ll1/??o556c8y!3bi3i0q[<=e;0xR`?=:r.>;54kf:&06d<2?h1v(8kn:0:8yx{P6im09w);8a;34?!3013;<7psrL3a6>4}Q:kh1>vXj9;0x 01?2;k:7)==a;74e>{#=lk1=:5r}|9j6<2=83.9o?4=959U6f2=:rF9o;4>{W02a?4|,;8m6?7;;W3b`?4|,<=j6<94$45:>41n;51zT1fg<5s_o26?u+56:96<2<,:8j689n;|&6ad<6?2wvq6a=2983>!4d:389465rb2f;>5<4290;w)N5j11b=o950;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2k1/9:75b:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d1<7>t$3a1>23<@;h37d?m7;29 7e528h=7E{zut1b=o650;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28<0(896:048yx{z3`;h?7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63d<6>2.>;44>6:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d<6>2.>;44>6:~yx=n9k21<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d?3_;jh740<,<=26<84}|~?l7d;3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?l3:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d4?:1y'6f4=:;=0D?l7;h3a3?6=,;i96ae81!30i3h0(896:c9~yx{55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e<>h1<7<50;2x 7e52mi0D?l7;h3a2?6=,;i967<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th?494?:383>5}#:j81hn5G2c:8m4d1290/>n<51c48?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo:77;292?6=8r.9o?4>b29K6g><,8n<6<74i0`5>5<#:j81=o84V3a7>4}K:j<1=vX=1d81!45n3;i:6X>ae81!30i3h0(896:c9~yx{P5k=0:wA?h5dd9U5db=:r.>;l4m;%74=?dn:52zN1g3<6s_8:i7a`<^8ko6?u+56c9`>"2?00o7psrL3a6>1}Q:h81?vXj9;0x 01?2ml0(>uYe881!3003nm7)==a;74e>{Qmh09w);88;3a2>"4:h0>;45r$4gb>447=tVd;96~"2?10oj6*<2`863d=z^lk1>v*:798ga>"4:h0>;l5r$4gb>f=z^;8n6?uYe881!3003nm7)==a;74e>{#=lk1=55r}|9j6d7=83.9o?4=a09U6f2=:rF9o;4>{W02a?4|,;8m6?o>;W3b`?4|,<=j6<94$45:>41n;51zT1fg<5s_o26?u+56:96d7<,:8j689n;|&6ad<6?2wvq6g=9583>!4d:38286X=c581I4d>3;pZ??j:3y'67`=:0>0Z1/9:75169~yxJ5k<0:w[;54=959'77g==>k0q);ja;34?x{z3f8947>5$3a1>74?32wi8:m50;194?6|,;i96?li;I0a<>o6j>0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c9f>"2?00i7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0i7);89;`8yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c64`?6=93:16<729q/>n<5749K6g>;44m;|~y>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d1<729q/>n<5749K6g>28<0qpsr;h3aae81!30i3;=7);89;35?x{zu2c:o>4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`822>"2?00::6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi85?50;694?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`822>"2?00::6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1=;5+56;953=zutw0e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`7<7<72<0;6=u+2b0930=O:k20e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2c:n54?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k20Z28<0qpsr;h3`a?6=,;i96ae81!30i3;=7);89;35?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`7<6<72?0;6=u+2b0930=O:k20e;W0`0?7|D;i=6ae81!30i3l0(896:g9~yx{n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;35?!3013;=7psr}:k2g`<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51bg8R4gc2;q/9:o5179'12?=9?1vqps4i0f2>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n:7[?nd;0x 01f28<0(896:048yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c64a?6=>3:14d03_;jh7g=#=>31n6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1n6*:788a?x{zu2c:o>4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`8a?!3013h0qpsr;h3`a?6=,;i96ae81!30i3h0(896:c9~yx{55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e<1?1<7;50;2x 7e52>?0D?l7;h3a3?6=,;i96P5k=0:wAk4>b69U5db=:r.>;l4>0:&63<<682wvqp5f1c:94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64$45:>465Y1`f96~"2?h0:<6*:78824>{zut1b=nk50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28in7[?nd;0x 01f28=0(896:058yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c1;g?6=:3:1N5j11b=o850;&1g7<6j?10c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f6?229086=4?{%0`6?4en2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z;44m;|~y>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4>3:&63<<6;2wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e;0>1<7850;2x 7e528h87E2\9o94>{M0`2?7|^;;n6?u+23d95g0<^8ko6?u+56c9f>"2?00i7psr}:kga?6=,;i96ik4V3a7>4}K:j<1=vX=1d81!45n3nn7[?nd;0x 01f2k1/9:75b:~yx=nlo0;6)k4kf:T2ea<5s-?a=zutF9o84;{W0b6?5|^l31>v*:798gb>"4:h0>;l5rVdc96~"2?10:n;5+33c912g7}#=>21=o84$20b>01>3t.>il4>2:U6d4=;r\n57a`<,:8j689n;|Tfe?4|,<=36ik4$20b>01f3t.>il4l;|T16`<5s_o26?u+56:9`c=#;;k19:o4}%7fe?7?3twv7d;44>7:~yI4d=3;pZ?lm:3yUa<<5s-?<47P6im09w);8a;34?!3013;<7psrL3a6>4}Q:kh1>vXj9;0x 01?2;3?7)==a;74e>{#=lk1=:5r}|9l67>=83.9o?4=2998yg5?l3:1?7>50z&1g7<5jo1C>o64i0`4>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?g=zutw0e4d?3_;jh7g=#=>31n6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi?5k50;394?6|,;i96?m6;I0a<>i5:10;6)=zj:3;6=4;:183!4d:3=>7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0::6*:78822>{zut1b=o650;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28<0(896:048yx{z3`;h?7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;35?!3013;=7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th85<4?:683>5}#:j81;85G2c:8m4d0290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;35?!3013;=7psr}:k2f=<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5179'12?=9?1vqps4i0a0>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?l3:T2ea<5s-?cd83>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=nk4V0cg>7}#=>k1=;5+56;953=zutw0e:18'6f4=9k<0D?m>;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2c:h?4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`7=Q9hn1>v*:7`822>"2?00::6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi?4<50;594?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`822>"2?00::6sr}|9j5g>=83.9o?4>b79K6f7<^;i?628<0qpsr;h3`7?6=,;i96P5k=0:wAk4>c29U5db=:r.>;l4>6:&63<<6>2wvqp5f1bg94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m640{zut1b=i<50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n97[?nd;0x 01f28<0(896:048yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c1;b?6=<3:14d03_;jh7g=#=>31n6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1n6*:788a?x{zu2c:o>4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`8a?!3013h0qpsr;n01ae81!30i3h0(896:c9~yx{52;294~"5k;0oo6F=b99j5g0=83.9o?4>b798k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn>7j:185>5<7s-8h>7?m3:J1f==#9m=1=45f1c494?"5k;0:n;5Y2b695~J5k?0:w[<>e;0x 74a28h=7[?nd;0x 01f2k1/9:75b:~yx=nll0;6)k4ke:T2ea<5s-?g=zutw0eih50;&1g7v*:7`8g?!3013n0qpsC2b790~P5i;08w[k6:3y'12>=lo1/??o556c8yScf2;q/9:651c48 64f2<=j7p*:e`826>{Q:h81?vXj9;0x 01?2ml0(>uYe881!3003nm7)==a;74e>{Qmh09w);88;ff?!55i3?{Q:;o1>vXj9;0x 01?2ml0(>77}#:;l1>l?4V0cg>7}#=>k1=:5+56;952=zutF9o84>{W0af?4|^l31>v*:7981e4=#;;k19:o4}%7fe?703twv7d<64;29 7e52;3?7[;44>7:~yI4d=3;pZ?lm:3yUa<<5s-?<47<64:&06d<2?h1v(8kn:058yx{<3th85:4?:283>5}#:j81>oh4H3`;?l7e?3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8a?!3013h0qpsr;h3aae81!30i3h0(896:c9~yx{<^;i?65<6290;w)N5j11d>?650;&1g7<5:110qo=6a;297?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5179'12?=9?1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28<0(896:048yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c1:f?6=;3:1N5j11b=o950;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28<0(896:048yx{z3`;i47>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<6>2.>;44>6:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d<6>2.>;44>6:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5179'12?=9?1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj:326=4;:183!4d:389;6F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1n6*:788a?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`8a?!3013h0qpsr;h3`7?6=,;i96ae81!30i3h0(896:c9~yx{<^;i?65<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a7d?=83<1<7>t$3a1>4d43A8i46*>d682=>o6j?0;6)P5k=0:wA?h51c48R4gc2;q/9:o5b:&63<g=#=>31n6sr}|9j`c<72-8h>7ji;W0`0?4|D;i=6ae81!30i3n0(896:e9~yxJ5k<0?w[;54kf:&06d<2?h1vZho52z&63=<6j?1/??o556c8y!3bi3;97pX=a380Sc>2;q/9:65dg9'77g==>k0q[kn:3y'12>=9k<0(>6sY2`097~Pb138p(897:ed8 64f2<=j7pXja;0x 01?2mo0(>2;q/9:65dg9'77g==>k0q);ja;3;?x{z3`8j=7>5$3a1>7g63_8h874}Q:8o1>v*=2g81e4=Q9hn1>v*:7`823>"2?00:;6sr}M0`1?7|^;hi6?uYe881!30038j=6*<2`863d=z,n<52868R7e32;qG>n851zT15`<5s-89j7<64:T2ea<5s-?7?33-99m7;8a:'1`g=9>1vqp5`23:94?"5k;09>554}c1b4?6=;3:1;W0`0?7|D;i=6ae81!30i3h0(896:c9~yx{55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e;h;1<7?50;2x 7e52;i27E7<=8:9~f6g429086=4?{%0`6?123A8i46g>b683>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1=;5+56;953=zutw0e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`0e1<72:0;6=u+2b0930=O:k20e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2c:n54?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k20Z<^;i?65<4290;w)2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k=0Z28<0qpsr;n01ae81!30i3h0(896:c9~yx{54;294~"5k;0<96F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1=;5+56;953=zutw0e4d?3_;jh740<,<=26<84}|~?l7d;3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?l3:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d0;694?:1y'6f4=?<1C>o64i0`4>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?b983>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1=;5+56;953=zutw0e4e43_;jh740<,<=26<84}|~?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo=n2;292?6=8r.9o?4=269K6g>;44m;|~y>o6k:0;6)P5k=0:wAk4>c29U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6;W3b`?4|,<=j6o5+56;9f>{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a03`=8381<7>t$3a1>ae<@;h37d?m6;29 7e528h=76a=2983>!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`5>5<#:j81=o84;n01ae81!30i3h0(896:c9~yx{56;294~"5k;0:n>5G2c:8 4b02830e2\:mi4={%74e?d<,<=26o5r}|8mac=83.9o?4ke:T1g1<6sE8h:7?tV33f>7}#:;l1hh5Y1`f96~"2?h0i7);89;`8yx{z3`nm6=4+2b09`c=Q:j>1>vB=c782S46m38p(?a`<,:8j689n;|Tfe?4|,<=36l4:7`9~R`g=:r.>;54ke:&06d<2?h1v(8kn:b9~R74b2;q]i44={%74e;0x 74a2;k:7[?nd;0x 01f28=0(896:058yx{K:j?1=vX=bc81Sc>2;q/9:652`38 64f2<=j7p*:e`823>{zu2c9594?:%0`6?4><2\9o94={M0`2?7|^;;n6?u+23d96<2<^8ko6?u+56c952=#=>31=:5r}|N1g0<6s_8in7{e<>:1<7=50;2x 7e52;hm7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0i7);89;`8yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;`8 01>2k1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj==:6=4>:183!4d:38h56F=b99l67>=83.9o?4=2998yg20;3:1?7>50z&1g7<0=2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z28<0qpsr;n01ae81!30i3h0(896:c9~yx{<87>53;294~"5k;0<96F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1=;5+56;953=zutw0e4d?3_;jh740<,<=26<84}|~?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo:82;297?6=8r.9o?4=269K6g>;44m;|~y>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d<<729q/>n<5749K6g>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4>7:&63<<6?2wvqp5f1b194?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9j90Z1/9:75169~yx{28=0qpsr;h3g5?6=,;i96P5k=0:wAk4>d09U5db=:r.>;l4>7:&63<<6?2wvqp5f1e094?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9m80Z1/9:75169~yx{28=0qpsr;h3g0?6=,;i96ae81!30i3;<7);89;34?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`732<7200;6=u+2b0930=O:k20e4d03_;jh741<,<=26<94}|~?l7e03:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?c283>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63d<6?2.>;44>7:~yx=n9jo1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51bg8R4gc2;q/9:o5169'12?=9>1vqps4i0f2>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k1:T2ea<5s-?d383>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l;1]=lj52z&63d<6?2.>;44>7:~yx=n9m91<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e18R4gc2;q/9:o5169'12?=9>1vqps4i0f7>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n?7[?nd;0x 01f28=0(896:058yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c644d03_;jh7g=#=>31n6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`0ed<72;0;6=u+2b09`f=O:k20e50z&1g7<5jo1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2k1/9:75b:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5129'12?=9:1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj:h96=49:183!4d:3;i?6F=b99'5a1=901b=o850;&1g7<6j?1]>n:51zN1g3<6s_8:i74d13_;jh7g=#=>31n6sr}|9j``<72-8h>7jj;W0`0?7|D;i=6ae81!30i3h0(896:c9~yx{P5k=09wA?h5dg9U5db=:r.>;l4k;%74=?bn;54zT1e7<4s_o26?u+56:9`c=#;;k19:o4}Wgb>7}#=>21=o84$20b>01f3t.>il4>2:U6d4=;r\n57a`<,:8j689n;|Tfe?4|,<=36a`<,:8j689n;|&6ad<602wvq6g=a083>!4d:38j=6X=c581I4d>3;pZ??j:3y'67`=:h;0Z1/9:75169~yxJ5k<0:w[;54=a09'77g==>k0q);ja;34?x{z3`8287>5$3a1>7?33_8h874}Q:8o1>v*=2g81=1=Q9hn1>v*:7`823>"2?00:;6sr}M0`1?7|^;hi6?uYe881!30038286*<2`863d=z,n<523:8?xd4ik0;6>4?:1y'6f4=:kl0D?l7;h3a3?6=,;i96ae81!30i3h0(896:c9~yx{55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e;hi1<7?50;2x 7e52;i27E7<=8:9~f6gb290?6=4?{%0`6?123A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d<6>2.>;44>6:~yx=n9k21<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d?3_;jh740<,<=26<84}|~?l7d;3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?l3:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28<0(896:048yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;35?!3013;=7psr}:k2g6<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o>5Y1`f96~"2?h0::6*:78822>{zut1b=nk50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95fc<^8ko6?u+56c953=#=>31=;5r}|8k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn>l?:186>5<7s-8h>79:;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4>6:&63<<6>2wvqp5f1c:94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k20Z28<0qpsr;h3`a?6=,;i96P5k=0:wAk4>cd9U5db=:r.>;l4>6:&63<<6>2wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e;hn1<7:50;2x 7e52;8<7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0i7);89;`8yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;`8 01>2k1vqps4i0a0>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i87[?nd;0x 01f2k1/9:75b:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d132e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`0f`<72:0;6=u+2b096g`<@;h37d?m7;29 7e528h=7[n<51c48R7e328qG>n851zTff?4|,;8m64550z&1g7<6j:1C>o64$0f4>4?P6im09w);8a;`8 01>2k1vqps4ieg94?"5k;0oi6X=c582I4d>3;pZ??j:3y'67`=ll1]=lj52z&63dn<5dg9U6f2=:rF9o;4>{W02a?4|,;8m6ih4V0cg>7}#=>k1h6*:788g?x{zD;i>69uY2`097~Pb138p(897:ed8 64f2<=j7pXja;0x 01?28h=7)==a;74e>{#=lk1=?5rV3c1>6}Qm009w);88;fe?!55i3?v*:798gb>"4:h0>;l5rVdc96~"2?10oi6*<2`863d=z,7}Qm009w);88;fe?!55i3?l?50;&1g7<5i81]>n:52zN1g3<6s_8:i77g63_;jh741<,<=26<94}|O6f3=9r\9no4={Wg:>7}#=>21>l?4$20b>01f3t.>il4>7:~y>o51=0;6)P5k=09wA?h52868R4gc2;q/9:o5169'12?=9>1vqpB=c482S4ej38pZh752z&63=<51=1/??o556c8y!3bi3;<7psr;n01t$3a1>7da3A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63dg=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f6d1290:6=4?{%0`6?4d12B9n55`23:94?"5k;09>554}c1aN5j11b=o950;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c953=#=>31=;5r}|8m4d?290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;35?!3013;=7psr}:k2g6<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o>5Y1`f96~"2?h0::6*:78822>{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a7g?=83<1<7>t$3a1>23<@;h37d?m7;29 7e528h=7[o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4>6:&63<<6>2wvqp5f1b194?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9j90Z28<0qpsr;h3g5?6=,;i96ae81!30i3;=7);89;35?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`0fd<72?0;6=u+2b0930=O:k20e4d03_;jh740<,<=26<84}|~?l7e03:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?c283>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63d<6>2.>;44>6:~yx=n9jo1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51bg8R4gc2;q/9:o5179'12?=9?1vqps4i0f2>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k1:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28<0(896:048yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;35?!3013;=7psr}:k2g6<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51b18R4gc2;q/9:o5179'12?=9?1vqps4i0af>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?le:T2ea<5s-?d083>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l81]=lj52z&63d<6>2.>;44>6:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8a?!3013h0qpsr;h3aae81!30i3h0(896:c9~yx{;44m;|~y>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c0g4?6=;3:14d03_;jh7g=#=>31n6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1=>5+56;956=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f7ea290=6=4?{%0`6?7e;2B9n55+1e595<=n9k<1<7*=c382f3=Q:j>1=vB=c782S46m38p(?!4d:3nn7[7}#:;l1hk5Y1`f96~"2?h0o7);89;f8yx{K:j?18vX=a380Sc>2;q/9:65dg9'77g==>k0q[kn:3y'12>=9k<0(>6sY2`097~Pb138p(897:ed8 64f2<=j7pXja;0x 01?28h=7)==a;74=>{#=lk1=?5rV3c1>6}Qm009w);88;fe?!55i3?{#=lk1o6sY23g96~Pb138p(897:ed8 64f2<=j7p*:e`82<>{zu2c9m<4?:%0`6?4f92\9o94={M0`2?7|^;;n6?u+23d96d7<^8ko6?u+56c952=#=>31=:5r}|N1g0<6s_8in7<3:1(?m=:3;7?S4d<38p@?m9:0yU64c=:r.9>k4=959U5db=:r.>;l4>7:&63<<6?2wvqA<2.8>l4:7`9~ 0cf28=0qps4o30;>5<#:j81>?64;|`1gg<72:0;6=u+2b096g`<@;h37d?m7;29 7e528h=7[n<51c48R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a6fe=83;1<7>t$3a1>7e>3A8i46a=2983>!4d:389465rb3ag>5<6290;w)N5j11d>?650;&1g7<5:110qo<^;i?65<4290;w)N5j11b=o950;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c9f>"2?00i7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0:?6*:78827>{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a6c4=83<1<7>t$3a1>4d43A8i46*>d682=>o6j?0;6)P5k=0:wA?h51c48R4gc2;q/9:o5b:&63<g=#=>31n6sr}|9j`c<72-8h>7ji;W0`0?4|D;i=6ae81!30i3n0(896:e9~yxJ5k<0?w[;54kf:&06d<2?h1vZho52z&63=<6j?1/??o556c8y!3bi3;97pX=a380Sc>2;q/9:65dg9'77g==>k0q[kn:3y'12>=9k<0(>6sY2`097~Pb138p(897:ed8 64f2<=j7pXja;0x 01?2mo0(>2;q/9:65dg9'77g==>k0q);ja;3;?x{z3`8j=7>5$3a1>7g63_8h874}Q:8o1>v*=2g81e4=Q9hn1>v*:7`823>"2?00:;6sr}M0`1?7|^;hi6?uYe881!30038j=6*<2`863d=z,n<52868R7e32;qG>n851zT15`<5s-89j7<64:T2ea<5s-?7?33-99m7;8a:'1`g=9>1vqp5`23:94?"5k;09>554}c0fa?6=;3:14d03_;jh7g=#=>31n6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`1ac<7280;6=u+2b096f?<@;h37b<=8;29 7e52;8376sm2g294?0=83:p(?m=:678L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;35?!3013;=7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0::6*:78822>{zut1b=n=50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c953=#=>31=;5r}|8m4eb290/>n<51c48R7e328qG>n851zTff?4|,;8m640i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c0ee?6=;3:14d03_;jh7g=#=>31n6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1=>5+56;956=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f7`>290=6=4?{%0`6?7e;2B9n55+1e595<=n9k<1<7*=c382f3=Q:j>1=vB=c782S46m38p(?!4d:3nn7[7}#:;l1hk5Y1`f96~"2?h0o7);89;f8yx{K:j?18vX=a380Sc>2;q/9:65dg9'77g==>k0q[kn:3y'12>=9k<0(>6sY2`097~Pb138p(897:ed8 64f2<=j7pXja;0x 01?28h=7)==a;74=>{#=lk1=?5rV3c1>6}Qm009w);88;fe?!55i3?{#=lk1o6sY23g96~Pb138p(897:ed8 64f2<=j7p*:e`82<>{zu2c9m<4?:%0`6?4f92\9o94={M0`2?7|^;;n6?u+23d96d7<^8ko6?u+56c952=#=>31=:5r}|N1g0<6s_8in7<3:1(?m=:3;7?S4d<38p@?m9:0yU64c=:r.9>k4=959U5db=:r.>;l4>7:&63<<6?2wvqA<2.8>l4:7`9~ 0cf28=0qps4o30;>5<#:j81>?64;|`1b0<72:0;6=u+2b096g`<@;h37d?m7;29 7e528h=7[n<51c48R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a6c0=83;1<7>t$3a1>7e>3A8i46a=2983>!4d:389465rb3d4>5<0290;w)2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`822>"2?00::6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1=;5+56;953=zutw0e4e43_;jh740<,<=26<84}|~?l7dm3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?le:T2ea<5s-?d083>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l81]=lj52z&63d<6>2.>;44>6:~yx=n9m81<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e08R4gc2;q/9:o5179'12?=9?1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj=896=4=:183!4d:3nh7E7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi8?850;094?6|,;i96im4H3`;?l7e>3:1(?m=:0`5?>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d3<729q/>n<51c18L7d?3-;o;7?6;h3a2?6=,;i961=vB=c782S46m38p(?a=#=>31h6sr}M0`1?2|^;k96>uYe881!3003nm7)==a;74e>{Qmh09w);88;3a2>"4:h0>;l5r$4gb>447=tVd;96~"2?10oj6*<2`863d=z^lk1>v*:7982f3=#;;k19:74}%7fe?753t\9m?4<{Wg:>7}#=>21hk5+33c912gn<52`38R7e32;qG>n851zT15`<5s-89j77g63-99m7;8a:'1`g=9>1vqp5f28694?"5k;09595Y2b696~J5k?0:w[<>e;0x 74a2;3?7[?nd;0x 01f28=0(896:058yx{K:j?1=vX=bc81Sc>2;q/9:652868 64f2<=j7p*:e`823>{zu2e9>54?:%0`6?45021vn9<<:180>5<7s-8h>71=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5b:&63<b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0qo:=4;295?6=8r.9o?4=c89K6g><3th?>84?:283>5}#:j81;85G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m640i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d<<729q/>n<5749K6g>28:0qpsr;h3aae81!30i3;<7);89;34?x{zu2c:o>4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`824>"2?00:<6sr}|9j5fc=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=nk4V0cg>7}#=>k1=:5+56;952=zutw0e:18'6f4=9k<0Z?m;:0yO6f0=9r\nn74b63_;jh741<,<=26<94}|~?l7c:3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?k2:T2ea<5s-?d283>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i=4V0cg>7}#=>k1=:5+56;952=zutw0e4b33_;jh741<,<=26<94}|~?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo:=8;2954<729q/>n<5749K6g>28:0qpsr;h3aae81!30i3;<7);89;34?x{zu2c:o>4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`824>"2?00:<6sr}|9j5fc=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=nk4V0cg>7}#=>k1=:5+56;952=zutw0e:18'6f4=9k<0Z?m;:0yO6f0=9r\nn74b63_;jh741<,<=26<94}|~?l7c:3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?k2:T2ea<5s-?d283>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i=4V0cg>7}#=>k1=:5+56;952=zutw0e4b33_;jh741<,<=26<94}|~?l7c=3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?k5:T2ea<5s-?d783>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l?1]=lj52z&63dg=zutw0e4df3_;jh7g=#=>31n6sr}|9j5gd=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=ol4V0cg>7}#=>k1n6*:788a?x{zu2c:nn4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82ff=Q9hn1>v*:7`8a?!3013h0qpsr;h3a`?6=,;i96ae81!30i3h0(896:c9~yx{55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e<;31<7?>:183!4d:3=>7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0:<6*:78824>{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c952=#=>31=:5r}|8m4e4290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46o6l80;6)P5k=0:wAk4>d09U5db=:r.>;l4>7:&63<<6?2wvqp5f1e094?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9m80Z1/9:75169~yx{o6l=0;6)P5k=0:wAk4>d59U5db=:r.>;l4>7:&63<<6?2wvqp5f1e794?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9m?0Z1/9:75169~yx{;44m;|~y>o6jh0;6)P5k=0:wAk4>b`9U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=oj50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95gb<^8ko6?u+56c9f>"2?00i7psr}:k2f`<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:nh5Y1`f96~"2?h0i7);89;`8yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c61e?6=980;6=u+2b0930=O:k20e4d03_;jh746<,<=26<>4}|~?l7e03:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?c283>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63d<682.>;44>0:~yx=n9jo1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51bg8R4gc2;q/9:o5169'12?=9>1vqps4i0f2>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n:7[?nd;0x 01f28=0(896:058yx{z3`;o>7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g6>P6im09w);8a;34?!3013;<7psr}:k2`6<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e18R4gc2;q/9:o5169'12?=9>1vqps4i0f7>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n?7[?nd;0x 01f28=0(896:058yx{z3`;o97>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g1>P6im09w);8a;34?!3013;<7psr}:k2`3<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h;5Y1`f96~"2?h0i7);89;`8yx{z3`;i57>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a=>P6im09w);8a;`8 01>2k1vqps4i0`b>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28hj7[?nd;0x 01f2k1/9:75b:~yx=n9kh1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c`8R4gc2;q/9:o5b:&63<bb83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6jj1]=lj52z&63dg=zutw0e4db3_;jh7g=#=>31n6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi8?l50;794?6|,;i96?<8;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=nk50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95fc<^8ko6?u+56c9f>"2?00i7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th9h<4?:383>5}#:j81hn5G2c:8m4d1290/>n<51c48?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo<^;i?65<1290;w)N5j11/=i95189j5g0=83.9o?4>b79U6f2=9rF9o;4>{W02a?4|,;8m6{zut1bhh4?:%0`6?bb3_8h87?tL3a5>4}Q:8o1>v*=2g8ga>P6im09w);8a;`8 01>2k1vqps4ied94?"5k;0oj6X=c581I4d>3;pZ??j:3y'67`=lo1]=lj52z&63d7}#=>21hk5+33c912gl<53zTf=?4|,<=36ih4$20b>01f3t\nm74d13-99m7;89:'1`g=9;1vZ?o=:2yUa<<5s-?<47ji;%11e?30i2w]il4={%74?k52zTf=?4|,<=36ih4$20b>01f3t.>il4>8:~y>o5i80;6)P5k=09wA?h52`38R4gc2;q/9:o5169'12?=9>1vqpB=c482S4ej38pZh752z&63=<5i81/??o556c8y!3bi3;<7psr;h0:0?6=,;i96?7;;W0`0?4|D;i=6{zuE8h97?tV3`a>7}Qm009w);88;0:0>"4:h0>;l5r$4gb>416<729q/>n<52cd8L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2k1/9:75b:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<54?:%0`6?45021vn?j;:180>5<7s-8h>79:;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4>6:&63<<6>2wvqp5f1c:94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k20Z<^;i?65<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a6ac=8391<7>t$3a1>7da3A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d3`;i:7>5$3a1>4d13_8h87?tL3a5>4}Q:8o1>v*=2g82f3=Q9hn1>v*:7`8a?!3013h0qpsr;hff>5<#:j81hh5Y2b695~J5k?0:w[<>e;0x 74a2mo0Z;44m;|~y>ocn3:1(?m=:ed8R7e32;qG>n851zT15`<5s-89j7ji;W3b`?4|,<=j6i5+56;9`>{zuE8h97:tV3c1>6}Qm009w);88;fe?!55i3?v*:798gb>"4:h0>;l5rVdc96~"2?10:n;5+33c912?7}#=>21hh5+33c912gv*:798gb>"4:h0>;l5r$4gb>4>:18'6f4=:h;0Z?m;:3yO6f0=9r\9=h4={%01b?4f92\:mi4={%74e?703-?<57?8;|~H7e228q]>ol52zTf=?4|,<=36?o>;%11e?30i2w/9ho5169~yx=n:0>1<7*=c381=1=Q:j>1>vB=c782S46m38p(?28=0qpsC2b795~P5jk09w[k6:3y'12>=:0>0(>7<=8:9~f7b>29086=4?{%0`6?4en2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z;44m;|~y>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4m;%74=?d50z&1g7<5k01C>o64o30;>5<#:j81>?64;|`1`g<72:0;6=u+2b0930=O:k20e4d03_;jh740<,<=26<84}|~?l7e03:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`5>5<#:j81=o84;n01ae81!30i3h0(896:c9~yx{53;294~"5k;09nk5G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c956=#=>31=>5r}|8k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn?k;:185>5<7s-8h>7?m3:J1f==#9m=1=45f1c494?"5k;0:n;5Y2b695~J5k?0:w[<>e;0x 74a28h=7[?nd;0x 01f2k1/9:75b:~yx=nll0;6)k4ke:T2ea<5s-?g=zutw0eih50;&1g7v*:7`8g?!3013n0qpsC2b790~P5i;08w[k6:3y'12>=lo1/??o556c8yScf2;q/9:651c48 64f2<=j7p*:e`826>{Q:h81?vXj9;0x 01?2ml0(>uYe881!3003nm7)==a;74e>{Qmh09w);88;ff?!55i3?{Q:;o1>vXj9;0x 01?2ml0(>77}#:;l1>l?4V0cg>7}#=>k1=:5+56;952=zutF9o84>{W0af?4|^l31>v*:7981e4=#;;k19:o4}%7fe?703twv7d<64;29 7e52;3?7[;44>7:~yI4d=3;pZ?lm:3yUa<<5s-?<47<64:&06d<2?h1v(8kn:058yx{<3th9i=4?:283>5}#:j81>oh4H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?g=zutw0e4d?3_;jh7g=#=>31n6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi>h?50;394?6|,;i96?m6;I0a<>i5:10;6)=zj;o96=4;:183!4d:3=>7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0::6*:78822>{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c953=#=>31=;5r}|8m4e4290/>n<51c48R7e328qG>n851zTff?4|,;8m6403:1>7>50z&1g75<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj;oh6=4<:183!4d:38ij6F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1n6*:788a?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`827>"2?00:?6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi>hl50;494?6|,;i96"6l>0:56g>b783>!4d:3;i:6X=c582I4d>3;pZ??j:3y'67`=9k<0Z;44m;|~y>ocm3:1(?m=:eg8R7e328qG>n851zT15`<5s-89j7jj;W3b`?4|,<=j6o5+56;9f>{zut1bhk4?:%0`6?ba3_8h874}Q:8o1>v*=2g8gb>P6im09w);8a;f8 01>2m1vqpB=c487S4f:39pZh752z&63=l4:7`9~R`g=:r.>;54>b79'77g==>k0q);ja;31?xP5i;08w[k6:3y'12>=lo1/??o556c8yScf2;q/9:651c48 64f2<=27p*:e`826>{Q:h81?vXj9;0x 01?2ml0(>=lo1/??o556c8y!3bi3;37psr;h0b5?6=,;i96?o>;W0`0?4|D;i=6{zuE8h97?tV3`a>7}Qm009w);88;0b5>"4:h0>;l5r$4gb>410Z?m;:3yO6f0=9r\9=h4={%01b?4><2\:mi4={%74e?703-?<57?8;|~H7e228q]>ol52zTf=?4|,<=36?7;;%11e?30i2w/9ho5169~yx=h:;21<7*=c3816==53;294~"5k;09nk5G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c9f>"2?00i7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th9i54?:083>5}#:j81>n74H3`;?j4503:1(?m=:30;?>{e:l31<7;50;2x 7e52>?0D?l7;h3a3?6=,;i96ae81!30i3;=7);89;35?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`822>"2?00::6sr}|9j5f5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1=;5+56;953=zutw0e4eb3_;jh740<,<=26<84}|~?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo::5;296?6=8r.9o?4kc:J1f==n9k<1<7*=c382f3=<^;i?65<1290;w)N5j11/=i95189j5g0=83.9o?4>b79U6f2=9rF9o;4>{W02a?4|,;8m6{zut1bhh4?:%0`6?bb3_8h87?tL3a5>4}Q:8o1>v*=2g8ga>P6im09w);8a;`8 01>2k1vqps4ied94?"5k;0oj6X=c581I4d>3;pZ??j:3y'67`=lo1]=lj52z&63d7}#=>21hk5+33c912gl<53zTf=?4|,<=36ih4$20b>01f3t\nm74d13-99m7;89:'1`g=9;1vZ?o=:2yUa<<5s-?<47ji;%11e?30i2w]il4={%74?k52zTf=?4|,<=36ih4$20b>01f3t.>il4>8:~y>o5i80;6)P5k=09wA?h52`38R4gc2;q/9:o5169'12?=9>1vqpB=c482S4ej38pZh752z&63=<5i81/??o556c8y!3bi3;<7psr;h0:0?6=,;i96?7;;W0`0?4|D;i=6{zuE8h97?tV3`a>7}Qm009w);88;0:0>"4:h0>;l5r$4gb>416<729q/>n<52cd8L7d?3`;i;7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63dg=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f130290:6=4?{%0`6?4d12B9n55`23:94?"5k;09>554}c66=?6=;3:1N5j11b=o950;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28<0(896:048yx{z3`;i47>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<6>2.>;44>6:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;35?!3013;=7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0::6*:78822>{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a00d=8391<7>t$3a1>23<@;h37d?m7;29 7e528h=7[n<51c48R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a00e=8391<7>t$3a1>23<@;h37d?m7;29 7e528h=7[n<51c48R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a00b=8391<7>t$3a1>23<@;h37d?m7;29 7e528h=7E5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0qo::e;297?6=8r.9o?485:J1f==n9k=1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d03_;jh740<,<=26<84}|~?l7e03:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`822>"2?00::6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi88h50;194?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?c=zutw0e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`71=<7210;6=u+2b09671<@;h37d?m7;29 7e528h=7[n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=n=50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c9f>"2?00i7psr}:k2g`<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:oh5Y1`f96~"2?h0i7);89;`8yx{z3`;o=7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g5>P6im09w);8a;`8 01>2k1vqps4i0f1>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n97[?nd;0x 01f2k1/9:75b:~yx=n9m91<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e18R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`5>5<#:j81=o84;n01ae81!30i3h0(896:c9~yx{56;294~"5k;0:n>5G2c:8 4b02830e2\:mi4={%74e?d<,<=26o5r}|8mac=83.9o?4ke:T1g1<6sE8h:7?tV33f>7}#:;l1hh5Y1`f96~"2?h0i7);89;`8yx{z3`nm6=4+2b09`c=Q:j>1>vB=c782S46m38p(?a`<,:8j689n;|Tfe?4|,<=36l4:7`9~R`g=:r.>;54ke:&06d<2?h1v(8kn:b9~R74b2;q]i44={%74e;0x 74a2;k:7[?nd;0x 01f28=0(896:058yx{K:j?1=vX=bc81Sc>2;q/9:652`38 64f2<=j7p*:e`823>{zu2c9594?:%0`6?4><2\9o94={M0`2?7|^;;n6?u+23d96<2<^8ko6?u+56c952=#=>31=:5r}|N1g0<6s_8in7{e=>i1<7=50;2x 7e52;hm7E7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5b:&63<b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0qo;8d;295?6=8r.9o?4=c89K6g><3th>;h4?:283>5}#:j81;85G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28<0(896:048yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c3gN5j11b=o850;&1g7<6j?10c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f4c4290=6=4?{%0`6?7e;2B9n55+1e595<=n9k<1<7*=c382f3=Q:j>1=vB=c782S46m38p(?!4d:3nn7[7}#:;l1hk5Y1`f96~"2?h0o7);89;f8yx{K:j?18vX=a380Sc>2;q/9:65dg9'77g==>k0q[kn:3y'12>=9k<0(>6sY2`097~Pb138p(897:ed8 64f2<=j7pXja;0x 01?28h=7)==a;74=>{#=lk1=?5rV3c1>6}Qm009w);88;fe?!55i3?{#=lk1o6sY23g96~Pb138p(897:ed8 64f2<=j7p*:e`82<>{zu2c9m<4?:%0`6?4f92\9o94={M0`2?7|^;;n6?u+23d96d7<^8ko6?u+56c952=#=>31=:5r}|N1g0<6s_8in7<3:1(?m=:3;7?S4d<38p@?m9:0yU64c=:r.9>k4=959U5db=:r.>;l4>7:&63<<6?2wvqA<2.8>l4:7`9~ 0cf28=0qps4o30;>5<#:j81>?64;|`2`<<72:0;6=u+2b096g`<@;h37d?m7;29 7e528h=7E5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;`8 01>2k1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj8nj6=4>:183!4d:38h56F=b99l67>=83.9o?4=2998yg7ck3:1?7>50z&1g7<0=2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z;44m;|~y>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4m;%74=?d50z&1g7<0=2B9n55f1c594?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m640o6k:0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c953=#=>31=;5r}|8k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn5<7s-8h>79:;I0a<>o6j>0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c953=#=>31=;5r}|8m4d?290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;35?!3013;=7psr}:k2g6<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51b18R4gc2;q/9:o5179'12?=9?1vqps4i0af>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?le:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2o1/9:75f:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5179'12?=9?1vqps4i0a0>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i87[?nd;0x 01f28<0(896:048yx{z3`;hi7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6kl1]=lj52z&63d<6>2.>;44>6:~yx=n9m;1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e38R4gc2;q/9:o5179'12?=9?1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj8o;6=48:183!4d:3=>7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0m7);89;d8yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;35?!3013;=7psr}:k2g6<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o>5Y1`f96~"2?h0::6*:78822>{zut1b=nk50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28in7[?nd;0x 01f28<0(896:048yx{z3`;o=7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g5>P6im09w);8a;35?!3013;=7psr}:k2`7<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h?5Y1`f96~"2?h0::6*:78822>{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a5`7=83=1<7>t$3a1>23<@;h37d?m7;29 7e528h=7[n<51c48R7e328qG>n851zTff?4|,;8m640o6kl0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95fc<^8ko6?u+56c953=#=>31=;5r}|8m4b6290/>n<51c48R7e328qG>n851zTff?4|,;8m6;W3b`?4|,<=j6<84$45:>40i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?ddc83>2<729q/>n<52358L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2k1/9:75b:~yx=n9j91<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51b18R4gc2;q/9:o5b:&63<cd83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6kl1]=lj52z&63dg=zutw0e4b53_;jh7g=#=>31n6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi=h:50;094?6|,;i96im4H3`;?l7e>3:1(?m=:0`5?>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?df183>6<729q/>n<52cd8L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2890(896:018yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c3fb?6=>3:1n<51c48R7e328qG>n851zT15`<5s-89j7?m6:T2ea<5s-?g=zutw0eik50;&1g7{M0`2?7|^;;n6?u+23d9``=Q9hn1>v*:7`8a?!3013h0qpsr;hfe>5<#:j81hk5Y2b696~J5k?0:w[<>e;0x 74a2ml0Z;44k;|~H7e22=q]>l<53zTf=?4|,<=36ih4$20b>01f3t\nm74d13-99m7;8a:'1`g=9;1vZ?o=:2yUa<<5s-?<47ji;%11e?30i2w]il4={%742.8>l4:789~ 0cf2880q[;54kf:&06d<2?h1vZho52z&63=l4:7`9~ 0cf2j1vZ?1>vB=c782S46m38p(?28=0qpsC2b795~P5jk09w[k6:3y'12>=:h;0(>7<64:T1g1<5sE8h:7?tV33f>7}#:;l1>4:4V0cg>7}#=>k1=:5+56;952=zutF9o84>{W0af?4|^l31>v*:7981=1=#;;k19:o4}%7fe?703twv7b<=8;29 7e52;8376sm1d794?5=83:p(?m=:3`e?M4e02c:n:4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8a?!3013h0qpsr;h3aae81!30i3h0(896:c9~yx{<^;i?65<6290;w)N5j11d>?650;&1g7<5:110qo?j8;297?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5b:&63<b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0qo?j9;292?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5179'12?=9?1vqps4i0`;>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?c283>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1=;5+56;953=zutw0e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2c:h<4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`4=Q9hn1>v*:7`822>"2?00::6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi=ho50;594?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8e?!3013l0qpsr;h3aP5k=0:wAk4>b99U5db=:r.>;l4>6:&63<<6>2wvqp5f1b194?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9j90Z;44i;|~y>o6kl0;6)P5k=0:wAk4>cd9U5db=:r.>;l4>6:&63<<6>2wvqp5f1e394?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m6;W3b`?4|,<=j6<84$45:>40i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?dec83>=<729q/>n<5749K6g>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;35?!3013;=7psr}:k2g6<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o>5Y1`f96~"2?h0m7);89;d8yx{z3`;hi7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`a>P6im09w);8a;35?!3013;=7psr}:k2`4<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e38R4gc2;q/9:o5179'12?=9?1vqps4i0f1>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n97[?nd;0x 01f28<0(896:048yx{z3`;o?7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g7>P6im09w);8a;35?!3013;=7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th:in4?:983>5}#:j81;85G2c:8m4d0290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;d8 01>2o1vqps4i0`;>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?c283>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63dd083>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i?4V0cg>7}#=>k1=;5+56;953=zutw0e4b53_;jh740<,<=26<84}|~?l7c;3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?k3:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`4>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?c=zutw0e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2c:o>4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`8e?!3013l0qpsr;h3`a?6=,;i96ae81!30i3;=7);89;35?x{zu2c:h<4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m;0Z28<0qpsr;h3g7?6=,;i96ae81!30i3;=7);89;35?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`2a2<72>0;6=u+2b09671<@;h37d?m7;29 7e528h=7[n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=n=50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c9f>"2?00i7psr}:k2g`<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:oh5Y1`f96~"2?h0i7);89;`8yx{z3`;o=7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g5>P6im09w);8a;`8 01>2k1vqps4i0f1>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n97[?nd;0x 01f2k1/9:75b:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d132e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`044<72:0;6=u+2b096g`<@;h37d?m7;29 7e528h=7[n<51c48R7e328qG>n851zTff?4|,;8m64550z&1g7<6j:1C>o64$0f4>4?P6im09w);8a;`8 01>2k1vqps4ieg94?"5k;0oi6X=c582I4d>3;pZ??j:3y'67`=ll1]=lj52z&63dn<5dg9U6f2=:rF9o;4>{W02a?4|,;8m6ih4V0cg>7}#=>k1h6*:788g?x{zD;i>69uY2`097~Pb138p(897:ed8 64f2<=j7pXja;0x 01?28h=7)==a;74e>{#=lk1=?5rV3c1>6}Qm009w);88;fe?!55i3?v*:798gb>"4:h0>;l5rVdc96~"2?10oi6*<2`863d=z,7}Qm009w);88;fe?!55i3?l?50;&1g7<5i81]>n:52zN1g3<6s_8:i77g63_;jh741<,<=26<94}|O6f3=9r\9no4={Wg:>7}#=>21>l?4$20b>01f3t.>il4>7:~y>o51=0;6)P5k=09wA?h52868R4gc2;q/9:o5169'12?=9>1vqpB=c482S4ej38pZh752z&63=<51=1/??o556c8y!3bi3;<7psr;n01t$3a1>7da3A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63dg=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f7`c290:6=4?{%0`6?4d12B9n55`23:94?"5k;09>554}c0ea?6=03:1N5j11b=o950;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c953=#=>31=;5r}|8m4d?290/>n<51c48R7e328qG>n851zTff?4|,;8m640o6kl0;6)P5k=0:wAk4>cd9U5db=:r.>;l4>6:&63<<6>2wvqp5f1e394?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9m;0Z28<0qpsr;h3g7?6=,;i96ae81!30i3;=7);89;35?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`0g0<72;0;6=u+2b09`f=O:k20e50z&1g7<6j:1C>o64$0f4>4?P6im09w);8a;`8 01>2k1vqps4ieg94?"5k;0oi6X=c582I4d>3;pZ??j:3y'67`=ll1]=lj52z&63dn<5dg9U6f2=:rF9o;4>{W02a?4|,;8m6ih4V0cg>7}#=>k1h6*:788g?x{zD;i>69uY2`097~Pb138p(897:ed8 64f2<=j7pXja;0x 01?28h=7)==a;74e>{#=lk1=?5rV3c1>6}Qm009w);88;fe?!55i3?v*:798gb>"4:h0>;l5rVdc96~"2?10oi6*<2`863d=z,7}Qm009w);88;fe?!55i3?l?50;&1g7<5i81]>n:52zN1g3<6s_8:i77g63_;jh741<,<=26<94}|O6f3=9r\9no4={Wg:>7}#=>21>l?4$20b>01f3t.>il4>7:~y>o51=0;6)P5k=09wA?h52868R4gc2;q/9:o5169'12?=9>1vqpB=c482S4ej38pZh752z&63=<51=1/??o556c8y!3bi3;<7psr;n01t$3a1>7da3A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63dg=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f6e0290:6=4?{%0`6?4d12B9n55`23:94?"5k;09>554}c1`N5j11b=o950;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c9b>"2?00m7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0m7);89;d8yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c1g5?6=:3:1N5j11b=o850;&1g7<6j?10c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f6b1290=6=4?{%0`6?7e;2B9n55+1e595<=n9k<1<7*=c382f3=Q:j>1=vB=c782S46m38p(?!4d:3nn7[7}#:;l1hk5Y1`f96~"2?h0o7);89;f8yx{K:j?18vX=a380Sc>2;q/9:65dg9'77g==>k0q[kn:3y'12>=9k<0(>6sY2`097~Pb138p(897:ed8 64f2<=j7pXja;0x 01?28h=7)==a;74=>{#=lk1=?5rV3c1>6}Qm009w);88;fe?!55i3?{#=lk1o6sY23g96~Pb138p(897:ed8 64f2<=j7p*:e`82<>{zu2c9m<4?:%0`6?4f92\9o94={M0`2?7|^;;n6?u+23d96d7<^8ko6?u+56c952=#=>31=:5r}|N1g0<6s_8in7<3:1(?m=:3;7?S4d<38p@?m9:0yU64c=:r.9>k4=959U5db=:r.>;l4>7:&63<<6?2wvqA<2.8>l4:7`9~ 0cf28=0qps4o30;>5<#:j81>?64;|`0`7<72:0;6=u+2b096g`<@;h37d?m7;29 7e528h=7[n<51c48R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a7a5=83;1<7>t$3a1>7e>3A8i46a=2983>!4d:389465rb2f7>5<4290;w)2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`822>"2?00::6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1=;5+56;953=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f7?229096=4?{%0`6?bd3A8i46g>b783>!4d:3;i:65`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e:031<7<50;2x 7e52mi0D?l7;h3a2?6=,;i967<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th9m=4?:783>5}#:j81=o=4H3`;?!7c?3;27d?m6;29 7e528h=7[n<5dd9U6f2=9rF9o;4>{W02a?4|,;8m6ik4V0cg>7}#=>k1n6*:788a?x{zu2coj7>5$3a1>a`<^;i?6?uC2b495~P59l09w)<=f;fe?S7fl38p(89n:e9'12?=l2wvqAl4:7`9~R`g=:r.>;54>b79'77g==>30q);ja;31?xP5i;08w[k6:3y'12>=lo1/??o556c8yScf2;q/9:65dd9'77g==>k0q);ja;a8yS45m38pZh752z&63=l4:7`9~ 0cf2820qps4i3c2>5<#:j81>l?4V3a7>7}K:j<1=vX=1d81!45n38j=6X>ae81!30i3;<7);89;34?x{zD;i>64:50;&1g7<51=1]>n:52zN1g3<6s_8:i77?33_;jh741<,<=26<94}|O6f3=9r\9no4={Wg:>7}#=>21>4:4$20b>01f3t.>il4>7:~y>i5:10;6)=zj;3=6=4<:183!4d:38ij6F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1n6*:788a?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`8a?!3013h0qpsr;n01ae81!30i3h0(896:c9~yx{51;294~"5k;09o45G2c:8k74?290/>n<523:8?xd5110;6>4?:1y'6f4=?<1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28<0(896:048yx{z3`;i47>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<6>2.>;44>6:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d<682.>;44>0:~yx=n9k21<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d?3_;jh746<,<=26<>4}|~?l7d;3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?l3:T2ea<5s-?cd83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6kl1]=lj52z&63d<6?2.>;44>7:~yx=n9m;1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74b63_;jh741<,<=26<94}|~?l7c:3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82`7=Q9hn1>v*:7`823>"2?00:;6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi>4l50;:94?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`824>"2?00:<6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1==5+56;955=zutw0e4e43_;jh746<,<=26<>4}|~?l7dm3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`823>"2?00:;6sr}|9j5a7=83.9o?4>b79K6f7<^;i?628:0qpsr;h3g6?6=,;i96P5k=0:wAk4>d39U5db=:r.>;l4>7:&63<<6?2wvqp5f1e194?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m641k3:147>50z&1g7<0=2B9n55f1c594?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64$45:>46o6k:0;6)P5k=0:wAk4>c29U5db=:r.>;l4>0:&63<<682wvqp5f1bg94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m641o6l;0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a4<^8ko6?u+56c952=#=>31=:5r}|8m4b4290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3g7>P6im09w);8a;34?!3013;<7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th95i4?:883>5}#:j81;85G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46{zut1b=n=50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c952=#=>31=:5r}|8m4eb290/>n<51c48R7e328qG>n851zTff?4|,;8m641{zut1b=i<50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95a4<^8ko6?u+56c952=#=>31=:5r}|8m4b4290/>n<51c48R7e328qG>n851zTff?4|,;8m641i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d0<729q/>n<52358L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2k1/9:75b:~yx=n9j91<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51b18R4gc2;q/9:o5b:&63<cd83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6kl1]=lj52z&63dg=zutw0qo=70;296?6=8r.9o?4kc:J1f==n9k<1<7*=c382f3=<^;i?65<1290;w)N5j11/=i95189j5g0=83.9o?4>b79U6f2=9rF9o;4>{W02a?4|,;8m6{zut1bhh4?:%0`6?bb3_8h87?tL3a5>4}Q:8o1>v*=2g8ga>P6im09w);8a;`8 01>2k1vqps4ied94?"5k;0oj6X=c581I4d>3;pZ??j:3y'67`=lo1]=lj52z&63d7}#=>21hk5+33c912gl<53zTf=?4|,<=36ih4$20b>01f3t\nm74d13-99m7;89:'1`g=9;1vZ?o=:2yUa<<5s-?<47ji;%11e?30i2w]il4={%74?k52zTf=?4|,<=36ih4$20b>01f3t.>il4>8:~y>o5i80;6)P5k=09wA?h52`38R4gc2;q/9:o5169'12?=9>1vqpB=c482S4ej38pZh752z&63=<5i81/??o556c8y!3bi3;<7psr;h0:0?6=,;i96?7;;W0`0?4|D;i=6{zuE8h97?tV3`a>7}Qm009w);88;0:0>"4:h0>;l5r$4gb>416<729q/>n<52cd8L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2k1/9:75b:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<54?:%0`6?45021vn>6<:180>5<7s-8h>79:;I0a<>o6j>0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c9b>"2?00m7psr}:k2f=<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5f:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`5>5<#:j81=o84;n01ae81!30i3h0(896:c9~yx{56;294~"5k;0:n>5G2c:8 4b02830e2\:mi4={%74e?d<,<=26o5r}|8mac=83.9o?4ke:T1g1<6sE8h:7?tV33f>7}#:;l1hh5Y1`f96~"2?h0i7);89;`8yx{z3`nm6=4+2b09`c=Q:j>1>vB=c782S46m38p(?a`<,:8j689n;|Tfe?4|,<=36l4:7`9~R`g=:r.>;54ke:&06d<2?h1v(8kn:b9~R74b2;q]i44={%74e;0x 74a2;k:7[?nd;0x 01f28=0(896:058yx{K:j?1=vX=bc81Sc>2;q/9:652`38 64f2<=j7p*:e`823>{zu2c9594?:%0`6?4><2\9o94={M0`2?7|^;;n6?u+23d96<2<^8ko6?u+56c952=#=>31=:5r}|N1g0<6s_8in7{e;1=1<7=50;2x 7e52;hm7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0i7);89;`8yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;`8 01>2k1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj:236=4>:183!4d:38h56F=b99l67>=83.9o?4=2998yg5?13:1?7>50z&1g7<0=2B9n55f1c594?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2o1/9:75f:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d132e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`0g1<72?0;6=u+2b095g5<@;h37)?k7;3:?l7e>3:1(?m=:0`5?S4d<3;p@?m9:0yU64c=:r.9>k4>b79U5db=:r.>;l4m;%74=?dn:51zN1g3<6s_8:i7ac<^8ko6?u+56c9f>"2?00i7psr}:kgb?6=,;i96ih4V3a7>7}K:j<1=vX=1d81!45n3nm7[?nd;0x 01f2m1/9:75d:~yI4d=3>pZ?o=:2yUa<<5s-?<47ji;%11e?30i2w]il4={%742.8>l4:7`9~ 0cf2880q[;54kf:&06d<2?h1vZho52z&63=<6j?1/??o556;8y!3bi3;97pX=a380Sc>2;q/9:65dg9'77g==>k0q[kn:3y'12>=ll1/??o556c8y!3bi3i0q[<=e;0xR`?=:r.>;54kf:&06d<2?h1v(8kn:0:8yx{P6im09w);8a;34?!3013;<7psrL3a6>4}Q:kh1>vXj9;0x 01?2;k:7)==a;74e>{#=lk1=:5r}|9j6<2=83.9o?4=959U6f2=:rF9o;4>{W02a?4|,;8m6?7;;W3b`?4|,<=j6<94$45:>41n;51zT1fg<5s_o26?u+56:96<2<,:8j689n;|&6ad<6?2wvq6a=2983>!4d:389465rb2a3>5<4290;w)N5j11b=o950;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c9f>"2?00i7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0i7);89;`8yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c1`5?6=93:12<729q/>n<5749K6g>o6j10;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c953=#=>31=;5r}|8m4e4290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;35?!3013;=7psr}:k2g`<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51bg8R4gc2;q/9:o5179'12?=9?1vqps4i0f2>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k1:T2ea<5s-?d383>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l;1]=lj52z&63d<6>2.>;44>6:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d132e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`0`5<72?0;6=u+2b095g5<@;h37)?k7;3:?l7e>3:1(?m=:0`5?S4d<3;p@?m9:0yU64c=:r.9>k4>b79U5db=:r.>;l4m;%74=?dn:51zN1g3<6s_8:i7ac<^8ko6?u+56c9f>"2?00i7psr}:kgb?6=,;i96ih4V3a7>7}K:j<1=vX=1d81!45n3nm7[?nd;0x 01f2m1/9:75d:~yI4d=3>pZ?o=:2yUa<<5s-?<47ji;%11e?30i2w]il4={%742.8>l4:7`9~ 0cf2880q[;54kf:&06d<2?h1vZho52z&63=<6j?1/??o556;8y!3bi3;97pX=a380Sc>2;q/9:65dg9'77g==>k0q[kn:3y'12>=ll1/??o556c8y!3bi3i0q[<=e;0xR`?=:r.>;54kf:&06d<2?h1v(8kn:0:8yx{P6im09w);8a;34?!3013;<7psrL3a6>4}Q:kh1>vXj9;0x 01?2;k:7)==a;74e>{#=lk1=:5r}|9j6<2=83.9o?4=959U6f2=:rF9o;4>{W02a?4|,;8m6?7;;W3b`?4|,<=j6<94$45:>41n;51zT1fg<5s_o26?u+56:96<2<,:8j689n;|&6ad<6?2wvq6a=2983>!4d:389465rb2a`>5<4290;w)N5j11b=o950;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c9f>"2?00i7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0i7);89;`8yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c1``?6=93:16<729q/>n<5749K6g>28<0qpsr;h3aae81!30i3;=7);89;35?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`74d<72;0;6=u+2b09`f=O:k20e50z&1g7<6j:1C>o64$0f4>4?P6im09w);8a;`8 01>2k1vqps4ieg94?"5k;0oi6X=c582I4d>3;pZ??j:3y'67`=ll1]=lj52z&63dn<5dg9U6f2=:rF9o;4>{W02a?4|,;8m6ih4V0cg>7}#=>k1h6*:788g?x{zD;i>69uY2`097~Pb138p(897:ed8 64f2<=j7pXja;0x 01?28h=7)==a;74e>{#=lk1=?5rV3c1>6}Qm009w);88;fe?!55i3?v*:798gb>"4:h0>;l5rVdc96~"2?10oi6*<2`863d=z,7}Qm009w);88;fe?!55i3?l?50;&1g7<5i81]>n:52zN1g3<6s_8:i77g63_;jh741<,<=26<94}|O6f3=9r\9no4={Wg:>7}#=>21>l?4$20b>01f3t.>il4>7:~y>o51=0;6)P5k=09wA?h52868R4gc2;q/9:o5169'12?=9>1vqpB=c482S4ej38pZh752z&63=<51=1/??o556c8y!3bi3;<7psr;n01t$3a1>7da3A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63dg=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f16d290:6=4?{%0`6?4d12B9n55`23:94?"5k;09>554}c63`?6=;3:1N5j11b=o950;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2o1/9:75f:~yx=n9k21<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d?3_;jh7c=#=>31j6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi8<>50;094?6|,;i96im4H3`;?l7e>3:1(?m=:0`5?>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d3<729q/>n<51c18L7d?3-;o;7?6;h3a2?6=,;i961=vB=c782S46m38p(?a=#=>31h6sr}M0`1?2|^;k96>uYe881!3003nm7)==a;74e>{Qmh09w);88;3a2>"4:h0>;l5r$4gb>447=tVd;96~"2?10oj6*<2`863d=z^lk1>v*:7982f3=#;;k19:74}%7fe?753t\9m?4<{Wg:>7}#=>21hk5+33c912gn<52`38R7e32;qG>n851zT15`<5s-89j77g63-99m7;8a:'1`g=9>1vqp5f28694?"5k;09595Y2b696~J5k?0:w[<>e;0x 74a2;3?7[?nd;0x 01f28=0(896:058yx{K:j?1=vX=bc81Sc>2;q/9:652868 64f2<=j7p*:e`823>{zu2e9>54?:%0`6?45021vn9?>:180>5<7s-8h>71=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5b:&63<b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0qo:>2;295?6=8r.9o?4=c89K6g><3th?=>4?:283>5}#:j81;85G2c:8m4d0290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;d8 01>2o1vqps4i0`;>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?c=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f36=8381<7>t$3a1>ae<@;h37d?m6;29 7e528h=76a=2983>!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d50z&1g7<6j:1C>o64$0f4>4?P6im09w);8a;`8 01>2k1vqps4ieg94?"5k;0oi6X=c582I4d>3;pZ??j:3y'67`=ll1]=lj52z&63dn<5dg9U6f2=:rF9o;4>{W02a?4|,;8m6ih4V0cg>7}#=>k1h6*:788g?x{zD;i>69uY2`097~Pb138p(897:ed8 64f2<=j7pXja;0x 01?28h=7)==a;74e>{#=lk1=?5rV3c1>6}Qm009w);88;fe?!55i3?v*:798gb>"4:h0>;l5rVdc96~"2?10oi6*<2`863d=z,7}Qm009w);88;fe?!55i3?l?50;&1g7<5i81]>n:52zN1g3<6s_8:i77g63_;jh741<,<=26<94}|O6f3=9r\9no4={Wg:>7}#=>21>l?4$20b>01f3t.>il4>7:~y>o51=0;6)P5k=09wA?h52868R4gc2;q/9:o5169'12?=9>1vqpB=c482S4ej38pZh752z&63=<51=1/??o556c8y!3bi3;<7psr;n015$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;`8 01>2k1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj?81<7?50;2x 7e52;i27E7<=8:9~f32=83>1<7>t$3a1>23<@;h37d?m7;29 7e528h=7E{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c953=#=>31=;5r}|8m4e4290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;35?!3013;=7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th=97>55;294~"5k;0<96F=b99j5g1=83.9o?4>b79K6f7<^;i?628<0qpsr;h3aP5k=0:wAk4>b99U5db=:r.>;l4>6:&63<<6>2wvqp5f1b194?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m640{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a26<72:0;6=u+2b09671<@;h37d?m7;29 7e528h=7[n<51c48R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a2=<72;0;6=u+2b09`f=O:k20eb783>!4d:3;i:65`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e?:0;6;4?:1y'6f4=9k90D?l7;%3g3?7>3`;i:7>5$3a1>4d13_8h87?tL3a5>4}Q:8o1>v*=2g82f3=Q9hn1>v*:7`8a?!3013h0qpsr;hff>5<#:j81hh5Y2b695~J5k?0:w[<>e;0x 74a2mo0Z;44m;|~y>ocn3:1(?m=:ed8R7e32;qG>n851zT15`<5s-89j7ji;W3b`?4|,<=j6i5+56;9`>{zuE8h97:tV3c1>6}Qm009w);88;fe?!55i3?v*:798gb>"4:h0>;l5rVdc96~"2?10:n;5+33c912?7}#=>21hh5+33c912gv*:798gb>"4:h0>;l5r$4gb>4>:18'6f4=:h;0Z?m;:3yO6f0=9r\9=h4={%01b?4f92\:mi4={%74e?703-?<57?8;|~H7e228q]>ol52zTf=?4|,<=36?o>;%11e?30i2w/9ho5169~yx=n:0>1<7*=c381=1=Q:j>1>vB=c782S46m38p(?28=0qpsC2b795~P5jk09w[k6:3y'12>=:0>0(>7<=8:9~f3?=8391<7>t$3a1>7da3A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63dg=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f3g=83;1<7>t$3a1>7e>3A8i46a=2983>!4d:389465rb7`94?5=83:p(?m=:678L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;35?!3013;=7psr}:k2f=<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5179'12?=9?1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj?n1<7850;2x 7e52>?0D?l7;h3a3?6=,;i96ae81!30i3;;7);89;33?x{zu2c:n54?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k20Zo6kl0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95fc<^8ko6?u+56c952=#=>31=:5r}|8m4b6290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3g5>P6im09w);8a;34?!3013;<7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th=i7>56;294~"5k;0<96F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1==5+56;955=zutw0e4d?3_;jh741<,<=26<94}|~?l7d;3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`823>"2?00:;6sr}|9j5fc=83.9o?4>b79K6f7<^;i?628=0qpsr;h3g5?6=,;i96P5k=0:wAk4>d09U5db=:r.>;l4>7:&63<<6?2wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e>o0;6;4?:1y'6f4=?<1C>o64i0`4>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?b983>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1=:5+56;952=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:oh4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9jo0Z1/9:75169~yx{i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5119'12?=991vqps4i0`;>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?c283>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1=:5+56;952=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:h<4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m;0Z1/9:75169~yx{<^;i?62\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8a?!3013h0qpsr;h3aae81!30i3h0(896:c9~yx{;44m;|~y>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?df`83>7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c032?6=>3:1n<51c48R7e328qG>n851zT15`<5s-89j7?m6:T2ea<5s-?g=zutw0eik50;&1g7{M0`2?7|^;;n6?u+23d9``=Q9hn1>v*:7`8a?!3013h0qpsr;hfe>5<#:j81hk5Y2b696~J5k?0:w[<>e;0x 74a2ml0Z;44k;|~H7e22=q]>l<53zTf=?4|,<=36ih4$20b>01f3t\nm74d13-99m7;8a:'1`g=9;1vZ?o=:2yUa<<5s-?<47ji;%11e?30i2w]il4={%742.8>l4:789~ 0cf2880q[;54kf:&06d<2?h1vZho52z&63=l4:7`9~ 0cf2j1vZ?1>vB=c782S46m38p(?28=0qpsC2b795~P5jk09w[k6:3y'12>=:h;0(>7<64:T1g1<5sE8h:7?tV33f>7}#:;l1>4:4V0cg>7}#=>k1=:5+56;952=zutF9o84>{W0af?4|^l31>v*:7981=1=#;;k19:o4}%7fe?703twv7b<=8;29 7e52;8376sm1g`94?5=83:p(?m=:3`e?M4e02c:n:4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k=0Z;44m;|~y>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4m;%74=?d50z&1g7<5k01C>o64o30;>5<#:j81>?64;|`2b`<72:0;6=u+2b0930=O:k20e4d03_;jh7g=#=>31n6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`2bc<72:0;6=u+2b0930=O:k20e4d03_;jh7g=#=>31n6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`145<72:0;6=u+2b0930=O:k20e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`822>"2?00::6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi>=?50;194?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<6>2.>;44>6:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d<6>2.>;44>6:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5179'12?=9?1vqps4i0a0>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i87[?nd;0x 01f28<0(896:048yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c037?6==3:1N5j11b=o950;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2o1/9:75f:~yx=n9k21<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d?3_;jh7c=#=>31j6sr}|9j5f5=83.9o?4>b79K6f7<^;i?628<0qpsr;h3`a?6=,;i96ae81!30i3;=7);89;35?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`141<72<0;6=u+2b0930=O:k20e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`822>"2?00::6sr}|9j5f5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1j6*:788e?x{zu2c:oh4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9jo0Z<^;i?65N5j11b=o950;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c9f>"2?00i7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0i7);89;`8yx{z3`;h?7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;`8 01>2k1vqps4i0af>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28in7[?nd;0x 01f2k1/9:75b:~yx=n9m;1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e38R4gc2;q/9:o5b:&63<d383>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l;1]=lj52z&63dg=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f64e29096=4?{%0`6?bd3A8i46g>b783>!4d:3;i:65`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e;::1<7850;2x 7e528h87E2\9o94>{M0`2?7|^;;n6?u+23d95g0<^8ko6?u+56c9f>"2?00i7psr}:kga?6=,;i96ik4V3a7>4}K:j<1=vX=1d81!45n3nn7[?nd;0x 01f2k1/9:75b:~yx=nlo0;6)k4kf:T2ea<5s-?a=zutF9o84;{W0b6?5|^l31>v*:798gb>"4:h0>;l5rVdc96~"2?10:n;5+33c912g7}#=>21=o84$20b>01>3t.>il4>2:U6d4=;r\n57a`<,:8j689n;|Tfe?4|,<=36ik4$20b>01f3t.>il4l;|T16`<5s_o26?u+56:9`c=#;;k19:o4}%7fe?7?3twv7d;44>7:~yI4d=3;pZ?lm:3yUa<<5s-?<47P6im09w);8a;34?!3013;<7psrL3a6>4}Q:kh1>vXj9;0x 01?2;3?7)==a;74e>{#=lk1=:5r}|9l67>=83.9o?4=2998yg55k3:1?7>50z&1g7<5jo1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2k1/9:75b:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63dt$3a1>23<@;h37d?m7;29 7e528h=7E5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0qo=84;296?6=8r.9o?4kc:J1f==n9k<1<7*=c382f3=<^;i?65<1290;w)N5j11/=i95189j5g0=83.9o?4>b79U6f2=9rF9o;4>{W02a?4|,;8m6{zut1bhh4?:%0`6?bb3_8h87?tL3a5>4}Q:8o1>v*=2g8ga>P6im09w);8a;`8 01>2k1vqps4ied94?"5k;0oj6X=c581I4d>3;pZ??j:3y'67`=lo1]=lj52z&63d7}#=>21hk5+33c912gl<53zTf=?4|,<=36ih4$20b>01f3t\nm74d13-99m7;89:'1`g=9;1vZ?o=:2yUa<<5s-?<47ji;%11e?30i2w]il4={%74?k52zTf=?4|,<=36ih4$20b>01f3t.>il4>8:~y>o5i80;6)P5k=09wA?h52`38R4gc2;q/9:o5169'12?=9>1vqpB=c482S4ej38pZh752z&63=<5i81/??o556c8y!3bi3;<7psr;h0:0?6=,;i96?7;;W0`0?4|D;i=6{zuE8h97?tV3`a>7}Qm009w);88;0:0>"4:h0>;l5r$4gb>416<729q/>n<52cd8L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2k1/9:75b:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<54?:%0`6?45021vn>98:180>5<7s-8h>79:;I0a<>o6j>0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c9b>"2?00m7psr}:k2f=<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5f:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`5>5<#:j81=o84;n01ae81!30i3h0(896:c9~yx{56;294~"5k;0:n>5G2c:8 4b02830e2\:mi4={%74e?d<,<=26o5r}|8mac=83.9o?4ke:T1g1<6sE8h:7?tV33f>7}#:;l1hh5Y1`f96~"2?h0i7);89;`8yx{z3`nm6=4+2b09`c=Q:j>1>vB=c782S46m38p(?a`<,:8j689n;|Tfe?4|,<=36l4:7`9~R`g=:r.>;54ke:&06d<2?h1v(8kn:b9~R74b2;q]i44={%74e;0x 74a2;k:7[?nd;0x 01f28=0(896:058yx{K:j?1=vX=bc81Sc>2;q/9:652`38 64f2<=j7p*:e`823>{zu2c9594?:%0`6?4><2\9o94={M0`2?7|^;;n6?u+23d96<2<^8ko6?u+56c952=#=>31=:5r}|N1g0<6s_8in7{e;>h1<7=50;2x 7e52;hm7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0i7);89;`8yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;`8 01>2k1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj:=h6=4>:183!4d:38h56F=b99l67>=83.9o?4=2998yg50l3:1?7>50z&1g7<0=2B9n55f1c594?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2o1/9:75f:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d132e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`746<72?0;6=u+2b095g5<@;h37)?k7;3:?l7e>3:1(?m=:0`5?S4d<3;p@?m9:0yU64c=:r.9>k4>b79U5db=:r.>;l4m;%74=?dn:51zN1g3<6s_8:i7ac<^8ko6?u+56c9f>"2?00i7psr}:kgb?6=,;i96ih4V3a7>7}K:j<1=vX=1d81!45n3nm7[?nd;0x 01f2m1/9:75d:~yI4d=3>pZ?o=:2yUa<<5s-?<47ji;%11e?30i2w]il4={%742.8>l4:7`9~ 0cf2880q[;54kf:&06d<2?h1vZho52z&63=<6j?1/??o556;8y!3bi3;97pX=a380Sc>2;q/9:65dg9'77g==>k0q[kn:3y'12>=ll1/??o556c8y!3bi3i0q[<=e;0xR`?=:r.>;54kf:&06d<2?h1v(8kn:0:8yx{P6im09w);8a;34?!3013;<7psrL3a6>4}Q:kh1>vXj9;0x 01?2;k:7)==a;74e>{#=lk1=:5r}|9j6<2=83.9o?4=959U6f2=:rF9o;4>{W02a?4|,;8m6?7;;W3b`?4|,<=j6<94$45:>41n;51zT1fg<5s_o26?u+56:96<2<,:8j689n;|&6ad<6?2wvq6a=2983>!4d:389465rb2de>5<4290;w)N5j11b=o950;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c9f>"2?00i7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0i7);89;`8yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c634?6=93:16<729q/>n<5749K6g>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;d8 01>2o1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj=:?6=4=:183!4d:3nh7E7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi8=750;494?6|,;i96"6l>0:56g>b783>!4d:3;i:6X=c582I4d>3;pZ??j:3y'67`=9k<0Z;44m;|~y>ocm3:1(?m=:eg8R7e328qG>n851zT15`<5s-89j7jj;W3b`?4|,<=j6o5+56;9f>{zut1bhk4?:%0`6?ba3_8h874}Q:8o1>v*=2g8gb>P6im09w);8a;f8 01>2m1vqpB=c487S4f:39pZh752z&63=l4:7`9~R`g=:r.>;54>b79'77g==>k0q);ja;31?xP5i;08w[k6:3y'12>=lo1/??o556c8yScf2;q/9:651c48 64f2<=27p*:e`826>{Q:h81?vXj9;0x 01?2ml0(>=lo1/??o556c8y!3bi3;37psr;h0b5?6=,;i96?o>;W0`0?4|D;i=6{zuE8h97?tV3`a>7}Qm009w);88;0b5>"4:h0>;l5r$4gb>410Z?m;:3yO6f0=9r\9=h4={%01b?4><2\:mi4={%74e?703-?<57?8;|~H7e228q]>ol52zTf=?4|,<=36?7;;%11e?30i2w/9ho5169~yx=h:;21<7*=c3816==;97>53;294~"5k;09nk5G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c9f>"2?00i7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th?<;4?:083>5}#:j81>n74H3`;?j4503:1(?m=:30;?>{e<9=1<7=50;2x 7e52>?0D?l7;h3a3?6=,;i96P5k=0:wAk4>b69U5db=:r.>;l4i;%74=?`5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c622?6=:3:1N5j11b=o850;&1g7<6j?10c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f17f29096=4?{%0`6?bd3A8i46g>b783>!4d:3;i:65`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e<;;1<7850;2x 7e528h87E2\9o94>{M0`2?7|^;;n6?u+23d95g0<^8ko6?u+56c9f>"2?00i7psr}:kga?6=,;i96ik4V3a7>4}K:j<1=vX=1d81!45n3nn7[?nd;0x 01f2k1/9:75b:~yx=nlo0;6)k4kf:T2ea<5s-?a=zutF9o84;{W0b6?5|^l31>v*:798gb>"4:h0>;l5rVdc96~"2?10:n;5+33c912g7}#=>21=o84$20b>01>3t.>il4>2:U6d4=;r\n57a`<,:8j689n;|Tfe?4|,<=36ik4$20b>01f3t.>il4l;|T16`<5s_o26?u+56:9`c=#;;k19:o4}%7fe?7?3twv7d;44>7:~yI4d=3;pZ?lm:3yUa<<5s-?<47P6im09w);8a;34?!3013;<7psrL3a6>4}Q:kh1>vXj9;0x 01?2;3?7)==a;74e>{#=lk1=:5r}|9l67>=83.9o?4=2998yg26?3:1?7>50z&1g7<5jo1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2k1/9:75b:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d1<7>t$3a1>23<@;h37d?m7;29 7e528h=7[o6j10;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c953=#=>31=;5r}|8m4e4290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;35?!3013;=7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th?=o4?:783>5}#:j81;85G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46{zut1b=n=50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28i87[?nd;0x 01f28=0(896:058yx{z3`;hi7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6kl1]=lj52z&63d<6?2.>;44>7:~yx=n9m;1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74b63_;jh741<,<=26<94}|~?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo:>c;292?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5119'12?=991vqps4i0`;>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?c283>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1=:5+56;952=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:h<4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m;0Z1/9:75169~yx{<^;i?65<1290;w)2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`824>"2?00:<6sr}|9j5g>=83.9o?4>b79K6f7<^;i?628=0qpsr;h3`7?6=,;i96P5k=0:wAk4>c29U5db=:r.>;l4>7:&63<<6?2wvqp5f1bg94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m641{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a04c=83=1<7>t$3a1>23<@;h37d?m7;29 7e528h=7E{zut1b=o650;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28:0(896:028yx{z3`;h?7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;34?!3013;<7psr}:k2g`<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51bg8R4gc2;q/9:o5119'12?=991vqps4i0f2>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k1:T2ea<5s-?d383>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i<4V0cg>7}#=>k1=:5+56;952=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f17a290>6=4?{%0`6?45?2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z;44m;|~y>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a1=b=8381<7>t$3a1>ae<@;h37d?m6;29 7e528h=76a=2983>!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d3`;i:7>5$3a1>4d13_8h87?tL3a5>4}Q:8o1>v*=2g82f3=Q9hn1>v*:7`8a?!3013h0qpsr;hff>5<#:j81hh5Y2b695~J5k?0:w[<>e;0x 74a2mo0Z;44m;|~y>ocn3:1(?m=:ed8R7e32;qG>n851zT15`<5s-89j7ji;W3b`?4|,<=j6i5+56;9`>{zuE8h97:tV3c1>6}Qm009w);88;fe?!55i3?v*:798gb>"4:h0>;l5rVdc96~"2?10:n;5+33c912?7}#=>21hh5+33c912gv*:798gb>"4:h0>;l5r$4gb>4>:18'6f4=:h;0Z?m;:3yO6f0=9r\9=h4={%01b?4f92\:mi4={%74e?703-?<57?8;|~H7e228q]>ol52zTf=?4|,<=36?o>;%11e?30i2w/9ho5169~yx=n:0>1<7*=c381=1=Q:j>1>vB=c782S46m38p(?28=0qpsC2b795~P5jk09w[k6:3y'12>=:0>0(>7<=8:9~f0>b29086=4?{%0`6?4en2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z;44m;|~y>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4m;%74=?d50z&1g7<5k01C>o64o30;>5<#:j81>?64;|`6=4<72:0;6=u+2b0930=O:k20e4d03_;jh7g=#=>31n6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`6=7<72:0;6=u+2b0930=O:k20e4d03_;jh7g=#=>31n6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`6=6<72:0;6=u+2b0930=O:k20e4d03_;jh740<,<=26<84}|~?l7e03:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`822>"2?00::6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi94:50;194?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`822>"2?00::6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1=;5+56;953=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f0?2290?6=4?{%0`6?123A8i46g>b683>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1=;5+56;953=zutw0e4d?3_;jh740<,<=26<84}|~?l7d;3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`822>"2?00::6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi94850;494?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?c=zutw0e;W0`0?7|D;i=6ae81!30i3l0(896:g9~yx{o6kl0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95fc<^8ko6?u+56c953=#=>31=;5r}|8m4b6290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3g5>P6im09w);8a;35?!3013;=7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th>5:4?:783>5}#:j81;85G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c953=#=>31=;5r}|8m4e4290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;35?!3013;=7psr}:k2g`<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51bg8R4gc2;q/9:o5179'12?=9?1vqps4i0f2>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k1:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63dae81!30i3h0(896:c9~yx{;44m;|~y>o6kl0;6)P5k=0:wAk4>cd9U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=i=50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95a5<^8ko6?u+56c9f>"2?00i7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th>m54?:383>5}#:j81hn5G2c:8m4d1290/>n<51c48?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo;m4;292?6=8r.9o?4>b29K6g><,8n<6<74i0`5>5<#:j81=o84V3a7>4}K:j<1=vX=1d81!45n3;i:6X>ae81!30i3h0(896:c9~yx{P5k=0:wA?h5dd9U5db=:r.>;l4m;%74=?dn:52zN1g3<6s_8:i7a`<^8ko6?u+56c9`>"2?00o7psrL3a6>1}Q:h81?vXj9;0x 01?2ml0(>uYe881!3003nm7)==a;74e>{Qmh09w);88;3a2>"4:h0>;45r$4gb>447=tVd;96~"2?10oj6*<2`863d=z^lk1>v*:798ga>"4:h0>;l5r$4gb>f=z^;8n6?uYe881!3003nm7)==a;74e>{#=lk1=55r}|9j6d7=83.9o?4=a09U6f2=:rF9o;4>{W02a?4|,;8m6?o>;W3b`?4|,<=j6<94$45:>41n;51zT1fg<5s_o26?u+56:96d7<,:8j689n;|&6ad<6?2wvq6g=9583>!4d:38286X=c581I4d>3;pZ??j:3y'67`=:0>0Z1/9:75169~yxJ5k<0:w[;54=959'77g==>k0q);ja;34?x{z3f8947>5$3a1>74?32wi9l750;194?6|,;i96?li;I0a<>o6j>0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c9f>"2?00i7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0i7);89;`8yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c7be?6=93:16<729q/>n<5749K6g>;44m;|~y>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d6<729q/>n<5749K6g>;44m;|~y>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d2<729q/>n<5749K6g>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`a>P6im09w);8a;35?!3013;=7psr}:k2`4<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e38R4gc2;q/9:o5179'12?=9?1vqps4i0f1>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k2:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`4>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?c=zutw0e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2c:o>4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9j90Z;44i;|~y>o6kl0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95fc<^8ko6?u+56c953=#=>31=;5r}|8m4b6290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3g5>P6im09w);8a;35?!3013;=7psr}:k2`7<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e08R4gc2;q/9:o5179'12?=9?1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj7E7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5f:&63<b983>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1=;5+56;953=zutw0e;W0`0?7|D;i=6ae81!30i3l0(896:g9~yx{o6l80;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a7<^8ko6?u+56c953=#=>31=;5r}|8m4b5290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3g6>P6im09w);8a;35?!3013;=7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th>n<4?:683>5}#:j81;85G2c:8m4d0290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;d8 01>2o1vqps4i0`;>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?c283>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63d<6>2.>;44>6:~yx=n9jo1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74eb3_;jh740<,<=26<84}|~?l7c93:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82`4=Q9hn1>v*:7`822>"2?00::6sr}|9j5a4=83.9o?4>b79K6f7<^;i?628<0qpsr;n01ae81!30i3h0(896:c9~yx{7>58;294~"5k;0<96F=b99j5g1=83.9o?4>b79K6f7<^;i?6;44i;|~y>o6k:0;6)P5k=0:wAk4>c29U5db=:r.>;l4i;%74=?`{zut1b=i?50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n:7[?nd;0x 01f2o1/9:75f:~yx=n9m81<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74b53_;jh740<,<=26<84}|~?l7c;3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82`6=Q9hn1>v*:7`822>"2?00::6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi9ll50;:94?6|,;i96?<8;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=nk50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95fc<^8ko6?u+56c9f>"2?00i7psr}:k2`4<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h<5Y1`f96~"2?h0i7);89;`8yx{z3`;o>7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g6>P6im09w);8a;`8 01>2k1vqps4i0f0>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n87[?nd;0x 01f2k1/9:75b:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d132e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`7e0<72?0;6=u+2b095g5<@;h37)?k7;3:?l7e>3:1(?m=:0`5?S4d<3;p@?m9:0yU64c=:r.9>k4>b79U5db=:r.>;l4m;%74=?dn:51zN1g3<6s_8:i7ac<^8ko6?u+56c9f>"2?00i7psr}:kgb?6=,;i96ih4V3a7>7}K:j<1=vX=1d81!45n3nm7[?nd;0x 01f2m1/9:75d:~yI4d=3>pZ?o=:2yUa<<5s-?<47ji;%11e?30i2w]il4={%742.8>l4:7`9~ 0cf2880q[;54kf:&06d<2?h1vZho52z&63=<6j?1/??o556;8y!3bi3;97pX=a380Sc>2;q/9:65dg9'77g==>k0q[kn:3y'12>=ll1/??o556c8y!3bi3i0q[<=e;0xR`?=:r.>;54kf:&06d<2?h1v(8kn:0:8yx{P6im09w);8a;34?!3013;<7psrL3a6>4}Q:kh1>vXj9;0x 01?2;k:7)==a;74e>{#=lk1=:5r}|9j6<2=83.9o?4=959U6f2=:rF9o;4>{W02a?4|,;8m6?7;;W3b`?4|,<=j6<94$45:>41n;51zT1fg<5s_o26?u+56:96<2<,:8j689n;|&6ad<6?2wvq6a=2983>!4d:389465rb5;e>5<4290;w)N5j11b=o950;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c9f>"2?00i7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0i7);89;`8yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c6b4?6=93:11<729q/>n<5749K6g>o6j10;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c953=#=>31=;5r}|8m4e4290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;35?!3013;=7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th?m>4?:583>5}#:j81;85G2c:8m4d0290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;35?!3013;=7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0::6*:78822>{zut1b=n=50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28i87[?nd;0x 01f28<0(896:048yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c6b5?6=;3:14d03_;jh7g=#=>31n6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`7g6<72;0;6=u+2b09`f=O:k20e7>50z&1g75<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj=ij6=49:183!4d:3;i?6F=b99'5a1=901b=o850;&1g7<6j?1]>n:51zN1g3<6s_8:i74d13_;jh7g=#=>31n6sr}|9j``<72-8h>7jj;W0`0?7|D;i=6ae81!30i3h0(896:c9~yx{P5k=09wA?h5dg9U5db=:r.>;l4k;%74=?bn;54zT1e7<4s_o26?u+56:9`c=#;;k19:o4}Wgb>7}#=>21=o84$20b>01f3t.>il4>2:U6d4=;r\n57a`<,:8j689n;|Tfe?4|,<=36a`<,:8j689n;|&6ad<602wvq6g=a083>!4d:38j=6X=c581I4d>3;pZ??j:3y'67`=:h;0Z1/9:75169~yxJ5k<0:w[;54=a09'77g==>k0q);ja;34?x{z3`8287>5$3a1>7?33_8h874}Q:8o1>v*=2g81=1=Q9hn1>v*:7`823>"2?00:;6sr}M0`1?7|^;hi6?uYe881!30038286*<2`863d=z,n<523:8?xd3k=0;6>4?:1y'6f4=:kl0D?l7;h3a3?6=,;i96ae81!30i3h0(896:c9~yx{55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e7<=8:9~f1e129086=4?{%0`6?123A8i46g>b683>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1=;5+56;953=zutw0e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`7g=<72<0;6=u+2b0930=O:k20e4d03_;jh746<,<=26<>4}|~?l7e03:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`823>"2?00:;6sr}|9j5f5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1=:5+56;952=zutw0e4eb3_;jh741<,<=26<94}|~?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo:j0;296?6=8r.9o?4kc:J1f==n9k<1<7*=c382f3=<^;i?65<1290;w)N5j11/=i95189j5g0=83.9o?4>b79U6f2=9rF9o;4>{W02a?4|,;8m6{zut1bhh4?:%0`6?bb3_8h87?tL3a5>4}Q:8o1>v*=2g8ga>P6im09w);8a;`8 01>2k1vqps4ied94?"5k;0oj6X=c581I4d>3;pZ??j:3y'67`=lo1]=lj52z&63d7}#=>21hk5+33c912gl<53zTf=?4|,<=36ih4$20b>01f3t\nm74d13-99m7;89:'1`g=9;1vZ?o=:2yUa<<5s-?<47ji;%11e?30i2w]il4={%74?k52zTf=?4|,<=36ih4$20b>01f3t.>il4>8:~y>o5i80;6)P5k=09wA?h52`38R4gc2;q/9:o5169'12?=9>1vqpB=c482S4ej38pZh752z&63=<5i81/??o556c8y!3bi3;<7psr;h0:0?6=,;i96?7;;W0`0?4|D;i=6{zuE8h97?tV3`a>7}Qm009w);88;0:0>"4:h0>;l5r$4gb>416<729q/>n<52cd8L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2k1/9:75b:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<54?:%0`6?45021vn9k;:180>5<7s-8h>79:;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4>6:&63<<6>2wvqp5f1c:94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64050z&1g7<0=2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z28<0qpsr;n01ae81!30i3h0(896:c9~yx{n?7>53;294~"5k;09>:5G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c9f>"2?00i7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th?j54?:383>5}#:j81hn5G2c:8m4d1290/>n<51c48?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo:if;292?6=8r.9o?4>b29K6g><,8n<6<74i0`5>5<#:j81=o84V3a7>4}K:j<1=vX=1d81!45n3;i:6X>ae81!30i3h0(896:c9~yx{P5k=0:wA?h5dd9U5db=:r.>;l4m;%74=?dn:52zN1g3<6s_8:i7a`<^8ko6?u+56c9`>"2?00o7psrL3a6>1}Q:h81?vXj9;0x 01?2ml0(>uYe881!3003nm7)==a;74e>{Qmh09w);88;3a2>"4:h0>;45r$4gb>447=tVd;96~"2?10oj6*<2`863d=z^lk1>v*:798ga>"4:h0>;l5r$4gb>f=z^;8n6?uYe881!3003nm7)==a;74e>{#=lk1=55r}|9j6d7=83.9o?4=a09U6f2=:rF9o;4>{W02a?4|,;8m6?o>;W3b`?4|,<=j6<94$45:>41n;51zT1fg<5s_o26?u+56:96d7<,:8j689n;|&6ad<6?2wvq6g=9583>!4d:38286X=c581I4d>3;pZ??j:3y'67`=:0>0Z1/9:75169~yxJ5k<0:w[;54=959'77g==>k0q);ja;34?x{z3f8947>5$3a1>74?32wi8k750;194?6|,;i96?li;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4m;%74=?dn<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn9hn:182>5<7s-8h>7mo7>53;294~"5k;0<96F=b99j5g1=83.9o?4>b79K6f7<^;i?628<0qpsr;h3aP5k=0:wAk4>b99U5db=:r.>;l4>6:&63<<6>2wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e?0D?l7;h3a3?6=,;i96P5k=0:wAk4>b69U5db=:r.>;l4>6:&63<<6>2wvqp5f1c:94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m6405Y1`f96~"2?h0::6*:78822>{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a0cd=8391<7>t$3a1>7403A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63dg=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f06229096=4?{%0`6?bd3A8i46g>b783>!4d:3;i:65`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e=9o1<7850;2x 7e528h87E2\9o94>{M0`2?7|^;;n6?u+23d95g0<^8ko6?u+56c9f>"2?00i7psr}:kga?6=,;i96ik4V3a7>4}K:j<1=vX=1d81!45n3nn7[?nd;0x 01f2k1/9:75b:~yx=nlo0;6)k4kf:T2ea<5s-?a=zutF9o84;{W0b6?5|^l31>v*:798gb>"4:h0>;l5rVdc96~"2?10:n;5+33c912g7}#=>21=o84$20b>01>3t.>il4>2:U6d4=;r\n57a`<,:8j689n;|Tfe?4|,<=36ik4$20b>01f3t.>il4l;|T16`<5s_o26?u+56:9`c=#;;k19:o4}%7fe?7?3twv7d;44>7:~yI4d=3;pZ?lm:3yUa<<5s-?<47P6im09w);8a;34?!3013;<7psrL3a6>4}Q:kh1>vXj9;0x 01?2;3?7)==a;74e>{#=lk1=:5r}|9l67>=83.9o?4=2998yg37>3:1?7>50z&1g7<5jo1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2k1/9:75b:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d0;6<4?:1y'6f4=:j30D?l7;n01t$3a1>23<@;h37d?m7;29 7e528h=7E{zut1b=o650;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28<0(896:048yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c73e?6=<3:1N5j11b=o950;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28<0(896:048yx{z3`;i47>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<6>2.>;44>6:~yx=n9j91<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74e43_;jh740<,<=26<84}|~?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo;?b;290?6=8r.9o?485:J1f==n9k=1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d03_;jh740<,<=26<84}|~?l7e03:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?c283>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1=;5+56;953=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f06d290>6=4?{%0`6?123A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d<6>2.>;44>6:~yx=n9k21<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d?3_;jh740<,<=26<84}|~?l7d;3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`822>"2?00::6sr}|9j5fc=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=nk4V0cg>7}#=>k1=;5+56;953=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f06?290>6=4?{%0`6?45?2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z;44m;|~y>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a106=8381<7>t$3a1>ae<@;h37d?m6;29 7e528h=76a=2983>!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d3`;i:7>5$3a1>4d13_8h87?tL3a5>4}Q:8o1>v*=2g82f3=Q9hn1>v*:7`8a?!3013h0qpsr;hff>5<#:j81hh5Y2b695~J5k?0:w[<>e;0x 74a2mo0Z;44m;|~y>ocn3:1(?m=:ed8R7e32;qG>n851zT15`<5s-89j7ji;W3b`?4|,<=j6i5+56;9`>{zuE8h97:tV3c1>6}Qm009w);88;fe?!55i3?v*:798gb>"4:h0>;l5rVdc96~"2?10:n;5+33c912?7}#=>21hh5+33c912gv*:798gb>"4:h0>;l5r$4gb>4>:18'6f4=:h;0Z?m;:3yO6f0=9r\9=h4={%01b?4f92\:mi4={%74e?703-?<57?8;|~H7e228q]>ol52zTf=?4|,<=36?o>;%11e?30i2w/9ho5169~yx=n:0>1<7*=c381=1=Q:j>1>vB=c782S46m38p(?28=0qpsC2b795~P5jk09w[k6:3y'12>=:0>0(>7<=8:9~f03629086=4?{%0`6?4en2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z;44m;|~y>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4m;%74=?d50z&1g7<5k01C>o64o30;>5<#:j81>?64;|`611<72:0;6=u+2b0930=O:k20e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2c:n54?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k20Z<^;i?65<3290;w)2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k=0Zo6k:0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c953=#=>31=;5r}|8k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn8;9:187>5<7s-8h>79:;I0a<>o6j>0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c953=#=>31=;5r}|8m4d?290/>n<51c48R7e328qG>n851zTff?4|,;8m6405Y1`f96~"2?h0::6*:78822>{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a101=83?1<7>t$3a1>23<@;h37d?m7;29 7e528h=7[o6j10;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c953=#=>31=;5r}|8m4e4290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;35?!3013;=7psr}:k2g`<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:oh5Y1`f96~"2?h0::6*:78822>{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a105=83?1<7>t$3a1>7403A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63dg=zutw0e4e43_;jh7g=#=>31n6sr}|9j5fc=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=nk4V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`10<<72;0;6=u+2b09`f=O:k20e7>50z&1g75<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj;??6=4=:183!4d:3nh7E7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1i6*:788f?x{zu2wi>9o50;194?6|,;i96?li;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4m;%74=?dn<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn?:m:182>5<7s-8h>753;294~"5k;0<96F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1j6*:788e?x{zu2c:n54?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k20Z<^;i?65<4290;w)2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`822>"2?00::6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1j6*:788e?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`10f<72:0;6=u+2b09671<@;h37d?m7;29 7e528h=7[n<51c48R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a606=83>1<7>t$3a1>23<@;h37d?m7;29 7e528h=7E{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c955=#=>31==5r}|8m4e4290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;33?!3013;;7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th99<4?:583>5}#:j81;85G2c:8m4d0290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;33?!3013;;7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0:<6*:78824>{zut1b=n=50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28i87[?nd;0x 01f28:0(896:028yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c066?6==3:1N5j11b=o950;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28:0(896:028yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;33?!3013;;7psr}:k2g6<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o>5Y1`f96~"2?h0:<6*:78824>{zut1b=nk50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95fc<^8ko6?u+56c952=#=>31=:5r}|8k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn?;<:187>5<7s-8h>7<=7:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5b:&63<b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f73229096=4?{%0`6?bd3A8i46g>b783>!4d:3;i:65`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e:7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0n7);89;g8yx{z3th99;4?:283>5}#:j81>oh4H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?g=zutw0e4d?3_;jh7g=#=>31n6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi>8950;394?6|,;i96?m6;I0a<>i5:10;6)=zj;?26=4<:183!4d:3=>7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0m7);89;d8yx{z3`;i47>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<6>2.>;44>6:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;35?!3013;=7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0m7);89;d8yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c064d03_;jh7g=#=>31n6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`11f<72;0;6=u+2b09`f=O:k20e7>50z&1g75<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2l1/9:75e:~yx=zj;?o6=4<:183!4d:38ij6F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1n6*:788a?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`8a?!3013h0qpsr;n01ae81!30i3h0(896:c9~yx{i7>51;294~"5k;09o45G2c:8k74?290/>n<523:8?xd5>90;6>4?:1y'6f4=?<1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2o1/9:75f:~yx=n9k21<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d?3_;jh740<,<=26<84}|~?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo<91;297?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5179'12?=9?1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2o1/9:75f:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8a?!3013h0qpsr;h3aae81!30i3h0(896:c9~yx{<^;i?65<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a63?=8381<7>t$3a1>ae<@;h37d?m6;29 7e528h=76a=2983>!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d=0;6>4?:1y'6f4=:kl0D?l7;h3a3?6=,;i96ae81!30i3h0(896:c9~yx{55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e:??1<7?50;2x 7e52;i27E7<=8:9~f70029086=4?{%0`6?123A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`822>"2?00::6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi>;650;194?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0qo<96;297?6=8r.9o?4=269K6g>;44m;|~y>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c044?6=:3:1N5j11b=o850;&1g7<6j?10c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7`=#=>31i6sr}|9~f70e29086=4?{%0`6?4en2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z;44m;|~y>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4m;%74=?d50z&1g7<5k01C>o64o30;>5<#:j81>?64;|`12`<72:0;6=u+2b0930=O:k20e4d03_;jh7c=#=>31j6sr}|9j5g>=83.9o?4>b79K6f7<^;i?628<0qpsr;n01ae81!30i3h0(896:c9~yx{53;294~"5k;0<96F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1=;5+56;953=zutw0e4d?3_;jh7c=#=>31j6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi>;j50;194?6|,;i96?<8;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4m;%74=?dn<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn?9>:181>5<7s-8h>7jl;I0a<>o6j?0;6)=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d132e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8f?!3013o0qpsr;|`137<72:0;6=u+2b096g`<@;h37d?m7;29 7e528h=7[n<51c48R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a625=83;1<7>t$3a1>7e>3A8i46a=2983>!4d:389465rb356>5<4290;w)2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8e?!3013l0qpsr;h3aP5k=0:wAk4>b99U5db=:r.>;l4>6:&63<<6>2wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e:><1<7=50;2x 7e52>?0D?l7;h3a3?6=,;i96ae81!30i3;=7);89;35?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`8e?!3013l0qpsr;n01ae81!30i3h0(896:c9~yx{53;294~"5k;09>:5G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c9f>"2?00i7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th9;54?:383>5}#:j81hn5G2c:8m4d1290/>n<51c48?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo<8e;296?6=8r.9o?4kc:J1f==n9k<1<7*=c382f3=<^;i?65<4290;w)N5j11b=o950;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c9f>"2?00i7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0i7);89;`8yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c04e?6=93:16<729q/>n<5749K6g>n851zTff?4|,;8m64050z&1g7<0=2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e:>h1<7=50;2x 7e52;8<7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0i7);89;`8yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;`8 01>2k1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj;=m6=4=:183!4d:3nh7E7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi>5;50;094?6|,;i96im4H3`;?l7e>3:1(?m=:0`5?>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4j;%74=?c6<729q/>n<52cd8L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2k1/9:75b:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<54?:%0`6?45021vn?6<:180>5<7s-8h>79:;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4i;%74=?`i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d6<729q/>n<5749K6g>n851zTff?4|,;8m64050z&1g7<5:>1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2k1/9:75b:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`5>5<#:j81=o84;n01ae81!30i3h0(896:c9~yx{52;294~"5k;0oo6F=b99j5g0=83.9o?4>b798k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6h5+56;9a>{zut1vn?68:180>5<7s-8h>71=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5b:&63<b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0qo<78;295?6=8r.9o?4=c89K6g><3th94l4?:283>5}#:j81;85G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c953=#=>31=;5r}|8k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn?6m:180>5<7s-8h>79:;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4i;%74=?`{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a6=?=8391<7>t$3a1>7403A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63dg=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f7>c29096=4?{%0`6?bd3A8i46g>b783>!4d:3;i:65`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e:091<7<50;2x 7e52mi0D?l7;h3a2?6=,;i967<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0n7);89;g8yx{z3th94h4?:283>5}#:j81>oh4H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?g=zutw0e4d?3_;jh7g=#=>31n6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi>5h50;394?6|,;i96?m6;I0a<>i5:10;6)=zj;3:6=4<:183!4d:3=>7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0m7);89;d8yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;35?!3013;=7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th95?4?:283>5}#:j81;85G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28<0(896:048yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c0:4?6=;3:14d03_;jh7g=#=>31n6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`7f4<72;0;6=u+2b09`f=O:k20e7>50z&1g75<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj=ho6=4=:183!4d:3nh7E7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1i6*:788f?x{zu2wi8o<50;194?6|,;i96?li;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4m;%74=?dn<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn9l<:182>5<7s-8h>7i97>53;294~"5k;0<96F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1j6*:788e?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`8e?!3013l0qpsr;n01ae81!30i3h0(896:c9~yx{i:7>53;294~"5k;0<96F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1n6*:788a?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`8a?!3013h0qpsr;n01ae81!30i3h0(896:c9~yx{i;7>55;294~"5k;0<96F=b99j5g1=83.9o?4>b79K6f7<^;i?628<0qpsr;h3aP5k=0:wAk4>b99U5db=:r.>;l4>6:&63<<6>2wvqp5f1b194?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m640{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a0g2=83>1<7>t$3a1>7403A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63dg=zutw0e4e43_;jh7g=#=>31n6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi8o750;694?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`824>"2?00:<6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1==5+56;955=zutw0e;W0`0?7|D;i=6ae81!30i3;;7);89;33?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`7fd<72<0;6=u+2b0930=O:k20e;W0`0?7|D;i=6ae81!30i3;;7);89;33?x{zu2c:n54?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k20Zo6kl0;6)P5k=0:wAk4>cd9U5db=:r.>;l4>0:&63<<682wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e?0D?l7;h3a3?6=,;i96P5k=0:wAk4>b69U5db=:r.>;l4>0:&63<<682wvqp5f1c:94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k20Z28:0qpsr;h3`a?6=,;i96ae81!30i3;<7);89;34?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`7ff<72=0;6=u+2b09671<@;h37d?m7;29 7e528h=7[n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=n=50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c9f>"2?00i7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th>=<4?:383>5}#:j81hn5G2c:8m4d1290/>n<51c48?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo;>5;296?6=8r.9o?4kc:J1f==n9k<1<7*=c382f3=<^;i?65<4290;w)N5j11b=o950;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2k1/9:75b:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63dt$3a1>23<@;h37d?m7;29 7e528h=7E5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;d8 01>2o1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj<>=6=4=:183!4d:3nh7E7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi99o50;094?6|,;i96im4H3`;?l7e>3:1(?m=:0`5?>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4j;%74=?c6<729q/>n<52cd8L7d?3`;i;7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63dg=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f02?290:6=4?{%0`6?4d12B9n55`23:94?"5k;09>554}c77=?6=;3:1N5j11b=o950;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2o1/9:75f:~yx=n9k21<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d?3_;jh7c=#=>31j6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi9;j50;094?6|,;i96im4H3`;?l7e>3:1(?m=:0`5?>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;g8 01>2l1vqps4}c75a?6=;3:1;W0`0?7|D;i=6ae81!30i3h0(896:c9~yx{55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e=?l1<7?50;2x 7e52;i27E7<=8:9~f01729086=4?{%0`6?123A8i46g>b683>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1j6*:788e?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`822>"2?00::6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi85o50;094?6|,;i96im4H3`;?l7e>3:1(?m=:0`5?>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;g8 01>2l1vqps4}c6;f?6=;3:14d03_;jh7g=#=>31n6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`75$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d<6>2.>;44>6:~yx=n9k21<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d?3_;jh740<,<=26<84}|~?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo:ja;296?6=8r.9o?4kc:J1f==n9k<1<7*=c382f3=<^;i?65<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a0c3=8381<7>t$3a1>ae<@;h37d?m6;29 7e528h=76a=2983>!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d4?:1y'6f4=:kl0D?l7;h3a3?6=,;i96ae81!30i3h0(896:c9~yx{55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e7<=8:9~f1cb290?6=4?{%0`6?123A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`8e?!3013l0qpsr;h3`7?6=,;i96ae81!30i3l0(896:g9~yx{<^;i?65<1290;w)2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8e?!3013l0qpsr;h3aP5k=0:wAk4>b99U5db=:r.>;l4i;%74=?`n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`a>P6im09w);8a;d8 01>2o1vqps4i0f2>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n:7[?nd;0x 01f2o1/9:75f:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8a?!3013h0qpsr;h3aae81!30i3h0(896:c9~yx{<^;i?65<3290;w)2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k=0Z28:0qpsr;h3`7?6=,;i96P5k=0:wAk4>c29U5db=:r.>;l4>0:&63<<682wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e?0D?l7;h3a3?6=,;i96P5k=0:wAk4>b69U5db=:r.>;l4>0:&63<<682wvqp5f1c:94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k20Zi5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d1<729q/>n<5749K6g>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4>0:&63<<682wvqp5f1b194?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64$45:>4650z&1g7<5:>1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2k1/9:75b:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5b:&63<c283>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63dg=zutw0qo;=6;296?6=8r.9o?4kc:J1f==n9k<1<7*=c382f3=<^;i?65<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a167=8381<7>t$3a1>ae<@;h37d?m6;29 7e528h=76a=2983>!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d0;6>4?:1y'6f4=:kl0D?l7;h3a3?6=,;i96P5k=0:wAk4>b69U5db=:r.>;l4m;%74=?dn<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn8<7:182>5<7s-8h>753;294~"5k;0<96F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1n6*:788a?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`8a?!3013h0qpsr;n01ae81!30i3h0(896:c9~yx{58;294~"5k;0<96F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1==5+56;955=zutw0e4d?3_;jh741<,<=26<94}|~?l7d;3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?l3:T2ea<5s-?cd83>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=nk4V0cg>7}#=>k1=:5+56;952=zutw0e:18'6f4=9k<0D?m>;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:h?4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m80Z1/9:75169~yx{i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d=<729q/>n<5749K6g>o6j10;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c952=#=>31=:5r}|8m4e4290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;33?!3013;;7psr}:k2g`<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51bg8R4gc2;q/9:o5169'12?=9>1vqps4i0f2>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k1:T2ea<5s-?d383>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i<4V0cg>7}#=>k1=:5+56;952=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`66a<7210;6=u+2b0930=O:k20e;W0`0?7|D;i=6ae81!30i3;;7);89;33?x{zu2c:n54?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k20Z1/9:75169~yx{28=0qpsr;h3`a?6=,;i96P5k=0:wAk4>cd9U5db=:r.>;l4>7:&63<<6?2wvqp5f1e394?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m6;W3b`?4|,<=j6<94$45:>41{zut1b=i=50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n87[?nd;0x 01f28=0(896:058yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c71a?6=13:1N5j11b=o950;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c955=#=>31==5r}|8m4d?290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;34?!3013;<7psr}:k2g6<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51b18R4gc2;q/9:o5169'12?=9>1vqps4i0af>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?le:T2ea<5s-?d083>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i?4V0cg>7}#=>k1=:5+56;952=zutw0e4b53_;jh741<,<=26<94}|~?l7c;3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?k3:T2ea<5s-?d583>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l=1]=lj52z&63d<6?2.>;44>7:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;33?!3013;;7psr}:k2f=<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5169'12?=9>1vqps4i0a0>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i87[?nd;0x 01f28=0(896:058yx{z3`;hi7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`a>P6im09w);8a;34?!3013;<7psr}:k2`4<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e38R4gc2;q/9:o5169'12?=9>1vqps4i0f1>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n97[?nd;0x 01f28=0(896:058yx{z3`;o?7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g7>P6im09w);8a;34?!3013;<7psr}:k2`1<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h95Y1`f96~"2?h0:;6*:78823>{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a166=83<1<7>t$3a1>7403A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63dg=zutw0e4e43_;jh7g=#=>31n6sr}|9j5fc=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=nk4V0cg>7}#=>k1n6*:788a?x{zu2c:h<4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`4=Q9hn1>v*:7`8a?!3013h0qpsr;n01ae81!30i3h0(896:c9~yx{7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2win94?:383>5}#:j81hn5G2c:8m4d1290/>n<51c48?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qol9:181>5<7s-8h>7jl;I0a<>o6j?0;6)=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5e:&63<6<729q/>n<52cd8L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2k1/9:75b:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<4<729q/>n<52b;8L7d?3f8947>5$3a1>74?32win>4?:283>5}#:j81;85G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c9b>"2?00m7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3thi97>55;294~"5k;0<96F=b99j5g1=83.9o?4>b79K6f7<^;i?628=0qpsr;h3aae81!30i3;<7);89;34?x{zu2c:o>4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`823>"2?00:;6sr}|9j5fc=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=nk4V0cg>7}#=>k1=:5+56;952=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~fgg=8381<7>t$3a1>ae<@;h37d?m6;29 7e528h=76a=2983>!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d7>50z&1g75<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zjj81<7<50;2x 7e52mi0D?l7;h3a2?6=,;i967<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0n7);89;g8yx{z3thin7>53;294~"5k;09nk5G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c9f>"2?00i7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3thio7>51;294~"5k;09o45G2c:8k74?290/>n<523:8?xdel3:1?7>50z&1g7<0=2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z;44i;|~y>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4i;%74=?`b683>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1==5+56;955=zutw0e4d?3_;jh746<,<=26<>4}|~?l7d;3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`824>"2?00:<6sr}|9j5fc=83.9o?4>b79K6f7<^;i?628:0qpsr;h3g5?6=,;i96P5k=0:wAk4>d09U5db=:r.>;l4>0:&63<<682wvqp5f1e094?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m641b683>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1==5+56;955=zutw0e4d?3_;jh746<,<=26<>4}|~?l7d;3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`824>"2?00:<6sr}|9j5fc=83.9o?4>b79K6f7<^;i?628:0qpsr;h3g5?6=,;i96P5k=0:wAk4>d09U5db=:r.>;l4>7:&63<<6?2wvqp5f1e094?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9m80Z1/9:75169~yx{<^;i?62\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8a?!3013h0qpsr;h3aae81!30i3h0(896:c9~yx{<^;i?65<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a31<72;0;6=u+2b09`f=O:k20e03:1:7>50z&1g7<6j:1C>o64$0f4>4gP6im09w);8a;`8 01>2k1vqps4ieg94?"5k;0oi6X=c582I4d>3;pZ??j:3y'67`=ll1]=lj52z&63dn<5dg9U6f2=:rF9o;4>{W02a?4|,;8m6ih4V0cg>7}#=>k1h6*:788g?x{zD;i>69uY2`097~Pb138p(897:ed8 64f2<=j7pXja;0x 01?28h=7)==a;74e>{#=lk1=?5rV3c1>6}Qm009w);88;fe?!55i3?v*:798gb>"4:h0>;l5rVdc96~"2?10oi6*<2`863d=z,7}Qm009w);88;fe?!55i3?l?50;&1g7<5i81]>n:52zN1g3<6s_8:i77g63_;jh741<,<=26<94}|O6f3=9r\9no4={Wg:>7}#=>21>l?4$20b>01f3t.>il4>7:~y>o51=0;6)P5k=09wA?h52868R4gc2;q/9:o5169'12?=9>1vqpB=c482S4ej38pZh752z&63=<51=1/??o556c8y!3bi3;<7psr;n01t$3a1>7da3A8i46g>b683>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1n6*:788a?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`8a?!3013h0qpsr;n01ae81!30i3h0(896:c9~yx{2?7>51;294~"5k;09o45G2c:8k74?290/>n<523:8?xd31<0;6>4?:1y'6f4=?<1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28<0(896:048yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;35?!3013;=7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th?5;4?:283>5}#:j81;85G2c:8m4d0290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;35?!3013;=7psr}:k2f=<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5179'12?=9?1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj=3?6=4<:183!4d:389;6F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1n6*:788a?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`8a?!3013h0qpsr;n01ae81!30i3h0(896:c9~yx{52;294~"5k;0oo6F=b99j5g0=83.9o?4>b798k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn8?l:181>5<7s-8h>7jl;I0a<>o6j?0;6)=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5e:&63<2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k=0Z;44m;|~y>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4m;%74=?d50z&1g7<5k01C>o64o30;>5<#:j81>?64;|`65g<72?0;6=u+2b0930=O:k20e;W0`0?7|D;i=6ae81!30i3l0(896:g9~yx{n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;d8 01>2o1vqps4i0af>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?le:T2ea<5s-?d083>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i?4V0cg>7}#=>k1=;5+56;953=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f07a29096=4?{%0`6?bd3A8i46g>b783>!4d:3;i:65`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e=;91<7<50;2x 7e52mi0D?l7;h3a2?6=,;i967<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0n7);89;g8yx{z3th>>=4?:283>5}#:j81>oh4H3`;?l7e?3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8a?!3013h0qpsr;h3aae81!30i3h0(896:c9~yx{<^;i?65<6290;w)N5j11d>?650;&1g7<5:110qo;=2;292?6=8r.9o?485:J1f==n9k=1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d03_;jh7c=#=>31j6sr}|9j5g>=83.9o?4>b79K6f7<^;i?6n851zTff?4|,;8m6{zut1b=nk50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28in7[?nd;0x 01f28<0(896:048yx{z3`;o=7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l81]=lj52z&63d<6>2.>;44>6:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d132e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`67a<72;0;6=u+2b09`f=O:k20e7>50z&1g75<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2l1/9:75e:~yx=zj<936=4<:183!4d:38ij6F=b99j5g1=83.9o?4>b79K6f7<^;i?6;44m;|~y>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d4<729q/>n<52b;8L7d?3f8947>5$3a1>74?32wi9>l50;194?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?g=zutw0e4d?3_;jh7g=#=>31n6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi9>m50;794?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8e?!3013l0qpsr;h3aae81!30i3l0(896:g9~yx{n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`a>P6im09w);8a;d8 01>2o1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj<9j6=4<:183!4d:389;6F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1n6*:788a?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`8a?!3013h0qpsr;n01ae81!30i3h0(896:c9~yx{56;294~"5k;0<96F=b99j5g1=83.9o?4>b79K6f7<^;i?628:0qpsr;h3aae81!30i3;;7);89;33?x{zu2c:o>4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9j90Zo6l80;6)P5k=0:wAk4>d09U5db=:r.>;l4>7:&63<<6?2wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e=:l1<7850;2x 7e52>?0D?l7;h3a3?6=,;i96P5k=0:wAk4>b69U5db=:r.>;l4>0:&63<<682wvqp5f1c:94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k20Zo6kl0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95fc<^8ko6?u+56c952=#=>31=:5r}|8m4b6290/>n<51c48R7e328qG>n851zTff?4|,;8m6;W3b`?4|,<=j6<94$45:>4150z&1g7<0=2B9n55f1c594?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64$45:>46{zut1b=n=50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28i87[?nd;0x 01f28:0(896:028yx{z3`;hi7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`a>P6im09w);8a;33?!3013;;7psr}:k2`4<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e38R4gc2;q/9:o5169'12?=9>1vqps4i0f1>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n97[?nd;0x 01f28=0(896:058yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c775?6=?3:1N5j11b=o950;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28:0(896:028yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;33?!3013;;7psr}:k2g6<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o>5Y1`f96~"2?h0:<6*:78824>{zut1b=nk50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28in7[?nd;0x 01f28=0(896:058yx{z3`;o=7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g5>P6im09w);8a;34?!3013;<7psr}:k2`7<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h?5Y1`f96~"2?h0:;6*:78823>{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a114=83?1<7>t$3a1>7403A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63dg=zutw0e4e43_;jh7g=#=>31n6sr}|9j5fc=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=nk4V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`7e=<72;0;6=u+2b09`f=O:k20e7>50z&1g75<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj=kn6=4=:183!4d:3nh7E7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1i6*:788f?x{zu2wi8l750;194?6|,;i96?li;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4m;%74=?dn<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn9on:182>5<7s-8h>7jn7>51;294~"5k;09o45G2c:8k74?290/>n<523:8?xd3im0;6?0D?l7;h3a3?6=,;i96ae81!30i3;;7);89;33?x{zu2c:n54?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k20Z28:0qpsr;h3`a?6=,;i96ae81!30i3;;7);89;33?x{zu2c:h<4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`4=Q9hn1>v*:7`824>"2?00:<6sr}|9j5a4=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i<4V0cg>7}#=>k1==5+56;955=zutw0e4b43_;jh746<,<=26<>4}|~?l7c<3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?k4:T2ea<5s-?d483>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i;4V0cg>7}#=>k1=:5+56;952=zutw0e4b13_;jh741<,<=26<94}|~?l7e13:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f<=Q9hn1>v*:7`824>"2?00:<6sr}|9j5gg=83.9o?4>b79K6f7<^;i?628=0qpsr;h3af?6=,;i96P5k=0:wAk4>bc9U5db=:r.>;l4>7:&63<<6?2wvqp5f1ca94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9ki0Z;44m;|~y>o6jm0;6)P5k=0:wAk4>be9U5db=:r.>;l4m;%74=?dn<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn94?:383>5}#:j81hn5G2c:8m4d1290/>n<51c48?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo=50;094?6|,;i96im4H3`;?l7e>3:1(?m=:0`5?>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4j;%74=?c5<7s-8h>71=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5b:&63<b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0qo?50;394?6|,;i96?m6;I0a<>i5:10;6)=zj;0;6>4?:1y'6f4=?<1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2o1/9:75f:~yx=n9k21<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d?3_;jh740<,<=26<84}|~?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo750;094?6|,;i96im4H3`;?l7e>3:1(?m=:0`5?>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d5<7s-8h>7jl;I0a<>o6j?0;6)=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5e:&63<n<51c48R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a2?6=;3:1N5j11b=o950;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c9b>"2?00m7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0m7);89;d8yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c594?5=83:p(?m=:678L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;35?!3013;=7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0::6*:78822>{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:aaf<72;0;6=u+2b09`f=O:k20eb683>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1==5+56;955=zutw0e4d?3_;jh746<,<=26<>4}|~?l7d;3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`824>"2?00:<6sr}|9j5fc=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=nk4V0cg>7}#=>k1==5+56;955=zutw0e:18'6f4=9k<0D?m>;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:h?4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m80Z1/9:75169~yx{i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d<^;i?65$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;37?!3013;?7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0:86*:78820>{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:ab5<72:0;6=u+2b0930=O:k20e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:n54?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k20Z1/9:75169~yx{<^;i?65$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;33?!3013;;7psr}:k2f=<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5169'12?=9>1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zjo81<7=50;2x 7e52>?0D?l7;h3a3?6=,;i96P5k=0:wAk4>b69U5db=:r.>;l4>0:&63<<682wvqp5f1c:94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m641b683>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1==5+56;955=zutw0e;W0`0?7|D;i=6ae81!30i3;;7);89;33?x{zu2c:o>4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9j90Z<^;i?65$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d<682.>;44>0:~yx=n9k21<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d?3_;jh746<,<=26<>4}|~?l7d;3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`823>"2?00:;6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wij84?:683>5}#:j81>?94H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?g=zutw0e4d?3_;jh7g=#=>31n6sr}|9j5f5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1n6*:788a?x{zu2c:oh4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`8a?!3013h0qpsr;h3g5?6=,;i96ae81!30i3h0(896:c9~yx{55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{en?0;6?4?:1y'6f4=lj1C>o64i0`5>5<#:j81=o84;n01ae81!30i3h0(896:c9~yx{7E7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5119'12?=991vqps4i0`;>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?c283>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1==5+56;955=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:h<4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`4=Q9hn1>v*:7`823>"2?00:;6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wij54?:783>5}#:j81;85G2c:8m4d0290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;33?!3013;;7psr}:k2f=<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5119'12?=991vqps4i0a0>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?l3:T2ea<5s-?cd83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6kl1]=lj52z&63d<6?2.>;44>7:~yx=n9m;1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74b63_;jh741<,<=26<94}|~?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qoh6:185>5<7s-8h>79:;I0a<>o6j>0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c955=#=>31==5r}|8m4d?290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>465Y1`f96~"2?h0:<6*:78824>{zut1b=nk50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28in7[?nd;0x 01f28=0(896:058yx{z3`;o=7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g5>P6im09w);8a;34?!3013;<7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3thmm7>56;294~"5k;0<96F=b99j5g1=83.9o?4>b79K6f7<^;i?628:0qpsr;h3aae81!30i3;;7);89;33?x{zu2c:o>4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9j90Zo6l80;6)P5k=0:wAk4>d09U5db=:r.>;l4>7:&63<<6?2wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{enk0;6;4?:1y'6f4=?<1C>o64i0`4>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<682.>;44>0:~yx=n9j91<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74e43_;jh741<,<=26<94}|~?l7dm3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?le:T2ea<5s-?d083>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l81]=lj52z&63d<682.>;44>0:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<3<729q/>n<52358L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2k1/9:75b:~yx=n9j91<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51b18R4gc2;q/9:o5b:&63<cd83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6kl1]=lj52z&63dg=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~fcb=8381<7>t$3a1>ae<@;h37d?m6;29 7e528h=76a=2983>!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d50z&1g7<0=2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z28>0qpsr;n01ae81!30i3h0(896:c9~yx{7E7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5169'12?=9>1vqps4i0`;>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?c283>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63d<6?2.>;44>7:~yx=n9jo1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51bg8R4gc2;q/9:o5119'12?=991vqps4i0f2>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k1:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`4>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?b983>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1==5+56;955=zutw0e;W0`0?7|D;i=6ae81!30i3;;7);89;33?x{zu2c:oh4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9jo0Z1/9:75169~yx{o6l;0;6)P5k=0:wAk4>d39U5db=:r.>;l4>7:&63<<6?2wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e99;1<7950;2x 7e52>?0D?l7;h3a3?6=,;i96P5k=0:wAk4>b69U5db=:r.>;l4>0:&63<<682wvqp5f1c:94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64$45:>465Y1`f96~"2?h0:;6*:78823>{zut1b=nk50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28in7[?nd;0x 01f28=0(896:058yx{z3`;o=7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g5>P6im09w);8a;34?!3013;<7psr}:k2`7<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e08R4gc2;q/9:o5169'12?=9>1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj8:96=48:183!4d:3=>7E7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5119'12?=991vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28:0(896:028yx{z3`;h?7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63d<682.>;44>0:~yx=n9jo1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74eb3_;jh741<,<=26<94}|~?l7c93:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82`4=Q9hn1>v*:7`823>"2?00:;6sr}|9j5a4=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i<4V0cg>7}#=>k1=:5+56;952=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f464290<6=4?{%0`6?123A8i46g>b683>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1==5+56;955=zutw0e4d?3_;jh746<,<=26<>4}|~?l7d;3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`823>"2?00:;6sr}|9j5fc=83.9o?4>b79K6f7<^;i?628=0qpsr;h3g5?6=,;i96ae81!30i3;<7);89;34?x{zu2c:h?4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`7=Q9hn1>v*:7`824>"2?00:<6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi==:50;594?6|,;i96?<8;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=nk50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95fc<^8ko6?u+56c9f>"2?00i7psr}:k2`4<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h<5Y1`f96~"2?h0i7);89;`8yx{z3`;o>7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g6>P6im09w);8a;`8 01>2k1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj8:>6=4=:183!4d:3nh7E7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi==850;194?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<6<2.>;44>4:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`824>"2?00:<6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1==5+56;955=zutw0e4e43_;jh746<,<=26<>4}|~?l7dm3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?le:T2ea<5s-?d083>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l81]=lj52z&63d<682.>;44>0:~yx=n9m81<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e08R4gc2;q/9:o5119'12?=991vqps4i0f0>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n87[?nd;0x 01f28:0(896:028yx{z3`;o87>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l=1]=lj52z&63d<6?2.>;44>7:~yx=n9m?1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74b23_;jh741<,<=26<94}|~?l7c>3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?k6:T2ea<5s-?b883>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o74V0cg>7}#=>k1=:5+56;952=zutw0e;W0`0?7|D;i=6ae81!30i3;;7);89;33?x{zu2c:no4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9kh0Zo6jm0;6)P5k=0:wAk4>be9U5db=:r.>;l4>7:&63<<6?2wvqp5f1cg94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9ko0Z;44m;|~y>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d0983>47=83:p(?m=:678L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;33?!3013;;7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0:<6*:78824>{zut1b=n=50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c955=#=>31==5r}|8m4eb290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46o6l;0;6)P5k=0:wAk4>d39U5db=:r.>;l4>0:&63<<682wvqp5f1e194?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9m90Zo6l<0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a3<^8ko6?u+56c952=#=>31=:5r}|8m4b1290/>n<51c48R7e328qG>n851zTff?4|,;8m641{zut1b=oo50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95gg<^8ko6?u+56c952=#=>31=:5r}|8m4de290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3af>P6im09w);8a;33?!3013;;7psr}:k2ff<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51ca8R4gc2;q/9:o5169'12?=9>1vqps4i0`g>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28ho7[?nd;0x 01f28=0(896:058yx{z3`;ii7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3aa>P6im09w);8a;`8 01>2k1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj8:26=4>1;294~"5k;0<96F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1==5+56;955=zutw0e4d?3_;jh746<,<=26<>4}|~?l7d;3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?l3:T2ea<5s-?cd83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6kl1]=lj52z&63d<682.>;44>0:~yx=n9m;1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e38R4gc2;q/9:o5119'12?=991vqps4i0f1>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n97[?nd;0x 01f28:0(896:028yx{z3`;o?7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g7>P6im09w);8a;33?!3013;;7psr}:k2`1<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e68R4gc2;q/9:o5169'12?=9>1vqps4i0f6>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k5:T2ea<5s-?d783>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l?1]=lj52z&63d<6?2.>;44>7:~yx=n9k31<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d>3_;jh741<,<=26<94}|~?l7ei3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82fd=Q9hn1>v*:7`824>"2?00:<6sr}|9j5gd=83.9o?4>b79K6f7<^;i?628:0qpsr;h3ag?6=,;i96P5k=0:wAk4>bb9U5db=:r.>;l4>7:&63<<6?2wvqp5f1cf94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9kn0Z1/9:75169~yx{55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e99k1<7?>:183!4d:3=>7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0:<6*:78824>{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c955=#=>31==5r}|8m4e4290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46o6l80;6)P5k=0:wAk4>d09U5db=:r.>;l4>0:&63<<682wvqp5f1e094?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9m80Z28:0qpsr;h3g0?6=,;i96P5k=0:wAk4>d59U5db=:r.>;l4>7:&63<<6?2wvqp5f1e794?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m6412\:mi4={%74e?703-?<57?8;|~y>o6j00;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g?<^8ko6?u+56c952=#=>31=:5r}|8m4df290/>n<51c48R7e328qG>n851zTff?4|,;8m641{zut1b=om50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28hh7[?nd;0x 01f28=0(896:058yx{z3`;ih7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a`>P6im09w);8a;34?!3013;<7psr}:k2f`<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:nh5Y1`f96~"2?h0i7);89;`8yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c33f?6=980;6=u+2b0930=O:k20e4d03_;jh746<,<=26<>4}|~?l7e03:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`824>"2?00:<6sr}|9j5f5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1==5+56;955=zutw0e4eb3_;jh746<,<=26<>4}|~?l7c93:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?k1:T2ea<5s-?d383>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l;1]=lj52z&63d<682.>;44>0:~yx=n9m91<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e18R4gc2;q/9:o5119'12?=991vqps4i0f7>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n?7[?nd;0x 01f28:0(896:028yx{z3`;o97>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l<1]=lj52z&63d<6?2.>;44>7:~yx=n9m<1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74b13_;jh741<,<=26<94}|~?l7e13:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m9:T2ea<5s-?b`83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6jh1]=lj52z&63d<6?2.>;44>7:~yx=n9kh1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74de3_;jh746<,<=26<>4}|~?l7ek3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82ff=Q9hn1>v*:7`824>"2?00:<6sr}|9j5gb=83.9o?4>b79K6f7<^;i?628=0qpsr;h3aa?6=,;i96ae81!30i3;<7);89;34?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`24f<72>0;6=u+2b09671<@;h37d?m7;29 7e528h=7[n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=n=50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c9f>"2?00i7psr}:k2g`<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:oh5Y1`f96~"2?h0i7);89;`8yx{z3`;o=7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g5>P6im09w);8a;`8 01>2k1vqps4i0f1>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n97[?nd;0x 01f2k1/9:75b:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d132e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`24`<728;1<7>t$3a1>23<@;h37d?m7;29 7e528h=7[o6j10;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c955=#=>31==5r}|8m4e4290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46o6l80;6)P5k=0:wAk4>d09U5db=:r.>;l4>0:&63<<682wvqp5f1e094?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9m80Z28:0qpsr;h3g0?6=,;i96ae81!30i3;;7);89;33?x{zu2c:h84?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m?0Z1/9:75169~yx{2\:mi4={%74e?703-?<57?8;|~y>o6j00;6)P5k=0:wAk4>b89U5db=:r.>;l4>7:&63<<6?2wvqp5f1cc94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9kk0Z1/9:75169~yx{28=0qpsr;h3ag?6=,;i96P5k=0:wAk4>bb9U5db=:r.>;l4>0:&63<<682wvqp5f1cf94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m641i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d0g83>47=83:p(?m=:678L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;33?!3013;;7psr}:k2f=<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5119'12?=991vqps4i0a0>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i87[?nd;0x 01f28:0(896:028yx{z3`;hi7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`a>P6im09w);8a;33?!3013;;7psr}:k2`4<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h<5Y1`f96~"2?h0:<6*:78824>{zut1b=i<50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95a4<^8ko6?u+56c955=#=>31==5r}|8m4b4290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46o6l<0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a3<^8ko6?u+56c952=#=>31=:5r}|8m4b1290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3g2>P6im09w);8a;34?!3013;<7psr}:k2f<<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n45Y1`f96~"2?h0:;6*:78823>{zut1b=oo50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95gg<^8ko6?u+56c952=#=>31=:5r}|8m4de290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3af>P6im09w);8a;33?!3013;;7psr}:k2ff<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51ca8R4gc2;q/9:o5119'12?=991vqps4i0`g>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?md:T2ea<5s-?bd83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6jl1]=lj52z&63d<6?2.>;44>7:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`824>"2?00:<6sr}|9j5g>=83.9o?4>b79K6f7<^;i?628:0qpsr;h3`7?6=,;i96ae81!30i3;;7);89;33?x{zu2c:oh4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`824>"2?00:<6sr}|9j5a7=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i?4V0cg>7}#=>k1==5+56;955=zutw0e4b53_;jh746<,<=26<>4}|~?l7c;3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?k3:T2ea<5s-?d583>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l=1]=lj52z&63d<682.>;44>0:~yx=n9m?1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74b23_;jh741<,<=26<94}|~?l7c>3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82`3=Q9hn1>v*:7`823>"2?00:;6sr}|9j5g?=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o74V0cg>7}#=>k1=:5+56;952=zutw0e4df3_;jh741<,<=26<94}|~?l7ej3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?mb:T2ea<5s-?bb83>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=om4V0cg>7}#=>k1==5+56;955=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:nh4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f`=Q9hn1>v*:7`823>"2?00:;6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi=o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a544=8381<7>t$3a1>ae<@;h37d?m6;29 7e528h=76a=2983>!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28:0(896:028yx{z3`;i47>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<682.>;44>0:~yx=n9j91<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74e43_;jh741<,<=26<94}|~?l7dm3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`823>"2?00:;6sr}|9j5a7=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i?4V0cg>7}#=>k1=:5+56;952=zutw0e4b53_;jh741<,<=26<94}|~?l7c;3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?k3:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28:0(896:028yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;34?!3013;<7psr}:k2g6<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51b18R4gc2;q/9:o5169'12?=9>1vqps4i0af>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?le:T2ea<5s-?d083>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l81]=lj52z&63d<6?2.>;44>7:~yx=n9m81<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e08R4gc2;q/9:o5169'12?=9>1vqps4i0f0>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n87[?nd;0x 01f28=0(896:058yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c321?6=03:1N5j11b=o950;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28:0(896:028yx{z3`;i47>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<6?2.>;44>7:~yx=n9j91<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74e43_;jh741<,<=26<94}|~?l7dm3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`823>"2?00:;6sr}|9j5a7=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i?4V0cg>7}#=>k1=:5+56;952=zutw0e4b53_;jh741<,<=26<94}|~?l7c;3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?k3:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63dae81!30i3h0(896:c9~yx{;44m;|~y>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d1683>7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c32N5j11b=o950;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28:0(896:028yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;33?!3013;;7psr}:k2g6<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o>5Y1`f96~"2?h0:<6*:78824>{zut1b=nk50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28in7[?nd;0x 01f28=0(896:058yx{z3`;o=7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l81]=lj52z&63d<682.>;44>0:~yx=n9m81<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74b53_;jh741<,<=26<94}|~?l7c;3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82`6=Q9hn1>v*:7`823>"2?00:;6sr}|9j5a2=83.9o?4>b79K6f7<^;i?628=0qpsr;n01ae81!30i3h0(896:c9~yx{59;294~"5k;0<96F=b99j5g1=83.9o?4>b79K6f7<^;i?628:0qpsr;h3aae81!30i3;;7);89;33?x{zu2c:o>4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`824>"2?00:<6sr}|9j5fc=83.9o?4>b79K6f7<^;i?628=0qpsr;h3g5?6=,;i96ae81!30i3;<7);89;34?x{zu2c:h?4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m80Z1/9:75169~yx{o6l=0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a2<^8ko6?u+56c952=#=>31=:5r}|8k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn5<7s-8h>79:;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4>0:&63<<682wvqp5f1c:94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64$45:>465Y1`f96~"2?h0:;6*:78823>{zut1b=nk50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28in7[?nd;0x 01f28=0(896:058yx{z3`;o=7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l81]=lj52z&63d<6?2.>;44>7:~yx=n9m81<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e08R4gc2;q/9:o5169'12?=9>1vqps4i0f0>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n87[?nd;0x 01f28=0(896:058yx{z3`;o87>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g0>P6im09w);8a;34?!3013;<7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th:=o4?:883>5}#:j81;85G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46o6k:0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c952=#=>31=:5r}|8m4eb290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`a>P6im09w);8a;34?!3013;<7psr}:k2`4<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e38R4gc2;q/9:o5169'12?=9>1vqps4i0f1>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n97[?nd;0x 01f28=0(896:058yx{z3`;o?7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g7>P6im09w);8a;34?!3013;<7psr}:k2`1<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h95Y1`f96~"2?h0:;6*:78823>{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a54e=8331<7>t$3a1>23<@;h37d?m7;29 7e528h=7E{zut1b=o650;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28=0(896:058yx{z3`;h?7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63d<6?2.>;44>7:~yx=n9jo1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74eb3_;jh741<,<=26<94}|~?l7c93:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82`4=Q9hn1>v*:7`823>"2?00:;6sr}|9j5a4=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i<4V0cg>7}#=>k1=:5+56;952=zutw0e4b43_;jh741<,<=26<94}|~?l7c<3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?k4:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63dae81!30i3h0(896:c9~yx{;44m;|~y>o6kl0;6)P5k=0:wAk4>cd9U5db=:r.>;l4m;%74=?dn<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn5<7s-8h>7jl;I0a<>o6j?0;6)=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;37?!3013;?7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0:86*:78820>{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a576=8321<7>t$3a1>23<@;h37d?m7;29 7e528h=7E{zut1b=o650;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28:0(896:028yx{z3`;h?7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;34?!3013;<7psr}:k2g`<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51bg8R4gc2;q/9:o5119'12?=991vqps4i0f2>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k1:T2ea<5s-?d383>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i<4V0cg>7}#=>k1=:5+56;952=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`264<7210;6=u+2b0930=O:k20e;W0`0?7|D;i=6ae81!30i3;;7);89;33?x{zu2c:n54?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k20Z28=0qpsr;h3`a?6=,;i96ae81!30i3;<7);89;34?x{zu2c:h<4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m;0Z1/9:75169~yx{o6l:0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a5<^8ko6?u+56c952=#=>31=:5r}|8k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn<<=:18;>5<7s-8h>79:;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4>0:&63<<682wvqp5f1c:94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64$45:>465Y1`f96~"2?h0:<6*:78824>{zut1b=nk50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28in7[?nd;0x 01f28=0(896:058yx{z3`;o=7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l81]=lj52z&63d<6?2.>;44>7:~yx=n9m81<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74b53_;jh741<,<=26<94}|~?l7c;3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82`6=Q9hn1>v*:7`823>"2?00:;6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi=?=50;:94?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?b983>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1==5+56;955=zutw0e4e43_;jh741<,<=26<94}|~?l7dm3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`823>"2?00:;6sr}|9j5a7=83.9o?4>b79K6f7<^;i?628=0qpsr;h3g6?6=,;i96P5k=0:wAk4>d39U5db=:r.>;l4>7:&63<<6?2wvqp5f1e194?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64150z&1g7<0=2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z28=0qpsr;h3`7?6=,;i96P5k=0:wAk4>c29U5db=:r.>;l4>0:&63<<682wvqp5f1bg94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m641{zut1b=i<50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n97[?nd;0x 01f28=0(896:058yx{z3`;o?7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l:1]=lj52z&63d<6?2.>;44>7:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8a?!3013h0qpsr;h3aae81!30i3h0(896:c9~yx{;44m;|~y>o6l80;6)P5k=0:wAk4>d09U5db=:r.>;l4m;%74=?dn<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn<<9:181>5<7s-8h>7jl;I0a<>o6j?0;6)=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;34?!3013;<7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0:;6*:78823>{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a57>=83=1<7>t$3a1>23<@;h37d?m7;29 7e528h=7E{zut1b=o650;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28:0(896:028yx{z3`;h?7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;34?!3013;<7psr}:k2g`<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51bg8R4gc2;q/9:o5119'12?=991vqps4i0f2>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k1:T2ea<5s-?d383>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i<4V0cg>7}#=>k1=:5+56;952=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f44>29036=4?{%0`6?123A8i46g>b683>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1==5+56;955=zutw0e4d?3_;jh746<,<=26<>4}|~?l7d;3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?l3:T2ea<5s-?cd83>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=nk4V0cg>7}#=>k1=:5+56;952=zutw0e:18'6f4=9k<0D?m>;W0`0?7|D;i=6ae81!30i3;;7);89;33?x{zu2c:h?4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m80Z1/9:75169~yx{i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d2`83>1<729q/>n<52358L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2k1/9:75b:~yx=n9j91<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51b18R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`5>5<#:j81=o84;n01ae81!30i3h0(896:c9~yx{51083>5}#:j81;85G2c:8m4d0290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;33?!3013;;7psr}:k2f=<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5119'12?=991vqps4i0a0>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i87[?nd;0x 01f28=0(896:058yx{z3`;hi7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`a>P6im09w);8a;34?!3013;<7psr}:k2`4<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h<5Y1`f96~"2?h0:;6*:78823>{zut1b=i<50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n97[?nd;0x 01f28=0(896:058yx{z3`;o?7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l:1]=lj52z&63d<6?2.>;44>7:~yx=n9m>1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74b33_;jh741<,<=26<94}|~?l7c=3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82`0=Q9hn1>v*:7`823>"2?00:;6sr}|9j5a0=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i84V0cg>7}#=>k1=:5+56;952=zutw0e4d>3_;jh741<,<=26<94}|~?l7ei3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?ma:T2ea<5s-?bc83>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=ol4V0cg>7}#=>k1=:5+56;952=zutw0e4dd3_;jh7g=#=>31n6sr}|9j5gb=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=oj4V0cg>7}#=>k1n6*:788a?x{zu2c:nh4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f`=Q9hn1>v*:7`8a?!3013h0qpsr;n01ae81!30i3h0(896:c9~yx{51083>5}#:j81;85G2c:8m4d0290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;33?!3013;;7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0:<6*:78824>{zut1b=n=50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c955=#=>31==5r}|8m4eb290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`a>P6im09w);8a;34?!3013;<7psr}:k2`4<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e38R4gc2;q/9:o5119'12?=991vqps4i0f1>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n97[?nd;0x 01f28=0(896:058yx{z3`;o?7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l:1]=lj52z&63d<6?2.>;44>7:~yx=n9m>1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74b33_;jh741<,<=26<94}|~?l7c=3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82`0=Q9hn1>v*:7`823>"2?00:;6sr}|9j5a0=83.9o?4>b79K6f7<^;i?628=0qpsr;h3a=?6=,;i96ae81!30i3;<7);89;34?x{zu2c:nl4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82fd=Q9hn1>v*:7`823>"2?00:;6sr}|9j5gd=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=ol4V0cg>7}#=>k1=:5+56;952=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:ni4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82fa=Q9hn1>v*:7`8a?!3013h0qpsr;h3aa?6=,;i96ae81!30i3h0(896:c9~yx{<^;i?65<693:1N5j11b=o950;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28:0(896:028yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;33?!3013;;7psr}:k2g6<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o>5Y1`f96~"2?h0:<6*:78824>{zut1b=nk50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28in7[?nd;0x 01f28=0(896:058yx{z3`;o=7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g5>P6im09w);8a;34?!3013;<7psr}:k2`7<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h?5Y1`f96~"2?h0:;6*:78823>{zut1b=i=50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n87[?nd;0x 01f28=0(896:058yx{z3`;o87>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l=1]=lj52z&63d<6?2.>;44>7:~yx=n9m?1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74b23_;jh741<,<=26<94}|~?l7c>3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82`3=Q9hn1>v*:7`823>"2?00:;6sr}|9j5g?=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o74V0cg>7}#=>k1=:5+56;952=zutw0e4df3_;jh741<,<=26<94}|~?l7ej3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?mb:T2ea<5s-?bb83>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=om4V0cg>7}#=>k1=:5+56;952=zutw0e4dc3_;jh7g=#=>31n6sr}|9j5gc=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=ok4V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`26c<72=0;6=u+2b09671<@;h37d?m7;29 7e528h=7[n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=n=50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c9f>"2?00i7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th:?=4?:383>5}#:j81hn5G2c:8m4d1290/>n<51c48?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo?<1;297?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5159'12?=9=1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28>0(896:068yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c306?6=<3:1N5j11b=o950;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28:0(896:028yx{z3`;i47>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<682.>;44>0:~yx=n9j91<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74e43_;jh746<,<=26<>4}|~?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo?<3;290?6=8r.9o?485:J1f==n9k=1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d03_;jh746<,<=26<>4}|~?l7e03:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`824>"2?00:<6sr}|9j5f5=83.9o?4>b79K6f7<^;i?628=0qpsr;n01ae81!30i3h0(896:c9~yx{54;294~"5k;0<96F=b99j5g1=83.9o?4>b79K6f7<^;i?628:0qpsr;h3aae81!30i3;;7);89;33?x{zu2c:o>4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9j90Z<^;i?65<3290;w)2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k=0Z28:0qpsr;h3`7?6=,;i96P5k=0:wAk4>c29U5db=:r.>;l4>0:&63<<682wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e9:<1<7:50;2x 7e52>?0D?l7;h3a3?6=,;i96P5k=0:wAk4>b69U5db=:r.>;l4>0:&63<<682wvqp5f1c:94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k20Z28:0qpsr;n01ae81!30i3h0(896:c9~yx{57;294~"5k;09>:5G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c9f>"2?00i7psr}:k2g6<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o>5Y1`f96~"2?h0i7);89;`8yx{z3`;hi7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`a>P6im09w);8a;`8 01>2k1vqps4i0f2>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n:7[?nd;0x 01f2k1/9:75b:~yx=n9m81<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e08R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`5>5<#:j81=o84;n01ae81!30i3h0(896:c9~yx{57;294~"5k;0<96F=b99j5g1=83.9o?4>b79K6f7<^;i?628:0qpsr;h3aP5k=0:wAk4>b99U5db=:r.>;l4>0:&63<<682wvqp5f1b194?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9j90Z1/9:75169~yx{o6l80;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a7<^8ko6?u+56c952=#=>31=:5r}|8m4b5290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3g6>P6im09w);8a;34?!3013;<7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th:?l4?:683>5}#:j81;85G2c:8m4d0290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;33?!3013;;7psr}:k2f=<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5119'12?=991vqps4i0a0>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i87[?nd;0x 01f28=0(896:058yx{z3`;hi7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`a>P6im09w);8a;34?!3013;<7psr}:k2`4<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e38R4gc2;q/9:o5169'12?=9>1vqps4i0f1>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k2:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28:0(896:028yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;34?!3013;<7psr}:k2g6<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o>5Y1`f96~"2?h0:;6*:78823>{zut1b=nk50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28in7[?nd;0x 01f28=0(896:058yx{z3`;o=7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l81]=lj52z&63d<6?2.>;44>7:~yx=n9m81<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74b53_;jh741<,<=26<94}|~?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo?4d03_;jh746<,<=26<>4}|~?l7e03:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`823>"2?00:;6sr}|9j5f5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1=:5+56;952=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:h<4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m;0Z1/9:75169~yx{i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d3e83>=<729q/>n<5749K6g>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4>0:&63<<682wvqp5f1b194?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9j90Zo6l80;6)P5k=0:wAk4>d09U5db=:r.>;l4>7:&63<<6?2wvqp5f1e094?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m6415Y1`f96~"2?h0:;6*:78823>{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a56c=83<1<7>t$3a1>7403A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63dg=zutw0e4e43_;jh7g=#=>31n6sr}|9j5fc=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=nk4V0cg>7}#=>k1n6*:788a?x{zu2c:h<4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`4=Q9hn1>v*:7`8a?!3013h0qpsr;n01ae81!30i3h0(896:c9~yx{52;294~"5k;0oo6F=b99j5g0=83.9o?4>b798k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn<:?:180>5<7s-8h>79:;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4>4:&63<<6<2wvqp5f1c:94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k20Z<^;i?65<4290;w)2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`823>"2?00:;6sr}|9j5g>=83.9o?4>b79K6f7<^;i?628=0qpsr;n01ae81!30i3h0(896:c9~yx{7>53;294~"5k;0<96F=b99j5g1=83.9o?4>b79K6f7<^;i?628=0qpsr;h3aae81!30i3;<7);89;34?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`206<72:0;6=u+2b0930=O:k20e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`823>"2?00:;6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi=9:50;494?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?b983>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1==5+56;955=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:oh4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9jo0Z1/9:75169~yx{i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d4483>3<729q/>n<5749K6g>28:0qpsr;h3aae81!30i3;<7);89;34?x{zu2c:o>4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9j90Z1/9:75169~yx{o6l80;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a7<^8ko6?u+56c952=#=>31=:5r}|8k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn<:9:184>5<7s-8h>7<=7:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5b:&63<b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0e4eb3_;jh7g=#=>31n6sr}|9j5a7=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i?4V0cg>7}#=>k1n6*:788a?x{zu2c:h?4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`7=Q9hn1>v*:7`8a?!3013h0qpsr;n01ae81!30i3h0(896:c9~yx{52;294~"5k;0oo6F=b99j5g0=83.9o?4>b798k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn<:7:184>5<7s-8h>79:;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4>0:&63<<682wvqp5f1c:94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64$45:>465Y1`f96~"2?h0:<6*:78824>{zut1b=nk50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28in7[?nd;0x 01f28=0(896:058yx{z3`;o=7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l81]=lj52z&63d<6?2.>;44>7:~yx=n9m81<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74b53_;jh741<,<=26<94}|~?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo?;9;293?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5119'12?=991vqps4i0`;>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?c283>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63d<6?2.>;44>7:~yx=n9jo1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74eb3_;jh741<,<=26<94}|~?l7c93:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82`4=Q9hn1>v*:7`823>"2?00:;6sr}|9j5a4=83.9o?4>b79K6f7<^;i?628=0qpsr;n01ae81!30i3h0(896:c9~yx{57;294~"5k;0<96F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1==5+56;955=zutw0e4d?3_;jh741<,<=26<94}|~?l7d;3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`824>"2?00:<6sr}|9j5fc=83.9o?4>b79K6f7<^;i?628=0qpsr;h3g5?6=,;i96P5k=0:wAk4>d09U5db=:r.>;l4>7:&63<<6?2wvqp5f1e094?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64150z&1g7<0=2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z28=0qpsr;h3`7?6=,;i96ae81!30i3;<7);89;34?x{zu2c:oh4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9jo0Z1/9:75169~yx{o6l;0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a4<^8ko6?u+56c952=#=>31=:5r}|8k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn<:l:184>5<7s-8h>79:;I0a<>o6j>0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c955=#=>31==5r}|8m4d?290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;34?!3013;<7psr}:k2g6<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51b18R4gc2;q/9:o5119'12?=991vqps4i0af>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?le:T2ea<5s-?d083>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i?4V0cg>7}#=>k1=:5+56;952=zutw0e;W0`0?7|D;i=66X>ae81!30i3;<7);89;34?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`20a<72?0;6=u+2b09671<@;h37d?m7;29 7e528h=7[n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=n=50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c9f>"2?00i7psr}:k2g`<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:oh5Y1`f96~"2?h0i7);89;`8yx{z3`;o=7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g5>P6im09w);8a;`8 01>2k1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj8>n6=4=:183!4d:3nh7E7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi=9h50;194?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<6<2.>;44>4:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;33?!3013;;7psr}:k2f=<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5169'12?=9>1vqps4i0a0>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?l3:T2ea<5s-?cd83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6kl1]=lj52z&63d<6?2.>;44>7:~yx=n9m;1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e38R4gc2;q/9:o5169'12?=9>1vqps4i0f1>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n97[?nd;0x 01f28=0(896:058yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c365?6=?3:1N5j11b=o950;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28:0(896:028yx{z3`;i47>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<6?2.>;44>7:~yx=n9j91<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51b18R4gc2;q/9:o5169'12?=9>1vqps4i0af>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?le:T2ea<5s-?d083>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i?4V0cg>7}#=>k1=:5+56;952=zutw0e;W0`0?7|D;i=66X>ae81!30i3;<7);89;34?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`217<72>0;6=u+2b0930=O:k20e4d03_;jh741<,<=26<94}|~?l7e03:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`823>"2?00:;6sr}|9j5f5=83.9o?4>b79K6f7<^;i?628=0qpsr;h3`a?6=,;i96ae81!30i3;<7);89;34?x{zu2c:h<4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`4=Q9hn1>v*:7`823>"2?00:;6sr}|9j5a4=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i<4V0cg>7}#=>k1=:5+56;952=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f434290<6=4?{%0`6?123A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d<6?2.>;44>7:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5169'12?=9>1vqps4i0a0>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?l3:T2ea<5s-?cd83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6kl1]=lj52z&63d<6?2.>;44>7:~yx=n9m;1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e38R4gc2;q/9:o5169'12?=9>1vqps4i0f1>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n97[?nd;0x 01f28=0(896:058yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c360?6=03:1N5j11b=o950;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c955=#=>31==5r}|8m4d?290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;34?!3013;<7psr}:k2g6<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51b18R4gc2;q/9:o5169'12?=9>1vqps4i0af>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?le:T2ea<5s-?d083>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l81]=lj52z&63d<6?2.>;44>7:~yx=n9m81<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e08R4gc2;q/9:o5169'12?=9>1vqps4i0f0>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n87[?nd;0x 01f28=0(896:058yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c361?6=?3:14d03_;jh7g=#=>31n6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1n6*:788a?x{zu2c:o>4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`8a?!3013h0qpsr;h3`a?6=,;i96ae81!30i3h0(896:c9~yx{;44m;|~y>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d5783>7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c363?6=;3:1N5j11b=o950;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c951=#=>31=95r}|8m4d?290/>n<51c48R7e328qG>n851zTff?4|,;8m64250z&1g7<0=2B9n55f1c594?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64$45:>46o6k:0;6)P5k=0:wAk4>c29U5db=:r.>;l4>0:&63<<682wvqp5f1bg94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m641{zut1b=i<50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n97[?nd;0x 01f28=0(896:058yx{z3`;o?7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g7>P6im09w);8a;34?!3013;<7psr}:k2`1<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e68R4gc2;q/9:o5169'12?=9>1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj8?26=4>1;294~"5k;0<96F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1==5+56;955=zutw0e4d?3_;jh746<,<=26<>4}|~?l7d;3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?l3:T2ea<5s-?cd83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6kl1]=lj52z&63d<682.>;44>0:~yx=n9m;1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e38R4gc2;q/9:o5119'12?=991vqps4i0f1>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n97[?nd;0x 01f28:0(896:028yx{z3`;o?7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g7>P6im09w);8a;33?!3013;;7psr}:k2`1<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e68R4gc2;q/9:o5169'12?=9>1vqps4i0f6>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k5:T2ea<5s-?d783>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i84V0cg>7}#=>k1=:5+56;952=zutw0e4d>3_;jh741<,<=26<94}|~?l7ei3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82fd=Q9hn1>v*:7`823>"2?00:;6sr}|9j5gd=83.9o?4>b79K6f7<^;i?628=0qpsr;h3ag?6=,;i96ae81!30i3h0(896:c9~yx{;44m;|~y>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d5`83>47=83:p(?m=:678L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;33?!3013;;7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0:<6*:78824>{zut1b=n=50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c955=#=>31==5r}|8m4eb290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46o6l;0;6)P5k=0:wAk4>d39U5db=:r.>;l4>0:&63<<682wvqp5f1e194?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9m90Zo6l<0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a3<^8ko6?u+56c955=#=>31==5r}|8m4b1290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3g2>P6im09w);8a;34?!3013;<7psr}:k2f<<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n45Y1`f96~"2?h0:;6*:78823>{zut1b=oo50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28hj7[?nd;0x 01f28=0(896:058yx{z3`;in7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6jk1]=lj52z&63d<6?2.>;44>7:~yx=n9ki1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51ca8R4gc2;q/9:o5b:&63<be83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6jm1]=lj52z&63dg=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f43e290:=7>50z&1g7<0=2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Zo6k:0;6)P5k=0:wAk4>c29U5db=:r.>;l4>0:&63<<682wvqp5f1bg94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9jo0Z28:0qpsr;h3g6?6=,;i966X>ae81!30i3;;7);89;33?x{zu2c:h>4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`6=Q9hn1>v*:7`824>"2?00:<6sr}|9j5a2=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i:4V0cg>7}#=>k1==5+56;955=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:h;4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`3=Q9hn1>v*:7`823>"2?00:;6sr}|9j5g?=83.9o?4>b79K6f7<^;i?628:0qpsr;h3ae?6=,;i96P5k=0:wAk4>b`9U5db=:r.>;l4>7:&63<<6?2wvqp5f1c`94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9kh0Z1/9:75169~yx{o6jm0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95gb<^8ko6?u+56c952=#=>31=:5r}|8m4db290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a50e=83;:6=4?{%0`6?123A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d<682.>;44>0:~yx=n9k21<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d?3_;jh746<,<=26<>4}|~?l7d;3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?l3:T2ea<5s-?cd83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6kl1]=lj52z&63d<682.>;44>0:~yx=n9m;1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e38R4gc2;q/9:o5119'12?=991vqps4i0f1>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n97[?nd;0x 01f28:0(896:028yx{z3`;o?7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g7>P6im09w);8a;33?!3013;;7psr}:k2`1<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h95Y1`f96~"2?h0:<6*:78824>{zut1b=i;50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n>7[?nd;0x 01f28=0(896:058yx{z3`;o:7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g2>P6im09w);8a;34?!3013;<7psr}:k2f<<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c;8R4gc2;q/9:o5119'12?=991vqps4i0`b>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?ma:T2ea<5s-?bc83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6jk1]=lj52z&63d<6?2.>;44>7:~yx=n9ki1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74dd3_;jh741<,<=26<94}|~?l7el3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82fa=Q9hn1>v*:7`823>"2?00:;6sr}|9j5gc=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=ok4V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`21a<72>0;6=u+2b09671<@;h37d?m7;29 7e528h=7[n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=n=50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c9f>"2?00i7psr}:k2g`<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:oh5Y1`f96~"2?h0i7);89;`8yx{z3`;o=7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g5>P6im09w);8a;`8 01>2k1vqps4i0f1>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n97[?nd;0x 01f2k1/9:75b:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d132e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`21c<72;;1<7>t$3a1>23<@;h37d?m7;29 7e528h=7[o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4>0:&63<<682wvqp5f1b194?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9j90Z28:0qpsr;h3g5?6=,;i96ae81!30i3;;7);89;33?x{zu2c:h?4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`7=Q9hn1>v*:7`824>"2?00:<6sr}|9j5a5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i=4V0cg>7}#=>k1==5+56;955=zutw0e;W0`0?7|D;i=6ae81!30i3;;7);89;33?x{zu2c:h84?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`0=Q9hn1>v*:7`824>"2?00:<6sr}|9j5a0=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i84V0cg>7}#=>k1==5+56;955=zutw0e4d>3_;jh746<,<=26<>4}|~?l7ei3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?ma:T2ea<5s-?bc83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6jk1]=lj52z&63d<682.>;44>0:~yx=n9ki1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51ca8R4gc2;q/9:o5119'12?=991vqps4i0`g>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28ho7[?nd;0x 01f28:0(896:028yx{z3`;ii7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6jl1]=lj52z&63d<6?2.>;44>7:~yx=n9kl1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74da3_;jh746<,<=26<>4}|~?l7d83:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g5=Q9hn1>v*:7`823>"2?00:;6sr}|9j5f7=83.9o?4>b79K6f7<^;i?628=0qpsr;h3`6?6=,;i966X>ae81!30i3;<7);89;34?x{zu2c:o94?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9j>0Z1/9:75169~yx{6=4+2b095g0<^;i?6;44m;|~y>o6k>0;6)P5k=0:wAk4>c69U5db=:r.>;l4m;%74=?d290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=no50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95fg<^8ko6?u+56c9f>"2?00i7psr}:k2gg<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:oo5Y1`f96~"2?h0i7);89;`8yx{z3`;ho7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`g>P6im09w);8a;`8 01>2k1vqps4i0ag>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28io7[?nd;0x 01f2k1/9:75b:~yx=n9jl1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51bd8R4gc2;q/9:o5b:&63<d183>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l91]=lj52z&63dg=zutw0qo?90;2964<729q/>n<5749K6g>28:0qpsr;h3aae81!30i3;;7);89;33?x{zu2c:o>4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9j90Z28:0qpsr;h3g5?6=,;i96P5k=0:wAk4>d09U5db=:r.>;l4>0:&63<<682wvqp5f1e094?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64$45:>465Y1`f96~"2?h0:<6*:78824>{zut1b=i:50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n?7[?nd;0x 01f28:0(896:028yx{z3`;o97>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g1>P6im09w);8a;33?!3013;;7psr}:k2`3<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h;5Y1`f96~"2?h0:<6*:78824>{zut1b=o750;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g?<^8ko6?u+56c955=#=>31==5r}|8m4df290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46o6jj0;6)P5k=0:wAk4>bb9U5db=:r.>;l4>0:&63<<682wvqp5f1cf94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9kn0Zo6jo0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g`<^8ko6?u+56c955=#=>31==5r}|8m4e7290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`4>P6im09w);8a;34?!3013;<7psr}:k2g4<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51b38R4gc2;q/9:o5169'12?=9>1vqps4i0a1>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i97[?nd;0x 01f28=0(896:058yx{z3`;h87>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6k=1]=lj52z&63d<6?2.>;44>7:~yx=n9j?1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51b78R4gc2;q/9:o5b:&63<c783>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k?1]=lj52z&63dg=zutw0e4e?3_;jh7g=#=>31n6sr}|9j5f?=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n74V0cg>7}#=>k1n6*:788a?x{zu2c:ol4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82gd=Q9hn1>v*:7`8a?!3013h0qpsr;h3`f?6=,;i96ae81!30i3h0(896:c9~yx{;44m;|~y>o6ko0;6)P5k=0:wAk4>cg9U5db=:r.>;l4m;%74=?dn<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn<8>:1815?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5119'12?=991vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28:0(896:028yx{z3`;h?7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63d<682.>;44>0:~yx=n9jo1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51bg8R4gc2;q/9:o5119'12?=991vqps4i0f2>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k1:T2ea<5s-?d383>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i<4V0cg>7}#=>k1==5+56;955=zutw0e;W0`0?7|D;i=6ae81!30i3;;7);89;33?x{zu2c:h94?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m>0Z6=4+2b095g0<^;i?628:0qpsr;h3g2?6=,;i96ae81!30i3;;7);89;33?x{zu2c:n44?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f<=Q9hn1>v*:7`824>"2?00:<6sr}|9j5gg=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=oo4V0cg>7}#=>k1==5+56;955=zutw0e4de3_;jh746<,<=26<>4}|~?l7ek3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?mc:T2ea<5s-?be83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6jm1]=lj52z&63d<682.>;44>0:~yx=n9ko1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74db3_;jh741<,<=26<94}|~?l7en3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82fc=Q9hn1>v*:7`824>"2?00:<6sr}|9j5f6=83.9o?4>b79K6f7<^;i?628=0qpsr;h3`5?6=,;i96P5k=0:wAk4>c09U5db=:r.>;l4>7:&63<<6?2wvqp5f1b094?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9j80Z1/9:75169~yx{o6k<0;6)P5k=0:wAk4>c49U5db=:r.>;l4m;%74=?d2\:mi4={%74e?d<,<=26o5r}|8m4e0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=n650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f><^8ko6?u+56c9f>"2?00i7psr}:k2g<<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o45Y1`f96~"2?h0i7);89;`8yx{z3`;hm7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`e>P6im09w);8a;`8 01>2k1vqps4i0aa>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28ii7[?nd;0x 01f2k1/9:75b:~yx=n9ji1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51ba8R4gc2;q/9:o5b:&63<ce83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6km1]=lj52z&63dg=zutw0e4b73_;jh7g=#=>31n6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi=;<50;02>5<7s-8h>79:;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4>0:&63<<682wvqp5f1c:94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k20Z28:0qpsr;h3`a?6=,;i96ae81!30i3;;7);89;33?x{zu2c:h<4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`4=Q9hn1>v*:7`824>"2?00:<6sr}|9j5a4=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i<4V0cg>7}#=>k1==5+56;955=zutw0e4b43_;jh746<,<=26<>4}|~?l7c<3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?k4:T2ea<5s-?d483>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l<1]=lj52z&63d<682.>;44>0:~yx=n9m<1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e48R4gc2;q/9:o5119'12?=991vqps4i0`:>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h27[?nd;0x 01f28:0(896:028yx{z3`;im7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3ae>P6im09w);8a;33?!3013;;7psr}:k2fg<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:no5Y1`f96~"2?h0:<6*:78824>{zut1b=om50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95ge<^8ko6?u+56c955=#=>31==5r}|8m4dc290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46o6jo0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g`<^8ko6?u+56c952=#=>31=:5r}|8m4e7290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`4>P6im09w);8a;34?!3013;<7psr}:k2g4<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51b38R4gc2;q/9:o5169'12?=9>1vqps4i0a1>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?l2:T2ea<5s-?c583>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k=1]=lj52z&63d<6?2.>;44>7:~yx=n9j?1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74e23_;jh741<,<=26<94}|~?l7d>3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?l6:T2ea<5s-?g=zutw0e4e03_;jh7g=#=>31n6sr}|9j5f>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n64V0cg>7}#=>k1n6*:788a?x{zu2c:o44?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g<=Q9hn1>v*:7`8a?!3013h0qpsr;h3`e?6=,;i96ae81!30i3h0(896:c9~yx{;44m;|~y>o6km0;6)P5k=0:wAk4>ce9U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a535=838:6=4?{%0`6?123A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d<682.>;44>0:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5119'12?=991vqps4i0a0>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i87[?nd;0x 01f28:0(896:028yx{z3`;hi7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`a>P6im09w);8a;33?!3013;;7psr}:k2`4<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h<5Y1`f96~"2?h0:<6*:78824>{zut1b=i<50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95a4<^8ko6?u+56c955=#=>31==5r}|8m4b4290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46o6l<0;6)P5k=0:wAk4>d49U5db=:r.>;l4>0:&63<<682wvqp5f1e494?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9m<0Z28:0qpsr;h3ae?6=,;i96ae81!30i3;;7);89;33?x{zu2c:no4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82fg=Q9hn1>v*:7`824>"2?00:<6sr}|9j5ge=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=om4V0cg>7}#=>k1==5+56;955=zutw0e4dc3_;jh746<,<=26<>4}|~?l7em3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?me:T2ea<5s-?bg83>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=oh4V0cg>7}#=>k1=:5+56;952=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:o<4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9j;0Z1/9:75169~yx{o6k=0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95f2<^8ko6?u+56c952=#=>31=:5r}|8m4e2290/>n<51c48R7e328qG>n851zTff?4|,;8m6412\:mi4={%74e?d<,<=26o5r}|8m4e0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=n650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f><^8ko6?u+56c9f>"2?00i7psr}:k2g<<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o45Y1`f96~"2?h0i7);89;`8yx{z3`;hm7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`e>P6im09w);8a;`8 01>2k1vqps4i0aa>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28ii7[?nd;0x 01f2k1/9:75b:~yx=n9ji1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51ba8R4gc2;q/9:o5b:&63<ce83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6km1]=lj52z&63dg=zutw0e4b73_;jh7g=#=>31n6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi=;:50;494?6|,;i96?<8;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=nk50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95fc<^8ko6?u+56c9f>"2?00i7psr}:k2`4<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h<5Y1`f96~"2?h0i7);89;`8yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c351?6=:3:1N5j11b=o850;&1g7<6j?10c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f401290:=7>50z&1g7<0=2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Zo6k:0;6)P5k=0:wAk4>c29U5db=:r.>;l4>0:&63<<682wvqp5f1bg94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9jo0Z28:0qpsr;h3g6?6=,;i966X>ae81!30i3;;7);89;33?x{zu2c:h>4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`6=Q9hn1>v*:7`824>"2?00:<6sr}|9j5a2=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i:4V0cg>7}#=>k1==5+56;955=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:h;4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`3=Q9hn1>v*:7`823>"2?00:;6sr}|9j5g?=83.9o?4>b79K6f7<^;i?628:0qpsr;h3ae?6=,;i96P5k=0:wAk4>b`9U5db=:r.>;l4>7:&63<<6?2wvqp5f1c`94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m641o6jm0;6)P5k=0:wAk4>be9U5db=:r.>;l4m;%74=?dn<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn<88:1815?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5119'12?=991vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28:0(896:028yx{z3`;h?7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;33?!3013;;7psr}:k2g`<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:oh5Y1`f96~"2?h0:<6*:78824>{zut1b=i?50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95a7<^8ko6?u+56c955=#=>31==5r}|8m4b5290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46o6l=0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a2<^8ko6?u+56c955=#=>31==5r}|8m4b2290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>462\:mi4={%74e?773-?<57??;|~y>o6j00;6)P5k=0:wAk4>b89U5db=:r.>;l4>0:&63<<682wvqp5f1cc94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9kk0Z28:0qpsr;h3ag?6=,;i96ae81!30i3;;7);89;33?x{zu2c:ni4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9kn0Zo6jo0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g`<^8ko6?u+56c952=#=>31=:5r}|8m4e7290/>n<51c48R7e328qG>n851zTff?4|,;8m641{zut1b=n<50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f4<^8ko6?u+56c9f>"2?00i7psr}:k2g1<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o95Y1`f96~"2?h0i7);89;`8yx{z3`;h97>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`1>P6im09w);8a;`8 01>2k1vqps4i0a5>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i=7[?nd;0x 01f2k1/9:75b:~yx=n9j=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51b58R4gc2;q/9:o5b:&63<c983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k11]=lj52z&63dg=zutw0e4ef3_;jh7g=#=>31n6sr}|9j5fd=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=nl4V0cg>7}#=>k1n6*:788a?x{zu2c:on4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82gf=Q9hn1>v*:7`8a?!3013h0qpsr;h3``?6=,;i96ae81!30i3h0(896:c9~yx{;44m;|~y>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d6983>6<729q/>n<52358L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2k1/9:75b:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d132e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`22d<72:0;6=u+2b0930=O:k20e4d03_;jh742<,<=26<:4}|~?l7e03:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63dk0;694?:1y'6f4=?<1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28:0(896:028yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;34?!3013;<7psr}:k2g6<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o>5Y1`f96~"2?h0:;6*:78823>{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a53e=83>1<7>t$3a1>23<@;h37d?m7;29 7e528h=7E{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c952=#=>31=:5r}|8m4e4290/>n<51c48R7e328qG>n851zTff?4|,;8m64150z&1g7<0=2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z28=0qpsr;h3`7?6=,;i96ae81!30i3;<7);89;34?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`22`<72<0;6=u+2b0930=O:k20e4d03_;jh746<,<=26<>4}|~?l7e03:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`823>"2?00:;6sr}|9j5f5=83.9o?4>b79K6f7<^;i?628=0qpsr;h3`a?6=,;i96ae81!30i3;<7);89;34?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`22c<72>0;6=u+2b0930=O:k20e4d03_;jh746<,<=26<>4}|~?l7e03:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`824>"2?00:<6sr}|9j5f5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1==5+56;955=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:h<4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m;0Z1/9:75169~yx{28=0qpsr;n01ae81!30i3h0(896:c9~yx{57;294~"5k;09>:5G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c9f>"2?00i7psr}:k2g6<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o>5Y1`f96~"2?h0i7);89;`8yx{z3`;hi7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`a>P6im09w);8a;`8 01>2k1vqps4i0f2>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n:7[?nd;0x 01f2k1/9:75b:~yx=n9m81<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e08R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`5>5<#:j81=o84;n01ae81!30i3h0(896:c9~yx{7>53;294~"5k;0<96F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1=95+56;951=zutw0e4d?3_;jh742<,<=26<:4}|~?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo?83;293?6=8r.9o?485:J1f==n9k=1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d03_;jh746<,<=26<>4}|~?l7e03:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`824>"2?00:<6sr}|9j5f5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1=:5+56;952=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:h<4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m;0Z1/9:75169~yx{28=0qpsr;n01ae81!30i3h0(896:c9~yx{58;294~"5k;0<96F=b99j5g1=83.9o?4>b79K6f7<^;i?628:0qpsr;h3aae81!30i3;;7);89;33?x{zu2c:o>4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`824>"2?00:<6sr}|9j5fc=83.9o?4>b79K6f7<^;i?628=0qpsr;h3g5?6=,;i96P5k=0:wAk4>d09U5db=:r.>;l4>7:&63<<6?2wvqp5f1e094?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m641i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d7483>47=83:p(?m=:678L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;33?!3013;;7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0:<6*:78824>{zut1b=n=50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c955=#=>31==5r}|8m4eb290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46o6l;0;6)P5k=0:wAk4>d39U5db=:r.>;l4>0:&63<<682wvqp5f1e194?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9m90Zo6l<0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a3<^8ko6?u+56c955=#=>31==5r}|8m4b1290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3g2>P6im09w);8a;34?!3013;<7psr}:k2f<<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c;8R4gc2;q/9:o5169'12?=9>1vqps4i0`b>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28hj7[?nd;0x 01f28=0(896:058yx{z3`;in7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3af>P6im09w);8a;`8 01>2k1vqps4i0``>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28hh7[?nd;0x 01f2k1/9:75b:~yx=n9kn1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51cf8R4gc2;q/9:o5b:&63<bd83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6jl1]=lj52z&63dg=zutw0qo?86;2954<729q/>n<5749K6g>28:0qpsr;h3aae81!30i3;;7);89;33?x{zu2c:o>4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`824>"2?00:<6sr}|9j5fc=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=nk4V0cg>7}#=>k1==5+56;955=zutw0e:18'6f4=9k<0Z?m;:0yO6f0=9r\nn74b63_;jh746<,<=26<>4}|~?l7c:3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?k2:T2ea<5s-?d283>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l:1]=lj52z&63d<682.>;44>0:~yx=n9m>1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74b33_;jh741<,<=26<94}|~?l7c=3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82`0=Q9hn1>v*:7`824>"2?00:<6sr}|9j5a0=83.9o?4>b79K6f7<^;i?628=0qpsr;h3a=?6=,;i96P5k=0:wAk4>b89U5db=:r.>;l4>7:&63<<6?2wvqp5f1cc94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9kk0Z1/9:75169~yx{;44m;|~y>o6jm0;6)P5k=0:wAk4>be9U5db=:r.>;l4m;%74=?dn<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn<98:1825?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5119'12?=991vqps4i0`;>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?c283>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63d<682.>;44>0:~yx=n9jo1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51bg8R4gc2;q/9:o5119'12?=991vqps4i0f2>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n:7[?nd;0x 01f28:0(896:028yx{z3`;o>7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g6>P6im09w);8a;33?!3013;;7psr}:k2`6<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h>5Y1`f96~"2?h0:<6*:78824>{zut1b=i:50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95a2<^8ko6?u+56c955=#=>31==5r}|8m4b2290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3g1>P6im09w);8a;34?!3013;<7psr}:k2`3<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h;5Y1`f96~"2?h0:;6*:78823>{zut1b=o750;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h27[?nd;0x 01f28:0(896:028yx{z3`;im7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6jh1]=lj52z&63d<6?2.>;44>7:~yx=n9kh1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74de3_;jh741<,<=26<94}|~?l7ek3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?mc:T2ea<5s-?be83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6jm1]=lj52z&63dg=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f41?290<6=4?{%0`6?45?2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z;44m;|~y>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=i?50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95a7<^8ko6?u+56c9f>"2?00i7psr}:k2`7<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h?5Y1`f96~"2?h0i7);89;`8yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c34=?6=:3:1N5j11b=o850;&1g7<6j?10c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f41f2909=7>50z&1g7<0=2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z28:0qpsr;h3`7?6=,;i96ae81!30i3;;7);89;33?x{zu2c:oh4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`824>"2?00:<6sr}|9j5a7=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i?4V0cg>7}#=>k1==5+56;955=zutw0e4b53_;jh746<,<=26<>4}|~?l7c;3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?k3:T2ea<5s-?d583>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i:4V0cg>7}#=>k1==5+56;955=zutw0e4b23_;jh746<,<=26<>4}|~?l7c>3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?k6:T2ea<5s-?b883>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j01]=lj52z&63d<682.>;44>0:~yx=n9kk1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51cc8R4gc2;q/9:o5119'12?=991vqps4i0`a>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28hi7[?nd;0x 01f28:0(896:028yx{z3`;io7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3ag>P6im09w);8a;33?!3013;;7psr}:k2fa<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51cf8R4gc2;q/9:o5119'12?=991vqps4i0`f>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?me:T2ea<5s-?bg83>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=oh4V0cg>7}#=>k1=:5+56;952=zutw0e4e73_;jh741<,<=26<94}|~?l7d93:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g4=Q9hn1>v*:7`823>"2?00:;6sr}|9j5f4=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n<4V0cg>7}#=>k1n6*:788a?x{zu2c:o94?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g1=Q9hn1>v*:7`8a?!3013h0qpsr;h3`1?6=,;i96ae81!30i3h0(896:c9~yx{;44m;|~y>o6k10;6)P5k=0:wAk4>c99U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=nl50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95fd<^8ko6?u+56c9f>"2?00i7psr}:k2gf<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:on5Y1`f96~"2?h0i7);89;`8yx{z3`;hh7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3``>P6im09w);8a;`8 01>2k1vqps4i0ae>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28im7[?nd;0x 01f2k1/9:75b:~yx=n9m:1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e28R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d?0D?l7;h3a3?6=,;i96ae81!30i3;;7);89;33?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`824>"2?00:<6sr}|9j5f5=83.9o?4>b79K6f7<^;i?628:0qpsr;h3`a?6=,;i96ae81!30i3;;7);89;33?x{zu2c:h<4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m;0Zo6l:0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a5<^8ko6?u+56c955=#=>31==5r}|8m4b3290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3g0>P6im09w);8a;33?!3013;;7psr}:k2`0<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h85Y1`f96~"2?h0:<6*:78824>{zut1b=i850;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95a0<^8ko6?u+56c955=#=>31==5r}|8m4d>290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46o6jk0;6)P5k=0:wAk4>bc9U5db=:r.>;l4>0:&63<<682wvqp5f1ca94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9ki0Zo6jl0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95gc<^8ko6?u+56c952=#=>31=:5r}|8m4da290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3ab>P6im09w);8a;34?!3013;<7psr}:k2g5<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o=5Y1`f96~"2?h0:;6*:78823>{zut1b=n?50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28i:7[?nd;0x 01f28=0(896:058yx{z3`;h>7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`6>P6im09w);8a;`8 01>2k1vqps4i0a7>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i?7[?nd;0x 01f2k1/9:75b:~yx=n9j?1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51b78R4gc2;q/9:o5b:&63<c783>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k?1]=lj52z&63dg=zutw0e4e?3_;jh7g=#=>31n6sr}|9j5f?=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n74V0cg>7}#=>k1n6*:788a?x{zu2c:ol4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82gd=Q9hn1>v*:7`8a?!3013h0qpsr;h3`f?6=,;i96ae81!30i3h0(896:c9~yx{;44m;|~y>o6ko0;6)P5k=0:wAk4>cg9U5db=:r.>;l4m;%74=?dn<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn<9l:1815?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5119'12?=991vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28:0(896:028yx{z3`;h?7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63d<682.>;44>0:~yx=n9jo1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51bg8R4gc2;q/9:o5119'12?=991vqps4i0f2>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k1:T2ea<5s-?d383>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i<4V0cg>7}#=>k1==5+56;955=zutw0e;W0`0?7|D;i=6ae81!30i3;;7);89;33?x{zu2c:h94?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m>0Z6=4+2b095g0<^;i?628:0qpsr;h3g2?6=,;i96ae81!30i3;;7);89;33?x{zu2c:n44?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f<=Q9hn1>v*:7`824>"2?00:<6sr}|9j5gg=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=oo4V0cg>7}#=>k1==5+56;955=zutw0e4de3_;jh746<,<=26<>4}|~?l7ek3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?mc:T2ea<5s-?be83>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=oj4V0cg>7}#=>k1==5+56;955=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:nk4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9kl0Z1/9:75169~yx{28=0qpsr;h3`5?6=,;i96P5k=0:wAk4>c09U5db=:r.>;l4>7:&63<<6?2wvqp5f1b094?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9j80Z;44m;|~y>o6k=0;6)P5k=0:wAk4>c59U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=n950;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f1<^8ko6?u+56c9f>"2?00i7psr}:k2g=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o55Y1`f96~"2?h0i7);89;`8yx{z3`;h57>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`=>P6im09w);8a;`8 01>2k1vqps4i0ab>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28ij7[?nd;0x 01f2k1/9:75b:~yx=n9jh1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51b`8R4gc2;q/9:o5b:&63<cb83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6kj1]=lj52z&63dg=zutw0e4ea3_;jh7g=#=>31n6sr}|9j5a6=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i>4V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`23a<72;;1<7>t$3a1>23<@;h37d?m7;29 7e528h=7[o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4>0:&63<<682wvqp5f1b194?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9j90Z28:0qpsr;h3g5?6=,;i96ae81!30i3;;7);89;33?x{zu2c:h?4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`7=Q9hn1>v*:7`824>"2?00:<6sr}|9j5a5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i=4V0cg>7}#=>k1==5+56;955=zutw0e4b33_;jh746<,<=26<>4}|~?l7c=3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?k5:T2ea<5s-?d783>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l?1]=lj52z&63d<682.>;44>0:~yx=n9k31<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c;8R4gc2;q/9:o5119'12?=991vqps4i0`b>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28hj7[?nd;0x 01f28:0(896:028yx{z3`;in7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3af>P6im09w);8a;33?!3013;;7psr}:k2ff<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:nn5Y1`f96~"2?h0:<6*:78824>{zut1b=oj50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95gb<^8ko6?u+56c955=#=>31==5r}|8m4db290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46{zut1b=n>50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28i;7[?nd;0x 01f28=0(896:058yx{z3`;h=7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6k81]=lj52z&63d<6?2.>;44>7:~yx=n9j81<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74e53_;jh741<,<=26<94}|~?l7d<3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?l4:T2ea<5s-?c483>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k<1]=lj52z&63d3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?l6:T2ea<5s-?g=zutw0e4e03_;jh7g=#=>31n6sr}|9j5f>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n64V0cg>7}#=>k1n6*:788a?x{zu2c:o44?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g<=Q9hn1>v*:7`8a?!3013h0qpsr;h3`e?6=,;i96ae81!30i3h0(896:c9~yx{;44m;|~y>o6km0;6)P5k=0:wAk4>ce9U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a52c=838:6=4?{%0`6?123A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d<682.>;44>0:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5119'12?=991vqps4i0a0>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i87[?nd;0x 01f28:0(896:028yx{z3`;hi7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`a>P6im09w);8a;33?!3013;;7psr}:k2`4<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h<5Y1`f96~"2?h0:<6*:78824>{zut1b=i<50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95a4<^8ko6?u+56c955=#=>31==5r}|8m4b4290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46o6l<0;6)P5k=0:wAk4>d49U5db=:r.>;l4>0:&63<<682wvqp5f1e494?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9m<0Z28:0qpsr;h3ae?6=,;i96ae81!30i3;;7);89;33?x{zu2c:no4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82fg=Q9hn1>v*:7`824>"2?00:<6sr}|9j5ge=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=om4V0cg>7}#=>k1==5+56;955=zutw0e4dc3_;jh746<,<=26<>4}|~?l7em3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?me:T2ea<5s-?bg83>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=oh4V0cg>7}#=>k1=:5+56;952=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:o<4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9j;0Z1/9:75169~yx{o6k=0;6)P5k=0:wAk4>c59U5db=:r.>;l4>7:&63<<6?2wvqp5f1b794?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9j?0Z;44m;|~y>o6k?0;6)P5k=0:wAk4>c79U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=n750;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f?<^8ko6?u+56c9f>"2?00i7psr}:k2gd<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:ol5Y1`f96~"2?h0i7);89;`8yx{z3`;hn7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`f>P6im09w);8a;`8 01>2k1vqps4i0a`>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28ih7[?nd;0x 01f2k1/9:75b:~yx=n9jn1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51bf8R4gc2;q/9:o5b:&63<cg83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6ko1]=lj52z&63dg=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f41a290=6=4?{%0`6?45?2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z;44m;|~y>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=i?50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95a7<^8ko6?u+56c9f>"2?00i7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th:4=4?:383>5}#:j81hn5G2c:8m4d1290/>n<51c48?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo?71;297?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5159'12?=9=1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28>0(896:068yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c3;6?6=<3:1N5j11b=o950;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c955=#=>31==5r}|8m4d?290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;34?!3013;<7psr}:k2g6<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51b18R4gc2;q/9:o5169'12?=9>1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj8286=49:183!4d:3=>7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0:<6*:78824>{zut1b=o650;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28:0(896:028yx{z3`;h?7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;33?!3013;;7psr}:k2g`<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51bg8R4gc2;q/9:o5169'12?=9>1vqps4i0f2>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k1:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d?0D?l7;h3a3?6=,;i96ae81!30i3;;7);89;33?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`824>"2?00:<6sr}|9j5f5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1==5+56;955=zutw0e4eb3_;jh746<,<=26<>4}|~?l7c93:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?k1:T2ea<5s-?d383>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l;1]=lj52z&63d<682.>;44>0:~yx=n9m91<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e18R4gc2;q/9:o5119'12?=991vqps4i0f7>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k4:T2ea<5s-?d483>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i;4V0cg>7}#=>k1==5+56;955=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:n44?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k30Z1/9:75169~yx{;44m;|~y>o6jj0;6)P5k=0:wAk4>bb9U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a5=3=83;:6=4?{%0`6?123A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d<682.>;44>0:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5119'12?=991vqps4i0a0>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i87[?nd;0x 01f28:0(896:028yx{z3`;hi7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`a>P6im09w);8a;33?!3013;;7psr}:k2`4<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h<5Y1`f96~"2?h0:<6*:78824>{zut1b=i<50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95a4<^8ko6?u+56c955=#=>31==5r}|8m4b4290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46{zut1b=i;50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n>7[?nd;0x 01f28:0(896:028yx{z3`;o:7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l?1]=lj52z&63d<6?2.>;44>7:~yx=n9k31<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d>3_;jh741<,<=26<94}|~?l7ei3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?ma:T2ea<5s-?g=zutw0e4de3_;jh7g=#=>31n6sr}|9j5ge=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=om4V0cg>7}#=>k1n6*:788a?x{zu2c:ni4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82fa=Q9hn1>v*:7`8a?!3013h0qpsr;h3aa?6=,;i96ae81!30i3h0(896:c9~yx{<^;i?65<693:1N5j11b=o950;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c955=#=>31==5r}|8m4d?290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;33?!3013;;7psr}:k2g6<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o>5Y1`f96~"2?h0:<6*:78824>{zut1b=nk50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95fc<^8ko6?u+56c955=#=>31==5r}|8m4b6290/>n<51c48R7e328qG>n851zTff?4|,;8m6;W3b`?4|,<=j6<>4$45:>46o6l:0;6)P5k=0:wAk4>d29U5db=:r.>;l4>0:&63<<682wvqp5f1e694?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9m>0Z6=4+2b095g0<@;i:7[o6l?0;6)P5k=0:wAk4>d79U5db=:r.>;l4>7:&63<<6?2wvqp5f1c;94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64$45:>46{zut1b=ol50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28hi7[?nd;0x 01f28=0(896:058yx{z3`;io7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3ag>P6im09w);8a;`8 01>2k1vqps4i0`g>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28ho7[?nd;0x 01f2k1/9:75b:~yx=n9ko1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51cg8R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d0;6:4?:1y'6f4=:;=0D?l7;h3a3?6=,;i96ae81!30i3h0(896:c9~yx{;44m;|~y>o6kl0;6)P5k=0:wAk4>cd9U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a5=>=8381<7>t$3a1>ae<@;h37d?m6;29 7e528h=76a=2983>!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28:0(896:028yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;34?!3013;<7psr}:k2g6<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51b18R4gc2;q/9:o5169'12?=9>1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj82j6=4;:183!4d:3=>7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0:;6*:78823>{zut1b=o650;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28=0(896:058yx{z3`;h?7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63d<6?2.>;44>7:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;34?!3013;<7psr}:k2f=<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5169'12?=9>1vqps4i0a0>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?l3:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`4>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?b983>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1==5+56;955=zutw0e;W0`0?7|D;i=6ae81!30i3;;7);89;33?x{zu2c:oh4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9jo0Z1/9:75169~yx{<^;i?65<2290;w)2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k=0Zo6k:0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c952=#=>31=:5r}|8m4eb290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`a>P6im09w);8a;34?!3013;<7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th:4h4?:783>5}#:j81>?94H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?g=zutw0e4d?3_;jh7g=#=>31n6sr}|9j5f5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1n6*:788a?x{zu2c:oh4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`8a?!3013h0qpsr;h3g5?6=,;i96ae81!30i3h0(896:c9~yx{<^;i?65<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a5<6=8391<7>t$3a1>23<@;h37d?m7;29 7e528h=7[o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4>4:&63<<6<2wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e90;1<7;50;2x 7e52>?0D?l7;h3a3?6=,;i96P5k=0:wAk4>b69U5db=:r.>;l4>0:&63<<682wvqp5f1c:94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k20Zo6kl0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95fc<^8ko6?u+56c952=#=>31=:5r}|8k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn<7=:186>5<7s-8h>79:;I0a<>o6j>0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c955=#=>31==5r}|8m4d?290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>465Y1`f96~"2?h0:<6*:78824>{zut1b=nk50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28in7[?nd;0x 01f28=0(896:058yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c3:7?6==3:1N5j11b=o950;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28:0(896:028yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;33?!3013;;7psr}:k2g6<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51b18R4gc2;q/9:o5119'12?=991vqps4i0af>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?le:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`4>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<682.>;44>0:~yx=n9j91<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74e43_;jh746<,<=26<>4}|~?l7dm3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`823>"2?00:;6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi=4;50;794?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`824>"2?00:<6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1==5+56;955=zutw0e4e43_;jh746<,<=26<>4}|~?l7dm3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`823>"2?00:;6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi=4850;594?6|,;i96?<8;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=nk50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95fc<^8ko6?u+56c9f>"2?00i7psr}:k2`4<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h<5Y1`f96~"2?h0i7);89;`8yx{z3`;o>7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g6>P6im09w);8a;`8 01>2k1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj83<6=4=:183!4d:3nh7E7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi=4650;494?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`824>"2?00:<6sr}|9j5g>=83.9o?4>b79K6f7<^;i?628:0qpsr;h3`7?6=,;i96P5k=0:wAk4>c29U5db=:r.>;l4>0:&63<<682wvqp5f1bg94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9jo0Zi5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d9883>3<729q/>n<5749K6g>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4>0:&63<<682wvqp5f1b194?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9j90Z28=0qpsr;h3g5?6=,;i96P5k=0:wAk4>d09U5db=:r.>;l4>7:&63<<6?2wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e90k1<7=50;2x 7e52;8<7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0i7);89;`8yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;`8 01>2k1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj83i6=4=:183!4d:3nh7E7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi=4m50;02>5<7s-8h>79:;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4>0:&63<<682wvqp5f1c:94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k20Z28:0qpsr;h3`a?6=,;i96ae81!30i3;;7);89;33?x{zu2c:h<4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`4=Q9hn1>v*:7`824>"2?00:<6sr}|9j5a4=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i<4V0cg>7}#=>k1==5+56;955=zutw0e4b43_;jh746<,<=26<>4}|~?l7c<3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82`1=Q9hn1>v*:7`824>"2?00:<6sr}|9j5a3=83.9o?4>b79K6f7<^;i?628:0qpsr;h3g2?6=,;i96ae81!30i3;;7);89;33?x{zu2c:n44?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f<=Q9hn1>v*:7`824>"2?00:<6sr}|9j5gg=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=oo4V0cg>7}#=>k1==5+56;955=zutw0e4de3_;jh746<,<=26<>4}|~?l7ek3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?mc:T2ea<5s-?be83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6jm1]=lj52z&63d<682.>;44>0:~yx=n9ko1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51cg8R4gc2;q/9:o5169'12?=9>1vqps4i0`e>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?mf:T2ea<5s-?c183>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=n>4V0cg>7}#=>k1=:5+56;952=zutw0e:18'6f4=9k<0D?m>;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:o?4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g7=Q9hn1>v*:7`823>"2?00:;6sr}|9j5f2=83.9o?4>b79K6f7<^;i?628=0qpsr;h3`1?6=,;i96ae81!30i3h0(896:c9~yx{;44m;|~y>o6k10;6)P5k=0:wAk4>c99U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=nl50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95fd<^8ko6?u+56c9f>"2?00i7psr}:k2gf<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:on5Y1`f96~"2?h0i7);89;`8yx{z3`;hh7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3``>P6im09w);8a;`8 01>2k1vqps4i0ae>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28im7[?nd;0x 01f2k1/9:75b:~yx=n9m:1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e28R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d?0D?l7;h3a3?6=,;i96ae81!30i3;;7);89;33?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`824>"2?00:<6sr}|9j5f5=83.9o?4>b79K6f7<^;i?628:0qpsr;h3`a?6=,;i96ae81!30i3;;7);89;33?x{zu2c:h<4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m;0Zo6l:0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a5<^8ko6?u+56c955=#=>31==5r}|8m4b3290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3g0>P6im09w);8a;33?!3013;;7psr}:k2`0<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e78R4gc2;q/9:o5119'12?=991vqps4i0f5>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n=7[?nd;0x 01f28:0(896:028yx{z3`;i57>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a=>P6im09w);8a;33?!3013;;7psr}:k2fd<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:nl5Y1`f96~"2?h0:<6*:78824>{zut1b=ol50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95gd<^8ko6?u+56c955=#=>31==5r}|8m4dd290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46o6jl0;6)P5k=0:wAk4>bd9U5db=:r.>;l4>7:&63<<6?2wvqp5f1cd94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64$45:>46{zut1b=n?50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28i:7[?nd;0x 01f28=0(896:058yx{z3`;h>7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`6>P6im09w);8a;34?!3013;<7psr}:k2g1<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51b68R4gc2;q/9:o5169'12?=9>1vqps4i0a6>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i>7[?nd;0x 01f2k1/9:75b:~yx=n9j<1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51b48R4gc2;q/9:o5b:&63<c683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k>1]=lj52z&63dg=zutw0e4e>3_;jh7g=#=>31n6sr}|9j5fg=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=no4V0cg>7}#=>k1n6*:788a?x{zu2c:oo4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82gg=Q9hn1>v*:7`8a?!3013h0qpsr;h3`g?6=,;i96ae81!30i3h0(896:c9~yx{;44m;|~y>o6l90;6)P5k=0:wAk4>d19U5db=:r.>;l4m;%74=?dm3:1><4?:1y'6f4=?<1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28:0(896:028yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;33?!3013;;7psr}:k2g6<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o>5Y1`f96~"2?h0:<6*:78824>{zut1b=nk50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95fc<^8ko6?u+56c955=#=>31==5r}|8m4b6290/>n<51c48R7e328qG>n851zTff?4|,;8m6;W3b`?4|,<=j6<>4$45:>46o6l:0;6)P5k=0:wAk4>d29U5db=:r.>;l4>0:&63<<682wvqp5f1e694?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64$45:>46o6l?0;6)P5k=0:wAk4>d79U5db=:r.>;l4>0:&63<<682wvqp5f1c;94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k30Z28:0qpsr;h3af?6=,;i96ae81!30i3;;7);89;33?x{zu2c:nn4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82ff=Q9hn1>v*:7`824>"2?00:<6sr}|9j5gb=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=oj4V0cg>7}#=>k1==5+56;955=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:nk4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9kl0Zo6k80;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95f7<^8ko6?u+56c952=#=>31=:5r}|8m4e5290/>n<51c48R7e328qG>n851zTff?4|,;8m641{zut1b=n;50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f3<^8ko6?u+56c9f>"2?00i7psr}:k2g3<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o;5Y1`f96~"2?h0i7);89;`8yx{z3`;h;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`3>P6im09w);8a;`8 01>2k1vqps4i0a;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i37[?nd;0x 01f2k1/9:75b:~yx=n9j31<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51b;8R4gc2;q/9:o5b:&63<c`83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6kh1]=lj52z&63dg=zutw0e4ed3_;jh7g=#=>31n6sr}|9j5fb=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=nj4V0cg>7}#=>k1n6*:788a?x{zu2c:ok4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82gc=Q9hn1>v*:7`8a?!3013h0qpsr;h3g4?6=,;i96ae81!30i3h0(896:c9~yx{<^;i?65<593:1N5j11b=o950;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c955=#=>31==5r}|8m4d?290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46o6kl0;6)P5k=0:wAk4>cd9U5db=:r.>;l4>0:&63<<682wvqp5f1e394?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9m;0Z28:0qpsr;h3g7?6=,;i96ae81!30i3;;7);89;33?x{zu2c:h94?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m>0Z6=4+2b095g0<@;i:7[o6l?0;6)P5k=0:wAk4>d79U5db=:r.>;l4>0:&63<<682wvqp5f1c;94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k30Z28:0qpsr;h3af?6=,;i96ae81!30i3;;7);89;33?x{zu2c:nn4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82ff=Q9hn1>v*:7`824>"2?00:<6sr}|9j5gb=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=oj4V0cg>7}#=>k1==5+56;955=zutw0e4db3_;jh741<,<=26<94}|~?l7en3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82fc=Q9hn1>v*:7`824>"2?00:<6sr}|9j5f6=83.9o?4>b79K6f7<^;i?628=0qpsr;h3`5?6=,;i96P5k=0:wAk4>c09U5db=:r.>;l4>7:&63<<6?2wvqp5f1b094?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9j80Z1/9:75169~yx{o6k<0;6)P5k=0:wAk4>c49U5db=:r.>;l4m;%74=?d2\:mi4={%74e?d<,<=26o5r}|8m4e0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=n650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f><^8ko6?u+56c9f>"2?00i7psr}:k2g<<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o45Y1`f96~"2?h0i7);89;`8yx{z3`;hm7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`e>P6im09w);8a;`8 01>2k1vqps4i0aa>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28ii7[?nd;0x 01f2k1/9:75b:~yx=n9ji1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51ba8R4gc2;q/9:o5b:&63<ce83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6km1]=lj52z&63dg=zutw0e4b73_;jh7g=#=>31n6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi=l>50;02>5<7s-8h>79:;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4>0:&63<<682wvqp5f1c:94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k20Zo6kl0;6)P5k=0:wAk4>cd9U5db=:r.>;l4>0:&63<<682wvqp5f1e394?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m6;W3b`?4|,<=j6<>4$45:>46{zut1b=i=50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n87[?nd;0x 01f28:0(896:028yx{z3`;o87>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l=1]=lj52z&63d<682.>;44>0:~yx=n9m?1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74b23_;jh746<,<=26<>4}|~?l7c>3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?k6:T2ea<5s-?b883>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j01]=lj52z&63d<682.>;44>0:~yx=n9kk1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51cc8R4gc2;q/9:o5119'12?=991vqps4i0`a>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28hi7[?nd;0x 01f28:0(896:028yx{z3`;io7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3ag>P6im09w);8a;33?!3013;;7psr}:k2fa<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:ni5Y1`f96~"2?h0:<6*:78824>{zut1b=ok50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95gc<^8ko6?u+56c952=#=>31=:5r}|8m4da290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3ab>P6im09w);8a;33?!3013;;7psr}:k2g5<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51b28R4gc2;q/9:o5169'12?=9>1vqps4i0a2>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?l1:T2ea<5s-?c383>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k;1]=lj52z&63d<6?2.>;44>7:~yx=n9j>1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74e33_;jh741<,<=26<94}|~?l7d=3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?l5:T2ea<5s-?g=zutw0e4e13_;jh7g=#=>31n6sr}|9j5f1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n94V0cg>7}#=>k1n6*:788a?x{zu2c:o54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g==Q9hn1>v*:7`8a?!3013h0qpsr;h3`=?6=,;i96ae81!30i3h0(896:c9~yx{;44m;|~y>o6kj0;6)P5k=0:wAk4>cb9U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=i>50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95a6<^8ko6?u+56c9f>"2?00i7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th:m<4?:783>5}#:j81>?94H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?g=zutw0e4d?3_;jh7g=#=>31n6sr}|9j5f5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1n6*:788a?x{zu2c:oh4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`8a?!3013h0qpsr;h3g5?6=,;i96ae81!30i3h0(896:c9~yx{<^;i?65<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a5d5=8391<7>t$3a1>23<@;h37d?m7;29 7e528h=7[o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4>4:&63<<6<2wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e9h>1<7;50;2x 7e52>?0D?l7;h3a3?6=,;i96P5k=0:wAk4>b69U5db=:r.>;l4>0:&63<<682wvqp5f1c:94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k20Z1/9:75169~yx{28=0qpsr;h3`a?6=,;i96P5k=0:wAk4>cd9U5db=:r.>;l4>7:&63<<6?2wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e9h?1<7;50;2x 7e52>?0D?l7;h3a3?6=,;i96ae81!30i3;;7);89;33?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`823>"2?00:;6sr}|9j5f5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1=:5+56;952=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`2e3<72?0;6=u+2b0930=O:k20e4d03_;jh746<,<=26<>4}|~?l7e03:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`823>"2?00:;6sr}|9j5f5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1=:5+56;952=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:h<4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m;0Z1/9:75169~yx{<^;i?652B9o<5Y2b695~J5k?0:w[km:3y'67`=9k=0Zo6k:0;6)P5k=0:wAk4>c29U5db=:r.>;l4>7:&63<<6?2wvqp5f1bg94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m641{zut1b=i<50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95a4<^8ko6?u+56c952=#=>31=:5r}|8m4b4290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3g7>P6im09w);8a;34?!3013;<7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th:m54?:983>5}#:j81;85G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46{zut1b=n=50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c955=#=>31==5r}|8m4eb290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`a>P6im09w);8a;34?!3013;<7psr}:k2`4<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h<5Y1`f96~"2?h0:;6*:78823>{zut1b=i<50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n97[?nd;0x 01f28=0(896:058yx{z3`;o?7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l:1]=lj52z&63d<6?2.>;44>7:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8a?!3013h0qpsr;h3aae81!30i3h0(896:c9~yx{;44m;|~y>o6l80;6)P5k=0:wAk4>d09U5db=:r.>;l4m;%74=?dn<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vl:50;1x9d3=:;30Rl:4=ba95g00z?`g?450278:=4>b69>737=9k=01>8=:0`4?851;3;i;63=8`82f2=:94d034;=>7?m7:?226<6j>16=:o51c58941e28h<70?8d;3a3>;6?l0:n:5218a95g1<583o6;dj3;i:6s|cc83>46|5jh1>?64=276>4d0349>:7?m7:?012<6j>16?;:51c5897>428h<70?91;3a3>;6>;0:n55217195g><58<<6b99>52c=9k201<7j:0`4?87>n3;i;63>a182f2=z{1;1<7=t=90967?;4=<0:n55234495g><5:?<6b99>734=9k201>8<:0`;?851<3;i463=7482f2=:94d?34;==7?m8:?227<6k:16=;=51b18940028h370?8a;3a<>;6?k0:n55216a95g><58=o6b99>5n3;i463>a182f==z{>l1<7=t=92967?l01lo51c48yvgf29095v3na;01<>;2><0:n:5234795f5<5:?=6c29>737=9j901>8=:0a0?851;3;h?63<6582g6=:=h>1=o94=34f>4d034>im7?m7:?605<6j>16==951c58946?28h<70??b;3a3>;68l0:n:5214;95g1<58?i6c29>537=9j901<8=:0af?871;3;hi63>6682g6=:9>?1=o94=054>4d034;;60=0:n:5219495g1<58336c29>56}:?l09>45Q7e9>e<<6j?1vl750;0:8g>2;8370;95;3a<>;4=<0:oh5234495fc<5:?<6cd9>734=9jo01>8<:0af?851<3;hi63:a582f==::?=1=o94=5`b>4d?34??<7?m8:?7ea<6j>16==751c58946f28h<70??f;3a3>;6990:n:5214c95g1<58?h6cd9>537=9jo01<8=:0f2?871;3;o=63>6782f2=:9?=1=nk4=055>4d034;;60<0:n:5218:95g><583h6cd9>5<`=9jo01X0j27j47?m6:pe=<728kp1l6523:8963228n:70=:6;3g5>;4=>0:h<5237295a7<5:<:6;<156?7c9278:>4>d09>732=9m;01?8?:0`4?872n3;o=63>6182`4=:9?;1=i?4=041>4b534;=?7?k2:?222<6l816=:o51e38941e28n:70?8c;3g5>;6?m0:h?5216g95a4<583h6;<3:`?7c927:5h4>d09>5<`=9m;01X0127j;7?m6:pe2<728kp1l9523:8963228n970=:6;3g6>;4=>0:h?5237295a4<5:<:64>d39>732=9m801?;6:0`4?872n3;o>63>6182`7=:9?;1=i<4=041>4b434;=?7?k3:?222<6l;16=:o51e08941e28n970?8c;3g6>;6?m0:h>5216g95a5<583h6d39>5<`=9m801X0?27j:7?m6:pe3<728kp1l8523:8963228n870=:6;3g7>;4=>0:h>5237295a5<5:<:64>d29>732=9m901?:k:0`4?872n3;o?63>6182`6=:9?;1=i=4=041>4b334;=?7?k4:?222<6l:16=:o51e18941e28n870?8c;3g7>;6?m0:h95216g95a2<583h6d29>5<`=9m901<3:1?v365;01=>X><27h87?m6:pg1<721;2>=0:n:5257795f5<5<<=64:4>b69>1=>=9k=01866:0`4?82113;i;63;6`82f2=::9l1=o94=333>4d0348:=7?m7:?05<<6j>16?>951c58962>28h<70=;a;3a3>;4=<0:h95234495a2<5:?<6d59>734=9m>01>8<:0f7?851<3;o863<7182f2=:<:n1=o94=51e>4d034?j>7?m7:?6e1<6k:169oj51c5890db28h<70;mf;3a3>;2k>0:n:525b:95g1<5i?4>b69>0=5=9k=0196::0`4?822l3;i;63=9`82f2=::0h1=o94=3;`>4d0348;?7?m7:?75`<6j>1694851c5890?028h<70;m2;3a3>;5=90:n:5224395g1<5;?96c29>0gd=9k=019kj:0`4?82a93;i;63;f382f2=:4d034?8i7?m7:?67c<6j>1699>51b18902628h<70kk:0`4?8`428h<70h;:0`4?8`028h<70h7:0`4?8`>28h<70hn:0`4?8`e28h<70??0;3a3>;6880:n:5211095g1<58:86b69>576=9k=01<<>:0`4?87503;i;63>2882f2=:9;i1=o94=00g>4d034;9i7?m7:?277<6j>16=>=51c58945328h<70?<5;3a3>;6;?0:n:5212;95g1<589j6b69>513=9k=01<;?:0`4?87203;i;63>5g82`1=:9?:1=i:4=042>4b334;=>7?k5:?226<6l<16=;951e68940e28h<70?9e;3a3>;6?:0:n:5216695g1<58=j6d59>52b=9m?01<9j:0f6?87?:3;i;63>8882f2=:91i1=o94=0:g>4d034;2=7?m7:?2=7<6j>16=4=51c5894?328h<70?65;3a3>;6110:o>5218;95g1<583h6d59>5<`=9m>013;i;63>a682f2=z{0;1<7=t=80967?;2>?0:n55259495g><5<2<6444>b99>03d=9k=0198l:0`4?856i3;i;63<1c82f2=:;8i1=o94=23g>4d03498;7?m8:?00<<6j116?9o51c:8963228n>70=:6;3g1>;4=>0:h85237295a3<5:<:64>d49>732=9m?018o=:0`;?83d?3;i463:c982f==:=j31=o64=4ab>4d?3482m7?m8:?1=g<6j116>4m51c:893b=9k=01;k51c5893`=9k=019?j:0`;?83fm3;i;63:ag82f2=:=k81=o64=371>4d?34>in7?m8:?7ac<6j>169?l51c58904d28h<70;=d;3a3>;en3;i463l0;3a<>;2;j0:n55255395g><5=ko60:n552f982f==:99:1=o64=022>4d?34;;;7?m8:?24=<6j116==751c:8946f28h370??b;3a<>;68l0:n55211d95g><58;;6b69>543=9k=011`82f2=:98h1=o94=03`>4d034;9<7?m8:?264<6j116=?<51c58944428h<70?=4;3a3>;6:10:n55213;95g><588h6h4>b99>564=9k201<=<:0`;?87413;i463>3`82f==:9:h1=o94=01`>4d034;8h7?m8:?20=<6j>16=9751c58942f28h<70?;b;3a3>;6b99>50d=9k201<;l:0`;?872n3;o963>6182`0=:9?;1=i;4=041>4b134;=?7?k6:?223<6j116=;m51c58940a28h<70?83;3a<>;6?=0:n55216795g><58==6d79>52c=9m<01<6<:0`4?87?<3;i463>8482f==:91<1=o64=0:`>4d?34;3h7?m8:?2=<<6j116=4m51e7894?c28n>70?6e;3g1>;61o0:h8521`295a3<58k?6b69~w=`=839p14>523;8Z=`<5k31=o84}r`:>5<0>r7i57<=8:?627<6j1169;=51c:8900328h370;95;3`a>;2>?0:o>5259:95f5<5<226b99>74e=9k201>?k:0`;?854?3;h?63<4`82g6=:;4b1349>;7?k6:?025<6l?16?;?51e48960528n=70=93;3g2>;4>=0:h;5242d95g><5ol4>c29>0=3=9k201?7m:0a0?84>k3;h?639d;3a<>;2j90:n:525c395g1<5b99>604=9j9019l6:0`;?82ei3;hi63;bc82g6=:4d?34>m>7?m8:?7b6<6j116ni4>b69>fa<6j116nk4>c29>g5<6k:169>m51b18905b28h370;;2<90:oh5255395f5<5=ko64e434l864e434l264d?34li6b99>555=9k201<>m:0a0?877m3;h?63>0g82g6=:98:1=n=4=030>4d?34;:47?l3:?25<<6k:16=;6:00:o>5213f95f5<588n6b99>563=9k201<=9:0`;?874l3;h?63>4982f==:9=31=o64=07;>4e434;>n7?l3:?21f<6k:16=8h51e48940728n=70?91;3g2>;6>;0:n45217195g?<58<=6b69>53`=9k201<9;:0a0?870?3;h?63>7`82`0=:9>h1=i;4=05`>4b234;128i870?7c;3`7>;6180:n55218095g><58386b99>5<>=9jo01<76:0a0?87>k3;o:63>9e82`3=:90o1=i84=0;e>4b134;j<7?k6:?2e0<6j>16=l651c:8yv>c2908w06j:30:?[>c34h3603g=9k201>?n:0a0?856j3;h?63<1b82g6=:;8n1=n=4=214>4eb349>97?m9:?013<6j016?8951c;8960728h270=91;3a=>;4>;0:n45237195g?<5:b99>0a1=9k=0196<:0`;?82?=3;h?63;5e82f==::991=o64=3;2>4d034>nj7?l3:?ab?7dm27h<7?le:?67f<6kl168lj51bg89`b=9jo01<>8:0a0?87703;h?63>0882g6=:99k1=n=4=02a>4eb34;;i7?le:?24c<6kl16=<>51bg8943>28i870?:a;3`7>;6=k0:oh5214a95fc<58?m6b89>534=9kk01<8<:0`b?871>3;hi63>6682`3=:9?l1=n=4=056>4e434;<:7?l3:?232<6kl16=:o51e48941e28n=70?8c;3g2>;6?m0:nl5216g95gg<58286c29>5=0=9jo01<7l:0`:?87>l3;i563>9d82f<=:90l1=o74=0c3>4d>34;j47?l3:p<<<72:q64l4=289]<<=:io0:n;5rs`d94?52s4km6?<7;<161?7ei2789;4>b`9>701=9kk01>8?:0`b?85193;im63<6382fd=:;?91=oo4=247>4df3482>7?m7:?7b4<6k:16n>4>b69>f6<6j1168lj51e389c?=9j901<>=:0a0?877?3;hi63>0982g`=:9931=nk4=02b>4eb34;;n7?k1:?24`<6l816==h51e38947728n:70?<4;3`7>;6=00:oh5214c95fc<58?i6;<36g?7c927:9k4>b`9>536=9kk01<8>:0`b?871:3;in63>6282fg=:9?<1=i?4=044>4d>34;<97?le:?233<6kl16=:951e38941f28h270?8b;3a=>;6?j0:n45216f95gd<58=n6cd9>5=0=9m;01<7>:0a0?87>k3;im63>9e82fd=:90o1=oo4=0;e>4df34;j<7?ma:p<2<72:q6454=289]<2=:il0:n;5rs`g94?54s4kn6?<7;<756?7d;278984>bc9>700=9kh01>;8:0`a?85183;in63<6082fg=:;?81=ol4=240>4de349=87?mb:?115<6k:16>5l51c5891d>28i870;;3im0:h?5211595a7<58:36;<33=?7c927:d09>55d=9m801<>j:0f1?877n3;o>63>1182`7=:9<31=i?4=07b>4b634;>n7?k2:?21f<6l;16=8h51c`8940728hi70?91;3af>;6>;0:nn5217195ge<58<=6d09>520=9m;01<98:0f1?870i3;im63>7c82fd=:9>i1=oo4=05g>4dd34;128n970?62;3`7>;61j0:no5218f95gd<583n6bc9~w=3=839p158523;8Z=3<5hn1=o84}rcg>5<4;r7jh7<=8:?626<6k:16?8;51ca8963128hh70=:7;3ag>;4>90:nn5237395ge<5:<96bb9>607=9j901?6;:0`4?82a:3;h?63:3g82g6=:4b534;;47?k2:?24<<6l;16==o51e08946e28n870??e;3g7>;68o0:h>5210295a5<58?26d29>50e=9m901<;i:0``?87183;io63>6082ff=:9?81=oj4=040>4dc34;=:7?k3:?222<6jk16=:;51e08941128n970?87;3g7>;6?h0:no5216`95gd<58=h6be9>5=2=9m801<6::0f1?87?>3;o?63>9282g6=:90i1=om4=0;g>4dd34;2i7?mc:?2=c<6jj16=l>51ca8yv>42908w06;:30:?[>434kh6132=9j901>;::0`g?852>3;ih63<5682fa=:;?:1=oj4=242>4dc349=>7?md:?026<6jm16?;:51cf8971d28h<70:i3;3`7>;3im0:h952f`82g6=:99=1=i=4=02;>4b434;;57?k3:?24d<6l:16==l51e68946b28n?70??f;3g0>;6990:h95212795f5<58?26d59>50e=9m>01<;i:0`g?87183;ih63>6082fa=:9?81=ok4=040>4db34;=:7?k4:?222<6jj16=:;51e18941128n870?87;3g0>;6?h0:nn5216`95ge<58=h6bd9>5=2=9m901<6::0f0?87?>3;o863>9582g6=:90i1=oj4=0;g>4dc34;2i7?md:?2=c<6jm16=l>51cf8yv2em3:1?v3;bg816<=Y<5=8<644>b69>07g=9k=01eb82f2=:9ln1=o94=254>4d0349<;7?m8:?03a<6j>16?:j51c:8yvc7290?w0k>:30:?[c734;i>7?m6:?2ec<6j?1v55257;9`c=:;on1hk523g19`c=:;l<1hk523eg9`c=:;0>1hk5238g9`c=:;h31hk523c09`c=:;kn1hk521d19`c=:9ll1hk5239`9`c=:;j>1hk523e29`c=:<8?1hk52668gb>;4?o0oj63;088gb>;3no0oj6s|1`d94?g|58km6?<7;<1g2?ba349397ji;<63b?ba349<57ji;<637?ba34>j97ji;<6f3?ba34?;i7ji;<76=?ba3tyn>7>54z?f7?4512Tn>63>b082f3=:9ho1=o84}r3a5?6=;8q6=o?523:8964>2ml0186m:ed8966>2ml0198j:ed89ag=lo16><=5dg9>776=lo16=k75dg9>64b=lo16>lm5dg9>6g0=lo16?<<5dg9>76d=lo16?9=5dg9>71e=lo16?8o5dg9>730=lo16?:=5dg9>062=lo1689?5dg9>01e=lo1688:5dg9>1d0=lo168i75dg9>1f7=lo169io5dg9>1fe=lo169h65dg9>0=1=lo168:o5dg9>6f`=lo16>k<5dg9>6c?=lo168?j5dg9>6a0=lo16>ij5dg9>6`2=lo16>hl5dg9>037=lo1695>5dg9>756=lo16>l>5dg9>36544kf:?6f1u248c967?{t<0h1<7;<{<6:f?450278>94>b69>1=3=9k=01869:0af?83?03;o=63;6982f2=:l;0:n:52d582g6=::9l1=i?4=333>4b6349:m7?k3:?05g<6l:16>l651c5897g>28h<70;5j:0:n:5231g95g1<5::m6b69>71>=9k=01>;=:0`4?852<3;i;63;4682f2=:<=31=n=4=4;e>4d034?j<7?m7:?6e7<6kl168i=51c5890dc28h370;l7;3`a>;2k00:h<5246495g1<5=?m6d09>651c58976328i870==e;3a3>;4:l0:n55240`95g1<5=;h6cd9>1<0=9k2018oj:0a0?83fn3;h?63:b182g6=:=k81=i?4=5`6>4d034>i97?m8:?651<6j>1699751c58901728h<70:je;3a<>;3mo0:oh5253a95f5<5<8n6169?<51c5897<6j>16j<4>b69>bc<6kl16==951cc8946>28hj70??b;3af>;68o0:no5210:95a7<588;694>c29>57>=9jo01<<6:0f2?875l3;o=63>3882g`=:9=>1=o64=06;>4e434;?m7?l3:?20f<6k:16=8:51c58yv30=3:1?v3:77816<=Y=>?01898:0`5?xu2?>0;6>jt=454>74?348;j7?k2:?155<6l;16>28n970=>a;3g0>;49k0:h95230a95a2<5:;o6bg9>701=9kl01>8?:0`e?85193;ij63<6382fc=:;?91=oh4=247>4da34>8i7?m7:?651<6j11699751c:891cb28i870:jf;3g5>;29k0:n55253095g><5=ko64d034li6;<337?7c:27:<:4>bc9>55>=9kh01<>6:0`a?877i3;in63>0c82ff=:99o1=om4=02e>4dd34;:<7?mc:?273<6k:16=8751e78943f28n>70?:b;3a=>;6=j0:n45214d95g`<58<;6b89>531=9kn01<9::0f6?870>3;o963>7682f<=:9>k1=oj4=05a>4dc34;128h270?65;3`7>;61j0:nk5218f95g`<583n6bg9~w055290?w0;<3;01=>X2;;169>:51c4894d328h=7p}:3583>0}:=:>1>?64=522>4d034>;=7?m8:?742<6j>168=951c:8yv7e<3:1>v3>b5816==:;;;1=o94}r734?6=;r7><<4=289]156<5<:96;3;;0:n55250`95f553z?60f<5:01U99l4=46g>4d13ty>8i4?:4y>11b=:;201??n:0`;?822:3;i;63;5382f==:=;81=n=4}rg7>5<3s4o>6?<6;_g7?8c128h=70?m0;3a2>{tm?0;6<<5:lj6b69>7``=9k=01>h>:0`4?825?3;h?63;2982g6=:<;31=n=4=50b>4e434;oj7?m7:?2a5<6j>16=h?51c5894cf28i870?jb;3`7>;6mj0:o>521df95f5<5=i36523:896ef2ml0q~?na;297~;6ik09>45Q1`c894gd28h=7p}>ab83>6}:9hi1>?64=2a;>4d0349h47?m8:p0ad=839p19jl:30:?[2cj27?hi4>b79~w1bc290>w0:kd;01<>;40:0:n:5239195g><5:2264d034>:?7?m8:p0:n;5rsc594?4|5k=1>?64=36f>4d?3ty2:7>53z?:3?4512T2:63l5;3a2>{tk<0;6?u2c4816==::5<4s4326?<6;_;;?8e128h=7p}l6;296~;d>389463=6082f==z{0k1<7=t=8`967?6}:1m09>45Q9b9>g=<6j?1vn650;0x9f>=:;201?8i:0`;?xu>m3:1?v36f;01=>X>m27h57?m6:pg<<72;q6o44=299>620=9k20q~o?:1808g62;827So?;4d13tyhm7>52z?`e?450279;i4>b99~w03f2908w0;:b;01=>X2=h1698o523:8yv32l3:1>v3:5`82f3=:=?64}r6`f?6=;r7?on4=289]0fd<5=ii6?<7;|q7ga<72;q68nl51c4891ec2;837p};9b83>6}:<0n1>?74^5;`?82>k38946s|48g94?4|5=3h6n<7>52z?7``<6j?168h>523:8yv2a>3:1?v3;f6816<=Y<:0`5?837=38946s|55g94?5|5<>m6?<6;_77a>;255rs473>5<5s4??i7?m6:?615<5:11v?=?:180844938956P=319>666=:;20q~<;9;296~;5;90:n;5225;967>53z?17=<5:01U>>94=314>74?3ty9984?:3y>661=9k<01?;::30;?xu5;00;6>u222c967?{t:4d1348>o7<=8:p66d=839p1?=l:30:?[44j279?o4=299~w7042909w0<;5>:09>55rs31g>5<4s488i7<=9:\17a=:::n1>?64}r05e?6=:r79?i4>b79>63g=:;20q~<45Q22d8975a2;837p}=7083>7}:::l1=o84=352>74?3ty98<4?:2y>614=:;30R?:>;<075?4502wx>:650;0x972628h=70<88;01<>{t:=91<7=t=367>74>3W8??63=42816==z{;=m6=4={<077?7e>279;k4=299~w7222908w0<;6;01=>X5<<16>9;523:8yv4?>3:1>v3=4482f3=::1<1>?64}r073?6=;r79854=289]611<5;><6?<7;|q19951c4897>c2;837p};ag83>6}:?74^5ce?82fn38946s|4c394?4|5=km6:181837n3;i:63:10816==z{<>?6=4<{<771?4512T>8952556967>52z?601<6j?16998523:8yv31j3:1?v3:6b816<=Y=?h0188m:30;?xu2>m0;6?u257`95g0<5<?34>347<=8:p0=g=838p1967:0`5?82?i38946s|4d:94?5|5=o26?<6;_6f<>;3m109>55rs5gb>5<5s4>n47?m6:?7ad<5:11v8<;:180835=38956P:259>172=:;20q~;=6;296~;2:=0:n;52534967>53z?173<5:01U>>;4=316>74?3tyi<7>52z?170<6j?16n=4=299~w7552908w0<<3;01=>X5;;16>><523:8yvdf2909w0<<2;3a2>;ei38946s|49d94?5|5=3;6?<6;_6;b>;30o09>55rs5;2>5<5s4>3j7?m6:?7=4<5:11v8?9:180836?38956P:179>140=:;20q~;>8;296~;29?0:n;5250:967>53z?65`<5:01U974?3ty>=k4?:3y>14b=9k<018?i:30;?xu2;<0;6>u2524967?70;<5;01<>{t=:=1<74d134?8;7<=8:p0d0=839p19o8:30:?[2f>27?m;4=299~w1g?2909w0:n6;3a2>;3i109>55rs44;>5<3s4?>h7?m6:?61f<6j?169;o51c:8900>2;837p}:5b83>1}:=?64=e495g1<5m=1=o94=2a1>4d03ty>::4?:3y>137=9k<01888:30;?xu2>80;6>u2573967><5oo1=o94=gg95g>i7>52z?62d<6j>1698k523:8yv31i3:1>v3:6`816==:=?31=o84}r3a1?6=i8q6=o;523:8900>2;k:70;99;0:0>;4:009m<5233;96<2<5<2i6?o>;<7;f?4><278<44=a09>75?=:0>0198j:3c2?821m382863ka;0b5>;ci382863=1281e4=::891>4:4=202>4d?3499<7d;0:0>;5ij09m<522`a96<2<5;h=6?o>;<0a2?4><278=?4=a09>744=:0>01>=m:3c2?854j382863<4281e4=:;=91>4:4=26`>7g6349?o7<64:?01d<5i816?8o5286896012;k:70=96;0:0>;4?:09m<5236196<2<5=9?6?o>;<600?4><27?8<4=a09>017=:0>019:l:3c2?823k382863;5581e4=:<<>1>4:4=4c5>7g634?j:7<64:?7`<<5i8168i75286890e62;k:70;l1;0:0>;2lh09m<525ec96<2<5:lo6?o>;<1e`?4><27>on4=a09>1fe=:0>018k7:3c2?83b03828634:4=2g5>7g6349n:7<64:?0``<5i816?ik5286891>02;k:70:77;0:0>;41=09m<5238696<2<5:3n6?o>;<1:a?4><278m44=a09>7d?=:0>0199n:3c2?820i3828634:4=2`g>7g6349ih7<64:?1gc<5i816>nh5286897`52;k:70;5n009m<522g;96<2<5=8o6?o>;<61`?4><279h;4=a09>6a0=:0>01?jk:3c2?84cl382863=e581e4=::l>1>4:4=3ga>7g6348nn7<64:?724<5i8168;?5286890>72;k:70;70;0:0>;6m:09m<521d196<2<58om6?o>;<3fb?4><278<=4=a09>756=:0>01>mn:3c2?85di3828634:4=3c3>7g6348j<7<64:?0<0<5i816?5;5286896>e2;k:70=7b;0:0>;4k=09m<523b696<2<5:n;6?o>;<1g4?4><27?05`=:0>019?::3c2?826=38286397;0b5>;1?38286383;0b5>;0;382863=0781e4=::9<1>4:4=213>7g63498<7<64:?03<<5i816?:752868961a2;k:70=8f;0:0>;38:09m<5241196<2<5=:26?o>;<63=?4><27?><4=a09>077=:0>01876:3c2?83>1382863:b581e4=:=k>1>4:4=5c6>7g634>j97<64:?7gd<5i8168no5286891c02;k:70:j7;0:0>;3no09m<524gd96<2<5<:n6?o>;<73a?4><27>944=a09>10?=:0>01977:3c2?82>038286s|2cc94?46kr79nl4=299>13?=ll1695l5dd9>1=>=9m<01867:0`:?83?03;im63:8982fg=:=121=om4=4:;>4dc34?347?me:?6<<<6l?1695751c;890>>28hj70;79;3af>;2000:nn5259;95gb<5<226=i7jj;ac<5m=1=i84=e595g?<5m=1=oo4=e595gd<5m=1=om4=e595gb<5m=1=ok4=330>ac<5:8;6ik4=23b>4d>349:m7?ma:?05d<6jk16?a;3aa>;49k0:n45230`95gg<5:;i6be9>74d=9ko01>?l:0`:?856k3;im63<1b82fg=:;8i1=om4=23`>4dc349:o7?me:?05a<6j016?d;3ag>;49m0:ni5230f95gc<5;kh6ik4=3c:>4b1348j57?m9:?1e<<6jh16>l751c`897g>28hh70;5i00:nh522`c95a0<5;kj6bc9>6dg=9ki01?on:0`g?84fi3;ii63=b78ga>;5j:0:nl522c195gd<5;h864>bd9>6g2=9kk01?l;:0`a?84e<3;io63=b582fa=::k>1=ok4=231>ac<5::m6bc9>75`=9ki01>>i:0`g?857n3;ii63<1182f<=:;8:1=oo4=233>4de349:<7?mc:?055<6jm16?<>51cg8965e2mo01>:<:eg8962d2mo01>;n:eg8963228i970=:5;3`0>;4=<0:o85234795f0<5:?>6c89>703=9jk01>;::0aa?852=3;ho63<5482ga=:;4b7349>:7?l2:?013<6k=16?8851b78963128i=70=:6;3`3>;4=?0:o55234495f?<5:?=6cb9>700=9jn01>;9:0ae?852>3;o<63<5682g7=:;<=1=n:4=274>4e2349>;7?l6:?012<6k>16?8951b:8963028i270=:7;3`e>;4=>0:oo5234595fe<5:?<6d19>730=ll16?;>51b08960728i?70=90;3`1>;4>90:o;5237295f1<5:<;6c`9>736=9jh01>8?:0a`?85183;hh63<6182gc=:;?:1=i>4=242>4e5349==7?l4:?024<6k<16?;?51b48960628i<70=91;3`<>;4>80:o45237395fg<5:<:6ce9>737=9jl01>8>:0f3?851:3;h>63<6382g1=:;?81=n;4=241>4e1349=>7?l7:?027<6k116?;<51b;8960528ij70=92;3`f>;4>;0:on5237095fb<5:<964>c39>735=9j>01>8<:0a6?851;3;h:63<6282g2=:;?91=n64=240>4e>349=?7?la:?026<6kk16?;=51ba8960428io70=93;3`b>;4>:0:h=5237695f4<5:c79>732=9j=01>8;:0a;?851<3;h563<6582gd=:;?>1=nl4=247>4ed349=87?ld:?021<6ko16?;:51e2896142mo019:>:eg8912d2mo018o9:eg890g728h270;n0;3ae>;2i90:no525`295ge<5m<4>b89>1d7=9kk018o>:0`a?83f93;io63:a082fa=:=h;1=ok4=4c1>4dd34?j>7?md:?6e7<6jl168i75dd9>1f7=ll169io5dd9>7cb=ll169nm5dd9>1f?=9m<018m6:0`:?83d13;im63:c882fg=:=j31=om4=4a:>4dc34?h57?me:?6gd<6l?169no51c;890ef28hj70;la;3af>;2kh0:nn525bc95gb<57jj;<1a`?bb348hj7jj;<0e6?bb348m57jj;<61`?bb34>947?k6:?76=<6j0168?651cc8914?28hi70:=8;3ag>;3:10:ni5243:95gc<5=82644>b`9>07?=9kh019<6:0``?82513;ih63;2882f`=:<;k1=i84=50b>4d>34>9m7?ma:?76d<6jk168?o51ca8914f28ho70:=a;3aa>;5l?0oi63=de8ga>;5m=0oi63=ec8ga>;3>80oi63:818ga>;6m:0oi63>eg8ga>;4890oi63;4l?0oi63=a18ga>;40<0oi63<8c8ga>;4k=0oi63;38o0oi63;148ga>;1?3nn709<:eg897612mo01>=?:eg8961>2mo01>9i:eg891642mo019>6:eg891462mo01876:eg890d32mo019o::eg891ef2mo019k8:eg891`a2mo018>j:eg8903>2mo01977:eg891gc28hh70:nd;3a`>;3im0:nh5211595gc<58:36bd9>57e=9ki01<2e82fa=:9;n1=ok4=00f>4dc34;9i7?me:?21<<6jj16=8751cf8943>28hn70?:a;3ag>;6=h0:ni5214c95gc<58?i6c49>50`=9j<01<;i:0a4?872n3;h463>5g82g<=:94ee34;>j7?lc:?21c<6km16=8h51bd8943a28n;70?90;3`1>;6>90:o;5217295f1<58<;6c`9>536=9jh01<8?:0a`?87183;hh63>6182gc=:9?:1=i>4=042>4e234;==7?l6:?224<6k>16=;?51b:8940628i270?91;3`e>;6>80:oo5217395fe<58<:6d19>534=9j<01<8=:0a4?871:3;h463>6382g<=:9?81=no4=041>4ee34;=>7?lc:?227<6km16=;<51bd8940528n;70?93;3`2>;6>:0:o:5217195f><58<864>cc9>535=9ji01<8<:0ag?871;3;hj63>6282`5=:9?<1=oj4=045>4db34;=;7?l2:?222<6k=16=;951b78940028i=70?97;3`3>;6>>0:o55217595f?<58<<6cb9>531=9jn01<88:0ae?871?3;o<63>7482fg=:9>?1=om4=056>4dc34;<97?me:?233<6jk16=:851ca8941128ho70?86;3aa>;6?>0:ni5216595gc<58=j6c49>52g=9j<01<9n:0a4?870i3;h463>7`82g<=:9>k1=no4=05b>4ee34;;6?k0:o95216`95f3<58=i6c99>52d=9j301<9m:0ab?870j3;hn63>7c82gf=:9>h1=nj4=05a>4ea34;70?8c;3`2>;6?j0:o:5216a95f><58=h6cc9>52e=9ji01<9l:0ag?870k3;hj63>7b82`5=:9>n1=n;4=05g>4e134;;6?m0:on5216f95fb<58=o6c49>52c=9j<01<9j:0a4?870m3;h463>7d82g<=:9>o1=no4=05f>4ee34;;60=0:no5219695ge<582?6b`9>5=3=9kh01<6::0``?87?=3;ih63>8482f`=:91<1=om4=0:5>4dc34;3:7?me:?2=f<6k<16=4m51b4894?d28i<70?6c;3`<>;61j0:o45218a95fg<583h6ce9>5l3;h963>9e82g3=:90n1=n94=0;g>4e?34;2h7?l9:?2=a<6kh16=4j51b`894?c28ih70?6d;3``>;61m0:ok5218f95a6<583n627:5h4>c69>5m3;hm63>9d82gg=:90o1=nm4=0;f>4ec34;2i7?lf:?2=`<6l916=4h51b7894?a28i=70?6f;3`3>;61o0:o55218d95f?<583m6cb9>5<`=9jn01<7i:0ae?87>n3;o<63>a182g0=:9h:1=n84=0c3>4e034;j<7?l8:?2e5<6k016=l>51bc894g728ii70?n0;3`g>;6i90:oi521`295f`<58k;67}:=74?3tyo97>53z?625<6j>169;>51c:89a3=:;20q~:8b;297c}:=?81=nk4=440>4eb34?=87?le:?620<6l8169;851bg8910>28h370:9b;3a<>;c;3;i;63k4;3a3>;58m0:n:5221g95g1<5;:m6b99>74?=9k201>?n:0af?856j3;hi63<1b82g`=:;8n1=nk4=0d1>4d0348::7?m7:?07<<6j>1689651c58912>28h<70:;a;3a3>;3?k09>55249295g1<5=2:64>c29>025=9k=0199<:0`;?822m3;i;639b;3a3>;5890:n:5221095g1<5;:86b69>16c=9jo018=i:0af?83383;o=63:4082g`=:n90:n:52f682g`=:n10:o>52f882g`=:nh0:oh52fc82g6=:no0:n:5211295fc<58::64>c29>551=9m>01<>7:0f7?87713;o863>0`82`1=:99h1=i;4=02f>4b234;;j7?k5:?255<6l<16=5o51c58yv21n3:1>iu257095a7<5<<86;<750?7c927>:84>d39>130=9m;0198l:0`;?847l3;i463=0d82f==::9l1=n=4=333>4e4348:=7?l3:?05<<6k:16?c;3g5>;49m0:h<521g095g><5;;=6b99>0=4=9k20196<:0af?821n389463;7582f2=:<<5;:;6b99>b5<6j116jk4>b99>556=9m;01<>>:0af?877:3;o=63>0282g`=:99=1=i;4=02;>4b234;;57?k5:?24d<6l<16==l51e48946b28n=70??f;3g2>;6990:h;5219;95g><582i6;2><0:h>5257495a4<5m91=o64=e695g><5;:o6cd9>646=9jo01??>:0af?85613;hi63<1`82`7=:;8h1=i<4=23`>4b5349:h7?k2:?2b3<6j>16=k951c58977f28h<70<>b;3a3>;4;00:n55242;95g1<5=926b99>01g=9k20196=:0a0?82?;3;o=63;5882f2=:<<31=o64=321>4d?348;?7?le:?141<6j1168<751b18922=:;201979:0`4?834m3;o=63:3g82`4=:==:1=i<4=462>4b634>jh7?k5:?e3?7c927m47?le:?e=?7c927mm7?k1:?ef?7dm27mj7?l3:?245<6l;16==?51e38946528n970??3;3g5>;68>0:h;5211:95a0<58:2627:b89>55c=9k301<>i:0`:?87683;i563>5c82`0=:94b234;<;7?k5:?2<3<6l<16=5o51c:894>e28h37p}:6383>7}:=?81>?64=444>4d03ty>:>4?:3y>135=:;201888:0`;?xu2>=0;6?u2576967><5<<<630|5<<=64;4>c29>1=1=9j901867:0af?83?13;hi63<4882g6=:;=k1=nk4=276>4db349>:7?me:?012<6jl16?;>51cg8960628hn70=92;3aa>;4>:0:nh5237695gc<5o54>c29>1f?=9jo018mn:0af?82?=3;hi63=9`82g6=::0h1=nk4=3;`>4eb344d?34>:i7?l3:?6e`<6j1169lh51c:890d728h370;m1;3a<>;2j;0:oh5224095fc<5=hi6>n4>b99>17b=9k2018:>:0f1?82fl3;o:638:0`4?81=9k201k:51b189c>=9m;01<>>:0f1?877?3;i563>0982f<=:9931=o74=02b>4d>34;;n7?ma:?24`<6jh16==h51cc8947728hj70?>4;3a<>;69<0:n55210:95fc<58;26b99>576=9j901<<>:0a0?875<3;i463>2982g6=:9;31=nk4=00`>4e434;9h7?le:?26`<6kl16=>=51b18945>28i870?;6;k0:n55212a95g><589o6b99>51e=9k201<;>:0`;?87203;hi63>5882`1=:94b134;>o7?k6:?21c<6jl16=;>51cg8940628hn70?96;3g2>;6?:0:o>5216695fc<58=>6d79>5=2=9m>01<6::0f7?87?>3;o:63>8e82g6=:9031=nk4=0;`>4db34;2h7?me:?2=`<6jl16=4h51cg894g728hn70?n7;3`7>{t=?<1<774?34?=;7?k1:p77>=838p1><<:0`5?855138946s|33794?4|5:8262mo01><;:30;?xu4:?0;6?u233795g1<5:8=6?<7;|q062<72;q6??;51c:896402;837p}<1583>0}:;;=1=o94=204>4d?349:87<=8:?ab?7c:27h<7?k1:p77d=83>=w0==4;3a<>;20<0:n55259595fc<5<226;<657?m8:?154<6l816?<751e38967d28n870=>d;3g7>;5i10:n5522`c95g1<5;h96b99>746=9k=01>=::0`;?85413;h?63<4182f==:;=21=o64=271>4d?349>87?m8:?702<6j11689o51b1890?a28h370;n1;3a3>;3l:0:n5525cg95g><5b69>00`=9k20189j:0`;?84>i3;hi63=9b82`4=::0n1=o64=6295g><5;:?6b99>04e=9k2019?k:0`;?83>?3;i463:b082g6=:=;h1=n=4=40g>4e434?9i7?m8:?66c<6j116o=4>d39>6?7e027m=7?m8:?eb?7c927:<54>b`9>55g=9kk01<>j:0`a?87683;in63>1882`4=:9;;1=nk4=000>4e434;9o7?le:?26`<6l816=>o51bg8945e28i870?;6;m0:h<5215795g><58>26c29>502=9k20q~;7a;297~;2080:n;5259a95g><5<2i6?<7;|q6<4<728np186>:30;?83?=3;h?63:8782`4=:=1=1=i?4=4:;>4b534?357?k2:?6e5<6j1169l?51c:890g528n:70;l7;3g5>;2k10:h<525b;95a4<5i94>b69>1`3=9k=018k9:0`4?83e:3;o>63>1982`7=:9831=i<4=003>4b634;9=7?k1:?26f<6l816=?j51e08944b28n970?<9;3g5>;6;h0:h<5212f95a4<58?9652;837p}:8b83>7}:=1i1>?64=4:a>4d13ty>4>4?:3y>1=4=9k=0186<:30;?xu20=0;6?u259095g><5<2?6?<7;|q6<0<72;q695;523:890>328h<7p}:cd83>60|5<2=6454>d29>1=?=9m9018o?:0a0?83f93;h?63:a382`7=:=kh1=o94=4``>4d034?ih7?l3:?6f`<6k:169oh51c:890eb2;8370;k4;3a3>;2k?0:n:525b595a4<5ol4>d29>1`4=9k2018k<:0`;?83b<3;i463:e482f==:=l<1=o64=555>4d?34><;7?m8:?1==<6j>16>4j51b1893b=9j901;k51b1893`=9j901:>51b1890?428h<70;65;3a3>;2:k0:oh5253a95fc<5<8o6d29>54?=9m9011b82g6=:9;:1=i<4=002>4b534;9>7?le:?266<6kl16=?:51bg8944d28n970?=d;3g7>;6:l0:h>5215395g1<58>963;o?63:8682`6=:=121=i:4=4::>4b334?j<7?le:?6e4<6kl169l<51e1890dd28h370;md;3`a>;2jl0:oh525cd95f5<56h:4>b69>1a>=9k=018m=:30;?83d?3;o?63:c982`6=:=j31=i:4=4ab>4b334?n>7?l3:?6a6<6k:169h:51b1890c228i870;j6;3`7>;3??0:o>5246595f5<5;336d39>64b634?2?7?m8:?6=1<6j>1694;51c:890?128i870;67;3`7>;2il0:oh525`d95fc<5n?4>d29>17d=9m;0184e434;:87?l3:?250<6k:16=<651e68947>28n?70?>a;3`a>;69k0:oh5210a95fc<588;6?4>d09>575=9m;01<<;:0f2?875k3;o?63>2e82`1=:9;o1=i:4=01:>4b534;8m7?k2:?27g<6kl16=>m51bg8945c28n870?;2;3a<>;6<:0:n:5215695f5<58>>6cd9>51g=9jo01<:m:0af?873k3;hi63>5182f==:9<;1=nk4=071>4d?34;>87?l3:p1ae=838mw0;76;3g0>;20>0:h95259:95a3<5<226m<4>d09>1d4=9m>018lm:0`;?83ek3;h?63:d582f==:=m?1=o64=4f5>4d?34?o;7?m8:?6`=<6j1169n851c:890e028n?70;l8;3g0>;2k00:h8525bc95a3<5i>4>cd9>1`2=9jo018k::0af?83b>3;hi63;7782g`=:<>=1=nk4=3;b>4b53482n7?k3:?1=f<6l:16:i4>d09>2`<6l816:k4>d09>35<6l8168d;3`a>;39l0:h?5258695g><5<3>6n4>d59>57b=9m?01<4282f==:9<91=o64}r7;2?6=:r7>4;4=299>1=2=9k20q~;77;296~;20>09>55259695f552z?6<=<5:11695:51bg8yv3?13:1>v3:88816==:=1>1=i?4}r13b79>75?=:;20q~=?2;2976}:;981>?64=225>4d034?j<7?k2:?6e4<6l;169l<51e7890dc28n:70;me;3g5>;2jo0:oh525e795f5<5;<642?7c927?;:4>d09>6?3;hi63:ad82`4=:=hl1=i?4=4`3>4b634?i=7?k1:?66g<6l;169?m51e08904c28n970;=e;3g5>;2:o0:h<52b482f2=:9891=nk4=037>4eb34;:97?le:?25d<6l816=;6::0:h?5213695a4<588h627:>h4>d79>56d=9m;01<=l:0f2?873<3;hi63>4482g`=:9=21=i?4=06:>4b634;?m7?k1:?20g<6l816=9m51e38943728i870?:1;3g5>;6=;0:o>5214195f5<58??67}:;991=o94=227>74?3ty8<84?:3y>755=9k201>>::30;?xu51<0;69u231495g><5;3>6?<7;<313?7e?27:>:4>b99~w6612909w0=?6;01<>;48<0:n:5rs3ab>5<68r78<:4>b69>6d?=9k201?on:0`;?84e;3;i463=b582f==:;9l1=o64=233>4d?348hm7<=8:?1b5<6j>16>k951c5897b328h<70;5lk0:n:522d095g1<5;o26hj50;07857?3;i463=a882g6=::hk1=n=4=3`0>4e4348i87?l3:?04c<6k:16?<>51b1890g728n870;n1;3g7>;2i;0:h;525cd95a7<5d39>021=9m801?kk:30;?84a?3;i463=fd82f==::0n1=i<4=53a>4b634?9i7?k2:?66c<6l;16n84>b99>545=9m;011`82`7=:98h1=i<4=03`>4b534;9o7?k6:?26a<6j016=?k51c;8943728in70?:2;3`a>;6=:0:oh5214695a7522y>751=9j901?o6:0af?84fi3;hi63=b282g`=::k>1=nk4=22e>4eb349:<7?le:?6e5<6l=169l?51e6890g528h270;mf;3g6>;2l>0:o>525d795a7<5===66cc=9j901?7k:0f0?826k3;o=63:2d82`6=:=;l1=i=4=c795f5<58;86d39>54g=9m9012b82f<=:9;n1=oo4=00f>4df34;><7?k1:?217<6l816=8=51e38943328n97p}=d083>`}:;9=1=nk4=3c:>4b6348jm7?k1:?1f6<6l816>o:51e38966a28n:70=>0;3g5>;5n90:n5522g595f5<5;n:6?<7;<0gf?7e0279i?4>b99>6`?=9k201?hj:0af?xu5l10;6iu231595a7<5;k264>d39>6g2=9m801>>i:0f1?85683;o>63=f182g6=::o=1=nk4=3f;>74?348n>7?l3:?1a<<6k:16>kk51e38yv4cn3:1ov3<0682`7=::h31=i=4=3cb>4b4348i?7?k3:?1f1<6l:16?=h51e18967728n870;5n>0:h<522ed967><5;o26h850;`x966028n870;5ih0:h9522c195a2<5;h?6d59>6c6=9m;01?h8:0f1?84b>389463=fd82`6=z{;li6=4=0z?042<6l=16>o=51e7897d328n>70=?f;3g1>;4990:h8525`295a3<5nk4>d29>1a>=9j9018k9:0f2?820>3;o863;7682`1=::oh1>?64=3;g>4b334>:h7?k1:?66`<6l=169?h51e689g3=9jo011482`6=:98k1=i:4=03a>4b334;:o7?k4:?26f<6jh16=?j51c`8944b28hi70?:0;3g6>;6=;0:h?5214195a4<58??67}:74?3ty?:?4?:3fx91052;8370:99;3`7>;3>h0:o>5247`95f5<5=4e434n?6d29>647=9m901>?6:0f0?856i3;o963<1c82`0=:;8i1=i;4=23g>4b2349857?le:?77d<6j>168>o51c:8912?28i870:;9;3`a>;3c29>551=9ki01<>7:0``?87713;io63>0`82ff=:99h1=oj4=02f>4dc34;;j7?md:?255<6jm16=5751b1894>f28i870?7b;3`7>;60j0:oh5219f95fc<583:64>cd9>5<2=9jo01<7::0af?87>03;o=63>9882`4=z{=<86=4={<65a?7e>27?:>4=299~w1032909w0:93;3a3>;3>=09>55rs546>5<5s4>=?7?m8:?720<5:11v<67:180821>3;i;63;6782f==:9121>?64}r652?6=:r7?:;4=299>033=9k=0q~?7f;297~;3>>0:n:5247595g><582m6?<7;|q722<72;q68;9523:8910228h37p};6983>7}:?64=546>4e43ty?:44?:3y>03?=:;20198::0af?xu3>h0;6?u247c967><5=<>6;|q72g<72;q68;l523:8910228n97p};6b83>7}:?64=546>4b43tyo57>53z?``?7e>27on7?m8:?ge?4502wxoi4?:dy>ga<5:116h?4>c29>`6<6kl16h94>d09>76?=9m;01>:>:0`4?851l3;i;63;d582f2=:bg9>52c=9kl0q~j7:1818b228h=70j7:30;?xudm3:1>v3kb;3a3>;dm38946s|dc83>7}:lk09>552d`82f3=z{jl1<7?64}rf3>5<5s4in674?3ty8?54?:2y>`4<6j>16h<4>b99>76>=:;20q~j>:1818b62;8370j?:0`4?xuc:3:1>v3k2;01<>;c83;i46s|43094?d|5m91=i?4=e695a4<5:926d09>01g=9m;0196?:0a0?82?93;h?63;7582f==:<;81>?64=322>4d?3ty:i94?:02x9a5=9m801i:51e18965>28n870:;8;3g5>;3<00:h?5245c95a4<5=8<644>b99>07g=9k201e`82f==:9lh1=o64=0g`>4d?34;nh7?m8:p`6<72;q6h>4=299>`5<6k:1vi:50;0x9a2=:;201i>51bg8yv5?k3:1==u2d782f==:l>0:n55239a967><5:3;6b69>77m:0`4?85f;3;i;634d0349i57?m7:?0fd<6j>16?ol51c5896e528h37p}<9783>c}:l?0:o>52d682g6=:;0;1=o64=2;1>4d?3492:7<=8:?0=d<6j116?4l51c:896g328h<70=n6;3a<>;4io0:n5523c295g><5:h26b99>7f4=9j90q~=6f;29b~;c>3;hi63k7;3`a>;4180:o>5238095f5<5:3m6?<7;<1b7?7e0278m94>b99>7d0=9j901>o8:0`4?85fn3;h?634e4349in7?l3:?0g7<6kl1v>on:18a8b128n:70j8:0f2?85>93;hi63<9382g`=:;h=1=o64=2cb>74?349ji7?m7:?0f<<6kl16?oo51bg896de28in70=l2;3g5>{t;k>1<77t=e495a4<5m=1=i<4=2;2>4b63492>7?k1:?0e2<6k:16?o:523:896d?28h<70=mb;3g5>;4k;0:h?5rse494?4|5m<1>?64=e:95g154z?g3?7c;27?>84>b69>073=9k201?64}r624?6=:r7o;7?k5:?755<5:11vi950;0x9a1=:;201i651c:8yv46:3:1?v3=0682f3=::8>1=o64=330>74?3ty9<:4?:05x97602;8370;58l0:oh5221d95a2<5;;;6d59>74g=9m<01>?m:0f5?856k3;o:63<1e82`3=:4d?34?;o7?m7:?612<6j>16==951cf8946?28ho70??9;3a`>;68h0:ni5211`95gc<58:n6bd9~w76?2909w0<>4;3a3>;58109>55rs337>5<5s48:87<=8:?156<6j?1v?>6:18184703;i;63=08816==z{;:j6=4={<03;58k0:n55230:967>52z?14g<5:116>=o51c58yv77=3:1?v3=0b82f2=::9i1=o64=026>74?3ty965e=:;201?>n:0`;?xu3;<0;6;u221f95a7<5;:n6;<601?45027??h4>b99>06`=9j901k<51c:8yv47l3:1>v3=0e816==::9k1=n=4}r03a?6=:r7965g=9jo0q~55221c95a752z?155<5:116>=o51e08yv4693:1>v3=10816==::9k1=i=4}r12b?6=;r78=94>b79>774=9k201>7}:;;81>?64=203>4d13ty8><4?:3y>777=:;201>0:n:5230595g><5ko1>?64}r12=?6=:r78=44=299>74c=9k=0q~=>a;296~;49h09>55230g95g>52z?05g<5:116?v3<1b816==:;8o1=nk4}r12`?6=:r78=i4=299>74c=9m;0q~?i8;296~;6n80:n;521g;967>53z?2b4<5:1169=751c58906>28h37p}>f283>7}:9o31=o84=0d0>74?3ty:j?4?:3y>5c?=ll16=k<523:8yv7a<3:1>v3>f282f2=:9o>1>?64}r3e1?6=:r7:j>4>b99>5c3=:;20q~?i6;296~;6n?09>5521g795g19i7>52z?2b2<6j1168?k523:8yv7a?3:1>v3>f6816==:9o?1=o64}r02g?6=:r79=84>b79>64b=:;20q~<>5;297~;59<09>55254695g1<57}::8n1hh52204967>52z?152<6j>16><6523:8yv4613:1>v3=1682f==::831>?64}r02e?6=:r79=l4=299>64?=9k=0q~:;e;296~;59k0:n55245g967>52z?15g<5:116><751c:8yv4fj3:1?v3=a582f3=::hn1=o64=3c`>74?3ty9m94?:0:x97g32;8370;5i00:h8522`c95a3<5:?>6c19>736=9j:01>8>:0a3?851:3;h<63<6282g5=:;?>1=n>4=07e>4e734;=<7?l0:?224<6k916=;951cg8941f28hn70?8b;3aa>;6?j0:nh5218a95f6<583o6c19>5d6=9j:0q~52z?1ea<5:116>lm51c48yv4f>3:1>v3=a482f2=::h<1>?64}r0b3?6=:r79m84>b99>6d1=:;20q~5522`595g152z?1e<<5:116>l951c:8yv4fi3:1>v3=a`816==::h=1=n=4}r0a1?6=;r79mh4>b79>6g1=9k201?l9:30;?xu5il0;6<74?348i>7?l3:?1f6<6l?16>o:51e48965128h<70=80;3a<>;4?80:n5524e595g><5=h<64>c19>53d=9k201<8l:0`;?871l3;i463>7e82g5=:9>o1=n>4=0c7>4d?34;j97?m8:p6d`=838p1?l8:0`4?84fn38946s|2c594?4|5;h<6?<7;<0a2?7e>2wx>o>50;0x97ga28h<70{t:k;1<74d?348i=7<=8:p6g4=838p1?l=:30;?84e93;i;6s|31c94?0|5;h8675c=9j901>>i:0f5?85683;o:6s|2c194?4|5;h86?<7;<0a5?7e02wx>o:50;0x97d32;8370{t;8;1<7=t=22b>4d1349:?7?m8:?057<5:11v>>m:181856;3;i;63<0c816==z{:;86=4={<127?450278=?4>b79~w66d2909w0=?b;3a3>;48j09>55rs22g>5<5s49;n7?m8:?04a<5:11v>>j:181857m389463<0e82f2=z{::m6=4={<13b?450278b99~w6772909w0=>0;01<>;48m0:o>5rs21b>5<5s498=7?m6:?07g<5:11v>=>:18a8549389463<3782f==:;:=1=i?4=24f>4d034>o97?m7:?7f2<6k:16ii4>d39>534=9j;01<8<:0a2?870l3;h=63>7d82g4=z{:926=4={<10278?44=299~w6552909w0=;4;;09>55rs210>5<5s498>7?m7:?076<5:11v>=;:181854:3;i463<35816==z{:9>6=4={<101?450278?94>b69~w6512909w0=<6;01<>;4;=0:n55rs214>5<5s498;7<=8:?071<6k:1v>:=:181854k3;i:63<42816==z{:9h6=4n{<10g?4502788<4>b99>73`=9k=019j9:0`4?82e?3;hi63jd;3g7>;6>;0:o?5217195f4<58=o6j50;0x962428h=70={t;:o1<74d03498i7<=8:p76`=838p1>=k:0`;?854n38946s|35294?4|5:>;6?<7;<10b?7e?2wx?9?50;0x96262;8370={t;=h1<74d1349?o7<=8:p712=83=p1>:;:30;?85313;hi63<4`82`4=:9<21=i?4=050>4eb34;<87?k1:?2e2<6kl1v>:::181853k3;i:63<44816==z{:>=6=4={<171?7e?2788;4=299~w6202909w0=;5;3a<>;4<>09>55rs26;>5<5s49?47<=8:?002<6j>1v8o7:18485313;o=63<4`82`7=:=h21>?64=07;>4b534;v3<48816==:;==1=o64}r17e?6=:r788l4=299>711=9j90q~=:9;296~;4514y>71b=:;2019ok:0`b?87213;o:63>5`82`3=:94df34;=>7?l4:?223<6jh16=;k51c:8940a28in70?85;3g2>;6??0:h;5216595gg<58=o64>cd9>5=2=9m<01<6::0f5?87?>3;im63>a782f==:9h21=nk4}r164>b79>70>=:;20q~=:3;297~;4=:09>55234d95g1<5:?m67}:;=o1=o94=26e>74?3ty89=4?:3y>71c=9k201>;?:30;?xu6090;6>u234395g1<5:?:6{t;<81<774?349><7?m8:p702=838p1>;;:30;?85203;i;6s|34`94?72s49>97?l1:?013<6k816?8951b38963e2;8370=90;3`5>;4>80:o<5237095f7<5:<86;<150?7d927:9k4>c09>536=9j;01<8>:0a2?871?3;ij63>7`82fc=:9>h1=oh4=05`>4da34;2o7?l1:?2=a<6k816=4k51b3894?a28i:70?n0;3`5>{t;74?349>47?m8:p700=838p1>;9:30;?85203;h?6s|34594?4|5:?<6?<7;<16{t;4d1349>o7<=8:p70b=838p1>;l:0`4?852l38946s|34g94?4|5:?h6{t;?:1<774?349>i7?m8:p737=838p1>8>:30;?852m3;h?6s|37094?4|5:<96?<7;<16a?7dm2wx?;=50;0x96042;8370=:e;3g5>{t;?>1<774?349>i7?k2:p724=838p1>88:0`5?850;38946s|37594?7>s49=;7<=8:?02a<6j116?;k51c:8960a28h370=80;3`7>;4?80:o>5256295g><58?36b89>50d=9kh01<;l:0`a?872n3;h>63>6182g7=:9?;1=n<4=0;`>4e534;2h7?l2:?2=`<6k;16=4h51b0894g728i970?n4;3`7>;6i<0:o>521`495f5<58k<6{t;?31<74d0349=57<=8:p73g=838p1>87:0`;?851i38946s|14494?5|5:;4>h0:n:5rs0c1>5<4s49=o7?m7:?02f<6j116=l<523:8yv51k3:1>v3<6b816==:;?k1=o64}r694?7fs49=h7?l3:?02`<6k:16?;h51b18961728in70=81;3`a>;32;8370?:8;3g0>;6=00:nl5214c95gg<58?i6c59>536=9j>01<8>:0a7?871:3;h963>6282g1=:90i1=n:4=0;g>4e334;2i7?l4:?2=c<6k=16=l>51b6894g328in70?n5;3`a>;6i?0:oh521`595a5<58k367}:;?o1>?64=24b>4eb3ty8:k4?:3y>73`=:;201>8n:0f2?xu4?90;6?u2362967><5:7}:<;o1=o84=517>74?3ty??=4?:3y>062=9k<019=?:30;?xu3:o0;6?u24269``=:<;l1>?64}r605?6=:r7??=4>b69>067=:;20q~:<2;296~;3;90:n552420967>>97>57z?76c<6j>168?h51c:8912a28h<70:;f;3a<>;3=<09>55248795g1<5=3>6;51c48912528h370:;1;01<>{t<:<1<74d034>8:7<=8:p014=838p19:=:30;?82393;i:6s|42594?4|5=9=6650;0x915128h370:<8;01<>{t<:31<774?34>847?m7:p06g=838p19=n:30;?82403;i46s|ed83>6}:<:h1=o94=51a>4d?34on6?<7;|q77g<72;q68>l523:8915?28i87p};f183>6}:<:i1=o94=51`>4d?34>m<7<=8:p06e=838p19=l:30;?82403;hi6s|42f94?4|5=9o6?<7;<60k50;0x915b2;8370:<8;3g6>{t<:l1<774?34>847?k3:p01d=839p19:<:0`5?823l3;i463;4b816==z{=>86=4>9z?706<5:11689951b18912?28n970:;9;3g7>;3524`f95gd<58?26be9>50e=9kn01<8<:0a6?871>3;in63>6d82g6=:9?l1=i?4=056>4d>34;<:7?m9:?232<6jk16=:k51b6894>528i870?73;3g5>;60=0:n45219795g?<582=6d29~w1232909w0:;d;3a3>;3<=09>55rs56g>5<5s4>?h7<=8:?70f<6j?1v9:::181823<3;i;63;44816==z{=>=6=4={<670?7e027?8;4=299~w1202909w0:;7;01<>;35<5s4>?47<=8:?703<6j11v9:6:1818231389463;4782g6=z{=>j6=4={<67e?45027?8;4>cd9~w1342909w0:;e;3a2>;3==09>55rs573>5<5s4>>87?m6:?715<5:11v9:i:181822<3nn70:;f;01<>{t<<;1<74d034>>=7<=8:p004=838p19;?:0`;?822:38946s|5`794?5|5<3j6m;4=299~w0?f290hw0;6a;01<>;21o0:o>525`295a0<5=o4>cd9>174=9jo01<<7:0f2?87513;o>63>2b82fg=:9;n1=om4=00f>4dd3ty>m94?:3y>1d5=9k<018o;:30;?xu2i:0;6>u25`1967><589:6{t=h=1<774?34?j:7?m6:p1k38946s|58f94?4|5<3i6;6:k09>55rs4;f>5<5s4?2i7<=8:?6=a<6j>1v87i:18183>n389463:9e82f==z{5i4>c29~w0g62909w0;n1;01<>;21m0:oh5rs4c1>5<5s4?j>7<=8:?6=a<6l81v9j7:18082dl3;i:63;d`82f3=:?64}r6ge?6=9=q68io523:891b328h370:k5;3a<>;3l?0:n5524e595f5<58<=6c29>53e=9j901<8k:0a0?871m3;hi63>6g82`7=:9>91=i<4=057>4b434;<97?ma:?233<6jh16=:951ca8941f28i;70?8b;3`4>;6?j0:o=5rs5af>5<5s4>o57?m6:?7g`<5:11v9mi:18182dm3;i;63;cg816==z{=n;6=4={<6`a?7e027?h=4=299~w40>2908w0:k1;3a3>;3l80:n55217;967>o=7>52z?7`4<5:1168i>51c58yv7093:1?v3;d382f2=:74?3ty?h?4?:3y>0a4=:;2019j?:0`;?xu3l:0;6?u24e1967><5=n;67}:?64=5f3>4b63ty?h;4?:3y>0a0=:;2019j?:0f1?xu3l>0;6?u24e5967><5=n;641|56?<7;<6`2?7e?27?o;4>b99>61b=9k201?:j:0`4?84213;i463=5`82f2=::?:1=o64=342>4d0348=;7?m8:?12=<6j>16>;k51c:8970a28h<70<85;3a<>;5??0:n:5226a95g><5;=o6b99>6=g=9k201?6m:0`;?84>93;i463=9382f==z{27>n;4=299~w0d02909w0;m6;3a3>;2j>09>55rs4`;>5<5s4?i:7?m8:?6f=<5:11v?64}r7a=?6=:r7>n44=299>1g>=9k=0q~;=a;297~;2jh0:n:525cc95g><5<8j6?<7;|q6fd<72;q69oo523:890d?28h37p}:bc83>7}:=kh1>?64=4`;>4e43ty>nn4?:3y>1ge=:;2018l7:0af?xu2?k0;64b534?ii7?k2:?6`3<6kl169i951bg890b?28in70;8b;01<>;21?0:h<5258595a7<5n=4>d39>1g7=9m80184b434;987?k3:?27g<6l;16=>m51e08942328n:70?;5;3g5>;6<10:h?5215;95a4<58>j6d39>507=9m80q~;md;296~;2jm09>5525c:95a752z?6f`<5:1169o651e08yv3en3:1>v3:bg816==:=k21=i=4}r7g=?6=;r7>oh4>b79>1ad=9k2018jn:30;?xu2ko0;6?u25e`95g1<57}:=jl1=o94=4f3>74?3ty>h<4?:3y>1f`=9k2018j>:30;?xu39h0;6>u25e095g1<5{t:031<7=t=4f0>4d034?o?7?m8:?1=<<5:11v8j<:18183c;389463:d082f==z{h<4>c29~w0b22909w0;k5;01<>;2l80:oh5rs4f5>5<5s4?o:7<=8:?6`4<6l81v8j8:18183c?389463:d082`7=z{h<4>d29~w6`d2909w0=i4;3a2>;4nm09>55rs2d7>5<50r78j94=299>7c?=9j901>hn:0af?85aj3;h?634d?349nj7?m8:?0b4<6kl16?h:51b1896be28i870=kc;3`7>;3:>0:h>5243:95a5<5=826c29>5ac=9j901e082g`=:9l31=i?4=0gb>4b634;nn7?k1:?2af<6l816=hj51e38932=9j901;;51b1891g528i870:n3;3`7>;3k10:n5524d695g><5=o>6c29>15d=9j9018>l:0af?832=3;h?63:5782g6=:=<=1=nk4}r1e1?6=:r78ji4>b79>7c3=:;20q~=i6;296~;4n<0:n:523g4967>52z?0b0<6j116?k9523:8yv5b?3:1=hu23g:95g1<5:l26b69>7`1=:;201>kl:0`4?85b;3;i;634eb34>947?le:?76<<6kl168?o51bg894bc28h<70?ke;3a3>;6m00:n5521dc95fc<58oi6cd9>21<6j>16:84>b69>0d4=9k=019o<:0`4?82b<3;i;63;fe82f2=:=9k1=o94=42a>4d034?>97?m7:?613<6j>1v>ji:182<~;4n10:n5523gc95f5<5:li67`5=9k201>jm:0`;?85ck3;i;63;2682`4=:<;21=i?4=50:>4b634>9m7?k1:?2``<6j116=ih51c:894c728h370?j1;3a<>;6m00:o>526482f==:4d?34?;m7?m8:?64f<6j11698;51c:8903028h37p}41|5:l36c29>7`5=9j901>k;:0`;?85c?3894634b534>957?k2:?76d<6l;16=ij51c:894ba28i870?j0;3`7>;6m80:o>521d;95fc<5?>1=o64=5c0>4d?34>n97?m7:?64g<6j1169=m51b18903128h370;:7;3`7>{t;o21<774?349m;7?m7:p7c?=838p1>h6:30;?85a?3;i46s|3gc94?4|5:lj6?<7;<1e3?7d;2wx?i?50;6x96`e28in70?ke;3`a>;4l809>5526482g`=z{:li6=4={<1ef?450278j:4>cd9~w0ee2908w0;l2;3a2>;2km0:n5525ba967>52z?6ga<6j>169n=523:8yv3dl3:1>v3:ce816==:=ji1=o84}r7`0?6=:r7>o>4>b69>1f2=:;20q~;l5;296~;2k:0:n5525b7967>52z?6g3<5:1169n;51c58yv3d?3:1>v3:c6816==:=j?1=o64}r7`o54=299>1f3=9j90q~;l9;296~;2k009>5525b795fc52z?6gd<5:1169n;51e38yv3b?3:1?v3:db82f3=:=l31=o64=4g;>74?3ty>hi4?:3y>1`?=9k=018jk:30;?xu2m00;6?u25d;967><57}:=mn1=o64=4fe>74?3ty=o7>53z?6a5<6j>169h>51c:893e=:;20q~;j0;296~;2m909>5525ed95g1<97>53z?6a4<6j>169h?51c:891122;837p}:e083>7}:=l;1>?64=4fe>4d?3ty>i?4?:3y>1`4=:;2018ji:0a0?xu2m:0;6?u25d1967><57}:=l?1>?64=4fe>4b53ty>i;4?:3y>1`0=:;2018ji:0f0?xu4n;0;6?u23d595g0<5:l86?<7;|q0b4<72;q6?k>51c4896`62;837p}6}:;o:1>?64=0f`>4d034;oo7?m8:p7`>=838p1>h<:0`5?85b038946s|3d;94?4|5:o36{t4d0349nn7?m8:?7g2<5:11v>km:18185bj389463b99~w6da290>w0=jd;3`7>;3:>0:h9521ed95a7<58oj6{t;>>1<7;t=2gf>4e434>947?k4:?2a5<6l816=hl51e0896132;837p}<7`83>0}:;lo1=nk4=50;>4b234;n<7?k2:?2ag<6l:16?:o523:8yv5bm3:1>v3c29>07?=9m>01:0f2?87bk3;o>63<81816==z{:2=6=4:{<1fb?7dm27?>44>d49>5`7=9m80138946s|3dd94?4|5:om6?<7;<1fe?7c92wx?kk50;7x96`628n:70:=a;3g0>;6mm0:h?523gg967><5=i3670?jd;3g7>;38=09>5524b:95fc52z?0`c<6j?16?h8523:8yv5b83:1>v3?64}r1f5?6=:r78i=4>b69>7`7=:;20q~=j2;296~;4m90:n5523d0967>52z?0a6<5:116?h<51c58yv5b<3:1>v3b79>7ac=:;20q~=k8;296~;4ll0:n;523e:967>52z?0`=<6j>16?i7523:8yv5ci3:1>v3?64}r1gf?6=:r78ho4=299>7ag=9k=0q~=kc;296~;4lj09>5523ec95g>3:7>52z?73g<6j?16859523:8yv2?=3:1>v3;8582f3=:<1?1>?64}r6;0?6=;r7?494=299>16d=9k=018=m:0`;?xu3?j0;6?u249595g0<5==h6?<7;|q73a<72;q68:m51c58911c2;837p};7d83>7}:<>i1=o64=55f>74?3tymh7>53z?73c<6j>168:h51c:89cb=:;20q~:8f;296~;3?o09>55246g95g13<7>52z?7<5<5:1168:k51c:8yv2?93:1>v3;80816==:<>o1=n=4}r6;6?6=:r7?4?4=299>02c=9jo0q~:73;296~;30:09>55246g95a753z?07}:;0?1=o94=2:g>74?3ty8584?:3y>7<3=:;201>7;:0`5?xu40l0;6?u239f95g1<5:2n6?<7;|q0a2;837p}g}:;0:1=o64=2;2>4b53492o7?m7:?0e0<6j>16?lk51c:896ga28in70=m8;3a<>;4j00:h<523b7967><5:in6;41j0:n5523`795g><5:kn6c29>7gg=9m;01>mm:30;?xu4190;6?u2382967><5:2m6a28h37p}<9383>7}:;081>?64=2:e>4e43ty85i4?:3y>7<0=9k<01>7j:30;?xu41>0;6?u238g95g0<5:3<6?<7;|q0==<72;q6?4951c5896??2;837p}<9883>7}:;0=1=o64=2;:>74?3ty85l4?:3y>776:0`4?xu41k0;6?u238`967><5:32628i87p}7}:;0l1=o84=2c:>74?3ty8m=4?:3y>7d?=9k<01>o?:30;?xu4i80;6?u23`295g1<5:k:6?<7;|q0e7<72;q6?l>51c:896g52;837p}7}:;h91>?64=2c1>4d03ty8m94?:3y>7d2=:;201>o=:0`;?xu4i<0;6?u23`7967><5:k967}:;h=1>?64=2c1>4b63ty?;44?:3y>03`=9k<0199n:30;?xu3?10;6?u246795g0<5==36?<7;|q735<72;q68:o51c4891172;837p};7083>7}:<>:1=o94=552>74?3ty?;?4?:3y>026=9k20199=:30;?xu3?:0;6?u2461967><5==967}:<><1>?64=55;>4d03ty?;:4?:3y>021=:;201997:0`;?xu4j80;6>u23`c95g0<5:h86{t;k91<774?349i>7?m6:p7de=838p1>om:0`4?85fk38946s|3`f94?4|5:ki6{t;hl1<774?349jh7?m8:p7g6=838p1>l?:30;?85fl3;h?6s|3ca94?5|5:h?6;4j<09>55rs2`f>5<5s49ii7<=8:?0fa<6j?1v>l9:18185e=3;i;63;4j>0:n:5rs2`:>5<5s49i57<=8:?0f2<6j11v>ln:18185ei389463cd9~w7eb2908w0;5l90:n5522bd967>52z?1`5<6j>16>nl523:8yv4c83:1>v3=d1816==::jl1=o84}r0`g?6=:r79oo4>b69>6fe=:;20q~53z?1aa<6j?16>k=51c:897`52;837p}=ed83>7}::o91=o94=3gf>74?3ty9j>4?:3y>6c5=:;201?h=:0`5?xu5mo0;6?u22dg95g1<5;om6?<7;|q1b5<72;q6>hk51c:897`72;837p}=f983>6}::o>1=o84=3db>4d?348m57<=8:p6c3=838p1?hn:0`4?84a=38946s|2gc94?4|5;lj6?<7;<0e=?7e>2wx>k850;0x97`228h<70{t:o=1<74d?348m;7<=8:p07e=838p19<=:0`5?825l38946s|43`94?4|5=8=6;6m10:n55rs500>5<5s4>9h7?m6:?766<5:11v9<;:181825;3;i;63;25816==z{=8>6=4={<617?7e027?>84=299~w1402909w0:=7;01<>;3:k0:n:5rs50;>5<5s4>947<=8:?76g<6j11v9<6:1818251389463;2c82g6=z{=8j6=4={<61e?45027?>o4>cd9~w7b22908w0;5l>0:n5522e4967>7>52z?1`2<6j>16>i<523:8yv4c?3:1>v3=d6816==::m<1=o84}r0g7?6=:r79h?4>b69>6a5=:;20q~53z?1`=<6j?16>ik51c:897bc2;837p}=d883>7}::mo1=o94=3f:>74?3ty9hh4?:3y>6ac=:;201?jk:0`5?xu5lh0;6?u22e;95g1<5;nj6?<7;|q1`g<72;q6>i751c:897be2;837p}=e283>6}::ml1=o84=3g6>4d?348n87<=8:p6`6=838p1?k::0`4?84b838946s|2d794?4|5;o>6?<7;<0f0?7e>2wx>h?50;0x97c728h<70{t:l81<74d?348n>7<=8:p6`g=839p1?k9:0`5?84bk3;i463=ec816==z{;o<6=4={<0fg?7e?279i:4=299~w7cd2909w0;5mk0:n;5rs3g;>5<5s48n;7?m7:?1a=<5:11v?k6:18184b?3;i463=e8816==z{=<;6=4={<661?7e>27?:<4=299~w1312909w0:91;3a2>;3=?09>55rs574>5<5s4>>:7?m7:?712<5:11v9;7:181822>3;i463;59816==z{=?26=4={<66=?45027?954>b69~w13f2909w0::a;01<>;3=10:n55rs013>5<4s4>>n7?m7:?71g<6j116=>>523:8yv22j3:1>v3;5c816==:<<21=n=4}r07b?6=;r7?9n4>b69>00e=9k201?:i:30;?xu3=j0;6?u244a967><5=?367}:<?64=57;>4b53ty?9k4?:3y>00`=:;2019;7:0f0?xu2?o0;6?u256`95g0<5<2;6?<7;|q63f<72;q695>51c48901d2;837p}:7e83>7}:=>i1=o94=45g>74?3ty>;h4?:3y>12e=9k20189j:30;?xu6m;0;6?u21e:95g0<58o86?<7;|q2`<<72;q6=h=51c4894b>2;837p}>d`83>7}:9m31=o94=0fb>74?3ty:ho4?:3y>5a?=9k201<58ni6dd83>7}:9mo1>?64=0fa>4e43ty:hk4?:3y>5a`=:;201<58ni6;|q2a4<72;q6=h?523:894be28n97p}>ed83>6}:9l>1=o84=0d3>4d?34;nj7<=8:p5`3=838p12wx=h850;0x94c228h<70?j6;01<>{t9l=1<74d?34;n;7<=8:p5`>=838p1{t9lh1<774?34;n;7?le:p5`e=838p1kh50;1x97`e28h=70=?1;3a<>;48909>55rs3d`>5<5s49;=7?m7:?1bf<5:11v>>>:1818579389463<0182f3=z{;lo6=4={<0eg?7e?279ji4=299~w7`b2909w0;5nl09>55rs2a:>5<5s49h97?m6:?0gd<5:11v>m9:18185di3;i:63;4k109>55rs2f6>5<5s49o=7?m6:?0`3<5:11v>j=:18185c>3;i:634=299~w6b32909w0=k2;3a<>;4l=09>55rs0db>5<4s49o87?m7:?0`1<6j116=ko523:8yv4>n3:1>v3=9482f3=::h:1>?64}r0:a?6=:r79544>b79>652z?1=3<6j>16>49523:8yv4>03:1>v3=9782f==::021>?64}r0:e?6=:r795l4=299>655228g95g>52z?1=f<5:116>4k51b18yv4>l3:1>v3=9e816==::0o1=nk4}r1;0?6=:r784=4>b79>7=3=:;20q~=71;296~;40<0:n;52393967>7>52z?0<4<6j>16?5<523:8yv5?;3:1>v3<8082f==:;191>?64}r1;e?6=:r784;4>b79>7=d=:;20q~=77;296~;40k0:n;52395967>52z?0<2<6j>16?56523:8yv5?13:1>v3<8682f==:;131>?64}r1`7?6=:r78nk4>b79>7f2=:;20q~=l0;296~;4k=0:n;523b2967>52z?0g5<6j>16?n?523:8yv5d:3:1>v3?64}r1`b?6=:r78oo4>b79>7a6=:;20q~=lc;296~;4l90:n;523ba967>52z?0gf<6j>16?nj523:8yv5dm3:1>v3?64}r63a?6=:r7?b79>05`=:;20q~:?b;296~;38o0:n;5241`967>;o7>52z?74g<6j>168=m523:8yv27l3:1>v3;0c82f==:<9n1>?64}r620?6=:r7?==4>b79>043=:;20q~:>1;296~;39<0:n;52403967>:>7>52z?754<6j>168<<523:8yv26;3:1>v3;1082f==:<891>?64}r45>5<5s4<;674?3ty=<7>52z?54?45027?4i4>b69~w37=838p1;951c48937=:;20q~8=:18180628h<708=:30;?xu1;3:1>v391;3a<>;1;38946s|6583>7}:>=09>5526282f2=z{??1<7<5?91=o64}r51>5<5s4<3674?3ty=47>52z?5b99~w27=838p1;m51c48927=:;20q~86:18181428h=7086:30;?xu1i3:1>v399;3a3>;1i38946s|6c83>7}:>00:n5526c816==z{?n1<7<5>;1=o94}r4f>5<5s44d?3ty=j7>52z?5b?45027<=7?l3:p35<72;q6;=4=299>34<6kl1v?>::18187ai3;i:63=07816==z{8li6=4={<032?7e>27:jo4=299~w4`d2909w0?ib;3a3>;6nj09>55rs0dg>5<5s4;mn7?m8:?2ba<5:11vk850;1x94`b28h<70?ie;3a<>;a>38946s|1gg94?4|58ln6?<7;<3e`?7e?2wx9>j50;1x94`a28h<70?if;3a<>;2;m09>55rs0de>5<5s4;mj7<=8:?2ba<6j11v?>?:1818478389463>fe82g6=z{;::6=4={<035?45027:ji4>cd9~w7652909w0;6nm0:h<5rs320>5<5s48;?7<=8:?2ba<6l;1v?>;:181847<389463>fe82`6=z{:8m6=4={<11f?7e>278?=4=299~w64d2909w0=<0;3a2>;4:j09>55rs20g>5<5s499o7?m7:?06a<5:11v>278;44=299~w6122909w0=89;3a2>;4?<09>55rs255>5<5s49<97?m7:?033<5:11v>98:181850=3;i463<76816==z{:=n6=4={<14e?7e>278;k4=299~w61e2909w0=8f;3a2>;4?k09>55rs25`>5<5s499k:181850j3;i463<7e816==z{=:96=4={<1ea?7e>27?<>4=299~w6`a2909w0:?3;3a2>;4no09>55rs523>5<5s49mj7?m7:?745<5:11v9>>:18185an3;i463;00816==z{=:36=4={<630?7e>27?<44=299~w1622909w0:?9;3a2>;38<09>55rs525>5<5s4>;97?m7:?743<5:11v9>8:181827=3;i463;06816==z{=8;6=4={<622?7e>27?><4=299~w1712909w0:>6;01<>;31?0:n55rs53e>5<5s4>:m7?m6:?75c<5:11v9?8:18182593;i:63;16816==z{=;36=4={<623?7e?27?=54=299~w17>2909w0:>7;3a<>;39009>55rs53a>5<5s4>:n7<=8:?75c<6j>1v9?l:181826k389463;1g82f==z{=;o6=4={<62`?45027?=k4>c29~w17b2909w0:>e;01<>;39o0:oh5rs4;;>5<5s4?3h7?m6:?6=<<5:11v86k:18683?l389463:1c82`4=:=;81=i?4=00;>4b534;957?k3:p1=c=838p1876:0`5?83?m38946s|59d94?4|5<2n650;0x90>b28h370;60;01<>{t9==1<7=t=4;2>4d034?2=7?m8:?202<5:11v87>:18183>9389463:9182f2=z{8>n6=4<{<7:6?7e?27>5?4>b99>51c=:;20q~;62;296~;21;09>55258295g>52z?6=6<5:11694>51b18yv3><3:1>v3:95816==:=0:1=nk4}r7:1?6=:r7>584=299>1<6=9m;0q~;66;296~;21?09>55258295a452z?6=2<5:11694>51e18yv3e;3:1>v3:a982f3=:=k>1>?64}r7b=?6=:r7>n94>b79>1d?=:;20q~;na;296~;2i00:n:525`c967>52z?6e<<6j1169ll523:8yv7403:1?v3:ab82f2=:=hi1=o64=01;>74?3ty>mn4?:3y>1de=:;2018om:0`4?xu6;o0;6>u25`f95g1<5{t=ho1<774?34?jn7?l3:p1d`=838p18oi:30;?83fj3;hi6s|5c294?4|5{t=k81<774?34?jn7?k3:p0d2=838p197j:0`5?82f=38946s|48d94?4|5=k>650;0x91?a28h<70:n0;01<>{t4d?34>j=7<=8:p0d4=838p19o=:30;?82f93;i;6s|4`194?4|5=k86?<7;<6b5?7e02wx8n750;0x91e428h=70:la;01<>{t4d134>h47<=8:p0f2=838p19mn:0`5?82d<38946s|4b794?4|5=i?6{t4d134>n;7<=8:p0`7=838p19k8:0`5?82b938946s|4d094?4|5=o:6{t1<774?34>n?7?m7:p0`3=838p19k::30;?82b;3;i46s|4gg94?4|5=l36{t4d034>mm7<=8:p0cd=838p19h6:0`;?82aj38946s|4ga94?4|5=lh6?<7;<6ef?7e?2wx8kj50;0x91`c2;8370:ib;3a<>{t=9n1<74d134?;i7<=8:p150=838p18>j:0`5?837>38946s|51594?4|5<:=6{t=931<774?34?;47?m7:p15g=838p18>n:30;?83703;i46s|51`94?4|5<:i6?<7;<73{t=<21<74d134?>57<=8:p107=838p18;6:0`5?832938946s|54094?4|5{t=<>1<774?34?>?7?m7:p103=838p18;::30;?832;3;i46s|54494?4|5{t:<>1<74d1348>87<=8:p605=838p1?:i:0`5?842;38946s|25c94?4|5;??69l50;0x972f28h<70<;b;01<>{t:=i1<74d?348?o7<=8:p61b=838p1?:k:30;?843k3;i;6s|25g94?4|5;>n6?<7;<07g?7e02wx>8>50;0x97372;8370<:3;3a3>{t:<;1<774?348>?7?m8:p604=838p1?;=:30;?842;3;h?6s|24`94?4|5;?>68850;0x973e28h=70<:6;01<>{t:<=1<74d0348>;7<=8:p60>=838p1?;9:0`;?842038946s|24;94?4|5;?26?<7;<068o50;0x973f2;8370<:8;3a<>{t:?81<74d1348=>7<=8:p60b=838p1?8=:0`5?842l38946s|24g94?4|5;?o68h50;0x973c28h370<:f;01<>{t:?:1<774?348>j7?m7:p637=838p1?8>:30;?842n3;i46s|27;94?4|5;<86;:50;0x970>28h=70<94;01<>{t:??1<74d0348=97<=8:p630=838p1?8;:0`;?841>38946s|27594?4|5;<<6?<7;<052?7e?2wx>;650;0x970?2;8370<96;3a<>{t:>:1<74d1348<<7<=8:p63d=838p1?9?:0`5?841j38946s|27a94?4|5;;j50;0x970e28h370<9d;01<>{t:?o1<774?348=h7?m7:p63`=838p1?8i:30;?841l3;i46s|26594?4|5;=:6:<50;0x971028h=70<82;01<>{t:>91<74d03486?<7;<040?7e?2wx>:850;0x97112;8370<84;3a<>{t:>o1<74d1348:l50;0x971>28h370<8b;01<>{t:>i1<774?3485>50;0x97>228h=70<70;01<>{t:1;1<74d03483=7<=8:p6=4=838p1?6?:0`;?84?:38946s|29194?4|5;286?<7;<0;6?7e?2wx>5:50;0x97>32;8370<72;3a<>{t:1i1<74d13483o7<=8:p6=1=838p1?6l:0`5?84??38946s|29:94?4|5;2<65750;0x97>028h370<79;01<>{t:1k1<774?348357?m7:p6=d=838p1?6m:30;?84?13;i46s|28194?4|5;2o65k50;0x97?428h=70<7e;01<>{t:1l1<74d03483j7<=8:p6<6=838p1?6j:0`;?84>838946s|28394?4|5;3:6?<7;<0:4?7e?2wx>4<50;0x97?52;8370<60;3a<>{t4d134>ih7<=8:p0ge=838p19l7:0`5?82ek38946s|4c:94?5|5=h36?<7;4d034om67}:74?3ty?n94?:3y>0g4=9k2019l;:30;?xu3j<0;6?u24c7967><5=h?6b69>0g0=9k201hm523:8yv2e>3:1>v3;b7816==:1=o64}r6a3?6=:r7?n:4=299>0g2=9j90q~:m9;296~;3j009>5524ca95g1im7>52z?7fd<5:1168om51c:8yv2ej3:1>v3;bc816==:=<4>b79>143=:;20q~;>2;296~;29<0:n;52500967>52z?657<6j>169<=523:8yv36<3:1>v3:1382f==:=8>1>?64}r77e?6=:r7>8;4>b79>11g=:;20q~;;7;296~;252z?602<6j>16996523:8yv3313:1>v3:4682f==:==31>?64}r745?6=:r7>:i4>b79>127=:;20q~;9e;296~;2?80:n;5257g967>52z?62`<6j>169;h523:8yv3083:1>v3:6d82f==:=>:1>?64}r6;a?6=:r7?4l4>b79>0=c=:;20q~:7b;296~;30l0:n;5249`967>3o7>52z?71685m523:8yv2?l3:1>v3;8c82f==:<1n1>?64}r6e1?6=:r7?il4>b79>0c3=:;20q~:i4;296~;3n90:n;524g6967>nn7>52z?7b0<6j?168hl523:8yv2bk3:1>v3;ec82f2=:?64}r6f`?6=:r7?io4>b99>0`b=:;20q~:je;296~;3ml09>5524df95g1nj7>52z?7ac<5:1168hj51c:8yv2a93:1>v3;f0816==:1=o94}r6e6?6=:r7?j?4=299>0c2=9k20q~:i3;296~;3n:09>5524g695f552z?663<6j?169>?523:8yv3483:1>v3:2`82f3=:=::1>?64}r713?6=:r7>?<4>b79>171=:;20q~;=8;296~;2:>0:n:5253:967>52z?662<6j1169?7523:8yv75>3:1?v3:2882f2=:=;31=o64=005>74?3ty>>o4?:3y>17d=:;2018=?:0`4?xu2:j0;6?u253a967><5<9;67}:=;o1>?64=413>4eb3ty>>k4?:3y>17`=:;2018=?:0f2?xue>3:1>v3m0;3a2>;e>38946s|b483>7}:j=0:n;52b4816==z{k>1<7=t=c6967><58>;6f3<6j?16n<4=299~wg4=838p1o?51c589g4=:;20q~l<:1818d628h370l<:30;?xud:3:1>v3ma;3a2>;d:38946s|c083>7}:jl0:n;52c0816==z{kh1<7?64}r``>5<5s4hi674?3tyih7>52z?af?7e027ih7<=8:pfc<72;q6nk4=299>g4<6j>1vn>50;0x9f6=:;201n?51c:8yv2>?3:1?v3;9082f3=:?=0:n;5248:967>2>7>52z?7==<6j?1684<523:8yv2>;3:1>v3;9382f2=:<091>?64}r6:0?6=:r7?5?4>b99>0<2=:;20q~:65;296~;31<09>55248695g12:7>52z?7=3<5:11684:51c:8yv36k3:1>v3:1982f3=:=8i1>?64}r72=?6=:r7>=n4>b79>14?=:;20q~;>a;296~;2900:n:5250c967>52z?65<<6j1169v3:1g82f3=:=;91>?64}r714?6=:r7>>>4>b79>176=:;20q~;=1;296~;2:90:n:52533967>7>52z?665<6j1169?<523:8yv33;3:1>v3:3682f3=:==91>?64}r776?6=:r7>?i4>b79>114=:;20q~;<8;296~;2<:0:n;5252:967>52z?67=<6j>169>7523:8yv34i3:1>v3:3982f==:=:k1>?64}r70f?6=:r7>?o4=299>16g=9k=0q~;55252c95g>52z?67`<5:11699<51c58yv34n3:1>v3:3g816==:==81=o64}r774?6=:r7>8=4=299>114=9j90q~;;1;296~;2<809>55255095fcji7>52z?7e=<6j?168lk523:8yv2fl3:1>v3;ab82f3=:?64}r6bg?6=;r7?mn4=299>5=7=9k=01<6>:0`;?xu3i00;6?u24`g95g0<5=k26?<7;|q7ed<72;q68l751c5891gf2;837p};ac83>7}:74?3ty86=4={<695g0<5:09>55rs183>7}:;3;i:63?:30;?xu62909w0>51c5894<5:11v?4?:3y>4?7e02796?<7;|q;>5<5s431=o84=9816==z{00;68u29;01<>;6>>0:o<5216c95f7<58=i6;<34g?7d92wx97>52z?;>4d134?1>?64}r494?4|5<0:n:526;01<>{t?3:1>v3::0`;?81=:;20q~kk:1818cd28h=70kk:30;?xua=3:1>v3je;3a2>;a=38946s|eg83>7}:mo09>552f482f2=z{o:1<7<5o?1=o64}rd2>5<5s4l:6?<7;4e43tym>7>52z?e6?45027m97?le:pb6<72;q6j>4=299>b0<6l81vk:50;0x9c2=:;201k;51e08yv`d2909w0h9:0`5?8`d2;837p}i7;296~;a?389463ic;3a3>{tn10;6?u2f9816==:nj0:n55rsg;94?4|5o31>?64=ga95f574?34lh6;:30;?xuam3:1>v3ie;01<>;68=0:n:5rsgd94?4|5ol1>?64=027>4d?3ty:<=4?:3y>556=:;201<>;:0a0?xu6880;6?u2113967><58:?60283>7}:9991>?64=027>4b53ty:553=9k<01<>l:30;?xu68m0;6>u211495g1<58:=6{t99=1<774?34;;o7?m8:p55>=838p1<>7:30;?877k3;h?6s|11;94?4|58:26?<7;<33g?7dm2wx==o50;0x946f2;8370??c;3g5>{t99h1<774?34;;o7?k2:p547=838p1<>k:0`5?876938946s|11g94?4|58:n6?<7;<325?7e?2wx==h50;0x946a2;8370?>1;3a<>{t98:1<774?34;:=7?l3:p540=838p138946s|10094?5|58;96?<7;<37b?7e?27:8k4>b99~w4742909w0?>3;01<>;69?0:n:5rs037>5<5s4;:87<=8:?253<6j11v1782g6=z{8;o6=4={<323?7e>27:=i4=299~w4702908w0?>7;01<>;69o0:n:5210d95g>52z?25=<5:116=v3>18816==:98n1=o64}r32e?6=:r7:=l4=299>54b=9j90q~?>b;296~;69k09>55210f95fc52z?25f<5:116=v3>1d82f3=:9;?1>?64}r32b?6=:r7:=k4=299>573=9k=0q~?=0;296~;6:909>55213795g>52z?264<5:116=?;51b18yv75:3:1>v3>23816==:9;?1=nk4}r317?6=:r7:>>4=299>573=9m;0q~?=4;296~;6:=09>55213795a452z?263<6j?16=?o523:8yv75?3:1>v3>26816==:9;k1=o94}r3154=299>57g=9k20q~?=9;296~;6:009>55213c95f552z?26g<6j?16=?h523:8yv75k3:1>v3>2b816==:9;l1=o94}r31`?6=:r7:>i4=299>57`=9k20q~?=e;296~;6:l09>55213d95f552z?275<6j?16=>9523:8yv7493:1>v3>30816==:9:=1=o94}r306?6=:r7:??4=299>561=9k20q~?<3;296~;6;:09>55212595f552z?271<5:116=>951bg8yv74=3:1>v3>34816==:9:=1=i?4}r302?6=:r7:?;4=299>561=9m80q~?52z?27<<5:116=>k51c58yv74i3:1>v3>3`816==:9:o1=o64}r30f?6=:r7:?o4=299>56c=9j90q~?55212g95fc52z?27a<5:116=>k51e38yv73>3:1>v3>3g82f3=:9=<1>?64}r374?6=:r7:8=4=299>510=9k=0q~?;1;296~;6<809>55215495g>7>52z?207<5:116=9851b18yv73;3:1>v3>42816==:9=<1=nk4}r370?6=:r7:894=299>510=9m;0q~?;5;296~;6<<09>55215495a452z?202<6j?16=9j523:8yv7303:1>v3>49816==:9=n1=o94}r37=?6=:r7:844=299>51b=9k20q~?;a;296~;655215f95f552z?20g<5:116=9j51bg8yv73k3:1>v3>4b816==:9=n1=i?4}r361?6=:r7:8h4>b79>503=:;20q~?;f;296~;655214795g1<7>52z?215<5:116=8;51c:8yv7293:1>v3>50816==:9503=9jo0q~?:3;296~;6=:09>55214795a787>52z?211<5:116=8;51e08yv72l3:1>v3>5782f3=:9?64}r36a?6=;r7:9:4>b69>501=9k201<;j:30;?xu6=>0;6?u2145967><58?o65883>7}:9<31>?64=07g>4e43ty:9l4?:3y>50g=:;201<;k:0af?xu6=k0;6?u214`967><58?o6;|q21f<72;q6=8m523:8943c28n97p}>6583>7}:974?3ty:9k4?:3y>50`=:;201<8;:0`4?xu6>90;6?u2172967><586383>7}:9?81>?64=047>4eb3ty::>4?:3y>535=:;201<8;:0f2?xu6>10;6?u217795g0<58<36?<7;|q220<72:q6=;;523:8940f28h<70?9a;3a<>{t9?<1<774?34;=47?m7:p531=838p1<88:30;?87103;i46s|16294?4|58<26{t9?h1<774?34;<<7?m8:p53e=838p1<8l:30;?87083;h?6s|17f94?4|58{t9?l1<774?34;<<7?k2:p52>=838p1<9>:0`5?870038946s|16;94?5|58=96;6?10:n:5rs050>5<5s4;7982g6=z{8=>6=4={<341?45027:;54>cd9~w4112909w0?86;01<>;6?10:h<5rs054>5<5s4;<;7<=8:?23=<6l;1v<9i:18187013;i:63>7g816==z{8=j6=4={<34e?45027:;k4>b69~w41e2909w0?8b;01<>;6?o0:n55rs05`>5<5s4;7g82g`=z{8=n6=4={<34a?45027:;k4>d09~w4>02909w0?70;3a2>;60>09>55rs0:2>5<5s4;3=7<=8:?2<2<6j>1v<6=:18187?:389463>8682f==z{8286=4={<3;7?45027:4:4>c29~w4>32909w0?74;01<>;60>0:oh5rs0:6>5<5s4;397<=8:?2<2<6l81v<69:18187?>389463>8682`7=z{82n6=4={<3;27:4h4=299~w4>>2909w0?79;01<>;60l0:n:5rs0:b>5<5s4;3m7<=8:?2<`<6j11v<6m:18187?j389463>8d82g6=z{82h6=4={<3;g?45027:4h4>cd9~w4>c2909w0?7d;01<>;60l0:h<5rs0;5>5<5s4;3j7?m6:?2=3<5:11v<78:18087>83;i;63>9182f==:90=1>?64}r3:4?6=:r7:5=4=299>5<0=9k=0q~?61;296~;61809>55218495g>7>52z?2=7<5:116=4851b18yv7>;3:1>v3>92816==:90<1=nk4}r3:0?6=:r7:594=299>5<0=9m;0q~?65;296~;61<09>55218495a452z?2=2<6j?16=4o523:8yv7>03:1>v3>99816==:90k1=o94}r3:=?6=:r7:544=299>553z?2=g<5:116=l=51c5894g428h37p}>9b83>7}:90i1>?64=0c2>4d03ty:5i4?:3y>5:0`;?xu61l0;6?u218g967><58k:6a183>7}:9h:1>?64=0c2>4b63ty:m44?:3y>5d4=9k<01<58k2628h37p}>a483>7}:9h?1>?64=0c:>4e43ty:m;4?:3y>5d0=:;2010;6?u21`5967><58k26;|q2e=<72;q6=l6523:894g>28n97psa6gd3>5<6std=jk?50;3xyk0an;0;6ol36=4>{|l5bc?=83;pqc8if`83>4}zf?lmn7>51zm2c`d290:wp`9fgf94?7|ug5<6std<<=?50;3xyk178;0;6;:182xh089?1<7?t}o5343<728qvb:>?7;295~{i?9:36=4>{|l445?=83;pqc9?0`83>4}zf>:;n7>51zm356d290:wp`801f94?7|ug=;5<6std<<>7;295~{i?9;36=4>{|l444?=83;pqc9?1`83>4}zf>::n7>51zm357d290:wp`800f94?7|ug=;=h4?:0y~j266n3:1=vsa7103>5<6std<=7;295~{i?9836=4>{|l447?=83;pqc9?2`83>4}zf>:9n7>51zm354d290:wp`803f94?7|ug=;>h4?:0y~j265n3:1=vsa7113>5<6std<<>?50;3xyk17;;0;6<7;295~{i?9936=4>{|l446?=83;pqc9?3`83>4}zf>:8n7>51zm355d290:wp`802f94?7|ug=;?h4?:0y~j264n3:1=vsa7163>5<6std<<9?50;3xyk17<;0;6;7;295~{i?9>36=4>{|l441?=83;pqc9?4`83>4}zf>:?n7>51zm352d290:wp`805f94?7|ug=;8h4?:0y~j263n3:1=vsa7173>5<6std<<8?50;3xyk17=;0;6:7;295~{i?9?36=4>{|l440?=83;pqc9?5`83>4}zf>:>n7>51zm353d290:wp`804f94?7|ug=;9h4?:0y~j262n3:1=vsa7143>5<6std<<;?50;3xyk17>;0;697;295~{i?9<36=4>{|l443?=83;pqc9?6`83>4}zf>:=n7>51zm350d290:wp`807f94?7|ug=;:h4?:0y~j261n3:1=vsa7153>5<6std<<:?50;3xyk17?;0;6?1<7?t}o5333<728qvb:>87;295~{i?9=36=4>{|l442?=83;pqc9?7`83>4}zf>:51zm351d290:wp`806f94?7|ug=;;h4?:0y~j260n3:1=vsa71:3>5<6std<<5?50;3xyk170;0;677;295~{i?9236=4>{|l44=?=83;pqc9?8`83>4}zf>:3n7>51zm35>d290:wp`809f94?7|ug=;4h4?:0y~j26?n3:1=vsa71;3>5<6std<<4?50;3xyk171;0;667;295~{i?9336=4>{|l444}zf>:2n7>51zm35?d290:wp`808f94?7|ug=;5h4?:0y~j26>n3:1=vsa71c3>5<6std<n7;295~{i?9k36=4>{|l44d?=83;pqc9?a`83>4}zf>:jn7>51zm35gd290:wp`80`f94?7|ug=;mh4?:0y~j26fn3:1=vsa71`3>5<6std<m7;295~{i?9h36=4>{|l44g?=83;pqc9?b`83>4}zf>:in7>51zm35dd290:wp`80cf94?7|ug=;nh4?:0y~j26en3:1=vsa71a3>5<6std<l7;295~{i?9i36=4>{|l44f?=83;pqc9?c`83>4}zf>:hn7>51zm35ed290:wp`80bf94?7|ug=;oh4?:0y~j26dn3:1=vsa71f3>5<6std<k7;295~{i?9n36=4>{|l44a?=83;pqc9?d`83>4}zf>:on7>51zm35bd290:wp`80ef94?7|ug=;hh4?:0y~j26cn3:1=vsa71g3>5<6std<j7;295~{i?9o36=4>{|l44`?=83;pqc9?e`83>4}zf>:nn7>51zm35cd290:wp`80df94?7|ug=;ih4?:0y~j26bn3:1=vsa71d3>5<6std<i7;295~{i?9l36=4>{|l44c?=83;pqc9?f`83>4}zf>:mn7>51zm35`d290:wp`80gf94?7|ug=;jh4?:0y~j26an3:1=vsa7023>5<6std<==?50;3xyk168;0;6;:182xh099?1<7?t}o5243<728qvb:??7;295~{i?8:36=4>{|l455?=83;pqc9>0`83>4}zf>;;n7>51zm346d290:wp`811f94?7|ug=:5<6std<=7;295~{i?8;36=4>{|l454?=83;pqc9>1`83>4}zf>;:n7>51zm347d290:wp`810f94?7|ug=:=h4?:0y~j276n3:1=vsa7003>5<6std<=??50;3xyk16:;0;6{|l457?=83;pqc9>2`83>4}zf>;9n7>51zm344d290:wp`813f94?7|ug=:>h4?:0y~j275n3:1=vsa7013>5<6std<=>?50;3xyk16;;0;6{|l456?=83;pqc9>3`83>4}zf>;8n7>51zm345d290:wp`812f94?7|ug=:?h4?:0y~j274n3:1=vsa7063>5<6std<=9?50;3xyk16<;0;636=4>{|l451?=83;pqc9>4`83>4}zf>;?n7>51zm342d290:wp`815f94?7|ug=:8h4?:0y~j273n3:1=vsa7073>5<6std<=8?50;3xyk16=;0;6{|l450?=83;pqc9>5`83>4}zf>;>n7>51zm343d290:wp`814f94?7|ug=:9h4?:0y~j272n3:1=vsa7043>5<6std<=;?50;3xyk16>;0;6{|l453?=83;pqc9>6`83>4}zf>;=n7>51zm340d290:wp`817f94?7|ug=::h4?:0y~j271n3:1=vsa7053>5<6std<=:?50;3xyk16?;0;6?1<7?t}o5233<728qvb:?87;295~{i?8=36=4>{|l452?=83;pqc9>7`83>4}zf>;51zm341d290:wp`816f94?7|ug=:;h4?:0y~j270n3:1=vsa70:3>5<6std<=5?50;3xyk160;0;6{|l45=?=83;pqc9>8`83>4}zf>;3n7>51zm34>d290:wp`819f94?7|ug=:4h4?:0y~j27?n3:1=vsa70;3>5<6std<=4?50;3xyk161;0;6{|l459`83>4}zf>;2n7>51zm34?d290:wp`818f94?7|ug=:5h4?:0y~j27>n3:1=vsa70c3>5<6std<=l?50;3xyk16i;0;6{|l45d?=83;pqc9>a`83>4}zf>;jn7>51zm34gd290:wp`81`f94?7|ug=:mh4?:0y~j27fn3:1=vsa70`3>5<6std<=o?50;3xyk16j;0;6{|l45g?=83;pqc9>b`83>4}zf>;in7>51zm34dd290:wp`81cf94?7|ug=:nh4?:0y~j27en3:1=vsa70a3>5<6std<=n?50;3xyk16k;0;6{|l45f?=83;pqc9>c`83>4}zf>;hn7>51zm34ed290:wp`81bf94?7|ug=:oh4?:0y~j27dn3:1=vsa70f3>5<6std<=i?50;3xyk16l;0;6{|l45a?=83;pqc9>d`83>4}zf>;on7>51zm34bd290:wp`81ef94?7|ug=:hh4?:0y~j27cn3:1=vsa70g3>5<6std<=h?50;3xyk16m;0;6{|l45`?=83;pqc9>e`83>4}zf>;nn7>51zm34cd290:wp`81df94?7|ug=:ih4?:0y~j27bn3:1=vsa70d3>5<6std<=k?50;3xyk16n;0;6{|l45c?=83;pqc9>f`83>4}zf>;mn7>51zm34`d290:wp`81gf94?7|ug=:jh4?:0y~j27an3:1=vsa7323>5<6std<>=?50;3xyk158;0;6;:182xh0:9?1<7?t}o5143<728qvb:{|l465?=83;pqc9=0`83>4}zf>8;n7>51zm376d290:wp`821f94?7|ug=95<6std<>7;295~{i?;;36=4>{|l464?=83;pqc9=1`83>4}zf>8:n7>51zm377d290:wp`820f94?7|ug=9=h4?:0y~j246n3:1=vsa7303>5<6std<>??50;3xyk15:;0;6{|l467?=83;pqc9=2`83>4}zf>89n7>51zm374d290:wp`823f94?7|ug=9>h4?:0y~j245n3:1=vsa7313>5<6std<>>?50;3xyk15;;0;6{|l466?=83;pqc9=3`83>4}zf>88n7>51zm375d290:wp`822f94?7|ug=9?h4?:0y~j244n3:1=vsa7363>5<6std<>9?50;3xyk15<;0;636=4>{|l461?=83;pqc9=4`83>4}zf>8?n7>51zm372d290:wp`825f94?7|ug=98h4?:0y~j243n3:1=vsa7373>5<6std<>8?50;3xyk15=;0;6{|l460?=83;pqc9=5`83>4}zf>8>n7>51zm373d290:wp`824f94?7|ug=99h4?:0y~j242n3:1=vsa7343>5<6std<>;?50;3xyk15>;0;6{|l463?=83;pqc9=6`83>4}zf>8=n7>51zm370d290:wp`827f94?7|ug=9:h4?:0y~j241n3:1=vsa7353>5<6std<>:?50;3xyk15?;0;6?1<7?t}o5133<728qvb:<87;295~{i?;=36=4>{|l462?=83;pqc9=7`83>4}zf>851zm371d290:wp`826f94?7|ug=9;h4?:0y~j240n3:1=vsa73:3>5<6std<>5?50;3xyk150;0;6{|l46=?=83;pqc9=8`83>4}zf>83n7>51zm37>d290:wp`829f94?7|ug=94h4?:0y~j24?n3:1=vsa73;3>5<6std<>4?50;3xyk151;0;6{|l464}zf>82n7>51zm37?d290:wp`828f94?7|ug=95h4?:0y~j24>n3:1=vsa73c3>5<6std<>l?50;3xyk15i;0;6{|l46d?=83;pqc9=a`83>4}zf>8jn7>51zm37gd290:wp`82`f94?7|ug=9mh4?:0y~j24fn3:1=vsa73`3>5<6std<>o?50;3xyk15j;0;6{|l46g?=83;pqc9=b`83>4}zf>8in7>51zm37dd290:wp`82cf94?7|ug=9nh4?:0y~j24en3:1=vsa73a3>5<6std<>n?50;3xyk15k;0;6{|l46f?=83;pqc9=c`83>4}zf>8hn7>51zm37ed290:wp`82bf94?7|ug=9oh4?:0y~j24dn3:1=vsa73f3>5<6std<>i?50;3xyk15l;0;6{|l46a?=83;pqc9=d`83>4}zf>8on7>51zm37bd290:wp`82ef94?7|ug=9hh4?:0y~j24cn3:1=vsa73g3>5<6std<>h?50;3xyk15m;0;6{|l46`?=83;pqc9=e`83>4}zf>8nn7>51zm37cd290:wp`82df94?7|ug=9ih4?:0y~j24bn3:1=vsa73d3>5<6std<>k?50;3xyk15n;0;6{|l46c?=83;pqc9=f`83>4}zf>8mn7>51zm37`d290:wp`82gf94?7|ug=9jh4?:0y~j24an3:1=vsa7223>5<6std>;:182xh0;9?1<7?t}o5043<728qvb:=?7;295~{i?::36=4>{|l475?=83;pqc9<0`83>4}zf>9;n7>51zm366d290:wp`831f94?7|ug=85<6std?;:182xh0;8?1<7?t}o5053<728qvb:=>7;295~{i?:;36=4>{|l474?=83;pqc9<1`83>4}zf>9:n7>51zm367d290:wp`830f94?7|ug=8=h4?:0y~j256n3:1=vsa7203>5<6std<;:182xh0;;?1<7?t}o5063<728qvb:==7;295~{i?:836=4>{|l477?=83;pqc9<2`83>4}zf>99n7>51zm364d290:wp`833f94?7|ug=8>h4?:0y~j255n3:1=vsa7213>5<6std?50;3xyk14;;0;6=;:182xh0;:?1<7?t}o5073<728qvb:=<7;295~{i?:936=4>{|l476?=83;pqc9<3`83>4}zf>98n7>51zm365d290:wp`832f94?7|ug=8?h4?:0y~j254n3:1=vsa7263>5<6std:;:182xh0;=?1<7?t}o5003<728qvb:=;7;295~{i?:>36=4>{|l471?=83;pqc9<4`83>4}zf>9?n7>51zm362d290:wp`835f94?7|ug=88h4?:0y~j253n3:1=vsa7273>5<6std;;:182xh0;{|l470?=83;pqc9<5`83>4}zf>9>n7>51zm363d290:wp`834f94?7|ug=89h4?:0y~j252n3:1=vsa7243>5<6std;0;68;:182xh0;??1<7?t}o5023<728qvb:=97;295~{i?:<36=4>{|l473?=83;pqc9<6`83>4}zf>9=n7>51zm360d290:wp`837f94?7|ug=8:h4?:0y~j251n3:1=vsa7253>5<6std9;:182xh0;>?1<7?t}o5033<728qvb:=87;295~{i?:=36=4>{|l472?=83;pqc9<7`83>4}zf>951zm361d290:wp`836f94?7|ug=8;h4?:0y~j250n3:1=vsa72:3>5<6std6;:182xh0;1?1<7?t}o50<3<728qvb:=77;295~{i?:236=4>{|l47=?=83;pqc9<8`83>4}zf>93n7>51zm36>d290:wp`839f94?7|ug=84h4?:0y~j25?n3:1=vsa72;3>5<6std7;:182xh0;0?1<7?t}o50=3<728qvb:=67;295~{i?:336=4>{|l474}zf>92n7>51zm36?d290:wp`838f94?7|ug=85h4?:0y~j25>n3:1=vsa72c3>5<6stdo;:182xh0;h?1<7?t}o50e3<728qvb:=n7;295~{i?:k36=4>{|l47d?=83;pqc94}zf>9jn7>51zm36gd290:wp`83`f94?7|ug=8mh4?:0y~j25fn3:1=vsa72`3>5<6stdl;:182xh0;k?1<7?t}o50f3<728qvb:=m7;295~{i?:h36=4>{|l47g?=83;pqc94}zf>9in7>51zm36dd290:wp`83cf94?7|ug=8nh4?:0y~j25en3:1=vsa72a3>5<6stdm;:182xh0;j?1<7?t}o50g3<728qvb:=l7;295~{i?:i36=4>{|l47f?=83;pqc94}zf>9hn7>51zm36ed290:wp`83bf94?7|ug=8oh4?:0y~j25dn3:1=vsa72f3>5<6stdj;:182xh0;m?1<7?t}o50`3<728qvb:=k7;295~{i?:n36=4>{|l47a?=83;pqc94}zf>9on7>51zm36bd290:wp`83ef94?7|ug=8hh4?:0y~j25cn3:1=vsa72g3>5<6stdk;:182xh0;l?1<7?t}o50a3<728qvb:=j7;295~{i?:o36=4>{|l47`?=83;pqc94}zf>9nn7>51zm36cd290:wp`83df94?7|ug=8ih4?:0y~j25bn3:1=vsa72d3>5<6stdh;:182xh0;o?1<7?t}o50b3<728qvb:=i7;295~{i?:l36=4>{|l47c?=83;pqc94}zf>9mn7>51zm36`d290:wp`83gf94?7|ug=8jh4?:0y~j25an3:1=vsa7523>5<6std<8=?50;3xyk138;0;6;:182xh0<9?1<7?t}o5743<728qvb::?7;295~{i?=:36=4>{|l405?=83;pqc9;0`83>4}zf>>;n7>51zm316d290:wp`841f94?7|ug=?5<6std<87;295~{i?=;36=4>{|l404?=83;pqc9;1`83>4}zf>>:n7>51zm317d290:wp`840f94?7|ug=?=h4?:0y~j226n3:1=vsa7503>5<6std<8??50;3xyk13:;0;6{|l407?=83;pqc9;2`83>4}zf>>9n7>51zm314d290:wp`843f94?7|ug=?>h4?:0y~j225n3:1=vsa7513>5<6std<8>?50;3xyk13;;0;6{|l406?=83;pqc9;3`83>4}zf>>8n7>51zm315d290:wp`842f94?7|ug=??h4?:0y~j224n3:1=vsa7563>5<6std<89?50;3xyk13<;0;636=4>{|l401?=83;pqc9;4`83>4}zf>>?n7>51zm312d290:wp`845f94?7|ug=?8h4?:0y~j223n3:1=vsa7573>5<6std<88?50;3xyk13=;0;6{|l400?=83;pqc9;5`83>4}zf>>>n7>51zm313d290:wp`844f94?7|ug=?9h4?:0y~j222n3:1=vsa7543>5<6std<8;?50;3xyk13>;0;6{|l403?=83;pqc9;6`83>4}zf>>=n7>51zm310d290:wp`847f94?7|ug=?:h4?:0y~j221n3:1=vsa7553>5<6std<8:?50;3xyk13?;0;6?1<7?t}o5733<728qvb::87;295~{i?==36=4>{|l402?=83;pqc9;7`83>4}zf>>51zm311d290:wp`846f94?7|ug=?;h4?:0y~j220n3:1=vsa75:3>5<6std<85?50;3xyk130;0;6{|l40=?=83;pqc9;8`83>4}zf>>3n7>51zm31>d290:wp`849f94?7|ug=?4h4?:0y~j22?n3:1=vsa75;3>5<6std<84?50;3xyk131;0;67=tJKNv>r@ARxyEF \ No newline at end of file diff --git a/cpld/XC95144/MXSE.ngc b/cpld/XC95144/MXSE.ngc deleted file mode 100644 index 392a50c..0000000 --- a/cpld/XC95144/MXSE.ngc +++ /dev/null @@ -1,3 +0,0 @@ -XILINX-XDB 0.1 STUB 0.1 ASCII -XILINX-XDM V1.6e -$5164=79;1:>7AZTQWW>WG;980;2<>4178JJUSS2H69?76111915?OIX\^1M1<>:0<20>442@D[YY4N_GQA875=97?0M1??>49B8479=2K7=?0:;@>27;3G;9?4>7L2>7?78E97?6<1J0<714:C?5;3G;::03285N<31=0>G;:7>0M1=14:C?0;2GKM9?0MAK?M49BH@6Tk2KGI=Qbuy2345bGKM9Ufyu>?011g?DJB8Vg~t=>?05f8EIC7Wds<=>?559BH@7d3HFN=RQ`r1235a=FDL;TSb|?01320>GIL;>0MCJ<4:CM@12GTzoUecy>?003:?DYA[K6:<374A^DPF976601JSK]M<00==>GXNZH7=>06;@]EWG:6<730MRH\B=36:<=FWOYI0<819:C\BVD;9>427LQISC>2<;?99B[CUE48427LQISC>14;?>89B[CUE4;85n6OPFR@?66<6601JSK]M<31=<>GXNZH7>364A^DPF95902KTJ^L34?:8EZ@TJ5?546OPFR@?2;>GXNZHT==QFBTDg?DYA[KU:=RGMUGf8EZ@TJV;9SDLZFe9B[CUEW89TEO[Id:C\BVDX9=UBNXHk;@]EWGY6=VCIYKj4A^DPFZ71W@H^Ji5N_GQA[41XAK_Mh6OPFR@\5=YNJ\Lo7LQISC]2=ZOE]Oi0MRH\B^3\MGSAl2KTJ^LP21]JFP@c3HUM_OQ=1^KAQCbGXNZHT>RGMUGa8EZ@TJV9TEO[Ic:C\BVDXDFK]?0NLM[149AEFR5=2HJOY|;;CGQV0=EM[X;:6LJRS2qa>DBZ[:ySRa}0123b>DBZ[:ySRa}01235c=EM[X;~RQ`r123473DBZ[xyi6LJRSpq[kis89::j6LJRSpq[kis89::=55MUR]JJCI63J>0O<8B3:A;I1=DIJ^97NK<;BNH=>EKC;RTEBLj;BNH6]YNGKUBNXH8;BNH[CUEk2IGGRH\B^KAQC15LLS18GKR>3JEFADZ[EE58GWCF\LN97N]9;Bnfew7a3Jfnm?P_`lg4567n2Igil|>_`lg4567981O;6J[ABIqb2=C\HI@~h4DUC@OwtXff~;<=?>0:FWEFMuzVddx=>?1058@drf494<7Io{a=3=5>C23LY7<3;4ER>2:0=B[58596K\<2<6?@U;<7>0I^Q?d:GP[5YXign;<=>j;DQ\4ZYffm:;<=?j;DQ\4ZYffm:;<=CTW8UTmcj?0122a>CTW8UTmcj?01210>CTW;n0I^Q=_^cm`5678l1N_RCTW=UTmcj?012f?@UX?013f?@UX?0102b>CTWDkohRQnde2345YJpfxT^h}zlu>2:4`>1`9FWZKflmUTmij?012\[dhc89::=<74ER]NeabXWhno<=>?_^cm`567:8k0I^QBaef\[dbc89:;SRoad12364b_np34565m2OXS\Q>_np34564m2OXS\Q>_np34563m2OXS\Q>_np34562m2OXS\Q>_np34561m2OXS\Q>_np34560m2OXS\Q>_np3456?m2OXS\Q>_np3456>m2OXSRokd1234979m2OXSRokd1234949m2OXSRokd1234959m2OXSRokd1234929=2O_MNEk;DVBGNYffm:;<=k4EUC@OZgil9:;<k4EUC@OZgil9:;<9k4EUC@OZgil9:;<8k4EUC@OZgil9:;<;;4E^KLFd=BW@EISDLZF39Fv6=Bz;h0IQLlj]JJS723LxTB=:4FBNH6>@C<2LOOH=4FR@2?B5<4I508M04OFKZ;>7DOLS378MDET;=1BNXH6;HLJPVRF\L90ECX:;HMBGQb0:KLF@TUWds<=>?1233?LIEM[XTaxv?0122046>8g9JKGCUZVg~t=>?03d8MJDBZ[Ufyu>?011e?LIEM[XTaxv?0127b>OHJLXYS`{w01231c=NGKOY^Rczx12343`7DALS048MJET99<0EBM\1048MJET9;<0EBM\1248MJET9=<0EBM\1448MJET9??0EBM\249JKFU4=2CDO^::;HM@W03OHKZ2>7DALS868MJJ7k2CD@=Qbuy2345bOHD9Ufyu>?011g?LIK8Vg~t=>?05f8MJJ7Wds<=>?559JKI7d3@EG=R``t1235a=NGE;Tbbz?01321>OHDMY=7DA_WBQ4?LIW_JY:;6G@PVAP62=NGY]H_>94INRTGV223@EYI\j4INPFUZkrp9:;k4INPFUZkrp9:;<9k4INPFUZkrp9:;<8k4INPFUZkrp9:;<;k4INPFUZkrp9:;<:k4INPFUZkrp9:;<5k4INPFUZkrp9:;<484INPFUw3n7DA]W1]mkq6788?n7DA]W1]mkq67887DA]W0f8MJTP9Vkeh=>?0d9JKWQ6Whdo<=>?1d9JKWQ6Whdo<=>?2d9JKWQ6Whdo<=>?3d9JKWQ6Whdo<=>?4d9JKWQ6Whdo<=>?5d9JKWQ6Whdo<=>?669JKWcflp;;7DA]e`fz[Zgil9:;<?1038MJTbimsTSl`k01236f=NGZUM_@QIFe3e?LITWOYFSKHk1,Km55=NGZUM_@QIFe3.Mk7682CD_RH\M^DE`4+Nf;;;7DA\_GQN[C@c9$Ce?<>4INQ\BVKXNOn:!D`;119JKVYA[DUMJi?"Io7`?LITWOYFSKHk2g9JKVYA[DUMJi<"Io33?LITWOYFSKHk2,Km546 Ga5b9JKVYA[DUMJi=i;HMP[CUJWOLo? Ga119JKVYA[DUMJi="Io325>OH[VLXARHId2/Jj46692CD_RH\M^DE`6+Nf8;:=6G@S^DPIZ@Al:'Bb<<>0:KLWZ@TEVLMh>#Fn333?LITWOYFSKHk3,Km7461028MJUXNZGTJKj;-Hl155=NGZUM_@QIFe6.Mk5682CD_RH\M^DE`1+Nf=;;7DA\_GQN[C@c<$Ce9<>4INQ\BVKXNOn?!D`9119JKVYA[DUMJi:"Io524>OH[VLXARHId5/Jj=773@EXSK]B_GDg0(Oi1=1BCX>l;HMV4Zkrp9:;Pmtz34562<2CDY7DAZDR68MJQBm2ANI]QGIDPBVFN^?2FDKDMNL`9OTHY_G[8T=85Co`f2a>Jhim;TSl`k01230>K_[L80B=<4N058J@RPG[A:7B:4OCWE7>IU::1D^>=4OS67?Jhdmj1DbnkP_np3456c3FdhiRQ`r12344bIiklUTc>?016g?JhdmVUd~=>?04f8KkebWVey<=>?6e9LjfcXWfx;<=>8d:Mmg`YXg{:;<=6k;Nl`aZYhz9:;<4?4Pb9S*766;8::?RB;;QCQPd=WAGUIY^GKXc9SMKYE]ZDJAHl4PR]EWHYANm;n7]]PFRO\BCb6%@dm7]]PFRO\BCb6%@d:j6^\_GQN[C@c9$Ce>o5_S^DPIZ@Al;o0\^QISL]EBa4*Agl0\^QISL]EBa4*Ag;:<6^\_GQN[C@c:$Ce==??;QQ\BVKXNOn9!D`>1028TVYA[DUMJi<"Io3155=W[VLXARHId3/Jj45a3YYTJ^CPFGf1)Lh5n2ZXSK]B_GDg6(Oi;o1[_RH\M^DE`7+Nf=l0\^QISL]EBa4*Ag?m7]]PFRO\BCb5%@d=j6^\_GQN[C@c:$Ce;k5_S^DPIZ@Al;'Bb5h4PR]EWHYANm8&Ec7m;QQ\BVKXG|~;o6^\_GQN[Jss88i0\^QISL]Lqq65l2ZXSnc_ds345663X?0^L2?>79QE977611YM1?>:1<5?WG;984>7_O31?78VD:56<1YM1=15:PB81823[K793;4R@>5:0=UI5=596\N<9<6?WG;1790^LC:;SCNGV084R@O@W10_lw{4567991YM@JFS0]nq}6789;:=6\NMEKP5Zkrp9:;<<>>1:PBIAOT9Vg~t=>?00325>TFEMCX=Rczx123444692XJAIG\1^ov|567889:=6\NMEKP5Zkrp9:;<<:>1:PBIAOT9Vg~t=>?00725>TFEMCX=Rczx123440692XJAIG\1^ov|56788=:=6\NMEKP5Zkrp9:;<<6>1:PBIAOT9Vg~t=>?00;24>TFEMCX=Rczx12347773[KFHD]>_lw{4567;8:0^LCKIR3\ip~789:?==5]ALFJW4Yj}q:;<=;>0:PBIAOT9Vg~t=>?0733?WGJL@Y:S`{w0123346TFEMCX>RQ`r1234460:PBIAOT:VUd~=>?0233?WGJL@Y9SRa}0123046?8028VDKCAZ8TSb|?012:3>TFEMCX?:5]ALFJW11>139QEHTbimsTaxv?01224442:PBIWcflpUfyu>?013057=UIDXnmiwPmtz34566<880^LC]e`fz[hs89:;=8?=;SCNV`gcqVg~t=>?00425>TFE[ojhtQbuy23454692XJA_kndx]nq}67899:=6\NMSgb`|Yj}q:;<=:>1:PBIWcflpUfyu>?01725>TFE[ojhtQbuy23450692XJA_kndx]nq}6789=:=6\NMSgb`|Yj}q:;<=6>1:PBIWcflpUfyu>?01;6?WGTMEn0^L]JL^ov|5678l1YM^KC_lw{45679o1YM^KC_lw{456799l0^L]JL^ov|56788;m7_O\EM]nq}6789;9j6\NSDN\ip~789::?k5]ARGO[hs89:;=9h4R@QFHZkrp9:;<<;i;SCPAIYj}q:;<=?9f:PBW@JXe|r;<=>>7g9QEVCKWds<=>?19d8VDUBDVg~t=>?00;f?WGTMEUfyu>?010e?WGTMEUfyu>?0103b>TF[LFTaxv?01215c=UIZOGS`{w012367`o0^L]JL^ov|56781o0^L]JL^ov|5678030^LQ?_N@VBf=UIV:Taxv?012g?WGX8Vg~t=>?00f8VDY7Wds<=>?2e9QEZ6Xe|r;<=>3[KT=RAMUGa8VDY6Wds<=>?d:PB[4Yj}q:;<=?k;SC\5Zkrp9:;Rczx1234a=UIV8Taxv?0122`>TFW;Ufyu>?010g?WGX:Vg~t=>?02f8VDY5Wds<=>?489QEZ5XGK_Mo6\N_2]nq}6789n0^LQ<_lw{45679m1YMR=Pmtz34565l2XJS>Qbuy23455c3[KT?Rczx12341?k;SC\0Zkrp9:;<i5]A^6\ip~789:8h6\N_5]nq}6789>27_OP5^MAQCeS`{w0123`>TFW?013g?WGX=Vg~t=>?03f8VDY2Wds<=>?3e9QEZ3Xe|r;<=>;9:PB[3YHJ\Lh7_OP6^ov|5678m1YMR8Pmtz34566l2XJS;Qbuy23454c3[KT:Rczx12346b?00f8VDY?Wds<=>?2e9QEZ>Xe|r;<=>TB[=1YI^?:;SGPvc30:PP[CUJWOLo= Ga1333?WUXNZGTJKj>-Hl2746m7_]PFRO\BCb6%@d>j6\\_GQN[C@c9$Ce:k5]S^DPIZ@Al8'Bb:h4RR]EWHYANm;&Ec6i;SQ\BVKXNOn:!D`6b:PP[CUJWOLo>h5]S^DPIZ@Al;'Bbk5]S^DPIZ@Al;'Bb0028VVYA[DUMJi<"Io3255=U[VLXARHId3/Jj44682XXSK]B_GDg6(Oi9:;;7_]PFRO\BCb5%@d:8<>4RR]EWHYANm8&Ec?:119QWZ@TEVLMh?#Fn0424>TTWOYFSKHk2,Km52773[YTJ^CPFGf1)Lh608:0^^QISL]EBa4*Ag;2j6\\_GQN[C@c:$Ce>k5]S^DPIZ@Al;'Bb>h4RR]EWHYANm8&Ec:i;SQ\BVKXNOn9!D`:f:PP[CUJWOLo> Ga6g9QWZ@TEVLMh?#Fn6d8VVYA[DUMJi<"Io:e?WUXNZGTJKj=-Hl:f>TTWOYFSKHk3d9QWZ@TEVLMh>#Fng9QWZ@TEVLMh>#Fn033?WUXNZGTJKj<-Hl24c=U[VLXARHId2/Jj7`6k2XXSK]B_Nww<73>0:Pfea7zVUd~=>?0033?Wcflp:ySRa}012363=Umhnr=:5]e`fz5w`r^]lv56788;;7_kndx3q[Ziu89:;>;5]e`fz62=Umhnr>h4Rdcg}7tXWfx;<=>>0:Pfea5zVUd~=>?0033?Wcflp8ySRa}012363=Umhnr?;5]e`fz0d=UmhnrSDAMS89Qadb~W[KFo6\jae{\kw6788n0^hoky^mq45669m1Yiljv_np34575l2XnmiwPos2344513[omMne6;SgeGkr;8730^hhLnu>2:<=UmoIex1<19:PfbFhs4:427_kiCov?0;?89QacEi|5<556\jfBlw828?3[omOczP099QacEi|V;37_kiCov\6==UmoIexR=7;SgeGkrX<11YikMat^7;?WcaKg~T:55]egAmpZ15;2XnjN`{_Lcg`ZYflm:;<=QBxnp\V`urd}6:2?=4Rdd@jqYJimnTSljk0123[H~hzVXnxb{<3<17>TbnJdS@okd^]b`a6789UFtb|PRdqvhq:46;90^hhLnu]NeabXWhno<=>?_LzlvZTb{|f090=3:PfbFhsWDkohRQnde2345YJpfxT^h}zlu>6:75?01]N|jtXZly~`y28>348V``Df}UFmijP_`fg4567W[oxyazP0^llp56788;o7_kiCov\IdbcWVkoh=>?0^]bja6789;n7_kiCov\IdbcWVkoh=>?0^]bja6789;:h6\jfBlw[HgclVUjhi>?01]\ekb789;:i6\jfBlw[HgclVUjhi>?01]\ekb789;:=i5]egAmpZKflmUTmij?012\[dhc89:9=h5]egAmpZKflmUTmij?012\[dhc89:9=d:PfbFhsWDkohRQnde2345YXign;<=;>e:PfbFhsWDkohRQnde2345YXign;<=;>139QacEi|VUjhi>?01>3:442:PfbFhsWVkoh=>?0=1=57=UmoIexRQnde2345:36880^hhLnu]\eab789:793?=;SgeGkrXWhno<=>?<7<26>TbnJdSRokd1234919?2XnjIaae028V``CggoTSl`k012354=UmoNdbhQPaof34566n2XnjIaae^]lv56788:0^hhKoog\[jt789::=?5]egFlj`YdeyUn}=>?0018V``CggoTo`~Pep234576;2XnjIaae^antZcv89:;><=4RddGkkcXkdzTi|>?01127>TbnMeeiRmbp^gr4567<890^hhKoog\ghvXmx:;<=;>3:PfbAiimVif|Rk~0123245?8018V``CggoTo`~Pep2345?13[om^L]9;SgeV`w03[om^h>9:PfbPt`mg~j7_kiUsefjq7f3[omYijnu0b?Wca]{mnby=n;SgeQwabf}>=7_k|umv5?VETAJY<7^M\IBQ23>UD[@IX>:5\CRK@W61<[JYBO^:8;RAPMFU2>2YDY_MJa:Qm`WGJKZ]Yn6]adSCNGVQU9j1Xbi\NMBQTV46d3Zdo^LCLSVP25f=TfmXJAN]XR00a?VhcZHGH_Z\=b:Qm`WGJKZ]Y?o5\nePBIFUPZ=h0_cj]ALAPSW3e3Zdo^LCLSVP5f>Uil[KFO^Y]7c9PjaTFEJY\^5l4SofQEHET_[3?7Y\ZE59W]UC?3]cfib{{A028Plkbg|~JSRa}012354=SadodyyOP_np345669;1_e`k`uuC\[jt789::<?2038Plkbg|~JSRa}0123747<\`gncxzN_^mq4567<8;0XdcjotvB[Ziu89:;9?6038Plkbg|~JSRa}0123347<\`gncxzN_^mq456708;0XdcjotvB[Ziu89:;555[ilglqqDe3]cfib{{BQpf56=SadodyyL_rd]\kw6789;?7YgbenwwFUtbWVey<=>?1068Plkbg|~I\kP_np34565991_e`k`uu@\[jt789::=6ZfmdmvpGYXg{:;<=?>1:Vji`ir|KUTc>?01025>Rnele~xOQPos23455d3\YN^RXFSH@OA6=QKJ30ZDKX_U[SA6=QXHi0Z]OP_`lg4567l2\[MRQnne23457e3_ZJSRa}0123g>PWIVUd~=>?00a8RUGXWfx;<=>=c:TSEZYhz9:;<>m4VQC\[jt789:?o6X_A^]lv5678Pnl[KFO^Y]65i2a>Pnl[KFO^Y]65i1a>Pnl[KFO^Y]65i0a>Pnl[KFO^Y]65i7a>Pnl[KFO^Y]65i6a>Pnl[KFO^Y]65i5a>Pnl[KFO^Y]65i4a>Pnl[KFO^Y]65i;5a=_AECET VKB!2-5%US]K*;"<.NSBKJ0>^HZ;<0T^ZCIC58\VRXOGN<7U][_WA@2>^cjVCo==5Wdl]Neoiu^lxxeb`>0:ZgiZKnffx]i}foo48\j:76890T~z7;^cm`567801Tmcj?0122=>Yffm:;<=<7;^ov|5678:1hby<4cr18bvde3oyiSca{0123g>`tjVddx=>?00f8bvdXff~;<=>>1e9ewgYig}:;<=?=4:klfh2b:lB@jssDL::>o5aAEmvpIC79:o0bLJ`uuNF4ZIE]O30bLJ`uuNF5d=iIMe~xAK>1d9mEAir|EO:SBLZF29mEV1hF[Vddx=>?1b9mEVYig}:;<n5aAR]mkq67889h7cO\_omw4566;5aDhlOAg=iL`dGIRAMUGf8jAoiDLUd~=>?0d9m@lhKMVey<=>?1d9m@lhKMVey<=>?2d9m@lhKMVey<=>?3d9m@lhKMVey<=>?479m@lhHM>1eHd`@E058jAoiGL8<7cJfnNG0f>hCagENSBLZF69m@jssGLi0bIaztNG\KGSAn2dOcxz@E^llp56798:0bIaztNG\jjr789;:==5aDnwwK@Yig}:;<<<>0:lGkprHMVddx=>?1233?kBh}}ENSca{0122046hKLZUBCOQ@BTD`?kJC[Vkeh=>?1e9mHAUXign;<=?>d:lO@VYffm:;<<?000`?kJC[Vey<=>>3b9mHAUXg{:;<<:l;oNGWZiu89::9n5aLEQ\kw6788d3gFO_Ra}0122=1=iD^O>7cBXE0a8jIQBWhdo<=>?d:lOS@Yffm:;<=?k;oNTAZgil9:;55aOD]LFP@>3gE}ibny130?kIqm{fju=QNcmp\MKP6k2dDzh|cax2\J5763gE}ibny1]bja6789;97cAyesnb}5Yffm:;<=?>2:lLr`tkip:Tmcj?012157=iGoy`lw?_`lg4567;880bBxjrmcz4Zgil9:;<9?=;oMuawjfq9Ujbi>?01726>hH~lxgmt>Paof3456112dDzh|cax320>hH~lxgmt?PCmiFjZOI^8i0bBxjrmcz5ZH7?2dYM@BXEb9mVDKK_LUDNXH8;oPBIPQBk2dYM@[XE^MAQC20b_K\9:lQAVYNJ\L=7c\@MBQ4?kTHEJY:n6`]OLAP[JDRN?1e^BCXE69mVJKPM8h0b_ABWD]LFP@33g_O_55aUEQ\BVDc3g_O_RH\B^KAQC>?00f8jPQBWhdo<=>?2e9mQRCXign;<=>hQEHUTc>?01:g?kPJIVUd~=>?08a8jSKFWhdo<=>?d:lUIDYffm:;<=?k;oTNEZgil9:;55aVQC\BVDc3g\[MRH\B^MAQC>hPMVLXNn5aWD]EWGYNJ\L87ob8:z`=067pewKL}?=d`9CD}7fl3L1>7?tS859725=9j81=>:793d9gddasg9<<7?4n252>3=#;?l1?;o4}R;5>61428i96<=;880e>fgd92n:mi4?:082V?02:=861533k;jh7>542865?g2sA9><6*<3`82ea=]0>09w<4;:|&2e=<4;01b5?4?::m0e1<722c84o4?::m76f<722c?5<1<75`3``94?=h;j91<75`40194?=h;k81<75`3c594?=n<:;1<75`38;94?=h9l;1<7*>c482a5=i9j>1<65`1ed94?"6k<0:i=5a1b695>=h9lk1<7*>c482a5=i9j>1>65`1d;94?"6k<0:i=5a1b697>=h9l21<7*>c482a5=i9j>1865`1d594?"6k<0:i=5a1b691>=h9l<1<7*>c482a5=i9j>1:65`1d794?"6k<0:i=5a1b693>=h9l>1<7*>c482a5=i9j>1465`1d194?"6k<0:i=5a1b69=>=h9l81<7*>c482a5=i9j>1m65`1eg94?"6k<0:i=5a1b69f>=n<=0;6)?l5;60?k7d<3:07d:=:18'5f3=<:1e=n:51:9j04<72-;h97:<;o3`0?4<3`>;6=4+1b7906=i9j>1?65f3d83>!7d=3>87c?l4;68?l5c290/=n;5429m5f2==21b?n4?:%3`1?243g;h8784;h1a>5<#9j?18>5a1b693>=n;h0;6)?l5;60?k7d<3207d=6:18'5f3=<:1e=n:59:9j7=<72-;h97:<;o3`0?g<3`9<6=4+1b7906=i9j>1n65f3783>!7d=3>87c?l4;a8?l52290/=n;5429m5f2=l21b8n4?:%3`1?243g;h87k4;h6a>5<#9j?18>5a1b69b>=n3:9j03<72-;h97:<;o3`0?7332c?97>5$0a6>155<#9j?18>5a1b6953=b;6a>0`|@:?;7)=028q96p*>a9807<=n0m0;66a>4683>>i4:<0;66a<2b83>>o1<3:17d=i9;29?j75j3:17d:<0;29?j7bk3:17b<7c;29?j`>2900e<;50;&2g0<6<2d:o94?;:k27?6=,8i>6<:4n0a7>4=c4820>h6k=0976g>0;29 4e228>0bc4820>h6k=0>76gl:18'5f3=9=1e=n:56:9jf?6=,8i>6<:4n0a7>2=5<#9j?1=95a1b69e>=n?3:1(c58g?>o6l3:1(;?;ngf>5<51;294~"4;h089<5G37a8L6373f;h=7>5;|`0a4<72<0;6=u+32c9af=O;?i0D>;?;%df>5=n0j0;66g64;29?l>?2900e4m50;9l5ab=831vn>km:186>5<7s-98m7kl;I15g>N4=91/jh4?;h:`>5<1<75f8983>>o>k3:17b?kd;29?xd4m;0;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg5bk3:1>7>50z&07dt$21b>7`<@:;?;hd2>5<>oa<3:17dh::188mc0=831bj:4?::ke5;|`eg?6=;3:1N4>j1C?8>4ig394?=nn;0;66a>b083>>{e;;<1<7=50;2x 65f2;k0D>8l;I164>oa93:17dh=:188k4d62900qo==7;296?6=8r.8?l4if:J02f=O;<:0ek>50;9l5g7=831vn><7:181>5<7s-98m7hi;I15g>N4=91bj=4?::m2f4<722wi??750;194?6|,:9j6?o4H24`?M5282cm=7>5;hd1>5<N4>j1C?8>4ig394?=nn;0;66a>b083>>{e9=21<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd6<00;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg73i3:1>7>50z&07d7>5;hd0>5<N4>j1C?8>4ig394?=nn;0;66a>b083>>{e;l<1<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd4m>0;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg5b03:1>7>50z&07d29086=4?{%10e?4f3A9=o6F<519jb4<722cm>7>5;n3a5?6=3th8il4?:583>5}#;:k1>o5G37a8L6373`l:6=44ig094?=nn:0;66a>b083>>{e9ln1<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd6ml0;6>4?:1y'76g=:h1C?;m4H273?l`62900ek<50;9l5g7=831vn5<7s-98m7hi;I15g>N4=91bj=4?::m2f4<722wi=k>50;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th:j<4?:283>5}#;:k1>l5G37a8L6373`l:6=44ig094?=h9k;1<75rb0d1>5<4290;w)=>oa:3:17b?m1;29?xd6n:0;6>4?:1y'76g=9k90D>8l;I164>oa93:17dh=:188k4d62900qo?i4;297?6=8r.8?l4=a:J02f=O;<:0ek?50;9jb7<722e:n<4?::a6=b=8381<7>t$21b>c`<@:5;|`1<`<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<N4>j1C?8>4ig294?=h9k;1<75rb3;3>5<5290;w)=>i6j80;66sm28394?5=83:p(>=n:0`0?M51k2B89=5ff083>>oa:3:17b?m1;29?xd51;0;6>4?:1y'76g=:h1C?;m4H273?l`62900ek<50;9l5g7=831vn?7<:187>5<7s-98m7N4=91bj<4?::ke6?6=3`l86=44o0`2>5<7>55;294~"4;h09o6F<6b9K706>oa;3:17dh;:188k4d62900qo=93;297?6=8r.8?l4=a:J02f=O;<:0ek?50;9jb7<722e:n<4?::a732=8391<7>t$21b>60e3A9=o6F<519jb4<722cm>7>5;n3a5?6=3th8:84?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<52;294~"4;h0mj6F<6b9K7066<729q/?>o52`9K73e<@:?;7dh>:188mc4=831d=o?50;9~f60>290?6=4?{%10e?4e3A9=o6F<519jb4<722cm>7>5;hd0>5<N4>j1C?8>4ig394?=nn;0;66a>b083>>{e;:;1<7=50;2x 65f28h87E=9c:J015=nn80;66gi2;29?j7e93:17pl<3383>0<729q/?>o52b9K73e<@:?;7dh>:188mc4=831bj>4?::ke0?6=3f;i=7>5;|`076<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<N4>j1C?8>4ig294?=h9k;1<75rb216>5<5290;w)=>i6j80;66sm32494?4=83:p(>=n:gd8L60d3A9><6gi0;29?j7e93:17pl<3683>6<729q/?>o52`9K73e<@:?;7dh>:188mc4=831d=o?50;9~f65?290>6=4?{%10e?4d3A9=o6F<519jb4<722cm>7>5;hd0>5<1<75`1c394?=zj:8n6=4<:183!54i38j7E=9c:J015=nn80;66gi2;29?j7e93:17pl<2g83>6<729q/?>o51c18L60d3A9><6gi1;29?l`52900c:188yg5483:1?7>50z&07d<5i2B8:n5G3428mc7=831bj?4?::m2f4<722wi=?m50;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th:?94?:483>5}#;:k1>n5G37a8L6373`l:6=44ig094?=nn:0;66gi4;29?j7e93:17pl>3483>6<729q/?>o51c18L60d3A9><6gi1;29?l`52900c:188yg74>3:1>7>50z&07d7>5;n3a5?6=3th:?54?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<52;294~"4;h0mj6F<6b9K7063c83>6<729q/?>o52`9K73e<@:?;7dh>:188mc4=831d=o?50;9~f44c29086=4?{%10e?4f3A9=o6F<519jb4<722cm>7>5;n3a5?6=3th:>h4?:283>5}#;:k1=o=4H24`?M5282cm=7>5;hd1>5<N4>j1C?8>4ig394?=nn;0;66gi3;29?j7e93:17pl>3183>6<729q/?>o52`9K73e<@:?;7dh>:188mc4=831d=o?50;9~f45629086=4?{%10e?7e;2B8:n5G3428mc7=831bj?4?::m2f4<722wi=><50;194?6|,:9j6?o4H24`?M5282cm=7>5;hd1>5<;?;hd2>5<7h>;<107?`73ty=6=4;{_489cg=n=16?><5f39>762=n91v:4?:5y]3>;ai3l>70=<2;d0?854=3l;7p}7:187[><5ok1j;5233f9b7=:9:?1j<5rs883>0}Y127mm7h8;<106?`33498:7h?;<301?`53tyj6=4<{_c89cg=n116??j5f09~wg<72=qUn63ib;d2?851:3l970?<4;d2?xud290?wSm4=g`9b7=:;?81j<521269b7=z{m0;69uQd:?ef?`4349=>7h<;<300?`43tyn6=4;{_g89cd=n=16?;<5f59>562=n=1v<>50;5xZ46<5oh1j8523349b4=:;l<1j=5229g9b5=:;?91j<521209b4=z{881<77t^0089cd=n?16??95f19>7`1=n916=hk5f39>6=`=n916?;=5f39>57e=n916=>95f39~w45=83ipR<=4=g`9b2=:;;<1j?5215:9b5=:;l?1j?521df9b5=:9lo1j<522839b4=:;?>1j?5212:9b5=:9:k1j<5212`9b4=z{8?1<77t^0789cd=n116??65f19>51g=n916?h65f19>5c6=n916>4>5f19>733=n916=>=5f09~w4e=839pR767=n;1v;:50;1xZ32<5:oh6k>4=2g6>c7vPi9:?eg?7e92wxjl4?:3y>bd<6j816jn4i1:pbg<72;q6jo4>b09>bf2e83>7}:9;n1=o?4=00f>c452z?26`<6j816=?h5f09~w44a2909w0?=f;3a5>;6;90m>6s|12294?4|589;6;<305?`53ty:?<4?:3y>567=9k;01<==:g08yv74:3:1>v3>3382f4=:9:91j?5rs017>5<5s4;887?m1:?275;50;0x945228h:70?=f;d1?xu6;?0;6?u212495g7<589i6k<4}r303?6=:r7:?:4>b09>57b=n81v<=7:18187403;i=63>2e8e6>{t9:31<74d634;9j7h<;|q27d<72;q6=>o51c3894562o;0q~?<6=4={_373>;65<5s4;?47?m1:?20g28h:70?;b;d2?xu6i6k=4}r3ba?6=:r78:i4je:?0ag<><2wx=lh50;0x960b28i:70=j1;;`?xu6mj0;6?uQ1da894`328h:7p}>ee83>7}:9ln1=o?4=0d2>c452z?2a`<6j816=k<5f09~w4ca2909w0?jf;3a5>;6n;0m>6s|1g294?4|58l;6;<3e0?`53ty:j<4?:3y>5c7=9k;01v3>f382f4=:9o91j?5rs0d0>5<5s4;m?7?m1:?2b15m50;0xZ7>d3482?7?m1:p6=b=838p1?6k:0`2?84>93l97p}=8d83>7}::1o1=o?4=3;0>c752z?14<5f09~w7?72909w0<60;3a5>;51;0m>6s|28394?4|5;3:6;<0:7?`53ty95?4?:3y>6<4=9k;01?7<:g18yv55=3:1>vP<249>77g=9k;0q~==6;296~;4:?0:n<5233c9b4=z{:8<6=4={<113?7e9278>44i1:p77>=838p1><7:0`2?85513l97p}<2883>7}:;;31=o?4=20b>c452z\06f=:;::1=o?4}r11`?6=:r78>i4>b09>77c=n81v>{t;;l1<74d63498<7h=;|q074<72;q6?>?51c3896502o80q~=<2;296~;4;;0:n<5233d9b4=z{:986=4={<107?7e9278?54i1:p762=838p1>=;:0`2?85403l97p}<3483>7}:;:?1=o?4=21;>c552z?073<6j816?>65f59~w6502909w0=<7;3a5>;4:l0m>6s|32:94?4|5:936;<11b?`53ty8:<4?:3y>73?=9k;01>=8:g38yv51:3:1>v3<6382f4=:;?31j?5rs240>5<5s49=?7?m1:?02=<0;6?u237795g7<5:<<6k<4}r152?6=:r78:;4>b09>73?=n:1v>88:181851?3;i=63<698e6>{t;?21<74d6349=57h>;|q0a4<72;q6?h?51ef896ce20i0q~=j3;296~;4m802863d349nm7?m1:p7`3=838p1>k::0`2?85bi3l97p}7}:;l<1=o?4=2g:>c752z?0a2<6j816?h75f39~w6c?2909w0=j8;3a5>;4mh0m?6s|3d;94?4|5:o26;<1fe?`63ty8io4?:6y>7`d=9mn01;4m;0m<6s|42294?2|V=9;70?;9;d3?851>3l;70?<9;d3?x{e<:91<7=;:b:9a2}O;<:0(>=n:0ga?_>02;q:6>4r$0c;>65>3`2o6=44i23e>5<5<5<5<=h9ml1<7*>c482a5=i9j>1=65`1dc94?"6k<0:i=5a1b696>=h9l31<7*>c482a5=i9j>1?65`1d:94?"6k<0:i=5a1b690>=h9l=1<7*>c482a5=i9j>1965`1d494?"6k<0:i=5a1b692>=h9l?1<7*>c482a5=i9j>1;65`1d694?"6k<0:i=5a1b69<>=h9l91<7*>c482a5=i9j>1565`1d094?"6k<0:i=5a1b69e>=h9mo1<7*>c482a5=i9j>1n65f1383>!7d=3;:7c?l4;28?l77290/=n;5109m5f2=921bi7>5$0a6>47!7d=3;:7c?l4;18?le=83.:o84>1:l2g1<332ci6=4+1b7954=i9j>1965fa;29 4e228;0b;o3`0?1<3`21<7*>c4825>h6k=0376g8:18'5f3=981e=n:59:9j2?6=,8i>6d=;o3`0?b<3`;i6=4+1b7954=i9j>1i65f1`83>!7d=3;:7c?l4;d8?l7>290/=n;5109m5f2=9910e<650;&2g0<692d:o94>1:9j52<72-;h97?>;o3`0?7532c::7>5$0a6>47c5820>=e;?n1<7?50;2x 65f2ll0D>8l;I164>ibm3:17pl=0c83>0<729q/?>o5eb9K73e<@:?;7)hj:19j5;h:;>5<5;|`53?6=;3:1N4>j1C?8>4i9:94?=n1j0;66a>de83>>{e:8k1<7=50;2x 65f2lh0D>8l;I164>"am3:0e5650;9j=f<722e:hi4?::a67e=8391<7>t$21b>`d<@:>i6lm0;66sm1g494?5=83:p(>=n:d`8L60d3A9><6*ie;28m=>=831b5n4?::m2`a<722wi?4i9:94?=n1j0;66a>de83>>{e;0n1<7=50;2x 65f2lh0D>8l;I164>o?03:17d7l:188k4bc2900qo<64;297?6=8r.8?l4jb:J02f=O;<:0(kk50:k;5<53;294~"4;h0nn6F<6b9K706<,oo1<6g78;29?l?d2900c50z&07d5;h;`>5<N4>j1C?8>4ig294?=h9k;1<75rb3f0>5<4290;w)=N4>j1C?8>4ig394?=nn;0;66a>b083>>{e<9i1<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd4l>0;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg5ak3:1>7>50z&07d=8381<7>t$21b>c`<@:5;|`15<<72:0;6=u+32c96d=O;?i0D>;?;hd2>5<b083>>{e:j<1<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd5k>0;6>4?:1y'76g=:h1C?;m4H273?l`62900ek<50;9l5g7=831vn>7j:181>5<7s-98m7hi;I15g>N4=91bj=4?::m2f4<722wi?kh50;194?6|,:9j6N4=91bj<4?::ke6?6=3f;i=7>5;|`0``<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<;?;hd2>5<b083>>{e<9l1<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd3990;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg2693:197>50z&07d<5k2B8:n5G3428mc7=831bj?4?::ke7?6=3`l?6=44o0`2>5<52;294~"4;h0mj6F<6b9K706b083>>{e;mh1<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd4lj0;684?:1y'76g=:j1C?;m4H273?l`62900ek<50;9jb6<722cm87>5;n3a5?6=3th98l4?:283>5}#;:k1>l5G37a8L6373`l:6=44ig094?=h9k;1<75rb36a>5<5290;w)=>i6j80;66sm25a94?5=83:p(>=n:3c8L60d3A9><6gi1;29?l`52900c:188yg43l3:1?7>50z&07d<6j:1C?;m4H273?l`62900ek<50;9l5g7=831vn?;=:180>5<7s-98m7N4=91bj<4?::ke6?6=3f;i=7>5;|`116<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<N4>j1C?8>4ig394?=nn;0;66a>b083>>{e:6<729q/?>o52`9K73e<@:?;7dh>:188mc4=831d=o?50;9~f73>29096=4?{%10e?`a3A9=o6F<519jb5<722e:n<4?::a60g=8391<7>t$21b>7g<@:5<n7>53;294~"4;h0:n>5G37a8L6373`l:6=44ig094?=h9k;1<75rb37f>5<4290;w)=>oa:3:17b?m1;29?xd5=o0;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg4183:1?7>50z&07d<5i2B8:n5G3428mc7=831bj?4?::m2f4<722wi>;?50;194?6|,:9j6N4=91bj<4?::ke6?6=3f;i=7>5;|`121<72:0;6=u+32c96d=O;?i0D>;?;hd2>5<6=4=:183!54i3lm7E=9c:J015=nn90;66a>b083>>{e:?<1<7=50;2x 65f2;k0D>8l;I164>oa93:17dh=:188k4d62900qo<97;297?6=8r.8?l4>b29K73e<@:?;7dh>:188mc4=831d=o?50;9~f70f29086=4?{%10e?4f3A9=o6F<519jb4<722cm>7>5;n3a5?6=3th9:o4?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<53;294~"4;h09m6F<6b9K706>i6j80;66sm27f94?5=83:p(>=n:0`0?M51k2B89=5ff083>>oa:3:17b?m1;29?xd5?90;6>4?:1y'76g=:h1C?;m4H273?l`62900ek<50;9l5g7=831vn?9>:181>5<7s-98m7hi;I15g>N4=91bj=4?::m2f4<722wi>:<50;194?6|,:9j6?o4H24`?M5282cm=7>5;hd1>5<;?;hd2>5<7<729q/?>o5fg9K73e<@:?;7dh?:188k4d62900qo<88;297?6=8r.8?l4=a:J02f=O;<:0ek?50;9jb7<722e:n<4?::a62?=8391<7>t$21b>4d43A9=o6F<519jb4<722cm>7>5;n3a5?6=3th9;n4?:283>5}#;:k1>l5G37a8L6373`l:6=44ig094?=h9k;1<75rb35g>5<5290;w)=>i6j80;66sm26g94?5=83:p(>=n:3c8L60d3A9><6gi1;29?l`52900c:188yg40n3:1?7>50z&07d<6j:1C?;m4H273?l`62900ek<50;9l5g7=831vn?6=:180>5<7s-98m7N4=91bj<4?::ke6?6=3f;i=7>5;|`1<6<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<N4>j1C?8>4ig394?=nn;0;66a>b083>>{e:1?1<7=50;2x 65f28h87E=9c:J015=nn80;66gi2;29?j7e93:17pl=bc83>6<729q/?>o51c18L60d3A9><6gi1;29?l`52900c:188yg4el3:1?7>50z&07d<5i2B8:n5G3428mc7=831bj?4?::m2f4<722wi>ok50;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th9nk4?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<55;294~"4;h09o6F<6b9K706>oa;3:17dh;:188k4d62900qob29K73e<@:?;7dh>:188mc4=831d=o?50;9~f7e529086=4?{%10e?4f3A9=o6F<519jb4<722cm>7>5;n3a5?6=3th9o>4?:283>5}#;:k1=o=4H24`?M5282cm=7>5;hd1>5<N4>j1C?8>4ig394?=nn;0;66a>b083>>{e:ki1<7=50;2x 65f28h87E=9c:J015=nn80;66gi2;29?j7e93:17pl=a783>7<729q/?>o5fg9K73e<@:?;7dh?:188k4d62900qob29K73e<@:?;7dh>:188mc4=831d=o?50;9~f7d529096=4?{%10e?`a3A9=o6F<519jb5<722e:n<4?::a6g5=8391<7>t$21b>7g<@:5<52;294~"4;h0mj6F<6b9K7066=4=:183!54i3lm7E=9c:J015=nn90;66a>b083>>{e:k<1<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd5j>0;6>4?:1y'76g=:h1C?;m4H273?l`62900ek<50;9l5g7=831vn?l7:181>5<7s-98m7hi;I15g>N4=91bj=4?::m2f4<722wi>l950;194?6|,:9j6?o4H24`?M5282cm=7>5;hd1>5<N4>j1C?8>4ig394?=nn;0;66a>b083>>{e:h31<7=50;2x 65f2;k0D>8l;I164>oa93:17dh=:188k4d62900qot$21b>4d43A9=o6F<519jb4<722cm>7>5;n3a5?6=3th9mn4?:283>5}#;:k1>l5G37a8L6373`l:6=44ig094?=h9k;1<75rb3cg>5<4290;w)=N4>j1C?8>4ig394?=nn;0;66a>b083>>{e:ho1<7=50;2x 65f28h87E=9c:J015=nn80;66gi2;29?j7e93:17pl=ag83>6<729q/?>o52`9K73e<@:?;7dh>:188mc4=831d=o?50;9~f7d7290?6=4?{%10e?7e<2B8:n5G3428mc7=831bj?4?::ke7?6=3f;i=7>5;|`1=3<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<N4>j1C?8>4ig294?=h9k;1<75rb3;g>5<4290;w)=>oa:3:17b?m1;29?xd51l0;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg4>n3:1?7>50z&07d<5i2B8:n5G3428mc7=831bj?4?::m2f4<722wi>l>50;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th9m<4?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<7>52;294~"4;h0mj6F<6b9K706>i6j80;66sm28594?5=83:p(>=n:3c8L60d3A9><6gi1;29?l`52900c:188yg4>03:1?7>50z&07d<5i2B8:n5G3428mc7=831bj?4?::m2f4<722wi>4750;194?6|,:9j6?o4H24`?M5282cm=7>5;hd1>5<N4>j1C?8>4ig394?=nn;0;66gi3;29?j7e93:17pl=9c83>1<729q/?>o51c68L60d3A9><6gi1;29?l`52900ek=50;9l5g7=831vn5<7s-98m7hi;I15g>N4=91bj=4?::m2f4<722wi=o750;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th:nl4?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<52;294~"4;h0mj6F<6b9K7065<7s-98m7?m3:J02f=O;<:0ek?50;9jb7<722e:n<4?::a67c=8391<7>t$21b>7g<@:5<52;294~"4;h0mj6F<6b9K706:6=4=:183!54i3lm7E=9c:J015=nn90;66a>b083>>{e:=81<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd5<:0;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg43<3:1>7>50z&07dt$21b>c`<@:5;|`102<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<N4>j1C?8>4ig294?=h9k;1<75rb313>5<5290;w)=>i6j80;66sm22394?5=83:p(>=n:3c8L60d3A9><6gi1;29?l`52900c:188yg44:3:1?7>50z&07d<5i2B8:n5G3428mc7=831bj?4?::m2f4<722wi>>=50;194?6|,:9j6?o4H24`?M5282cm=7>5;hd1>5<N4>j1C?8>4ig394?=nn;0;66gi3;29?j7e93:17pl=3483>6<729q/?>o51c18L60d3A9><6gi1;29?l`52900c:188yg44>3:1?7>50z&07d<6j:1C?;m4H273?l`62900ek<50;9l5g7=831vn?=8:180>5<7s-98m7?m3:J02f=O;<:0ek?50;9jb7<722e:n<4?::a66>=8391<7>t$21b>7g<@:5<53;294~"4;h09m6F<6b9K706>i6j80;66sm22`94?5=83:p(>=n:3c8L60d3A9><6gi1;29?l`52900c:188yg44k3:1?7>50z&07d<6j:1C?;m4H273?l`62900ek<50;9l5g7=831vn?=k:180>5<7s-98m7N4=91bj<4?::ke6?6=3f;i=7>5;|`17`<72:0;6=u+32c95g5<@:5<53;294~"4;h09m6F<6b9K706>i6j80;66sm25294?5=83:p(>=n:0`0?M51k2B89=5ff083>>oa:3:17b?m1;29?xd6n10;6>4?:1y'76g=9k90D>8l;I164>oa93:17dh=:188k4d62900qo50;9l5g7=831vn?>;:181>5<7s-98m7hi;I15g>N4=91bj=4?::m2f4<722wi>=;50;194?6|,:9j6?o4H24`?M5282cm=7>5;hd1>5<N4>j1C?8>4ig294?=h9k;1<75rb324>5<5290;w)=>i6j80;66sm21:94?4=83:p(>=n:gd8L60d3A9><6gi0;29?j7e93:17pl=0883>1<729q/?>o52c9K73e<@:?;7dh>:188mc4=831bj>4?::m2f4<722wi>=o50;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th:j44?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<54;294~"4;h0:n95G37a8L6373`l:6=44ig094?=nn:0;66a>b083>>{e9oh1<7=50;2x 65f2;k0D>8l;I164>oa93:17dh=:188k4d62900qo?ic;290?6=8r.8?l4=b:J02f=O;<:0ek?50;9jb7<722cm?7>5;n3a5?6=3th:ji4?:283>5}#;:k1>l5G37a8L6373`l:6=44ig094?=h9k;1<75rb0df>5<4290;w)=>oa:3:17b?m1;29?xd6no0;6>4?:1y'76g=9k90D>8l;I164>oa93:17dh=:188k4d62900qob29K73e<@:?;7dh>:188mc4=831d=o?50;9~f76629086=4?{%10e?4f3A9=o6F<519jb4<722cm>7>5;n3a5?6=3th95}#;:k1=o:4H24`?M5282cm=7>5;hd1>5<b083>>{e;o>1<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd4n<0;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg5a>3:187>50z&07d<6j=1C?;m4H273?l`62900ek<50;9jb6<722e:n<4?::a7c1=8391<7>t$21b>7g<@:5<53;294~"4;h09m6F<6b9K706>i6j80;66sm21d94?2=83:p(>=n:3`8L60d3A9><6gi1;29?l`52900ek=50;9l5g7=831vn???:181>5<7s-98m7hi;I15g>N4=91bj=4?::m2f4<722wi>5;n3a5?6=3th9=?4?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<52;294~"4;h0mj6F<6b9K7065<7s-98m7?m3:J02f=O;<:0ek?50;9jb7<722e:n<4?::a640=8391<7>t$21b>7g<@:5<53;294~"4;h0:n>5G37a8L6373`l:6=44ig094?=h9k;1<75rb33`>5<3290;w)=>oa:3:17dh<:188k4d62900qo<=4;297?6=8r.8?l4=a:J02f=O;<:0ek?50;9jb7<722e:n<4?::a673=8381<7>t$21b>c`<@:5;|`163<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<N4>j1C?8>4ig294?=h9k;1<75rb30;>5<5290;w)=>i6j80;66sm23;94?4=83:p(>=n:gd8L60d3A9><6gi0;29?j7e93:17pl=2`83>7<729q/?>o5fg9K73e<@:?;7dh?:188k4d62900qo<=b;296?6=8r.8?l4if:J02f=O;<:0ek>50;9l5g7=831vn??k:180>5<7s-98m7?m3:J02f=O;<:0ek?50;9jb7<722e:n<4?::a64c=8391<7>t$21b>7g<@:5<53;294~"4;h09m6F<6b9K706>i6j80;66sm23294?2=83:p(>=n:0`7?M51k2B89=5ff083>>oa:3:17dh<:188k4d62900qo<=1;290?6=8r.8?l4=b:J02f=O;<:0ek?50;9jb7<722cm?7>5;n3a5?6=3th9>?4?:283>5}#;:k1=o=4H24`?M5282cm=7>5;hd1>5<N4>j1C?8>4ig394?=nn;0;66a>b083>>{t=3:1>vP:;<07g?`63ty=6=4={_4897332o;0q~950;0xZ2=::7}Y0279:=4i1:p=?6=:rT270<96;d2?xuf2909wSo4=34`>c7624=n81vn4?:3y]g>;5?10m=6s|d;296~Xc348;|qe>5<5sWl01?:n:g08yv772909wS??;<0;6?`53ty::7>52z\22>;5=;0m>6s|1683>7}Y9>16>865f39~w4>=838pR<64=37f>c4a;296~X6i279:l4i2:p5g<72;qU=o522629b7=z{8i1<7;58k02o63>b78:g>;5jm0m>63=c18e5>;5j;0m<63=b28e6>;5j10m<63=9g8e6>;6jk0m<63=388e4>;5;58:0m<63=048e5>;59:0m<63=268e4>{t>>0;68u26682`a=::k>1j=522579b5=::9<1j=5220f9b4=z{1n1<7mt^9f8976e2120167e=0116=k85899>74e=0116>4:5899>6d2=0116>o75899>733?7p}>b783>2}:9k<1=ij4=3`f>c6<5;k;6k>4=360>c6<58lj6k<4=32g>c4<5;8?6k?4}r3a3?6=:r7:n;47c:?2fa<6j81vbb8e6>{t9k31<74d634;io7h<;|q2fd<72;q6=oo51c3894dd2o>0q~?mb;296~;6jk0:n<521cf9b7=z{8hh6=4={<3ag?7e927:ni4i1:p5ac=838pRe083>6}Ym2T:i<522969b4=z{8o96=4={_3f6>;5=<0:n<5rs0g0>5<5sW;n?63=5c82f4=z{8o?6=4={_3f0>;5>80:n<5rs0g6>5<5sW;n963=6682f4=z{8o=6=4={_3f2>;5>m0:n<5rs0g4>5<5sW;n;63=7282f4=z{8o36=4={_3f<>;5?00:n<5rs0g:>5<5sW;n563=7g82f4=z{8oj6=4={_3fe>;50<0:n<5rs0g`>5;5jm0m=63=b48e4>;51o0m=63>bb8e5>;5<=0m<63=468e4>;5;:0m=63=058e4>;58>0m<63;59=0m=63=258e6>;5:?0m<6s|1g794?2|5;;26;<625?`6349oo7h>;<1e0?`73ty:j;4?:3y>5c0=9mn01??7:g28yv7a?3:1>v3>f78:g>;58;0:n<5rs0d;>5<5s4;m47?m1:?14528h:70?ic;d0?xu6nh0;6?u21gc95g7<58lo6k<4}r3ef?6=:r7:jo4>b09>5cc=n;1v{t9on1<74d634;mj7h>;|q2b`<72;q6=kk51c3894`a2o80q~?if;296~;6no0:n<522129b7=z{;:;6=4={<034?7e9279<<4i1:p657=838p1?>>:0`2?847:3l97p}=0283>7}::991=o?4=0db>c752z?141<6j816=ko5f29~w7622909w0;6nl0m=6s|21494?4|5;:=6;<3ef?`63ty9<:4?:3y>651=9k;01v3=0982f4=::9;1j?5rs32:>5<5s48;57?m1:?147=o50;0x976f28h:70?ic;d2?xu58k0;6?u221`95ab<5;;<6k>4}r03g?6=:r79k:181847l3;i=63=178e5>{t:9l1<74d6348:97h>;|q155<72;q6><>51c3897732o80q~<>1;296~;5980:n<522069b6=z{;;96=4={<026?7e9279=94i4:p645=838p1??<:0`2?847m3l97p}=1583>7}::8>1=o?4=336>c452z?150<6j816><85f39~w7712909w0<>6;3a5>;58l0m=6s|20594?4|5;;<6;<02=?`63ty9=54?:3y>64>=9k;01??6:g08yv46i3:1>v3=1`82`a=::m91j<5rs33a>5<5s48:m77l;<017?7e92wx>b09>677=n:1v??i:181846n3;i=63=218e5>{t:;:1<74d63489=7h=;|q164<72;q6>??51c3897452o80q~<=2;296~;5:;0:n<522319b4=z{;8?6=4={<010?7e9279>=4i2:p673=838p1?<::0`2?846l3l97p}=2783>7}::;<1=o?4=33e>c452z?162<6j816>?>5f29~w74?2909w0<=8;3a5>;5:80m=6s|23;94?4|5;826;<02a?`63ty9>l4?:3y>67g=9k;01??j:g08yv45j3:1>v3=2c82f4=::;91j?5rs30`>5<6>r79>n4>de9>7d4=n916>9o5f09>61d=n916>8<5f09>605=n916>865f09>60?=n916>8k5f09>60`=n916>;:5f09>633=n916>;o5f09>63d=n916>:>5f09>627=n916>:85f09>621=n916>:m5f09>62b=n916>5<5f09>6=5=n91v?{t:;o1<74d63488=7h>;|q16c<72;q6>?h51c38975c2o80q~<<0;296~;5;90:n<5222d9b7=z{;9:6=4={<005?7e9279?;4i1:p664=838p1?==:0`2?844m3l:7p}=3283>7}:::91=o?4=314>c752z?171<6j816>>95f39~w7522909w0<<5;3a5>;5;10m>6s|22494?4|5;9=6;<00e?`53ty9?:4?:3y>661=9k;01?=m:g08yv4403:1>v3=3982f4=:::i1j?5rs31:>5<5s48857?m1:?174>o50;0x975f28h:70<;0;d2?xu5;k0;6?u222`95g7<5;9h6k?4}r00g?6=:r79?n4>b09>66b=n81v?=k:181844l3;i=63=3d8e6>{t::o1<74d63488j7h>;|q17c<72;q6>>h51c3897272o80q~<;1;296~;5<80:n<522249b7=z{;>96=4={<076?7e9279??4i2:p615=838p1?:<:0`2?844;3l97p}=4583>7}::=>1=o?4=317>c752z?100<6j816>>:5f29~w7212909w0<;6;3a5>;5;<0m=6s|25594?4|5;><6;<001?`53ty9844?:3y>61g=9k;01?:k:g38yv43i3:1>v3=4c82f4=::=i1j?5rs36a>5<5s48?o7?m1:?10a8?50;0x973528h:70<:5;d2?xu5=;0;6?u224195g7<5;??6k<4}r067?6=:r79994>b09>603=n;1v?;8:18184203;i=63=5c8e5>{t:<21<74d6348>m7h=;|q11<<72;q6>8o51c38973e2o80q~<:d;296~;5=l0:n<522739b4=z{;?n6=4={<06b?7e9279:=4i2:p60`=838p1?8?:0`2?84193l97p}=6283>7}::?>1=o?4=344>c752z?120<6j816>;85f39~w7022909w0<96;3a5>;5>>0m>6s|27;94?4|5;;<05`?`63ty9:l4?:3y>63d=9k;01?8l:g08yv41j3:1>v3=6b82f4=::?n1j?5rs34e>5<5s48<<7?m1:?136:>50;0x971628h:70<82;d1?xu5?80;6?u226095g7<5;=86k<4}r041?6=:r79;;4>b09>62?=n81v?99:181840?3;i=63=798e6>{t:>=1<74d6348<57h=;|q13g<72;q6>:m51c38971a2o;0q~<8c;296~;5?m0:n<5226g9b7=z{;=o6=4={<04a?7e9279;k4i2:p6=7=838p1?6=:0`2?84?=3l:7p}=8383>7}::191=o?4=3:7>c452z?1<1<6j816>5;5f39~w7>d2909wS<7c:?0bf4:50;3284><3;oh63=c78e4>;5jk0m>63=c18e7>;5j80m=63=b68e6>;51l0m<63=988e5>;6jh0m<63=2d8e6>;5;90m<63=098e4>;6nj0m>63=0g8e5>;5990m<63=1b8e5>;5:10m<6s|28794?4|5;3?64m4=3;a>4d63ty95;4?:3y>6<0=9k;01?o<:g38yv4>?3:1>v3=9682f4=::0h1j<5rs3;;>5<5s48247?m1:?1=d4750;0x97?>28h:70<6b;d1?xu51h0;6?u228c95g7<5;3i6k=4}r0:g?6=:r795n4>b09>6d5=n;1v?7k:18184>l3;i=63=968e5>{t:0o1<74d63482;7h=;|q1=c<72;q6>4h51c3897?f2o80q~i3l87p}=a283>7}::h91=o?4=3;:>c4515y>6d2=9mn01?m8:g3897de2o;01?m?:g6897d12o:01?o8:g3897?12o:01?7k:g3897g62o:012o801?>n:g28976a2o801??>:g28977d2o801?<6:g28yv4f=3:1>v3=a58:g>;5j90:n<5rs3c5>5<5s48j:7?m1:?1e2l950;0x97g028h:70b09>6dd=n;1v?on:18184fi3;i=63=b18e7>{t:hh1<74d6348jo7h>;|q1ef<72;q6>lm51c3897gc2o80q~7}::k;1=o?4=3cf>c77>52z?1f7<6j816>l65f39~w7d42909w0;5i00m=6s|2c694?4|5;h?6;<0b=?`53ty9n84?:3y>6g3=9k;01?ol:g08yv4e>3:1>v3=b782f4=::hl1j?5rs3`4>5<5s48i;7?m1:?1edo650;0x97d?28h:704bc348ij7h?;<0b2?`7348i=7h=;<0:g?`73482h7h=;<0b6?`734;i47h?;<076?`73488m7h>;<3e6g?=1j16>om51c38yv4ej3:1>v3=bc82f4=::j91j<5rs3`g>5<5s48ih7?m1:?1g7ok50;0x97db28h:70b09>6ge=n;1v?m>:18184d93;i=63=c38e6>{t:j81<74d6348h?7h=;|q1g6<72;q6>n=51c3897e32o;0q~6=4={<0`2?7e9279o:4i2:p6f>=838pR?m7;<0g7?7e92wx>nk50;0x97eb28h:70uQ2d28967d28no70=i5;d3?xu49m0;68uQ30f897d42o;01?=;:g0897622o801?<::g28yv56n3:1ovP<1g9>6f6=n;16>n?5f09>6g1=n816>l65f09>6dd=n816>?k5f09>66>=n816>=75f09>5cb=n816>=j5f09>67d=n91v>98:181856k33h70{t;1k1<78t^2:b?85an3l970=kf;d2?827m3l;70=k9;d3?85a>3l97p}<9e83>7}Y;0n01>7k:0fg?xu41o0;6?u238f9<==:;0o1=o?4}r1b5?6=:r785i46c:?0e7<6j81v>m?:181[5d8278ho4i0:p7a0=838pR>j9;<1g3?7e92wx?i650;0x96b02o:01>jl:0`2?xu4l00;6?u23e;95g7<5:nh6k<4}r1ge?6=:r78hl4>b09>7ae=n:1v>jm:18185cj3;i=63{t;mn1<7;<1gb?`53ty8j?4?:3y]7c4<5:l<6;|q0b6<72;q6?k=51c3896`12o;0q~=i4;296~;4n=0:n<523g49b6=z{:l>6=4={<1e1?7e9278j:4i2:p7c0=838p1>h9:0`2?85a?3l:7p}7}Y;oh01>hl:0`2?xu4nl0;6?uQ3gg896`a28h:7p};0083>7}Y<9;019??:g28yv27j3:1>vP;0c9>05e=9k;0q~:?d;296~;38j0m<63;1082f4=z{=:n6=4={<63a?7e927?=<4i2:p05`=838p19>i:0`2?82693l87p};1183>7}:<8:1=o?4=532>c28<7>55z\775=:;ol1j<523eg9b5=:<9l1j=523ec9b5=zuk93<7>512851?0asA9><6*<3`826d=#9h21?>74i9f94?=n98?1<75f15594?=n99:1<75f39c94?=h>10;66g94;29?j73k3:17d=l0;29?l75j3:17b?:9;29?l2483:17d:?1;29?j74k3:17bt$21b>``<@:5}#;:k1in5G37a8L6373`2h6=44i8694?=n010;66g6c;29?j7cl3:17pl98;291?6=8r.8?l4jc:J02f=O;<:0e5m50;9j=1<722c347>5;h;`>5<N4>j1C?8>4$gg94>o?k3:17d7;:188m=>=831b5n4?::m2`a<722wi>:4?:483>5}#;:k1in5G37a8L6373`2h6=44i8694?=n010;66g6c;29?j7cl3:17pl69;297?6=8r.8?l4jb:J02f=O;<:0e5650;9j=f<722e:hi4?::a5dd=8391<7>t$21b>`d<@:5<4b83>6<729q/?>o5ec9K73e<@:?;7d67:188m5<2290;w)=>o><3:17d67:188m6=4?{%10e?cd3A9=o6F<519j5;h:;>5<?2900e4m50;9l5ab=831vn5<7s-98m7kl;I15g>N4=91b4n4?::k:0?6=3`236=44i8a94?=h9mn1<75rb060>5<2290;w)=>o><3:17d67:188m6=4?{%10e?cd3A9=o6F<519j5;h:;>5<=n:3c8L60d3A9><6gi1;29?l`52900c:188yg73=3:1>7>50z&07d;?;hd2>5<b083>>{e9>81<7=50;2x 65f2;k0D>8l;I164>oa93:17dh=:188k4d62900qo<6:180>5<7s-98m7?m3:J02f=O;<:0ek?50;9jb7<722e:n<4?::a5ag=8381<7>t$21b>c`<@:5;|`2`g<72:0;6=u+32c96d=O;?i0D>;?;hd2>5<7<729q/?>o5fg9K73e<@:?;7dh?:188k4d62900qo=m5;297?6=8r.8?l4=a:J02f=O;<:0ek?50;9jb7<722e:n<4?::a50d=8391<7>t$21b>7g<@:5<o7>52;294~"4;h0mj6F<6b9K7065d83>6<729q/?>o51c18L60d3A9><6gi1;29?l`52900c:188yg74m3:1?7>50z&07d<5i2B8:n5G3428mc7=831bj?4?::m2f4<722wi=>h50;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th:8=4?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<53;294~"4;h09m6F<6b9K706>i6j80;66sm15094?5=83:p(>=n:0`0?M51k2B89=5ff083>>oa:3:17b?m1;29?xd61l0;6>4?:1y'76g=:h1C?;m4H273?l`62900ek<50;9l5g7=831vn<7i:181>5<7s-98m7hi;I15g>N4=91bj=4?::m2f4<722wi=l>50;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th:m<4?:283>5}#;:k1>l5G37a8L6373`l:6=44ig094?=h9k;1<75rb0c1>5<4290;w)=N4>j1C?8>4ig394?=nn;0;66a>b083>>{e9ji1<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd6l80;6>4?:1y'76g=9k90D>8l;I164>oa93:17dh=:188k4d62900qo?k2;297?6=8r.8?l4=a:J02f=O;<:0ek?50;9jb7<722e:n<4?::a5a5=8381<7>t$21b>c`<@:5;|`2`1<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<N4>j1C?8>4ig394?=nn;0;66a>b083>>{e9m<1<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd6l>0;6>4?:1y'76g=:h1C?;m4H273?l`62900ek<50;9l5g7=831vn5<7s-98m7N4=91bj<4?::ke6?6=3f;i=7>5;|`2ga<72:0;6=u+32c96d=O;?i0D>;?;hd2>5<>i6j80;66sm1bd94?5=83:p(>=n:3c8L60d3A9><6gi1;29?l`52900c:188yg7c83:187>50z&07d<6j=1C?;m4H273?l`62900ek<50;9jb6<722e:n<4?::a5f>=8391<7>t$21b>7g<@:5<53;294~"4;h0:n>5G37a8L6373`l:6=44ig094?=h9k;1<75rb3af>5<5290;w)=>i6j80;66sm2e194?4=83:p(>=n:gd8L60d3A9><6gi0;29?j7e93:17pl=d983>6<729q/?>o52`9K73e<@:?;7dh>:188mc4=831d=o?50;9~f7b>290?6=4?{%10e?7e<2B8:n5G3428mc7=831bj?4?::ke7?6=3f;i=7>5;|`20`<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<;?;hd2>5<5383>7<729q/?>o5fg9K73e<@:?;7dh?:188k4d62900qo?:3;296?6=8r.8?l4if:J02f=O;<:0ek>50;9l5g7=831vn<;;:180>5<7s-98m7N4=91bj<4?::ke6?6=3f;i=7>5;|`210<72:0;6=u+32c96d=O;?i0D>;?;hd2>5<>i6j80;66sm14594?5=83:p(>=n:3c8L60d3A9><6gi1;29?l`52900c:188yg73n3:1?7>50z&07d<6j:1C?;m4H273?l`62900ek<50;9l5g7=831vn<86:180>5<7s-98m7N4=91bj<4?::ke6?6=3f;i=7>5;|`22d<72:0;6=u+32c95g5<@:5<52;294~"4;h0mj6F<6b9K706b083>>{e9?n1<7=50;2x 65f2;k0D>8l;I164>oa93:17dh=:188k4d62900qo?9e;290?6=8r.8?l4=b:J02f=O;<:0ek?50;9jb7<722cm?7>5;n3a5?6=3th::=4?:283>5}#;:k1>l5G37a8L6373`l:6=44ig094?=h9k;1<75rb042>5<5290;w)=>i6j80;66sm17094?4=83:p(>=n:gd8L60d3A9><6gi0;29?j7e93:17pl>6283>7<729q/?>o5fg9K73e<@:?;7dh?:188k4d62900qo?94;297?6=8r.8?l4=a:J02f=O;<:0ek?50;9jb7<722e:n<4?::a533=8391<7>t$21b>4d43A9=o6F<519jb4<722cm>7>5;n3a5?6=3th::;4?:583>5}#;:k1>o5G37a8L6373`l:6=44ig094?=nn:0;66a>b083>>{e?k0;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg1d29096=4?{%10e?`a3A9=o6F<519jb5<722e:n<4?::a3a<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<5<5290;w)=>i6j80;66sm7g83>0<729q/?>o52b9K73e<@:?;7dh>:188mc4=831bj>4?::ke0?6=3f;i=7>5;|`;4?6=;3:1;?;hd2>5<b083>>{e9k31<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd6jh0;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg7ej3:1>7>50z&07d7>5;n3a5?6=3th:ni4?:283>5}#;:k1>l5G37a8L6373`l:6=44ig094?=h9k;1<75rb0`f>5<4290;w)=N4>j1C?8>4ig394?=nn;0;66a>b083>>{e9kl1<7=50;2x 65f2;k0D>8l;I164>oa93:17dh=:188k4d62900qo?l0;297?6=8r.8?l4>b29K73e<@:?;7dh>:188mc4=831d=o?50;9~w4c=838pR0z\13>;5?3;oh636b;d1?872j3l:70?:c;d3?874m3l:70?m3l:70?6f;d3?87c93l970c7<58n96k<4=072>c4<58<26k?4=043>c4<5>o1j=521c`9b5=z{?21<7=9mn0q~97:18180?20i01:751c38yv1f2908w087:9a8940a21i015>51c38yv1e2909w09m:0`2?81a2o80q~9l:18181d28h:709i:g18yv1c2909w09k:0`2?81a2o>0q~9j:18181b28h:706?:g08yv1a2909w09i:0`2?8>72o;0q~6k:1826~X?l27::k478:?5?34;i:767;<04>=><503145521``9<==:9l03463>4b8;<>;69=03463>9b8;<>;6;j03463>588;<>;6i:03463>428;<>;6>>03463>c78;<>;6kh0346s|9883>7}:100:hi52288e5>{t1h0;6?u2988:g>;>j3;i=6s|11294?4|V8:;70?>4;;`?xu69=0;6;u210695ab<58ih6k>4=0f6>c4<58i36k<4=06f>c6<5>i1j=5rs036>5<4sW;:96389;d1?87093l;7p}>2c83>=}Y9;h01>l<:g3894b52o;01?j<:g2894362o;01<86:g0894072o;01vP>3b9>56e=9mn0q~?4382f4=z{89n6=4={<30a?7e927:8?4i1:p56`=838p1<=i:0`2?87393l:7p}>4183>7}:9=:1=o?4=062>c452z?204<6j816=9<5f39~w4242909w0?;3;3g`>;6;l0m>6s|15694?4|58>864m4=066>4d63ty:8:4?:2y]511<5;n36k?4=0``>c752z\20f=:9=i1=ij4}r37`?6=:r7:8n46c:?20c<6j81v<:j:181873m3;i=63>558e6>{t9<:1<74d634;>:7h>;|q214<72;q6=8?51c3894322o;0q~?:2;296~;6=;0:n<521479b7=z{8?86=4={<367?7e927:9:4i2:p502=838p1<;;:0`2?873n3l:7p}>5483>7}:9c4:7>52z?213<6j816=895f09~w4302909w0?:7;3a5>;66s|14;94?4|V8?270?:9;3g`>{t9;|q21g<72;q6=8l51c38943b2o;0q~?:c;296~;6=j0:n<5214f9b7=z{8?o6=4={<36`?7e927:9h4i2:p50`=838p1<;6:9a8940128h:7p}>6183>7}:9?:1=o?4=047>c752z?224<6j816=;:5f39~w4052909w0?92;3a5>;6>?0m=6s|17194?4|58<86;<352?`43ty::94?:3y>532=9k;01<8::g08yv71=3:1>v3>6482f4=:9?<1j?5rs044>5<5s4;=;7?kd:?21g28h:70?9e;d1?xu6>00;6?u217c95g7<58b09>53b=n;1v<8m:181871k3;i=63>6d8e7>{t9?i1<74d634;=i7h>;|q22c<72;q6=;h51ef897b>2o80q~?80;296~;6>o02o63>7382f4=z{8=:6=4={<345?7e927:;?4i2:p5b09>5d7=n81va08e6>{t9h;1<74d634;j>7h=;|q2e6<72;q6=l=51ef894?b2o80q~?n4;296~;6i:02o63>a482f4=z{8ki6=4:{<04>d34;??76l;<0:>c453z?2eg<>k27:::47c:?22`<6j81v0156e=1=16=875959>5d5=1=16=9=5959>531=1=1v3;oh63>d28e4>;6=;0m<63>6b8e4>;6>80m<638f;d2?xu6j>0;6?u21c49b09>5ge=n;1vbe8e5>{t9kk1<74d634;ih7h=;|q2fg<72;q6=ol51c3894e72o80q~?mc;296~;6jj0:n<521cg9b4=z{8ho6=4={<3a`?7e927:nh4i2:p5gc=838p1bg83>7}:9kl1=o?4=0a3>c759z?2g3<6lm16=io5f19>5a2=n916=i;5f09>5f>=n816=8=5f19>53g=n816=;=5f19>5g?=n91v33h70?l9;3a5>{t9j21<74d634;h57h=;|q2gd<72jq6=no51ef894be2o;01:g3894b12o:012o;01<;?:g3894332o;01<8n:g0894052o:01:l5f19>5gg=n91v{t9ji1<74d634;o;7h=;|q2ga<72;q6=nj51c3894b72o90q~?le;296~;6kl0:n<521bd9b4=z{8im6=4={<3`b?7e927:h=4i1:p5a7=838p1:0`2?87dm3l:7p}>d383>7}:9m81=o?4=0f;>c752z?2`6<6j816=i65f39~w4b32909w0?k4;3a5>;6ko0m>6s|1e794?4|58n>6;<3``?`63ty:h;4?:3y>5a0=9k;01v3>d682f4=:9m:1j?5rs0f;>5<5s4;o47?m1:?2g`;61j03o63>3b8;g>;>j3l:70?kb;3a5>{t:j21<7;<0g4?:3y>6a5=9k;01?j6:g18yv4c03:1>v3=d982f4=::m31j<5rs2:b>5<5sW93m63{t;k81<7;<1a1?`63ty8n94?:3y>7g2=9k;01>l::g08yv5d83:1?vP513=n916=9>5f19~w1662908wS:?1:?2e0pR9=?;<353??d349i?7h=;<36`?`63twi?:o50;a96g<4;rB89=5+32c9aa=#9h21?>74o50:>5<5<1<75fe`83>>o113:17d8j:188m7e>2900e?mj:188m7b42900c>78:188mc?=831i?;j50;394?6|,:9j6hh4H24`?M5282eni7>5;|`0e6<72<0;6=u+32c9af=O;?i0D>;?;h:`>5<1<75f8983>>o>k3:17b?kd;29?xd5kh0;684?:1y'76g=mj1C?;m4H273?l>d2900e4:50;9j<=<722c2o7>5;n3g`?6=3th9ok4?:483>5}#;:k1in5G37a8L6373`2h6=44i8694?=n010;66g6c;29?j7cl3:17pl=d583>0<729q/?>o5eb9K73e<@:?;7d6l:188m<2=831b454?::k:g?6=3f;oh7>5;|`5e?6==3:1N4>j1C?8>4i9a94?=n1=0;66g78;29?l?d2900c6=4?{%10e?cd3A9=o6F<519j5;h:;>5<?2900e4m50;9l5ab=831vn>>50;194?6|,:9j6hl4H24`?M5282.mi7>4i9:94?=n1j0;66a>de83>>{e><0;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg0129086=4?{%10e?7e;2B8:n5G3428mc7=831bj?4?::m2f4<722wi>ij50;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th9hh4?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<53;294~"4;h09m6F<6b9K706>i6j80;66sm3583>7<729q/?>o5fg9K73e<@:?;7dh?:188k4d62900qo=n9;296?6=8r.8?l4if:J02f=O;<:0ek>50;9l5g7=831vn>on:180>5<7s-98m7?m3:J02f=O;<:0ek?50;9jb7<722e:n<4?::a703=8391<7>t$21b>7g<@:5<b083>>{e?;0;6>4?:1y'76g=9k90D>8l;I164>oa93:17dh=:188k4d62900qo8l:181>5<7s-98m7hi;I15g>N4=91bj=4?::m2f4<722wi:i4?:283>5}#;:k1=o=4H24`?M5282cm=7>5;hd1>5<N4>j1C?8>4ig294?=h9k;1<75rb3f4>5<4290;w)=N4>j1C?8>4ig394?=nn;0;66a>b083>>{e:m;1<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd5l;0;6>4?:1y'76g=9k90D>8l;I164>oa93:17dh=:188k4d62900qo50;9l5g7=831vn?mk:180>5<7s-98m7?m3:J02f=O;<:0ek?50;9jb7<722e:n<4?::a74<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<N4>j1C?8>4ig294?=h9k;1<75rb50a>5<4290;w)=N4>j1C?8>4ig394?=nn;0;66a>b083>>{e;021<7=50;2x 65f28h87E=9c:J015=nn80;66gi2;29?j7e93:17pl9e;296?6=8r.8?l4if:J02f=O;<:0ek>50;9l5g7=831vn:=50;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th<87>52;294~"4;h0mj6F<6b9K706?1<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd0>3:197>50z&07d<5k2B8:n5G3428mc7=831bj?4?::ke7?6=3`l?6=44o0`2>5<;7>53;294~"4;h0:n>5G37a8L6373`l:6=44ig094?=h9k;1<75rb27;>5<4290;w)=N4>j1C?8>4ig394?=nn;0;66a>b083>>{e;<31<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd4=h0;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg52j3:197>50z&07d<5k2B8:n5G3428mc7=831bj?4?::ke7?6=3`l?6=44o0`2>5<o7>53;294~"4;h0:n>5G37a8L6373`l:6=44ig094?=h9k;1<75rs2294?4|5::1=ij4=749b4=z{:81<71<7ht^76893`=1j16:l46c:?1`1<>k279ok46c:?1gd<>k27=:7?m1:?0e<4=3f5>c6<5;n:6k>4=3a`>c6<5:?j6k>4}r46>5<5s4<>6;<45>c4;<16f?`63ty=57>53z\5=>;1l3l:709::g28yv0f2909w08n:0fg?80b2o:0q~8m:18180f21i01;j51c38yv0d2909w08l:0`2?80c2o80q~8j:180[0b34=96k?4=619b5=z{?l1<7>1j=5rs6294?4|5?l14n527382f4=z{>;1<781j?5rs6194?4|5?o1=o?4=649b4=z{>>1<7<1j?5rs6794?4|5>>1=o?4=649b6=z{><1<7<1j95rsdc94??|Vlk01>o<:9:897ef21201?mi:9:897b321201;o5899>2c478:?05?`73tym57>53z\e=>;4ih0m=63<548e6>{t9ho1<76t=24g>`c<5:k864:4=3ab><2<5;im64:4=3f7><2<5?k159526g8:0>;4=:0286s|2b;94?5|V;i2707}::jk1=ij4=27;>c452z?1gdb09~w7ed2909w0;5km0m>6s|2bg94?5|V;in707}::jl1=ij4=3fg>c652z?1gcb09~w7b62909w0;5l;0m>6s|2e194?5|V;n8707}::m>1=ij4=274>c452z?1`1b09~w7b12909w0;5l>0m>6s|2ea94?4|5;nm6;<16=?`73ty9hi4?:3y>6ab=9k;01?ji:g38yv4cm3:1>v3=dd82f4=::ml1j?5rs270>5<5s49>?7?kd:?76d;::0`2?xu4=?0;6>u23419;7>52z?012<6j816?8l5f39~w63?2909w0=:8;3a5>;4=k0m?6s|34;94?4|5:?26;<16f?`33ty89l4?:3y>70g=9k;01>;l:g08yv52j3:1>v3<5c82f4=:;5<2sW93m6395;d3?8532o:01916?4651c38yv5f;3:1>vP7d5=9mn0q~=n8;296~;4i:02o63l1<7?::b:9`6}O;<:0(>=n:00:?!7f039856a>1483>>o3:00;66g>o6i>0;66a>0183>>i40h0;66gn3;29?l5a13:17d?;c;29?j5>=3:17d6n:188m=?=831d?n>50;9j510=831d8=?50;9j5d0=831b?4950;9l7dd=831d?o950;9l5`<722e?=?4?::`02a<7280;6=u+32c9ac=O;?i0D>;?;ngf>5<:>7>55;294~"4;h0no6F<6b9K706>o?03:17d7l:188k4bc2900qoo6:180>5<7s-98m7km;I15g>N4=91b454?::k:g?6=3f;oh7>5;|`a`?6=;3:1N4>j1C?8>4i9:94?=n1j0;66a>de83>>{ej00;6>4?:1y'76g=mk1C?;m4H273?l>?2900e4m50;9l5ab=831vno;50;194?6|,:9j6hl4H24`?M5282c347>5;h;`>5<5<4290;w)=>o>k3:17b?kd;29?xd4j>0;6>4?:1y'76g=mk1C?;m4H273?l>?2900e4m50;9l5ab=831vn5<7s-98m7km;I15g>N4=91b454?::k:g?6=3f;oh7>5;|`2a?6=;3:1N4>j1C?8>4i9:94?=n1j0;66a>de83>>{e99:1<7=50;2x 65f2lh0D>8l;I164>o?03:17d7l:188k4bc2900qok8:180>5<7s-98m7km;I15g>N4=91b454?::k:g?6=3f;oh7>5;|`1<<<72:0;6=u+32c9ag=O;?i0D>;?;h:;>5<1<7=50;2x 65f2lh0D>8l;I164>o?03:17d7l:188k4bc2900qo=:e;297?6=8r.8?l4jb:J02f=O;<:0e5650;9j=f<722e:hi4?::a=`<72:0;6=u+32c9ag=O;?i0D>;?;h:;>5<6<729q/?>o5ec9K73e<@:?;7d67:188mf29086=4?{%10e?ce3A9=o6F<519j<=<722c2o7>5;n3g`?6=3th8mo4?:283>5}#;:k1io5G37a8L6373`236=44i8a94?=h9mn1<75rbd494?5=83:p(>=n:d`8L60d3A9><6g78;29?l?d2900c50z&07d=831b5n4?::m2`a<722wi4<4?:283>5}#;:k1io5G37a8L6373`236=44i8a94?=h9mn1<75rb27g>5<4290;w)=>o>k3:17b?kd;29?xd>l3:1?7>50z&07d=831b5n4?::m2`a<722wi=8650;194?6|,:9j6hl4H24`?M5282.mi7>4i9:94?=n1j0;66a>de83>>{elj0;6>4?:1y'76g=mk1C?;m4H273?!`b291b454?::k:g?6=3f;oh7>5;|`236<72:0;6=u+32c9ag=O;?i0D>;?;%df>5=n010;66g6c;29?j7cl3:17pl>7`83>6<729q/?>o5ec9K73e<@:?;7)hj:19j<=<722c2o7>5;n3g`?6=3th:4<4?:283>5}#;:k1io5G37a8L6373-ln6=5f8983>>o>k3:17b?kd;29?xd60o0;6>4?:1y'76g=mk1C?;m4H273?!`b291b454?::k:g?6=3f;oh7>5;|``0?6=;3;1?vF<519'76g=9hk0el?50;9je7<722e8>94?::`037<72:0;6=u+32c973d<@:5<<;;<146?7e92wvnn=50;195?5|@:?;7)=of93:17do=:188k6432900n>9=:180>5<7s-98m7=9b:J02f=O;<:0ek?50;9jb7<722e:n<4?::pe4<72;qUm<523609b7=z{h81<7>d4?;0;6>4?:1y'76g=;?h0D>8l;I164>oa93:17dh=:188k4d62900q~o>:181[g6349<>7h=;|qb6?6=:rTj>63<738e5>{t;;>1<7;?;%10e?7fi2cj=7>5;hc1>5<5<4290;w)=N4>j1C?8>4ig394?=nn;0;66a>b083>>{ti80;6?uQa09>724=n;1vl<50;0xZd4<5:=96k?4}r110?6=:rT8>95236095g75}#;:k1>l5G37a8L6373`l:6=44ig094?=h9k;1<75rb7g94?5=83:p(>=n:3c8L60d3A9><6gi1;29?l`52900c:188yg5d>3:1>7>50z&07d7>5;n3a5?6=3th?<:4?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<;47>53;294~"4;h09m6F<6b9K706>i6j80;66sma183>7<729q/?>o5fg9K73e<@:?;7dh?:188k4d62900qo=90;296?6=8r.8?l4if:J02f=O;<:0ek>50;9l5g7=831vn5850;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th94o4?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<52;294~"4;h0mj6F<6b9K706b083>>{e<8<1<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xden3:1>7>50z&07dt$21b>7g<@:5<b083>>{ejj0;6>4?:1y'76g=:h1C?;m4H273?l`62900ek<50;9l5g7=831vno950;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3thi47>53;294~"4;h09m6F<6b9K706>i6j80;66smb283>7<729q/?>o5fg9K73e<@:?;7dh?:188k4d62900qol;:180>5<7s-98m7N4=91bj<4?::ke6?6=3f;i=7>5;|``2?6=;3:1N4>j1C?8>4ig394?=nn;0;66a>b083>>{ek10;6>4?:1y'76g=:h1C?;m4H273?l`62900ek<50;9l5g7=831vnno50;194?6|,:9j6?o4H24`?M5282cm=7>5;hd1>5<5<5290;w)=>i6j80;66sm8283>7<729q/?>o5fg9K73e<@:?;7dh?:188k4d62900qo?61;296?6=8r.8?l4if:J02f=O;<:0ek>50;9l5g7=831vn<7;:181>5<7s-98m7hi;I15g>N4=91bj=4?::m2f4<722wi=4;50;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th:5;4?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<53;294~"4;h09m6F<6b9K706>i6j80;66sm18:94?5=83:p(>=n:3c8L60d3A9><6gi1;29?l`52900c:188yg7>13:1?7>50z&07d<5i2B8:n5G3428mc7=831bj?4?::m2f4<722wi=4o50;194?6|,:9j6N4=91bj<4?::ke6?6=3f;i=7>5;|`2=g<72:0;6=u+32c95g5<@:5<7>53;294~"4;h09m6F<6b9K706>i6j80;66sm18194?5=83:p(>=n:0`0?M51k2B89=5ff083>>oa:3:17b?m1;29?xd6?j0;6>4?:1y'76g=:h1C?;m4H273?l`62900ek<50;9l5g7=831vn<9k:181>5<7s-98m7hi;I15g>N4=91bj=4?::m2f4<722wi=:k50;194?6|,:9j6N4=91bj<4?::ke6?6=3f;i=7>5;|`23c<72:0;6=u+32c96d=O;?i0D>;?;hd2>5<>i6j80;66sm16794?5=83:p(>=n:3c8L60d3A9><6gi1;29?l`52900c:188yg70>3:1>7>50z&07d5;hd1>5<;?;hd2>5<8l;I164>oa83:17b?m1;29?xdcn3:1>7>50z&07dt$21b>c`<@:5;|`f5?6=:3:1N4>j1C?8>4ig294?=h9k;1<75rbd094?4=83:p(>=n:gd8L60d3A9><6gi0;29?j7e93:17plj3;293?6=8r.8?l4=d:J02f=O;<:0ek?50;9jb7<722cm?7>5;hd7>5<>i6j80;66sm3`g94?5=83:p(>=n:3c8L60d3A9><6gi1;29?l`52900c:188yg5fn3:1>7>50z&07d7>5;n3a5?6=3th8n<4?:283>5}#;:k1=o=4H24`?M5282cm=7>5;hd1>5<N4>j1C?8>4ig394?=nn;0;66gi3;29?l`32900c:188yg25;3:1?7>50z&07d<5i2B8:n5G3428mc7=831bj?4?::m2f4<722wi8?:50;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th?>84?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<9:7>53;294~"4;h09m6F<6b9K706>i6j80;66sm43594?5=83:p(>=n:3c8L60d3A9><6gi1;29?l`52900c:188yg2503:1?7>50z&07d<5i2B8:n5G3428mc7=831bj?4?::m2f4<722wi=5=50;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th:4:4?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<52;294~"4;h0mj6F<6b9K706b083>>{e91k1<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd60k0;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg7?k3:1?7>50z&07d<6j:1C?;m4H273?l`62900ek<50;9l5g7=831vn<6k:180>5<7s-98m7N4=91bj<4?::ke6?6=3f;i=7>5;|`2<`<72=0;6=u+32c96g=O;?i0D>;?;hd2>5<>i6j80;66sm19694?5=83:p(>=n:0`0?M51k2B89=5ff083>>oa:3:17b?m1;29?xd60<0;6>4?:1y'76g=:h1C?;m4H273?l`62900ek<50;9l5g7=831vn<69:180>5<7s-98m7?m3:J02f=O;<:0ek?50;9jb7<722e:n<4?::a67<72:0;6=u+32c95g5<@:5<>i6j80;66sm2583>7<729q/?>o5fg9K73e<@:?;7dh?:188k4d62900qo<::180>5<7s-98m7N4=91bj<4?::ke6?6=3f;i=7>5;|`12?6=;3:1;?;hd2>5<b083>>{e;0;1<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd41;0;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg5>;3:1?7>50z&07d<6j:1C?;m4H273?l`62900ek<50;9l5g7=831vn>7;:187>5<7s-98m7N4=91bj<4?::ke6?6=3`l86=44o0`2>5<7>52;294~"4;h0mj6F<6b9K706b083>>{e99?1<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd68?0;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg77?3:1>7>50z&07dt$21b>4d43A9=o6F<519jb4<722cm>7>5;n3a5?6=3th:5}#;:k1>l5G37a8L6373`l:6=44ig094?=h9k;1<75rb02a>5<3290;w)=>oa:3:17dh<:188k4d62900qo??3;297?6=8r.8?l4>b29K73e<@:?;7dh>:188mc4=831d=o?50;9~f17?290<6=4?{%10e?4c3A9=o6F<519jb4<722cm>7>5;hd0>5<1<75ff483>>oa>3:17b?m1;29?xd3900;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg26i3:1>7>50z&07dt$21b>c`<@:5;|`75a<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<N4>j1C?8>4ig394?=nn;0;66gi3;29?j7e93:17pl;1g83>6<729q/?>o51c18L60d3A9><6gi1;29?l`52900c:188yg2583:187>50z&07d<5j2B8:n5G3428mc7=831bj?4?::ke7?6=3f;i=7>5;|`0fd<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<N4>j1C?8>4ig294?=h9k;1<75rb2``>5<5290;w)=>i6j80;66sm3cf94?4=83:p(>=n:gd8L60d3A9><6gi0;29?j7e93:17pl1<729q/?>o52c9K73e<@:?;7dh>:188mc4=831bj>4?::m2f4<722wi?oh50;194?6|,:9j6N4=91bj<4?::ke6?6=3f;i=7>5;|``g?6=:3:1N4>j1C?8>4ig294?=h9k;1<75rbe294?2=83:p(>=n:3`8L60d3A9><6gi1;29?l`52900ek=50;9l5g7=831vni?50;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3tho>7>52;294~"4;h0mj6F<6b9K7068l;I164>oa83:17b?m1;29?xdc<3:1>7>50z&07dt$21b>c`<@:5;|`g2?6=;3:1N4>j1C?8>4ig394?=nn;0;66a>b083>>{el>0;694?:1y'76g=:k1C?;m4H273?l`62900ek<50;9jb6<722e:n<4?::aga<72:0;6=u+32c95g5<@:5<:188ygea29086=4?{%10e?7e;2B8:n5G3428mc7=831bj?4?::m2f4<722wimo4?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<>oa;3:17dh;:188k4d62900qook:181>5<7s-98m7hi;I15g>N4=91bj=4?::m2f4<722wimh4?:283>5}#;:k1>l5G37a8L6373`l:6=44ig094?=h9k;1<75rb`d94?5=83:p(>=n:3c8L60d3A9><6gi1;29?l`52900c:188ygd729086=4?{%10e?7e;2B8:n5G3428mc7=831bj?4?::m2f4<722wi?nl50;194?6|,:9j6?o4H24`?M5282cm=7>5;hd1>5<N4>j1C?8>4ig294?=h9k;1<75rb2ae>5<5290;w)=>i6j80;66sm3e294?4=83:p(>=n:gd8L60d3A9><6gi0;29?j7e93:17pl7<729q/?>o5fg9K73e<@:?;7dh?:188k4d62900qo=k2;296?6=8r.8?l4if:J02f=O;<:0ek>50;9l5g7=831vn>j<:180>5<7s-98m7N4=91bj<4?::ke6?6=3f;i=7>5;|`0`1<72:0;6=u+32c95g5<@:5<53;294~"4;h09m6F<6b9K706>i6j80;66sm3ba94?2=83:p(>=n:3`8L60d3A9><6gi1;29?l`52900ek=50;9l5g7=831vn>mk:180>5<7s-98m7?m3:J02f=O;<:0ek?50;9jb7<722e:n<4?::a55b=8381<7>t$21b>c`<@:5;|`24`<72:0;6=u+32c95g5<@:5<52;294~"4;h0mj6F<6b9K706>i6j80;66sm10394?5=83:p(>=n:3c8L60d3A9><6gi1;29?l`52900c:188yg76:3:1?7>50z&07d<5i2B8:n5G3428mc7=831bj?4?::m2f4<722wi=<=50;694?6|,:9j6N4=91bj<4?::ke6?6=3`l86=44o0`2>5<53;294~"4;h09m6F<6b9K706>i6j80;66sm13394?4=83:p(>=n:gd8L60d3A9><6gi0;29?j7e93:17pl>2383>7<729q/?>o5fg9K73e<@:?;7dh?:188k4d62900qo?=3;296?6=8r.8?l4if:J02f=O;<:0ek>50;9l5g7=831vn<<;:181>5<7s-98m7hi;I15g>N4=91bj=4?::m2f4<722wi=?;50;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th:>;4?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<52;294~"4;h0mj6F<6b9K7061983>6<729q/?>o52`9K73e<@:?;7dh>:188mc4=831d=o?50;9~f47>29086=4?{%10e?7e;2B8:n5G3428mc7=831bj?4?::m2f4<722wi=5;hd1>5<>i6j80;66sm10`94?5=83:p(>=n:0`0?M51k2B89=5ff083>>oa:3:17b?m1;29?xd69j0;6>4?:1y'76g=:h1C?;m4H273?l`62900ek<50;9l5g7=831vn5<7s-98m7?m3:J02f=O;<:0ek?50;9jb7<722e:n<4?::a54c=8391<7>t$21b>4d43A9=o6F<519jb4<722cm>7>5;n3a5?6=3th:=k4?:283>5}#;:k1>l5G37a8L6373`l:6=44ig094?=h9k;1<75rb003>5<4290;w)=N4>j1C?8>4ig394?=nn;0;66a>b083>>{t9l0;6?uQ1d9>5`<6lm1v??50;0x94c=1j16>;4>b09~w74=838p1?<51c38970=n81v?=50;0x975=9k;01?;5f09~w72=838p1?:51c38973=n;1v?;50;0x973=9k;01?85f39~w3>=839p1;k51c3894>?2o:01<>::g28yv>62909w06>:0fg?80b2o80q~6=:18b8>6212019>>:9:896e721201>om:9:896>f21201>;k:9:897>?21201<;7:9:894>b09~w=2=838p15:51ef893c=n81v5;50;1x9=2=1j164<46c:?;2?7e92wx444?:04xZ=?<5=;96564=`;9<==:jm03463m9;:;?8d221201o?5899>7g1=0116=<;5899>5`?34835767;<:7>=><5:?n6564=8g9<==:lj03463>728;<>;6?h03463>808;<>;60o0346373;d3?xu?i3:19vP7a:?fde9>5=1=n916==:5f19~w<`=839p14k59b9>=a<>k27j<7?m1:pe6<72;qUm>52e78:g>{ti=0;6lu2a882`a=:k80j=63l6;d1?8bb2o:019<=:g68917?2o;019?n:g289a6=n816h?4i0:?b`?`73tyjm7>52z?b=??d34h;6;|qbf?6=:r7jn7?m1:?ba?`53tyjo7>52z?bg?7e927jj7h>;|qb`?6=:r7jh7?m1:?bb?`53tyji7>52z?ba?7e927i<7h>;|qbb?6=:r7jj7?m1:?a4?`53tyj97>5az?a5?7cl27h=7o=;c7<5l:1j=524319b4=:<821j?5240;9b5=:l90m>63k1;d3?8gd2o;0q~l=:1818d620i01o:51c38yvd42909w0l<:0`2?8d32o80q~o9:18:8d228no70m=:`089f>=n816i?4i0:?766c45<5s4h<6;<`;>c44bc34i86l<4=bc9b4=:m80m<63;258e4>;39m0m<63k4;d3?8gd2o90q~ln:1818d>20i01om51c38yvde2909w0lm:0`2?8dd2o80q~o7:18;8dc28no70m;:`089`5=n;168?;5f19>04e=n916h=4i3:?g7?`734kh6k:4}r`f>5<5s4ho64m4=b295g74d634i;6k<4}ra6>5<4s4i96l?4=b495g7<5j21j?5rsb594?5|5j91m<52c982f4=:kh0m>6s|c883>7}:k=0j=63la;3a5>{tkk0;68u2bg8e4>;ej3l;70l8:g289g5=n916ok4>b09~wfe=838p1nm51c389a0=n;1vnj50;0x9fb=9k;01nk5f39~wfc=838p1nk51c389f`=n;1vi>50;0x9a6=9k;01nj5f09~wa7=838p1i?51c389a1=n;1vi<50;0x9a4=9k;01i95f09~wa5=838p1i=51c389a1=n:1vi:50;0x9a2=9k;01nk5f09~wa3=838p1i;51c389fc=n:1vi850;0x9a0=9k;01nh5f09~wa1=838p1i951c389fb=n;1vi650;0x9f7=;;>01o:5f09~wa?=838p1n<533689g>=n81vio50;0x9f5=;;>01om5f09~wad=838p1n:533689f6=n81vim50;1x9ae=9mn01<66:g2894612o:0q~jk:1818bd20i01h=51c38yvbb2909w0jj:0`2?8c42o;0q~ji:1818ba28h:70k<:g18yvc72909w0k?:0`2?8c42o?0q~k>:1818c628h:70k<:g68yvc52909w0k=:0`2?8c42o<0q~k9:1878c020i01h851ef89fe=n916mo4i0:pa2<72:q6i:4>de9>`3;|qf=?6=:r7n:767;4d63ty:<=4?:5y]556<58:;6:47h;;|q244<72=q6===51c3894772o;01<<::g28947>2o;0q~??2;296~;68;0:n<5211;9b4=z{8:?6=4={<330?7e927:<44i2:p553=838p1<>::0`2?877j3l:7p}>0783>7}:99<1=o?4=02b>c752z?242<6j816==o5f39~w46?2909w0??8;3a5>;68:0m>6s|11;94?4|58:26;<33f?`53ty:55g=9k;01<>m:g18yv77j3:1>v3>0c82f4=:9991j<5rs02`>5<5s4;;<77l;<327?7e92wx==j50;0x946c28h:70?>0;d1?xu68l0;6?u211g95g7<58;:6k?4}r33b?6=:r7:b09>547=n;1v138e6>{t98;1<74d634;:?7h<;|q257<72;q6=<<51c3894742o;0q~?>5;297~X69<16=<;51ef8947a2o;0q~?>6;296~;69<02o63>2182f4=z{8;<6=4={<323?7e927:=o4i1:p54>=838p11883>7}:9831=o?4=03`>c752z?25d<6j816=?>5f39~w47e2909w0?>b;3a5>;69l0m=6s|10a94?4|58;h6;<32`?`53ty:=i4?:3y>54b=9k;01v3>1d82f4=:98l1j?5rs03e>5<5s4;:j7?m1:?265>4>b09>54?=n;1v<<;:181875<3;i=63>1b8e6>{t9;?1<74d634;:m7h=;|q263<72;q6=?851c38947f2o90q~?=7;296~;6:>0:n<5210c9b1=z{8836=4={<31de9>5>4i1:?24`7<:g3896eb2o:01>j;:g38946a2o:017882f4=z{8=>6=4={<341?7e927:;:4i2:p520=838p1<99:0`2?87003l97p}>7683>7}:9>=1=o?4=05:>c752z?23=<6j816=:75f39~w41f290nw0?8a;3g`>;61=0m<63>9c8e5>;6?o0m=63>768e5>;5;3l970=60;d3?85ek3l;70=lf;d3?85c83l;70??d;d3?877m3l970?=2;d3?87503l:7p}>7c83>7}:9>k15n5219295g752z?23f<6j816=:k5f39~w41c2909w0?8d;3a5>;6?o0m>6s|16g94?4|58=n6;<3;4?`63ty:;k4?:3y>52`=9k;01<6?:g08yv7?93:1jv3>8082`a=:90;1j=5218:9b4=:9>o1j<5216:9b4=:;k:1j<521979b4=::;0m>63<938e4>;4jh0m<63;69;0m=63>228e4>;6910m=63>1`8e5>{t9181<7;|q2<6<72;q6=5=51c3894>d2o;0q~?74;296~;60=0:n<521979b7=z{82>6=4={<3;1?7e927:4;4i2:p5=1=838p1<68:0`2?87?k3l97p}>8983>7}:9121=o?4=0:f>c752z?2<<<6j816=5j5f09~w4>f2909w0?7a;3a5>;60m0m>6s|19`94?4|582i6;<3;0?`53ty:4n4?:3y>5=e=9k;01<6j:g08yv7?l3:1>v3>8e82f4=:91o1j>5rs0:f>5<5s4;3i7?m1:?2<1968e5>;6?j0m>63>748e5>;6??0m<63;4io0m<63>878e5>;5:3l:70=61;d3?85ej3l;70=lb;d2?85c93l;70?>3;d1?876?3l970?=4;d3?875>3l;7p}>9183>7}:91l15n5218195g752z?2=4<6j816=495f39~w4?52909w0?62;3a5>;61:0m>6s|18694?4|583?6;<3:5<3=9k;01<76:g08yv7>>3:1>v3>9782f4=:9081j?5rs0;4>5<5s4;2;7?m1:?2=db09>5<5=n81v<7m:18187>j3;i=63>938e5>{t9h<1<7a683>6}Y9h=01>lk:g2896ee2o80q~<76;297~;50>0:n<5219c9b5=:99=1j=5rs3:;>5<5s48347?kd:?1<25750;0x97>>28no70<77;d2?xu50h0;6>u229;9=f=::1215n5229`95g7h7>53z?01a<6lm168?<5f29>04>=n<1v>;j:180852m3;oh63;238e6>;3910m:6s|34d94?5|5:?n64m4=27g>;|q04bc3ty84k4?:3y>7=g=1j16?4:51c38yv5>83:1>v3<9182f4=:;091j?5rs2;2>5<5s492=7?m1:?0=15<4sW92;6376;d3?875?3l;7p}7}Y;h901l>5f19~w6ge2909wS=nb:?0eg<6lm1v>ok:18185fj33h70=m1;3a5>{t;ho1<74d6349i=7h>;|q0ec<72;q6?lh51c3896d72o80q~=m0;296~;4j90:n<523c39b7=z{:h<6=4={_1a3>;4j>0:hi5rs2`:>5<5s49i;77l;<1ab?7e92wx?oo50;0x96df28h:70=me;d1?xu4jk0;6?u23c`95g7<5:hn6k?4}r1ag?6=:r78nn4>b09>7gc=n:1v>lk:18185el3;i=63{t;ko1<74d6349ij7h>;|q0g5<72;qU?n>4=2a3>4bc3ty8o84?:3y>7f1=9k;01>m6:g28yv5d>3:1>v35<5s49h<77l;<1`=?7e92wx?no50;1x96e12o:019>8:g2896ec28h:7p}7}:;jh1=o?4=2f6>c752z?0gf<6j816?nj5f39~w6eb2909w0=le;3a5>;4l:0m=6s|3bd94?4|5:im6;<1g7?`53ty8h=4?:3y>7a6=9k;01>j;:g08yv5c93:1>v35<5s49o>7?m1:?0gfb09>7fb=n81v>h6:181[5a12794o4i0:p057=838pR9>>;<635?7cl2wx8=850;0x916?28h:70:?a;d3?xu38>0;6?u241595g7<5=:36k<4}r63=?6=:r7?<<46c:?74d<6j81v9?=:180[26:27?=?4>de9>`c0;6?u24009b09>04`=n81v9?6:18182613;i=63;1d8e6>{t<8k1<74d634>:i7h>;|q75g<72;q68c;296~;39j0:n<524329b4=z{=;o6=4={<62`?7e927?>=4i3:p04c=838p19?j:0`2?826n3l97p};1g83>7}:<8l1=o?4=503>c49=7>52z?75354>b09~w1452909w0:=2;3a5>;3:10m=6s|43194?4|5=886;<613?`63ty?>94?:3y>072=9k;019<9:g38yv25=3:1>v3;2482f4=:<;<1j?5rs505>5<5s4>9:7?m1:?7622<4i39owE=:0:&07d<>=2.:m54<389l74`=831b>h>50;9l74b=831bil4?::m07g<722e88:4?::k;f?6=3k9=h7>51;294~"4;h0nj6F<6b9K7065<2290;w)=>o><3:17d67:188m6=4?{%10e?cd3A9=o6F<519j5;h:;>5<5;|`002<72<0;6=u+32c9af=O;?i0D>;?;h:`>5<1<75f8983>>o>k3:17b?kd;29?xd5m00;6>4?:1y'76g=mk1C?;m4H273?!`b291b454?::k:g?6=3f;oh7>5;|`1ad<72:0;6=u+32c9ag=O;?i0D>;?;%df>5=n010;66g6c;29?j7cl3:17pl=ec83>6<729q/?>o5ec9K73e<@:?;7)hj:19j<=<722c2o7>5;n3g`?6=3th9in4?:283>5}#;:k1io5G37a8L6373-ln6=5f8983>>o>k3:17b?kd;29?xd5mm0;6>4?:1y'76g=mk1C?;m4H273?!`b291b454?::k:g?6=3f;oh7>5;|`1a`<72:0;6=u+32c9ag=O;?i0D>;?;%df>5=n010;66g6c;29?j7cl3:17pl=eg83>6<729q/?>o5ec9K73e<@:?;7)hj:19j<=<722c2o7>5;n3g`?6=3th9j=4?:283>5}#;:k1io5G37a8L6373-ln6=5f8983>>o>k3:17b?kd;29?xd5n>0;6>4>:2yK706<,:9j65<81<7=50;2x 65f2:;4?;0:n<5r}c0e2?6=;3;1?vF<519'76g=9hk0el?50;9je7<722e8>94?::`037<72:0;6=u+32c973d<@:5<<;;<146?7e92wvn?h::180>4<4sA9><6*<3`82ed=ni80;66gn2;29?j55<3:17o=82;297?6=8r.8?l4<6c9K73e<@:?;7dh>:188mc4=831d=o?50;9~wd7=838pRl?4=251>c47}Y;;>01>9=:0`2?x{e:o>1<7=51;1xL6373-98m7?na:kb5?6=3`k96=44o207>5<>i6j80;66s|a083>7}Yi816?:<5f39~wd4=838pRl<4=251>c752z\061=:;>81=o?4}|`1b6<72:0:6>uG3428 65f28kj7do>:188md4=831d??:50;9a724=8391<7>t$21b>60e3A9=o6F<519jb4<722cm>7>5;n3a5?6=3tyj=7>52z\b5>;4?;0m>6s|a383>7}Yi;16?:<5f09~w6432909wS==4:?037<6j81vqo>i4:=0;66l<7383>6<729q/?>o537`8L60d3A9><6gi1;29?l`52900c:188yvg62909wSo>;<146?`53tyj>7>52z\b6>;4?;0m=6s|33694?4|V:8?70=82;3a5>{zj;l:6=4<:080M5282.8?l4>a`9je4<722cj>7>5;n110?6=3k9<>7>53;294~"4;h08:o5G37a8L6373`l:6=44ig094?=h9k;1<75rs`394?4|Vh;01>9=:g08yvg52909wSo=;<146?`63ty8>94?:3y]772<5:=96;|a74c=8381<7>t$21b>c`<@:5;|`00d<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<;?;hd2>5<>i6j80;66sm31d94?4=83:p(>=n:gd8L60d3A9><6gi0;29?j7e93:17pl=f`83>6<729q/?>o52`9K73e<@:?;7dh>:188mc4=831d=o?50;9~f7`d29086=4?{%10e?4f3A9=o6F<519jb4<722cm>7>5;n3a5?6=3th9jh4?:283>5}#;:k1>l5G37a8L6373`l:6=44ig094?=h9k;1<75rb223>5<4290;w)=>oa:3:17b?m1;29?xd48;0;6>4?:1y'76g=:h1C?;m4H273?l`62900ek<50;9l5g7=831vn>>;:180>5<7s-98m7N4=91bj<4?::ke6?6=3f;i=7>5;|`1b=<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<N4>j1C?8>4ig394?=nn;0;66a>b083>>{e;;;1<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd4:;0;6>4?:1y'76g=:h1C?;m4H273?l`62900ek<50;9l5g7=831vn><<:180>5<7s-98m7N4=91bj<4?::ke6?6=3f;i=7>5;|`056<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<N4>j1C?8>4ig294?=h9k;1<75rb236>5<5290;w)=>i6j80;66sm30494?4=83:p(>=n:gd8L60d3A9><6gi0;29?j7e93:17pl<1683>7<729q/?>o5fg9K73e<@:?;7dh?:188k4d62900qo=>8;296?6=8r.8?l4if:J02f=O;<:0ek>50;9l5g7=831vn>?6:181>5<7s-98m7hi;I15g>N4=91bj=4?::m2f4<722wi?5;n3a5?6=3th8=o4?:883>5}#;:k1>k5G37a8L6373`l:6=44ig094?=nn:0;66gi4;29?l`22900ek850;9jb2<722cm47>5;n3a5?6=3th8?i4?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<52;294~"4;h0mj6F<6b9K706;6=4=:183!54i3lm7E=9c:J015=nn90;66a>b083>>{e;=;1<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd4<;0;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg53;3:1>7>50z&07dt$21b>c`<@:5;|`003<7210;6=u+32c96`=O;?i0D>;?;hd2>5<>oa<3:17dh::188mc0=831bj:4?::m2f4<722wi?>k50;194?6|,:9j6N4=91bj<4?::ke6?6=3f;i=7>5;|`00a<72:0;6=u+32c96d=O;?i0D>;?;hd2>5<n6=4=:183!54i3lm7E=9c:J015=nn90;66a>b083>>{e;=l1<7=50;2x 65f28h87E=9c:J015=nn80;66gi2;29?j7e93:17p}7b;293~X?j2788546c:?07g<>k2788:46c:?00dr79i44>de9>6c7=i816>ko5f39>6c>=n916?<=5f19>76b=n91v?k=:18684bi3;oh63=f08b6>;5nh0m=63<158e4>;4;o0m<6s|2d194?3|5;oi6;<121?`7349?<7h?;|q1a1<72hm51ef897`42h801?hj:g3896712o:01>:>:g28yv4b=3:19v3=ee82`a=::o>1m?523129b4=:;8=1j=523519b5=z{;o=6=49{<0fa?7cl279j84n2:?047?4i1:?05=3k970=?4;d2?85583l970=>9;d3?853<3l;7p}=e983>1}::o:1=ij4=3d4>d4<5:8;6k?4=23b>c653z?1b7b09>6ce=n;1v?hm:18084a;3k:70;5nl0m>6s|2gf94?5|5;l?6l?4=3df>4d6349;<7h=;|q1bc<72:q6>k;5a09>756=9k;01>>=:g08yv5793:1?v3=f78b5>;48;0:n<523169b7=z{::86=4={<0e3?g6349;87?m1:p753=838p1?k6:8a897`?28h:7p}<0783>7}::lk15n522g3977252z?1ag<>k279j?4<259~w66?2909w06433ty86`c=1j16>k;53368yv57j3:1>v3=eg8:g>;5n?08>95rs22`>5<5s48m<77l;<0e3?55<2wx?=j50;1x966c28no70=>e;d3?85593l;7p}<0d83>7}:;9n15n5231d95g752z?04ab09~w675290>w0=;b;d2?85693l970=?f;d3?856j3;i=63<4e8e5>{t;891<74d6349:n7h>;|q051<72;q6?<:51c38967e2o80q~=>5;296~;49<0:n<5230`9b6=z{:;=6=4={<122?7e9278=o4i4:p741=838p1>?8:0`2?856j3l>7p}<1983>7}:;821=o?4=23a>c052z?05<<6j816?a;3a5>;49k0m46s|30f94?4|V:;o70=>e;3a5>{t;8l1<7;<117?`63ty8><4?:3y>777=9k;01><=:g08yv55:3:1>v3<2382f4=:;;91j?5rs21a>5<5sW98n63<3c82`a=z{:9h6=4={<10f?>d3498i7?m1:p76b=838p1>=k:0`2?853>3l:7p}<3g83>7}:;:l1=o?4=265>c452z?005<6j816?985f29~w6262909w0=;1;3a5>;496;<172?`13ty88>4?:3y>715=9k;01>:9:g78yv53<3:1>v3<4582f4=:;=<1j:5rs266>5<5s49?97?m1:?07`0;6?uQ3558962028no7p}<4983>7}:;=21=ij4=26g>c452z?00=b09~w62f2909w0=;a;3a5>;46s|35a94?4|5:><65m4=26e>4d63ty88i4?:3y>71b=9k;01>:i:g38yv53m3:1>v3<4d82f4=:;=l1j?5r}c1;N4>j1C?8>4ig294?=h9k;1<75rb256>5<5290;w)=>i6j80;66sm36494?5=83:p(>=n:3c8L60d3A9><6gi1;29?l`52900c:188yg5?;3:1>7>50z&07d329096=4?{%10e?`a3A9=o6F<519jb5<722e:n<4?::a7=3=8391<7>t$21b>7g<@:5<52;294~"4;h0mj6F<6b9K706>i6j80;66sm9283>7<729q/?>o5fe9K73e<@:?;7dh?:188k4d62900qo=7c;296?6=8r.8?l4id:J02f=O;<:0ek>50;9l5g7=831vn9><:181>5<7s-98m7hk;I15g>N4=91bj=4?::m2f4<722wi?4m50;094?6|,:9j6kj4H24`?M5282cm<7>5;n3a5?6=3th8jl4?:383>5}#;:k1ji5G37a8L6373`l;6=44o0`2>5<52;294~"4;h0mh6F<6b9K706b083>>{e;j81<7<50;2x 65f2on0D>8l;I164>oa83:17b?m1;29?xd?n3:1>7>50z&07dt$21b>cb<@:5;|`f1?6=:3:1N4>j1C?8>4ig294?=h9k;1<75rb511>5<5290;w)=>i6j80;66sm5c83>7<729q/?>o5fe9K73e<@:?;7dh?:188k4d62900qo;n:181>5<7s-98m7hk;I15g>N4=91bj=4?::m2f4<722wi944?:383>5}#;:k1ji5G37a8L6373`l;6=44o0`2>5<b083>>{e=?0;6?4?:1y'76g=nm1C?;m4H273?l`72900c:188yg3229096=4?{%10e?`c3A9=o6F<519jb5<722e:n<4?::a11<72;0;6=u+32c9ba=O;?i0D>;?;hd3>5<5<5290;w)=>i6j80;66sm5383>7<729q/?>o5fe9K73e<@:?;7dh?:188k4d62900qo;>:181>5<7s-98m7hk;I15g>N4=91bj=4?::m2f4<722wi9=4?:383>5}#;:k1ji5G37a8L6373`l;6=44o0`2>5<m6=4=:183!54i3lo7E=9c:J015=nn90;66a>b083>>{e:188yg2c29096=4?{%10e?`c3A9=o6F<519jb5<722e:n<4?::a26<72;0;6=u+32c9ba=O;?i0D>;?;hd3>5<5<5290;w)=>i6j80;66sm6083>7<729q/?>o5fe9K73e<@:?;7dh?:188k4d62900qo8?:181>5<7s-98m7hk;I15g>N4=91bj=4?::m2f4<722wi9k4?:383>5}#;:k1ji5G37a8L6373`l;6=44o0`2>5<b083>>{e=m0;6?4?:1y'76g=nm1C?;m4H273?l`72900c:188yg3d29096=4?{%10e?`c3A9=o6F<519jb5<722e:n<4?::a12<72;0;6=u+32c9ba=O;?i0D>;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<5<5s4952z?0<5<6m278494i0:p62<72;q6?5>5269>7=>=n91v>;50;0xZ63<5=n1j=5rs2494?4|V:<019k5f19~w61=838pR>94=5d9b5=z{:21<7750;0xZ6?<5<;1j=5rs2c94?4|V:k018<5f19~w6d=838pR>l4=419b5=z{:i1<7j50;0xZ6b<5h4=459b5=z{=:1<7=n91v9?50;0xZ17<5<31j=5rs5094?4|V=8018o5f19~w12=838pR9:4=4`9b5=z{=?1<7=838pR964=4d9b5=z{=31<70=:<:91963;d;3a5>{tu236;92>;3;:0=70:j:0`2?xu3n3:1?v3<7884?824;3=019h51c38yv372908w0=89;:8915421169=4>b09~w07=839p1>96:89>065=127>=7?m1:p17<72:q6?:75a:?776;|q67?6=;r78;44m;<607?d<5<91=o?4}r77>5<4s49<57m4=510>f=:==0:n<5rs4794?5|5:=26i524219`>;2=3;i=6s|5783>6}:;>31i63;328f?83128h:7p}:7;296~;3;:0m70;8:0`2?xu203:1?v3<78824>;3;:0:<63:8;3a5>{t=00;6>u236;957=:<:91=?525882f4=z{45<55<5s49<57?:;<7a>4d63ty>o7>52z?776<6>27>o7?m1:p1a<72;q68>=5169>1a<6j81v8k50;0x9154282018k51c38yv3a2909w0:<3;3:?83a28h:7p}90;296~;3;:0:m6390;3a5>{t>80;6?u242195g=:>80:n<5rs7094?5|5:=264e<5?81=o?4}r40>5<4s49<57?k;<607?7c34<86;|q50?6==r78;4494:?776<1<2784=494:?03d<1<278;547b:p32<72;q6?5>5699>72g=>l1v5k50;0xZ=c<51l1j=5rs9d94?4|5:=m6574=9d95g7:185850132o70:<3;:g?85?832o70=8a;gb?85003oj707>:0`2?xu>:3:1>vP62:?:7?`73ty2?7>52z?03c{tm<0;6?u236d9e6=:m<0:n<5rsg;94?4|5:=26k74=25b>c?52z?0<5<68916?:h51128yv76=3:1>v3<818250=:;>l1=<;4}r31f?6=:r78;44>2c9>7=6=9;h0q~?52z?03<<6<>16?5>51558yv73k3:1>v3<81820f=:;>l1=9m4}r36=?6=:r784=4>589>72`=9h=0q~?6c;296~;4090:5n5236d95d052z\2``=::=21=o?4}r3gb?6=:rT:hk5225g95g752z\2a4=::=l1=o?4}r3f6?6=:rT:i?5224295g752z\2a6=::<<1=o?4}r3f0?6=:rT:i95224a95g752z\2a0=::?81=o?4}r3f2?6=:rT:i;5227:95g752z\2a2=::?o1=o?4}r3f52z\2a<=::>k1=o?4}r3fe?6=:rT:il5229295g752z?03<<6mj168>=51da8yv4303:1>v3;3282``=::=21j=5rs36f>5<5s4>8?7?kf:?10`9h50;0x915428o:70<;f;d3?xu5=90;6?u242195`4<5;?;6k>4}r062?6=:r7??>4>e29>600=n91v?;l:181824;3;n863=5b8e4>{t:?81<74c2348=>7h?;|q12=<72;q68>=51d48970?2o:0q~<9e;296~;3;:0:i:5227g9b5=z{;=?6=4={<607?7b0279;94i0:p62g=838p19=<:0g:?840i3l;7p}=8183>7}:<:91=ho4=3:3>c652z?03<<50j168>=529a8yv4ci3:1>v3<8181g==:;>k1>nk4}r0gf?6=:r7??>4=c99>72g=:j30q~52z?776<49m16?:6530f8yv56n3:1>v3;32805c=:;>21?723=n91v>{t;:h1<765e349;|q002<72;q6?:65355896112o;0q~=84;296~;4?h0=563<7782f4=z{:=>6=4={<141?7e9278;;4i2:p72d=838p1>9n:3f0?850m3;i=6s|36a94?4|5:=o6;<14a?`53ty84<4?:3y]7=7<5:2=6;|q0<7<72;q6?5=51c3896>22o;0q~=73;296~;40=0:n<523979b7=z{:2=6=4={<1;1?7e92784;4i0:p7=1=838pR>68;<1;=?7e92wx?5750;0x96>?28h:70=79;d3?xu40k0;6?uQ39`896>d2o:0q~=7c;290~;3;:084l5239297=g<5:=j6>6n;<1;g?7e92wx?5j50;0xZ6>c3493i7?m1:p7=c=838p1>9i:2:b?85?m3l;7p}<9483>7}Y;0?01>79:0`2?xu41?0;6?u236d97<3<5:3=6k>4}r1:=?6=:rT8545238c95g752z?03d<41>16?4o5f19~w6?e2909wS=6b:?0=f{t;0n1<77k;<1b4?`73ty8m94?:3y]7d2<5:k>6;|q0e0<72;q6?:o53`1896g22o:0q~=n6;296~X4i?16?l95f19~w6g02909w0=8f;1b7>;4i>0:n<5rs2ca>5<5sW9jn63l=;<1a2?7e92wx?o850;0x96>72:h970=m6;d3?xu4j>0;6?uQ3c5896d?28h:7p}7}:;>l1?o94=2`;>c652z\0g4=:;j81j=5rs2a1>5<4s4>8?7=l0:?0<5<4k916?n<51c38yv5d;3:1>vP7f2=9k;0q~=l4;296~;4?o08o=523b69b5=z{:no6=4={_1g`>;4m90:n<5rs2g3>5<5s4>8?7=kd:?0a57}Y;o:01>h>:0`2?xu4n80;6?u2421905d<5:l:6k>4}r1e6?6=:rT8j?523g:95g752z?776<4n;16?k65f19~w6`>2909wS=i9:?0bd2:l270=8f;1e=>;4nh0:n<5rs2da>5<5sW9mn63hj;<634?7e92wx8=>50;0x91542:ln70:?0;d3?xu38;0;6?uQ410891642o:0q~:?3;297~;3;:0?<<523929057<5=:86;|q741<72;qU8=:4=526>4d63ty?<84?:3y>72`=<9;019>::g28yv26;3:1>vP;129>042=9k;0q~:>4;296~;4?o0?=?524069b5=z{=8h6=4={_61g>;3:m0:n<5rs50g>5<5s499j7h?;|q76c<72;q6?:h543;8914a28h:7p};3083>7}Y<:;019==:g28yv24:3:18v3<788775=:<:918>>4=2:3>15734>8>7?m1:~jc452909wE=:0:mb75=838pD>;?;|le61<72;qC?8>4}od11?6=9rB89=5rng05>5<6sA9><6saf3594?7|@:?;7p`i2983>4}O;<:0qch=9;295~N4=91vbkn4?:0yK70651zJ015=zfo8n6=4>{I164>{in;l1<7?tH273?xha;90;6;?;|le71<728qC?8>4}od01?6=9rB89=5rng15>5<6sA9><6saf2594?7|@:?;7p`i3983>4}O;<:0qch<9;295~N4=91vbk=n:182M5282wej>l50;3xL6373tdm?n4?:2yK70653zJ015=zfo9n6=4<{I164>{in:l1<7=tH273?xha<90;6>uG3428yk`393:1?vF<519~jc252908wE=:0:mb15=839pD>;?;|le01<72:qC?8>4}od71?6=5<5sA9><6saf5594?5|@:?;7p`i4983>1}O;<:0qch;9;296~N4=91vbk:n:181M5282wej9l50;0xL6373tdm8n4?:3yK70652zJ015=zfo>n6=4={I164>{in=l1<7;?;|le11<72:qC?8>4}od61?6=9rB89=5rng75>5<5sA9><6saf4594?7|@:?;7p`i5983>3}O;<:0qch:9;295~N4=91vbk;n:181M5282wej8l50;3xL6373tdm9n4?:3yK706h7>53zJ015=zfo?n6=4<{I164>{in90;6>uG3428yk`193:1?vF<519~jc052908wE=:0:mb35=839pD>;?;|le21<72:qC?8>4}od51?6=;rB89=5rng45>5<6sA9><6saf7594?7|@:?;7p`i6983>4}O;<:0qch99;295~N4=91vbk8n:182M5282wej;l50;3xL6373tdm:n4?:0yK70651zJ015=zfo{I164>{in?l1<7?tH273?xha?90;6;?;|le33<72;qC?8>4}od43?6=:rB89=5rng5;>5<5sA9><6saf6;94?4|@:?;7p`i7`83>7}O;<:0qch8b;296~N4=91vbk9l:181M5282wej:j50;0xL6373tdm;h4?:3yK70653zJ015=zfo2;6=4<{I164>{in1;1<7=tH273?xha0;0;6>uG3428yk`?;3:1?vF<519~jc>32908wE=:0:mb=3=838pD>;?;|le<3<72;qC?8>4}od;3?6=:rB89=5rng:;>5<5sA9><6saf9;94?4|@:?;7p`i8`83>4}O;<:0qch7b;296~N4=91vbk6l:182M5282wej5j50;3xL6373tdm4h4?:0yK70651zJ015=zfo3;6=4>{I164>{in0;1<7?tH273?xha1;0;6;3:1=vF<519~jc?3290?wE=:0:mb<3=83;pD>;?;|le=3<72;qC?8>4}od:3?6=9rB89=5rng;;>5<5sA9><6saf8;94?7|@:?;7p`i9`83>7}O;<:0qch6b;295~N4=91vbk7l:181M5282wej4j50;3xL6373tdm5h4?:3yK70651zJ015=zfok;6=4={I164>{inh;1<7?tH273?xhai;0;6?uG3428yk`f;3:1=vF<519~jcg32909wE=:0:mbd3=83;pD>;?;|lee3<72;qC?8>4}odb3?6=9rB89=5rngc;>5<5sA9><6saf`;94?7|@:?;7p`ia`83>6}O;<:0qchnb;295~N4=91vbkol:181M5282wejlj50;3xL6373tdmmh4?:3yK70651zJ015=zfoh;6=4={I164>{ink;1<7?tH273?xhaj;0;6?uG3428yk`e;3:1=vF<519~jcd32909wE=:0:mbg3=83;pD>;?;|lef3<72:qC?8>4}oda3?6=9rB89=5rng`;>5<5sA9><6safc;94?7|@:?;7p`ib`83>7}O;<:0qchmb;295~N4=91vbkll:180M5282wejoj50;3xL6373tdmnh4?:3yK70651zJ015=zfoi;6=4={I164>{inj;1<7?tH273?xhak;0;6?uG3428yk`d;3:1=vF<519~jce32909wE=:0:mbf3=83;pD>;?;|leg3<72=qC?8>4}o3b0?6=9rB89=5rn0c6>5<6sA9><6sa1`594?7|@:?;7p`>a983>4}O;<:0qc?n9;295~N4=91vb51zJ015=zf8kn6=4>{I164>{i9hl1<7?tH273?xh6j90;6;?;|l2f1<728qC?8>4}o3a1?6=9rB89=5rn0`4>5<6sA9><6sa1c:94?7|@:?;7p`>b883>4}O;<:0qc?ma;295~N4=91vb51zJ015=zf8i;6=4>{I164>{i9j;1<7?tH273?xh6k;0;6;?;|l2g2<728qC?8>4}o3`5<6sA9><6sa1bc94?7|@:?;7p`>cb83>4}O;<:0qc?ld;295~N4=91vb7>51zJ015=zf8n86=4>{I164>{i9m>1<7?tH273?xh6l<0;63:1=vF<519~j4b0290:wE=:0:m5a>=83;pD>;?;|l2`<<728qC?8>4}o3ge?6=9rB89=5rn0fa>5<6sA9><6sa1ea94?7|@:?;7p`>de83>4}O;<:0qc?ke;295~N4=91vb50;3xL6373td:i<4?:0yK7067>51zJ015=zf8o86=4>{I164>{i9l>1<7?tH273?xh6m<0;63:1=vF<519~j4c0290:wE=:0:m5`>=83;pD>;?;|l2a<<728qC?8>4}o3fe?6=9rB89=5rn0ga>5<6sA9><6sa1da94?7|@:?;7p`>ee83>4}O;<:0qc;=7;295~N4=91vb8<6:182M5282we9?o50;3xL6373td>>o4?:0yK70651zJ015=zf<8o6=4>{I164>{i=;o1<7?tH273?xh2:o0;6;?;|l605<728qC?8>4}o775?6=9rB89=5rn461>5<6sA9><6sa55194?7|@:?;7p`:4583>4}O;<:0qc;;5;295~N4=91vb8:9:182M5282we99950;3xL6373td>854?:0yK70651zJ015=zf<>j6=4>{I164>{i==h1<7?tH273?xh2;?;|l615<728qC?8>4}o765?6=9rB89=5rn471>5<6sA9><6sa54194?7|@:?;7p`:5583>4}O;<:0qc;:5;295~N4=91vb8;9:182M5282we98950;3xL6373td>954?:0yK70657>51zJ015=zf{I164>{i=;?;|l625<728qC?8>4}o755?6=9rB89=5rn441>5<6sA9><6sa57194?7|@:?;7p`:6583>4}O;<:0qc;95;295~N4=91vb889:182M5282we9;950;3xL6373td>:54?:0yK70651zJ015=zf<{I164>{i=?h1<7?tH273?xh2>j0;6;?;|l635<728qC?8>4}o745?6=9rB89=5rn451>5<6sA9><6sa56194?7|@:?;7p`:7583>4}O;<:0qc;85;295~N4=91vb899:182M5282we9:950;3xL6373td>;54?:0yK70651zJ015=zf<=j6=4>{I164>{i=>h1<7?tH273?xh2?j0;6;?;|l6<5<728qC?8>4}o7;5?6=9rB89=5rn4:1>5<6sA9><6sa59194?7|@:?;7p`:8583>4}O;<:0qc;75;295~N4=91vb869:182M5282we95950;3xL6373td>454?:0yK70651zJ015=zf<2j6=4>{I164>{i=1h1<7?tH273?xh20j0;6b290:wE=:0:m1=`=83;pD>;?;|l6=5<728qC?8>4}o7:5?6=9rB89=5rn4;1>5<6sA9><6sa58194?7|@:?;7p`:9583>4}O;<:0qc;65;295~N4=91vb879:182M5282we94950;3xL6373td>554?:0yK70651zJ015=zf<3j6=4>{I164>{i=0h1<7?tH273?xh21j0;6l3:1=vF<519~j0?b290:wE=:0:m1<`=83;pD>;?;|l6e5<728qC?8>4}o7b5?6=9rB89=5rn4c1>5<6sA9><6sa5`194?7|@:?;7p`:a583>4}O;<:0qc;n5;295~N4=91vb8o9:182M5282we9l950;3xL6373td>m54?:0yK70651zJ015=zf{I164>{i=hi1<7?tH273?xh2im0;6;?;|l6f4<728qC?8>4}o7a6?6=9rB89=5rn4`0>5<6sA9><6sa5c694?7|@:?;7p`:b483>4}O;<:0qc;m6;295~N4=91vb8l8:182M5282we9o650;3xL6373td>n44?:0yK70651zJ015=zf{I164>{i=ki1<7?tH273?xh2jm0;6;?;|l6g4<728qC?8>4}o7`6?6=9rB89=5rn4a0>5<6sA9><6sa5b694?7|@:?;7p`:c483>4}O;<:0qc;l6;295~N4=91vb8m8:182M5282we9n650;3xL6373td>o44?:0yK70651zJ015=zf{I164>{i=ji1<7?tH273?xh2km0;6;?;|l6`4<728qC?8>4}o7g6?6=9rB89=5rn4f0>5<6sA9><6sa5e694?7|@:?;7p`:d483>4}O;<:0qc;k6;295~N4=91vb8j8:182M5282we9i650;3xL6373td>h44?:0yK70651zJ015=zf{I164>{i=l81<7?tH273?xh2m:0;63:1=vF<519~j0c0290:wE=:0:m1`>=83;pD>;?;|l6a<<728qC?8>4}o7ff?6=9rB89=5rn4gg>5<6sA9><6sa5dg94?7|@:?;7p`:eg83>4}O;<:0qc;i0;295~N4=91vb8h::182M5282we9k850;3xL6373td>j:4?:0yK70651zJ015=zf>3h6=4>{I164>{i?0l1<7?tH273?xh0i90;6;?;|l4e1<728qC?8>4}o5b1?6=9rB89=5rn6c4>5<6sA9><6sa7`:94?7|@:?;7p`8a`83>4}O;<:0qc9ne;295~N4=91vb:oi:182M5282we;o>50;3xL6373td7>51zJ015=zf>h86=4>{I164>{i?k>1<7?tH273?xh0j>0;6290:wE=:0:m3gg=83;pD>;?;|l4fg<728qC?8>4}o5ag?6=9rB89=5rn6`g>5<6sA9><6sa7cg94?7|@:?;7p`8bg83>4}O;<:0qc9l0;295~N4=91vb:m=:182M5282we;n=50;3xL6373td51zJ015=zf>i=6=4>{I164>{i?j=1<7?tH273?xh0k10;6;?;|l4gf<728qC?8>4}o5``?6=9rB89=5rn6af>5<6sA9><6sa7bd94?7|@:?;7p`8d183>4}O;<:0qc9k1;295~N4=91vb:j=:182M5282we;i=50;3xL6373td51zJ015=zf>n<6=4>{I164>{i?m21<7?tH273?xh0l00;6;?;|l4`a<728qC?8>4}o5ga?6=9rB89=5rn6fe>5<6sA9><6sa7d294?7|@:?;7p`8e083>4}O;<:0qc9j2;295~N4=91vb:k<:182M5282we;h:50;3xL6373td51zJ015=zf>o<6=4>{I164>{i?l21<7?tH273?xh0m00;6;?;|l4aa<728qC?8>4}o5fa?6=9rB89=5rn6ge>5<6sA9><6sa7g294?7|@:?;7p`8f083>4}O;<:0qc9i2;295~N4=91vb:h<:182M5282we;k:50;3xL6373td51zJ015=zf>l<6=4>{I164>{i?o21<7?tH273?xh0n00;6;?;|l4ba<728qC?8>4}o5eb?6=9rB89=5rn923>5<6sA9><6sa81394?7|@:?;7p`70583>4}O;<:0qc6?5;295~N4=91vb5m?:182M5282we4n?50;3xL6373td3o?4?:0yK70651zJ015=zf1i>6=4>{I164>{i0j=1<7?tH273?xh?k10;6d13:1=vF<519~j=ee290:wE=:0:m;?;|l;ga<728qC?8>4}o:`a?6=9rB89=5rn9ae>5<6sA9><6sa8e294?7|@:?;7p`7d083>4}O;<:0qc6k4;295~N4=91vb5j9:182M5282we4i950;3xL6373td3h54?:0yK70651zJ015=zf1ni6=4>{I164>{i0mi1<7?tH273?xh?ll0;6cn3:1=vF<519~j=c7290:wE=:0:m<`7=83;pD>;?;|l;a7<728qC?8>4}o:f7?6=9rB89=5rn9g7>5<6sA9><6sa8d794?7|@:?;7p`7e783>4}O;<:0qc6j7;295~N4=91vb5k7:182M5282we4h750;3xL6373td3il4?:0yK70651zJ015=zf1om6=4>{I164>{i0o:1<7?tH273?xh?n;0;6;?;|l`53<728qC?8>4}oa23?6=9rB89=5rnb3;>5<6sA9><6sac0;94?7|@:?;7p`l1`83>4}O;<:0qcm>b;295~N4=91vbn?l:182M5282weo=4?:0yK70651zJ015=zfj886=4={I164>{ik;>1<7?tH273?xhd:<0;63:1=vF<519~jf40290:wE=:0:mg7>=83;pD>;?;|l`6<<728qC?8>4}oa1e?6=:rB89=5rnb0a>5<6sA9><6sac3a94?7|@:?;7p`l2e83>7}O;<:0qcm=e;295~N4=91vbn>50;0xL6373tdh?<4?:0yK7067>51zJ015=zfj986=4={I164>{ik:>1<7?tH273?xhd;<0;63:1>vF<519~jf502909wE=:0:mg6>=838pD>;?;|l`7<<728qC?8>4}oa0e?6=9rB89=5rnb1a>5<6sA9><6sac2a94?7|@:?;7p`l3e83>4}O;<:0qcm50;3xL6373tdh8<4?:0yK7067>51zJ015=zfj>86=4>{I164>{ik=>1<7?tH273?xhd<<0;6?uG3428yke3>3:1>vF<519~jf202909wE=:0:mg1>=838pD>;?;|l`0<<728qC?8>4}oa7e?6=9rB89=5rnb6a>5<6sA9><6sac5a94?7|@:?;7p`l4e83>4}O;<:0qcm;e;295~N4=91vbn:i:182M5282weo8>50;3xL6373tdh9<4?:0yK706>7>51zJ015=zfj??6=4>{I164>{ik;?;|l`1d<728qC?8>4}oa6f?6=9rB89=5rnb7`>5<6sA9><6sac4f94?7|@:?;7p`l5d83>4}O;<:0qcm:f;295~N4=91vbn8?:182M5282weo;?50;3xL6373tdh:?4?:0yK70651zJ015=zfj{I164>{ik?<1<7?tH273?xhd>>0;6290:wE=:0:mg3g=83;pD>;?;|l`2g<728qC?8>4}oa5g?6=9rB89=5rnb4g>5<6sA9><6sac7g94?7|@:?;7p`l6g83>4}O;<:0qcm80;295~N4=91vbn9>:182M5282weo:<50;3xL6373tdh;>4?:0yK70651zJ015=zfj=>6=4>{I164>{ik><1<7?tH273?xhd?>0;6;?;|l`3a<728qC?8>4}oa4a?6=9rB89=5rnb5e>5<6sA9><6sac9294?7|@:?;7p`l8083>4}O;<:0qcm72;295~N4=91vbn6<:182M5282weo5:50;3xL6373tdh484?:0yK70651zJ015=zfj2<6=4>{I164>{ik121<7?tH273?xhd000;6e290:wE=:0:mg=e=83;pD>;?;|l`4}oa;a?6=9rB89=5rnb:e>5<6sA9><6sac8294?7|@:?;7p`l9083>4}O;<:0qcm62;295~N4=91vbn7<:182M5282weo4:50;3xL6373tdh584?:0yK70651zJ015=zfj3<6=4>{I164>{ik021<7?tH273?xhd100;6i3:1=vF<519~jf?e290:wE=:0:mg;?;|l`=a<728qC?8>4}oa:a?6=9rB89=5rnb;e>5<6sA9><6sac`094?7|@:?;7p`la283>4}O;<:0qcmn4;295~N4=91vbno::182M5282weol850;3xL6373tdhm:4?:0yK70651zJ015=zfjkj6=4>{I164>{ikhh1<7?tH273?xhdij0;6;?;|l`f1<728qC?8>4}oaa1?6=9rB89=5rnb`5>5<6sA9><6sacc:94?7|@:?;7p`lb883>4}O;<:0qcmma;295~N4=91vbnlm:182M5282weoom50;3xL6373tdhni4?:0yK70651zJ015=zfji;6=4>{I164>{ikj;1<7?tH273?xhdk;0;6;?;|l`g3<728qC?8>4}oa`3?6=9rB89=5rnba;>5<6sA9><6sacb;94?7|@:?;7p`lc`83>4}O;<:0qcmlb;295~N4=91vbnml:182M5282weonh50;3xL6373tdhh=4?:0yK70651zJ015=zfjn86=4>{I164>{ikm>1<7?tH273?xhdl<0;63:1=vF<519~jfb0290:wE=:0:mga>=83;pD>;?;|l``<<728qC?8>4}oage?6=9rB89=5rnbfa>5<6sA9><6sacea94?7|@:?;7p`lde83>4}O;<:0qcmke;295~N4=91vbnji:182M5282weoh>50;3xL6373tdhi<4?:0yK7067>51zJ015=zfjo86=4>{I164>{im991<7?tH273?xhb8<0;6?uG3428ykc7>3:1>vF<519~j`602909wE=:0:ma5>=838pD>;?;|lf4<<72;qC?8>4}og3e?6=:rB89=5rnd2a>5<5sA9><6sae1a94?4|@:?;7p`j0e83>7}O;<:0qck?e;296~N4=91vbh>i:181M5282wei<>50;0xL6373tdn=<4?:3yK7067>52zJ015=zfl;86=4>{I164>{im8>1<73:1>vF<519~j`702909wE=:0:ma4>=838pD>;?;|lf5<<72;qC?8>4}og2e?6=:rB89=5rnd3a>5<6sA9><6sae0a94?7|@:?;7p`j1e83>4}O;<:0qck>e;295~N4=91vbh?i:182M5282wei?>50;3xL6373tdn><4?:0yK7067>51zJ015=zfl886=4>{I164>{im;>1<7?tH273?xhb:<0;63:1=vF<519~j`4>290:wE=:0:ma7g=83;pD>;?;|lf6g<728qC?8>4}og1`?6=9rB89=5rnd0f>5<6sA9><6sae3d94?7|@:?;7p`j3183>4}O;<:0qck<1;295~N4=91vbh==:182M5282wei>=50;3xL6373tdn?94?:0yK70651zJ015=zfl9=6=4>{I164>{im:21<7?tH273?xhb;00;6;?;|lf7a<728qC?8>4}|~DEE|9;nj6l7=7`;02xFGJr:vLM^t}AB \ No newline at end of file diff --git a/cpld/XC95144/MXSE.ngd b/cpld/XC95144/MXSE.ngd deleted file mode 100644 index 45695c7..0000000 --- a/cpld/XC95144/MXSE.ngd +++ /dev/null @@ -1,3 +0,0 @@ -XILINX-XDB 0.1 STUB 0.1 ASCII -XILINX-XDM V1.6e -$5c65=23-;B8>;4$0L7044<,[o}e~g`n;"2*73>(-20*R?F42]0<> X9G>?S9?4000857<4FNQWW>D:593;5=95=3;KMTPR=IVLXN1<<:0<6?D:687?0M1?>>49B8449=2K7=>0:;@>20;3285N<04=1>G;9>4>7L2>8?78E97>6=1J0<0:;@>14;115;36:1=F4?4?7L28>59B8=833H62295NLD26?DJB8D?0MAK?Sb9BH@6Xe|r;<=>k;@NF4Zkrp9:;<i5NLD2\ip~789:8h6OCE1]nq}6789>o7LBJ0^ov|5678<>0MAK>c:COA4YXg{:;<5NNE68EKB5<2KEH>:4AOF70>GIL?>0MCJ84:CM@=2GTzoUecy>?00f8EVtaWge<=>>189B[CUE48:556OPFR@?548>3HUM_O2>2?;8EZ@TJ5;8245N_GQA842912KTJ^L314<:?DYA[K6::374A^DPF970601JSK]M<0:==>GXNZH7=407;@]EWG:6601JSK]M<32==>GXNZH7><06;@]EWG:5:7h0MRH\B=00>48>3HUM_O2=3?:8EZ@TJ58546OPFR@?7;>GXNZH7;364A^DPF9>902KTJ^L39?f8EZ@TJV;;SDLZFe9B[CUEW8;TEO[Id:C\BVDX9;UBNXHk;@]EWGY6;VCIYKj4A^DPFZ73W@H^Ji5N_GQA[43XAK_Mh6OPFR@\53YNJ\Lo7LQISC]23ZOE]On0MRH\B^3;[LDRNm1JSK]M_0;\MGSAk2KTJ^LP1^KAQCbGXNZHT>?QFBTDg?DYA[KU9?RGMUGa8EZ@TJV8TEO[Ic:C\BVDX;VCIYKm4A^DPFZ2XAK_Mo6OPFR@\1ZOE]Oi0MRH\B^4\MGSAk2KTJ^LP7^KAQCeGXNZHT5RGMUG68FDES=2HJOY?:;CC@P73?01d8F@TU8{UTc>?013e?GCUZ9xTSb|?01211>DBZ[;=7OK]R0pf?GCUZ8xTSb|?012e?GCUZ8xTSb|?0122b>DBZ[;ySRa}012360=EM[X996LJRS16?GCUZ=?0NH\]589AAWTXAFHXh6LJRS]\ekb789:n7OK]R^]bja6789;h7OK]R^]lv5678m1II_\P_np34566l2HN^_QPos23454c3KOY^RQ`r12346bDBZ[UTc>?0145?GCUZ{l27OK]Rsd\J5g;Eg8@WEX[\IHI^]IUM58@QGDC{l<7IZNCJpqb>BSIJAy~R``t12354669Geqg;97;0I85JS=2=1>CT484>7H]32?78AV:46<1N_1:14:GP[5b_^cm`56788o0I^Q>_^cm`5678;>0I^Q=d:GP[7YXign;<=>j;DQ\6ZYffm:;<=?j;DQ\6ZYffm:;<=<;;DQ\7a=B[V9TSl`k0123a>CTW:UTmcj?0122a>CTW:UTmcj?01210>CTW=n0I^Q;_^cm`5678l1N_R:P_`lg45679l1N_R:P_`lg4567:8l0I^QBaef\[dbc89:;S@v`r^Pfwpjs484:j6K\_Lcg`ZYflm:;<=QBxnp\V`urd}692?_LzlvZTb{|f0>0>f:GP[HgclVUjhi>?01]N|jtXZly~`y2;>0;8AVYJimnTSljk0123[Zgil9:;<?_^cm`56788;27H]PM`fg[Zgcl9:;?0032=>CTWDkohRQnde2345YXign;<=<>a:GP[HgclVUjhi>?01]\ekb7898:h6K\_P]2[jt789:n7H]PQ^3\kw6789;m7H]PQ^3\kw6789;;j6K\_P]2[jt789::=k5JS^S\5Ziu89:;=?k4ER]R[4Yhz9:;k4ER]R[4Yhz9:;<9k4ER]R[4Yhz9:;<8k4ER]R[4Yhz9:;<;k4ER]R[4Yhz9:;<:k4ER]R[4Yhz9:;<5k4ER]R[4Yhz9:;<4k4ER]\eab789:7=3k4ER]\eab789:7>3k4ER]\eab789:7?3k4ER]\eab789:783;4EUC@Oa=B\HI@Sl`k0123a>CSIJATmcj?0122a>CSIJATmcj?0121a>CSIJATmcj?0120a>CSIJATmcj?0127a>CSIJATmcj?0126a>CSIJATmcj?0125<>C_XHDOII?>;DZSEKBBLVHHHRHFLD37?@^WIGNNHRM@NRVQELHS[8;0IU^NNEGG[LUBWOCGI85J_HMAe>CXAFHTEO[I2:Gq7>Cu:k1N~RMck^KMR43?5F339J07=N=;1B:?5F759JEFU23@KH_<;4I@AP60=NIJY886GMUG08MK>b3@EJOYQnne2345?c3@EJOYQbuy2345c4IN@FVWYj}q:;<=?=119JKGCUZVg~t=>?00124>OHJLXYS`{w012351773@EII_\Pmtz34566=8:0EBLJRS]nq}6789;===5FOCGQVZkrp9:;<<9>0:KLF@TUWds<=>?19d8MJDBZ[Ufyu>?010e?LIEM[XTaxv?0120b>OHJLXYS`{w01230c=NGKOY^Rczx12340`0EBLB4:KLFV0OHKZ;=7DALS025?LID[8;=7DALS005?LID[89=7DALS065?LID[8?=7DALS046?LID[;?0EBM\349JKFU3=2CDO^;:;HM@W33OHKZ3?7DAC0b9JKI6Xe|r;<=>k;HMO4Zkrp9:;<i5FOM2\ip~789:8h6G@L1]nq}6789>o7DAC0^ov|5678<>0EBB>c:KLH4Yig}:;<OHX^IX;6G@PVAP52=NGY]H_?94INRTGV503@E[[N];5:KLV@Wc3@EYI\Qbuy2345cb3@EYI\Qbuy2345?13@EYI\|:;HMQS5bOHZ^:Tbbz?0132a>OHZ^:Tbbz?0131a>OHZ^:Tbbz?0130a>OHZ^:Tbbz?0137a>OHZ^:Tbbz?0136a>OHZ^:Tbbz?0135a>OHZ^:Tbbz?01341>OHZ^;o7DA]W0]bja6789o0EB\X1^cm`56788o0EB\X1^cm`5678;o0EB\X1^cm`5678:o0EB\X1^cm`5678=o0EB\X1^cm`5678OHZlkouRQnne2345763@EYiljv_^cm`56788;:7DA]e`fz[Zgil9:;4INQ\BVKXNOn:!D`>119JKVYA[DUMJi?"Io024>OH[VLXARHId0/Jj6773@EXSK]B_GDg5(Oi<8:0EB]PFRO\BCb6%@d>o6G@S^DPIZ@Al;l0EB]PFRO\BCb5%@d:<6G@S^DPIZ@Al;'Bb Ga2028MJUXNZGTJKj=-Hl055=NGZUM_@QIFe0.Mk2682CD_RH\M^DE`7+Nf??;HMP[CUJWOLo? Ga4028MJUXNZGTJKj<-Hl655=NGZUM_@QIFe1.Mk0682CD_RH\M^DE`6+Nf>;;7DA\_GQN[C@c;$Ce4<>4INQ\BVKXNOn8!D`6c:KLWZ@TEVLMh9h4INQ\BVKXNOn?!D`>0:KLWZ@TEVLMh9#Fn032?LITWOYFSKHk4,Km55763@EXSK]B_GDg0(Oi98;;7DA\_GQN[C@c<$Ce><>4INQ\BVKXNOn?!D`<119JKVYA[DUMJi:"Io624>OH[VLXARHId5/Jj0773@EXSK]B_GDg0(Oi>8:0EB]PFRO\BCb3%@d<==5FOR]EWHYANm>&Ec6>0:KLWZ@TEVLMh9#Fn848MJR^XL>0EB[?c:KLQ5Yj}q:;<=j4INW3[hs89:;=i5FOT2\ip~789:9h6G@U1]nq}67899o7DAZ0^ov|5678=n0EB[?_lw{4567==1BCX?l;HMV5Zhh|9:;=i5FOT3\jjr789;:96G@UEQ7?LIPMl1@IH^PHHGQEWEOQ>1GEO\NRX48HJGCMM90@BM8;MMDMFGKi2F[ARV@R3]21>Jhim;n7Aand0]\ekb789:?7@V\Eb9Neoiu^lxxeb`l;LkmkwPbzzcdb?5A039M52=IM]]D^F?4O59LFP@43FDN>6A]3:MQ66=HZ:90C_:<;NWW0>Iikli0Ccmj_^mq4567l2EeohQPos23457c3FdhiRQ`r12347bIiklUTc>?017g?JhdmVUd~=>?07f8KkebWVey<=>?7e9LjfcXWfx;<=>7d:Mmg`YXg{:;<=7>;Qa8T+479:;;=>QC3:R246=W9890\<<<;Q307>V6<:1[=8=4P040?U70;2Z:4>5_1808T75V50:1[>4<4P218T6643Y99?6^<329S7155_4718T0643Y?9?6^:329S115V1;:1[:9=4P770?U01:2Z=87]973:R4=7=W0:1[4==4P930?U>5;2Z39>5_8718T=143Y22>6^63:R:46=W1890\4<<;Q;07>V><:1[58=4P840?U?0;2ZJH95_ASVb?UOIWK_XEIVm;QKM[GSTFHGN?6^]Ec9SWZ@TEVLMhh5_S^DPIZ@Al;'Bbk5_S^DPIZ@Al;'Bb0028TVYA[DUMJi<"Io3255=W[VLXARHId3/Jj44682ZXSK]B_GDg6(Oi9:l0\^QISL]EBa4*Ag8m7]]PFRO\BCb5%@d8j6^\_GQN[C@c:$Ce8k5_S^DPIZ@Al;'Bb8h4PR]EWHYANm8&Ec8i;QQ\BVKXNOn9!D`8f:RP[CUJWOLo> Ga8g9SWZ@TEVLMh?#Fn8`8TVYA[DUDyy>l;QQ\BVKXG|~;=n5_S^DPIZIr|98o7]]Pclr\at6789;0]85]A=2=2>TF48:546\N<0394;02:0=UI58596\N<2<6?WG;<7?0^L2:>49QE909=2XJ0:0:;SC?<;35]AL78VDKD[?1YM@M\179QEHET:?1YM@M\379QEHET?1YM@M\779QEHET0?1YM@JFS69QEHBN[8l0^LCKIR3\ip~789::<6\NMEKP5Zkrp9:;<;SCN@LU6Wds<=>?1132?WGJL@Y:S`{w012354763[KFHD]>_lw{45679;;:7_OBDHQ2[hs89:;=>?>;SCN@LU6Wds<=>?1532?WGJL@Y:S`{w012350763[KFHD]>_lw{45679?;:7_OBDHQ2[hs89:;=:?>;SCN@LU6Wds<=>?1932?WGJL@Y:S`{w01235<773[KFHD]>_lw{4567:8:0^LCKIR3\ip~789:8==5]ALFJW4Yj}q:;<=:>0:PBIAOT9Vg~t=>?0433?WGJL@Y:S`{w0123246>0:PBIAOT:VUd~=>?0333?WGJL@Y9SRa}0123746?7028VDKCAZ8TSb|?012;55=UIDNB_?QPos2345?03[KFHD]<7:PBIAOT<>1YM@JFS4:8VDKUmhnr==5]ALPfeaXe|r;<=>>1:PBIWcflpUfyu>?01326>TFE[ojhtQbuy2345779;1YM@\jae{\ip~789::=<<4R@OQadb~Wds<=>?1331?WGJZlkouRczx1234456:2XJA_kndx]nq}6789;?=?5]ALPfeaXe|r;<=>>5008VDKUmhnrS`{w012353763[KF^hoky^ov|5678;;:7_OBRdcg}Zkrp9:;<>?>;SCNV`gcqVg~t=>?0532?WGJZlkouRczx12340763[KF^hoky^ov|5678?;:7_OBRdcg}Zkrp9:;<:?>;SCNV`gcqVg~t=>?0932?WGJZlkouRczx1234<3>4g9QEVCKWds<=>?14d8VDUBDVg~t=>?004e?WGTMEUfyu>?0134b>TF[LFTaxv?0122m7_O\EM]nq}67898>j6\NSDN\ip~789:9:h5]ARGO[hs89:;?h5]ARGO[hs89:;8h5]ARGO[hs89:;9h5]ARGO[hs89:;:h5]ARGO[hs89:;;h5]ARGO[hs89:;4h5]ARGO[hs89:;545]A^2\KGSAk2XJS=Qbuy2345bTFW9Ufyu>?011g?WGX8Vg~t=>?05c8VDY68VEIYKo4R@]25ZIE]O30^LQ>_N@VBf=UIV;Taxv?012g?WGX9Vg~t=>?00f8VDY6Wds<=>?2e9QEZ7Xe|r;<=>Rczx12347bTFW:UDNXHl;SC\7Zkrp9:;TFW=Ufyu>?01f8VDY3Wds<=>?1e9QEZ2Xe|r;<=>=d:PB[1Yj}q:;<==k;SC\0Zkrp9:;<974R@]6[JDRNj1YMR;Pmtz3456c3[KT9Rczx12344bS`{w01236a=UIV?Taxv?0120`>TFW?016:?WGX>VEIYKm4R@]5[hs89:;h6\N_7]nq}6789;o7_OP6^ov|5678;n0^LQ9_lw{4567;m1YMR8Pmtz3456312XJS:Q@BTD`?WGX?Vg~t=>?0e9QEZ1Xe|r;<=>>d:PB[2Yj}q:;<=j4R@]4[hs89:;845]A^:\KGSAk2XJS5Qbuy2345bTFW1Ufyu>?011g?WGX0Vg~t=>?05;8VDY>WFH^Jn5]A^;\ip~789:o7_OP9^ov|56788n0^LQ6_lw{4567:m1YMR7Pmtz34564l2XJS4Qbuy2345243[OX86\JS078V@Uun<1YI^|}d:PFWwtXff~;<=?j;SGPvwYig}:;<84RNO@W10e:PP[CUJWOLo= Gaf:PP[CUJWOLo= Ga1028VVYA[DUMJi?"Io3355=U[VLXARHId0/Jj47682XXSK]B_GDg5(Oi9;;;7_]PFRO\BCb6%@d:?<>4RR]EWHYANm;&Ec?;f:PP[CUJWOLo= Ga2g9QWZ@TEVLMh<#Fn2d8VVYA[DUMJi?"Io6e?WUXNZGTJKj>-Hl6b>TTWOYFSKHk1,Km2c=U[VLXARHId0/Jj2`a3[YTJ^CPFGf2)Lh>j2XXSK]B_GDg6`=U[VLXARHId3/Jjc=U[VLXARHId3/Jj4773[YTJ^CPFGf1)Lh688:0^^QISL]EBa4*Ag;:==5]S^DPIZ@Al;'Bb<<>0:PP[CUJWOLo> Ga1233?WUXNZGTJKj=-Hl20468028VVYA[DUMJi<"Io3:b>TTWOYFSKHk2,Km6c=U[VLXARHId3/Jj6`o1Y_RH\M^DE`7+Nf>l0^^QISL]EBa4*Ag2m7_]PFRO\BCb5%@d2n6\\_GQN[C@c;l1Y_RH\M^DE`6+Nfo1Y_RH\M^DE`6+Nf8;;7_]PFRO\BCb4%@d:#Fn7d8VVYA[DUMJi="Io5e?WUXNZGTJKj<-Hl;b>TTWOYFSKHk3,Km=g=U[VLXARAzt9a8VVYA[DUDyy6>c:PP[CUJWF4?;4Rdcg}3=Umhnr<:5]e`fz4w`;5]e`fz52=Umhnr=h4Rdcg}4tXWfx;<=>>0:Pfea6zVUd~=>?0033?Wcflp;ySRa}012363=Umhnr>:5]e`fz6w`;5]e`fz73=Umhnr8l5]e`fz[LIE[01Yiljv_SCNg>TbimsTc>?00f8V`gcqVey<=>>1e9Qadb~Wfx;<=?=d:PfeaXg{:;<<=9;SgeEfm>3[omOcz30?;8V``Df}6:245]egAmp94912XnjN`{<2<:?WcaKg~78374Rdd@jq:2601YikMat=4==>TbnJd0:07;SgeGkrX811YikMat^3;?WcaKg~T>55]egAmpZ5?3[omOczP499QacEi|V?37_kiCov\2==UmoIexR9=3:PfbFhsWDkohRQnde2345YJpfxT^h}zlu>2:75?01]N|jtXZly~`y2<>318V``Df}UFmijP_`fg4567WDrd~R\jstnw8185;2XnjN`{_Lcg`ZYflm:;<=QBxnp\V`urd}6>2?=4Rdd@jqYJimnTSljk0123[H~hzVXnxb{<7<17>TbnJdS@okd^]b`a6789UFtb|PRdqvhq:06;<0^hhLnu]NeabXWhno<=>?_SgpqirX8Vddx=>?003g?WcaKg~TAljk_^cg`5678VUjbi>?013f?WcaKg~TAljk_^cg`5678VUjbi>?0132`>TbnJdS@okd^]b`a6789UTmcj?0132a>TbnJdS@okd^]b`a6789UTmcj?01325a=UmoIexRCnde]\eab789:TSl`k01215`=UmoIexRCnde]\eab789:TSl`k012154b31?31?WcaKg~TSljk01238786:2XnjN`{_^cg`5678595=?5]egAmpZYflm:;<=2;>008V``Df}UTmij?012?1;753[omOczP_`fg45674?4:>6\jfBlw[Zgcl9:;<1917:PfbAiim8:0^hhKoog\[dhc89:;=<5]egFlj`YXign;<=>>f:PfbAiimVUd~=>?0028V``CggoTSb|?012257=UmoNdbhQlmq]fu5678890^hhKoog\ghvXmx:;<=?>3:PfbAiimVif|Rk~0123645?4018V``CggoTo`~Pep234536;2XnjIaae^antZcv89:;:<=4RddGkkcXkdzTi|>?01527>TbnMeeiRmbp^gr45670890^hhKoog\ghvXmx:;<=79;SgeVDU13[om^h8;SgeV`w612XnjX|heovb?Wca]{mnby?n;SgeQwabf}8j7_kiUsefjq5f3[omYijnu65?Wct}e~=7^M\IBQ4?VETAJY:;6]LSHAP62=TKZCH_>94SBQJGV203ZIXEN]:3:QFPd=TfmXJAN]XRc9PjaTFEJY\^n6]adSCNGVQU>k1Xbi\NMBQTV2d<[gnYM@M\WS:a?VhcZHGH_Z\64:VQQ@2<\PZN46ZfmdmvpD773]cfib{{A^]lv56788;0XdcjotvB[Ziu89:;=<<4ThofkprFWVey<=>?1132?QojmfMRQ`r12347763]cfib{{A^]lv5678:;:7YgbenwwEZYhz9:;<9?>;UknajssIVUd~=>?0432?QojmfMRQ`r12343763]cfib{{A^]lv5678>;:7YgbenwwEZYhz9:;<5?>;UknajssIVUd~=>?08:8Plkbg|~In6ZfmdmvpGVum890XdcjotvATwcXWfx;<=>>4:Vji`ir|KZyiRQ`r12344733]cfib{{BQpf[Ziu89:;><>4ThofkprEWVey<=>?109Wmhch}}HTSb|?012254=SadodyyLP_np34565981_e`k`uu@\[jt789:8m6[\ES]BHLGTk2_XI_QYIRKAH@5<^JI27[GJW^VZT@5<^YKh7[^N_^cm`5678m1]\LQPaof34566j2\[MRQ`r1234f=QXHUTc>?013`?SVFWVey<=>?2b9UTDYXg{:;<==l;WRB[Ziu89:;8n5YP@]\kw6789?h7[^N_^mq4567><1]\L|i5:TSEwtc3_ZJ~Qaou2344c<^YKy~R``t12354b<^`nYM@M\WS47o`=QamXJAN]XR76h5`=QamXJAN]XR76h6`=QamXJAN]XR76h7`=QamXJAN]XR76h0`=QamXJAN]XR76h1`=QamXJAN]XR76h2`=QamXJAN]XR76h3`=QamXJAN]XR76h<4b0TB\=6:ZPPIOE?2RXXRIAD69[WQYQKJ?0TRAAE79[[_CUG?1ShoQFdc9[`mYWz`gBb{m4Xej\Twoj\pznj6Vkh^RqmhPbzzcdb<>4Xeo\Idlhz_oydaa119[`hYJageyZh||inl5?]i;87;87U}{4:XFVJ>?9:]bja6789;27Road12347>?3:amp7=d{:1mol4fr`\jjr789:h7k}m_omw45679m1moQaou234576l2lxnR``t12344433`eia95focq:?kGCg|~GI=o4n@FlqqJB88h0bLJ`uuNF447e3gKOcxzCE131f>hFLf@H>>3d9mEAir|EO;SBLZF89mEAir|EO:m6`NDnwwH@76m2dJHb{{LD3\KGSA;2dJ_:5aAR]EWGehF[VCDNn5aAR]JKGYHJ\Li7cO\_omw4566k2dJ_R``t12354ehF[Vddx=>?12a8jDUXff~;<=?;c:lBWZhh|9:;=894n@mvpJCd3gKdyyAJ_N@VB0=iJLXY:6`MESP2=>hEM[XTJ^Lj;o@FVWYA[KUDNXH6;o@FVWYNGKo0bOK]R^KLFZOE]O>0bNO\d:l@EVYDdbUBB[?8;oABWZH712dHM^Q@BTD`?kEF[Vddx=>?1e9mGDUXff~;<=?>6:lGPDELi2dOXLMD_GQAb>hC\HI@SK]M_N@VBd=iL]KHGRG@Bg9m@QGDCVCDNRGMUGg8jARFKBUTc>?01d8jARFKBUTc>?013e?kBSIJATSb|?01212>hCagFNn6`KioNF[JDRNm1eHd`CE^mq4567m2dOecBJ_np34566m2dOecBJ_np34565m2dOecBJ_np34564m2dOecBJ_np34563>2dOecAJ7:lGmkIB9>1eHd`@E358jAoiGL9i7cJfnNG\KGSA?2dOcxz@Eb9m@jssGLUDNXHi;oFlqqIBWge<=>>119m@jssGLUecy>?00324>hCg|~DIR``t12357773gNdyyAJ_omw4566;8:0bIaztNG\jjr789;?==5aDnwwK@Yig}:;<<;>0:lGkprHMVddx=>?1768jIBT02dGH^QISCf8jIBTWOYISDLZF99mHAUXAFHo7cBKS^KLFZIE]Oi0bAJ\_`lg4566l2dGH^Qnne23447c3gFO_Road12357ehKLZUecy>?003a?kJC[Vey<=>>c:lO@VYhz9:;=hKLZUd~=>?17a8jIBTWfx;<=?8c:lO@VYhz9:;=5m4nMFP[jt789;286`CWD78jIQB9j1e@ZKPaof3456c3gF\IRoad12344bhK_LUjbi>?0160?kIB<2dDI<:4nNG1<>hHMVEIYK74nNtfvig~8890bBxjrmcz4ZGdd{UBB[?l;oMuawjfq9UE<?0008jJpbzekr6`@vdpoe|6Xign;<=><139mKscudhs;Sl`k01230448;oPBIIQBk2dYM@BXE^MAQC13gXN_RGMUG48jWIJKZ=0b_ABCR3a?kTHEJYTCO[I6:lQKHQB?2dYC@YJ1c9mVJKPMVEIYK:4nTFP<>hRLZUM_Oj4nTFP[CUEW@H^J55aUEQ\MJDc3g_O_RG@B^MAQCehRLZUjbi>?013g?kSC[Vkeh=>?03a8jPBTWge<=>>d:lV@VYig}:;<hQEHUTmcj?0122g>hQEHUTc>?01f8jSKFWVey<=>?1e9mRHGXWfx;<=>=d:lUIDYXg{:;<==k;oTNEZYhz9:;<9j4nWOB[Ziu89:;9i5aVLC\[jt789:=h6`YM@]\kw6789=o7cXBA^]lv56781n0b[CN_^mq45671j1eZ@OPaof3456c3g\FMRoad12344bhQEHUjbi>?016g?kPJIVkeh=>?04f8jSKFWhdo<=>?6e9mRHGXign;<=>84:lUTD3hQXHUM_Oj4nWRB[CUEWFH^J55aVQC\MJDc3g\[MRG@B^KAQC5hPMVLXNRGMUG:8jbbe}`fo?6|nm99{g<378qf:=6vl94370}jsy8:;!<>7;ykomk~rf820ta`w_`wwkZ6Xpmh$o~z!xcagyEFw9k8:7MNw1b49B?2=9rYj<7=ke;3f4?74<139j7mnbgym7ad=92d8hn49;%1g3?5dn2wX5k4k4lab38W4e72=3m6>4>35::6c84;a39'b4<73-om69o;;%d3>4743k;h:7>5428:0?g2sA9i96*<9d82g3=]1909w:4n:|&2f`<41m1b5o4?::m755<722c8j:4?::m7==<722c?:h4?::m72d<722e?9h4?::k742<722e?9l4?::m74<<722c?:84?::k757<722e8j44?::m744<722e?9n4?::k7=d<722e?;=4?::m70<<722e8ii4?::m0b6<722c?>i4?::k:3?6=3`326=44o544>5<1<7*=268106=i:;<1<65`25094?"5:>098>5a23495>=h:=n1<7*=268106=i:;<1>65`25a94?"5:>098>5a23497>=h:=h1<7*=268106=i:;<1865`25c94?"5:>098>5a23491>=h:=31<7*=268106=i:;<1:65`25:94?"5:>098>5a23493>=h:==1<7*=268106=i:;<1465`25494?"5:>098>5a2349=>=h:=?1<7*=268106=i:;<1m65`25394?"5:>098>5a2349f>=n3:07d:6:18'671=?851:9j0=<72-89;7:n;o012?4<3`><6=4+23590d=i:;<1?65f4483>!45?3>j7c<=6;68?l23290/>?954`9m670==21b8>4?:%013?2f3g89:784;h61>5<#:;=18l5a23493>=n<80;6)<=7;6b?k45>3207d:?:18'671=?859:9j7c<72-89;7:n;o012?g<3`9n6=4+23590d=i:;<1n65f3e83>!45?3>j7c<=6;a8?l5d290/>?954`9m670=l21b9>4?:%013?2f3g89:7k4;h71>5<#:;=18l5a2349b>=n=80;6)<=7;6b?k45>3;;76g:0;29 7402=k0b?<9:038?l2a290/>?954`9m670=9;10e9k50;&162<3i2d9>;4>3:9j0a<72-89;7:n;o012?7332c?o7>5$304>1g5<#:;=18l5a234953=6=4>b;6a>0`|@:h>7)=6e;c2?_?728q36p*>bd80=a=n1?0;66a>5b83>>i4000;66a<9183>>o1j3:17d:95;29?j7383:17d:6c;29?j43n3:17b?850:9j5<<72-89;7?n;o012?7<3`;36=4+23595d=i:;<1>65f1783>!45?3;j7c<=6;18?l73290/>?951`9m670=<21b=>4?:%013?7f3g89:7;4;h31>5<#:;=1=l5a23492>=n980;6)<=7;3b?k45>3=07d??:18'671=9h1e>?858:9jb?6=,;8<6<=3k07dj50;&162<6i2d9>;4m;:k`>5<#:;=1=l5a2349g>=nj3:1(?<8:0c8j7412m10e?=50;&162<6i2d9>;4j;:k16?6=,;8<6c=:183!5>m3;;<6Fji;%3ab?2f;2emj7>5$2f:>4b432wi?i:50;394?6|,:3n6>l9;I1g6>N4j<1/?i751bg8 4da2=k87b?kf;29 6b>28n876sm45f94?3=:37j:gc8L6b53A9i96*>1085?!7en3>j?6g65;29?l?c2900e4?50;9je0<722e98=4?::`105<72?0;6=u+3e;9bf=n99l1<7*=n1?0;6)=k9;;5?>o40o0;6)=k9;1;b>=n:o=1<7*5}#;m31?ih4o0f0>5<#;m31=i=4;|q105<72;qU>9>4=363>4b43tyj97>52z\b1>;5<90:=3:1>vP65:?105<>=2wx5<4?:3y]=4=::=:15;5rs023>5<5s48?<7=7f:?0`3<6l:1vqo::7;291?4=>r.85h4ia:J0`7=O;k?0(:79'5g`=5;hc6>5<;6=44b363>5<1290;w)=k9;d`?l77n3:1(>j6:02e?>o>=3:1(>j6:878?l?1290/?i759798m6>a290/?i7539d8?l4a?3:1(>j6:3d4?>i6l:0;6)=k9;3g7>=zj:n=6=4>:183!5c139oj6a>d283>!5c13;o?65rs363>5<5sW8?<63=4182`6=z{h?1<7l2798=4=f69~w<3=838pR4;4=363><30183>7}::=:1?5h4=2f5>4b43twi89k50;094?6|,:3n6N4j<1/?i758d9'5g`=>:18'7a?=99l07b?lc;29 6b>28n87E=k8:9~f13?29096=4?{%1:a?76:2B8h?5G3c78 6b>21o0(j6:02e?>i6kj0;6)=k9;3g7>N4l110qo??b;29=?6=8r.85h4<6:J0`7=O;k?0(>j6:228 4da2=k87d??2;29 6b>28:m76g>0283>!5c13;;j65f11694?"4l00:5<#;m31==h4;h332?6=,:n26<>i;:k242<72-9o57??f:9j55>=83.8h44>0g98m46>290/?i7511d8?j7dk3:1(>j6:0f0?>{e99i1<7750;2x 6?b2:<0D>j=;I1a1>"4l008<6*>bg87e6=n9981<7*5$2f:>46a32c:<84?:%1g=?77n21b==850;&0`<<68o10e<>8:18'7a?=99l07d??8;29 6b>28:m76g>0883>!5c13;;j65`1ba94?"4l00:h>54}c33`?6=;3:1N4l;1C?o;4$2f:>66<,8hm69o<;h336?6=,:n26<>i;:k246<72-9o57??f:9l5fe=83.8h44>d298yg5?i3:1?7>50z&0=`<492B8h?5G3c78 6b>2::0(j6:02e?>o68:0;6)=k9;33b>=h9ji1<7*52;294~"41l0:=?5G3e08L6d23-9o576j;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:1C?i64;|`00g98k4ed290/?i751e18L6b?32wi?5j50;194?6|,:3n6>?4H2f1?M5e=2.8h44<0:&2fc<3i:1b==<50;&0`<<68o10e<><:18'7a?=99l07b?lc;29 6b>28n876sm39g94?5=83:p(>7j:238L6b53A9i96*"6jo0?m>5f11094?"4l00:5<#;m31==h4;n3`g?6=,:n26t$2;f>4753A9o>6Fj6:0f0?M5c021vn<;j:181>5<7s-92i7?>2:J0`7=O;k?0(>j6:9g8 4da2=k87d??1;29 6b>28:m76a>cb83>!5c13;o?6F7>50z&0=`<69;1C?i<4H2`6?!5c132n7)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*6183>1<729q/?4k5339K7a4<@:h>7)=k9;13?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31==h4;n3`g?6=,:n26t$2;f>67<@:n97E=m5:&0`<<482.:nk4;a29j554=83.8h44>0g98m464290/?i7511d8?j7dk3:1(>j6:0f0?>{e<<81<7<50;2x 6?b28;97E=k2:J0f0=#;m314h5+1cd90d55$2f:>4b43A9o465rb570>5<5290;w)=6e;326>N4l;1C?o;4$2f:>=c<,8hm69o<;h335?6=,:n26<>i;:m2gf<72-9o57?k3:J0`==>87>52;294~"41l0:=?5G3e08L6d23-9o576j;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:1C?i64;|`710<72:0;6=u+38g974=O;m80D>l:;%1g=?573-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd3=?0;694?:1y'7o68;0;6)=k9;33b>=n9991<7*5$2f:>4b432wi>8>50;094?6|,:3n6N4j<1/?i758d9'5g`=>:18'7a?=99l07b?lc;29 6b>28n87E=k8:9~f73629086=4?{%1:a?563A9o>6Fj6:02e?>i6kj0;6)=k9;3g7>=zj;?96=4=:183!5>m3;:>6F1g43`;;=7>5$2f:>46a32e:on4?:%1g=?7c;2B8h554}c067?6=:3:1l:;%1g=?>b3-;ij7:n3:k244<72-9o57??f:9l5fe=83.8h44>d29K7a><3th9994?:283>5}#;0o1?<5G3e08L6d23-9o57=?;%3ab?2f;2c:6<729q/?4k5309K7a4<@:h>7)=k9;13?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`113<72:0;6=u+38g95a6<@:n97E=m5:&0`<<6ko1/=oh54`18m465290/?i7511d8?l77;3:1(>j6:02e?>i6kj0;6)=k9;3g7>=zj;?<6=4<:183!5>m39:7E=k2:J0f0=#;m31?=5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn?h?:181>5<7s-92i7?>2:J0`7=O;k?0(>j6:9g8 4da2=k87d??1;29 6b>28:m76a>cb83>!5c13;o?6F7>50z&0=`<69;1C?i<4H2`6?!5c132n7)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*7<729q/?4k51008L6b53A9i96*"6jo0?m>5f11394?"4l00:5<#;m31=i=4H2f;?>{e:o91<7<50;2x 6?b28;97E=k2:J0f0=#;m314h5+1cd90d55$2f:>4b43A9o465rb3d7>5<4290;w)=6e;3g4>N4l;1C?o;4$2f:>4ea3-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd5n<0;6>4?:1y'7o68;0;6)=k9;33b>=n9991<7*5}#;0o1??5G3e08L6d23-9o57=?;%3ab?2f;2c:;:18'7a?=99l07b?lc;29 6b>28n876sm3b594?3=83:p(>7j:218L6b53A9i96*"6jo0?m>5f11094?"4l00:5<#;m31==h4;h330?6=,:n26<>i;:k240<72-9o57??f:9l5fe=83.8h44>d298yg5d03:1?7>50z&0=`<492B8h?5G3c78 6b>2::0(j6:02e?>o68:0;6)=k9;33b>=h9ji1<7*53;294~"41l08h<5G3e08L6d23-9o57=k0:&2fc<3i:1b==<50;&0`<<68o10e<><:18'7a?=99l07b?lc;29 6b>28n876sm3bc94?4=83:p(>7j:031?M5c:2B8n85+3e;9<`=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26=zj:ii6=4=:183!5>m3;:>6F1g43`;;=7>5$2f:>46a32e:on4?:%1g=?7c;2B8h554}c1`g?6=;3:1N4l;1C?o;4$2f:>66<,8hm69o<;h336?6=,:n26<>i;:k246<72-9o57??f:9l5fe=83.8h44>d298yg5dl3:1?7>50z&0=`<492B8h?5G3c78 6b>2::0(j6:02e?>o68:0;6)=k9;33b>=h9ji1<7*54;294~"41l08>6F>4$0`e>1g43`;;>7>5$2f:>46a32c:<>4?:%1g=?77n21b==:50;&0`<<68o10c6<729q/?4k5309K7a4<@:h>7)=k9;13?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`0=0<72:0;6=u+38g95a6<@:n97E=m5:&0`<<6ko1/=oh54`18m465290/?i7511d8?l77;3:1(>j6:02e?>i6kj0;6)=k9;3g7>=zj:3=6=4::183!5>m3987E=k2:J0f0=#;m31?=5+1cd90d55$2f:>46a32c:<94?:%1g=?77n21b==;50;&0`<<68o10c7<729q/?4k51008L6b53A9i96*"6jo0?m>5f11394?"4l00:5<#;m31=i=4H2f;?>{e;021<7<50;2x 6?b28;97E=k2:J0f0=#;m314h5+1cd90d55$2f:>4b43A9o465rb2;:>5<5290;w)=6e;326>N4l;1C?o;4$2f:>=c<,8hm69o<;h335?6=,:n26<>i;:m2gf<72-9o57?k3:J0`==52;294~"41l0:=?5G3e08L6d23-9o576j;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:1C?i64;|`0=g<72:0;6=u+38g974=O;m80D>l:;%1g=?573-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd41j0;684?:1y'7o68;0;6)=k9;33b>=n9991<7*5$2f:>46a32e:on4?:%1g=?7c;21vn>7=:180>5<7s-92i7=>;I1g6>N4j<1/?i75319'5g`==:18'7a?=99l07d??3;29 6b>28:m76a>cb83>!5c13;o?65rb2;0>5<4290;w)=6e;3g4>N4l;1C?o;4$2f:>4ea3-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd41=0;6>4?:1y'7o68;0;6)=k9;33b>=n9991<7*5}#;0o1=<<4H2f1?M5e=2.8h447e:&2fc<3i:1b==?50;&0`<<68o10cj7;:a51?=83?1<7>t$2;f>65<@:n97E=m5:&0`<<482.:nk4;a29j554=83.8h44>0g98m464290/?i7511d8?l77<3:1(>j6:02e?>o68<0;6)=k9;33b>=h9ji1<7*53;294~"41l0:h=5G3e08L6d23-9o57?lf:&2fc<3i:1b==<50;&0`<<68o10e<><:18'7a?=99l07b?lc;29 6b>28n876sm15`94?4=83:p(>7j:031?M5c:2B8n85+3e;9<`=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26=zj8>h6=4<:183!5>m39:7E=k2:J0f0=#;m31?=5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn<:k:181>5<7s-92i7?>2:J0`7=O;k?0(>j6:9g8 4da2=k87d??1;29 6b>28:m76a>cb83>!5c13;o?6F7>50z&0=`<69;1C?i<4H2`6?!5c132n7)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*4g83>6<729q/?4k5309K7a4<@:h>7)=k9;13?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`215<72:0;6=u+38g974=O;m80D>l:;%1g=?573-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd6<;0;6>4?:1y'7o68;0;6)=k9;33b>=n9991<7*4?:283>5}#;0o1=i>4H2f1?M5e=2.8h44>cg9'5g`==:18'7a?=99l07d??3;29 6b>28:m76a>cb83>!5c13;o?65rb067>5<3290;w)=6e;11?M5c:2B8n85+3e;975=#9kl18l=4i021>5<#;m31==h4;h337?6=,:n26<>i;:k241<72-9o57??f:9l5fe=83.8h44>d298yg73=3:1?7>50z&0=`<492B8h?5G3c78 6b>2::0(j6:02e?>o68:0;6)=k9;33b>=h9ji1<7*53;294~"41l0:h=5G3e08L6d23-9o57?lf:&2fc<3i:1b==<50;&0`<<68o10e<><:18'7a?=99l07b?lc;29 6b>28n876sm15594?5=83:p(>7j:238L6b53A9i96*"6jo0?m>5f11094?"4l00:5<#;m31==h4;n3`g?6=,:n26=8391<7>t$2;f>4b73A9o>6Fj6:02e?>o68:0;6)=k9;33b>=h9ji1<7*55d=99>01>79:021?85>?3;;=6s|c;290~Xd34;;n7??5:?0=3<68:16?4651138yvb=83>pRi5211`9550<5:3=6<>;;<1:=?7792wxi7>54z\f?877j3;;;63<908246=:9=k1==<4}rd94?3|Vo16==l511:896?128:>70=6a;335>;65rs0294?5|V8:01<>m:02:?85>93;;>6s|1083>1}Y9816==m5110896e028:870?;9;336>{t9;0;69uQ139>55e=99901>m8:021?87313;;?6s|1283>1}Y9:16==m5116896e028:?70?;9;330>{t9=0;69uQ159>55e=99?01>m8:026?87313;;96s|1783>2}Y9?16==m5114896>f28:970::2;335>;5n80:<<523b:9554<58><6<>=;|q20b8242=:;1h1==?4=570>466348>=7??3:?1b7<68816?n651118942628::70?;c;337>{t900;6nuQ189>55e=99201>6n:020?872l3;;=63;508246=::<:1==?4=372>465348m87??2:?0g<<68:16=9j51138942a28:970?:0;336>{t9k0;64uQ1c9>55e=99301>6l:022?872n3;;=63;558244=::<91==?4=3d0>466349hm7??1:?20=<68;1v?<50;1xZ74<58:i6<>=;<1:1?77:2wx>>4?:2y]66=:99h1===4=2;6>4643ty=n7>53z\5f>;3=10:<<5244395540c83>7}:99h1=nm4=02g>4653ty:55e=9ji01<>k:020?xu6<90;6?uQ1528942?28ih7p}>4083>7}:9=;1=nm4=06e>4643ty:8?4?:3y>514=9ji01<:<:020?xu6<:0;6?u215195fe<58>?6<>=;|q201<72;q6=9:51ba8942228:87p}>4483>7}:9=?1=nm4=065>4643ty:8;4?:3y>510=9ji01<:8:020?xu6<>0;6?u215595fe<58>36<><;|q20<<72;q6=9751ba8942228:97p}>4`83>7}:9=k1=nm4=067>4643ty:8o4?:3y>51d=9ji01<;?:020?xu696<>=;|q20a<72;q6=9j51ba8942528:87p}>4d83>7}:9=o1=nm4=067>4633ty:8k4?:3y>51`=9ji01<:9:021?xu6=90;6?u214295fe<58>86<>=;|q21f<72;qU=8m4=043>4ed3ty:9i4?:3y>50b=9ji01<8?:020?xu6=l0;6?u214g95fe<58<;6<>=;|q21c<72;q6=8h51ba8940728:?7p}>c883>7}:;m91jk524459=a=z{8ij6=4={<1g0?7cn27?8i4n5:p61`=838pR?:i;<063?7dk2wx>8>50;0x973728ih70<:4;337>{t:<;1<74ed348>97??2:p604=838p1?;=:0a`?842=3;;?6s|24194?4|5;?868:50;0x973328ih70<:6;336>{t:4ed348>:7??3:p600=838p1?;9:0a`?842?3;;>6s|2dd94?4|V;om70{t:o:1<74ed348m87??3:p6c7=838p1?h>:0a`?84a>3;;>6s|2g094?4|5;l96k=50;0x97`428ih70{t:o>1<74ed348m:7??3:p6c3=838p1?h::0a`?84a>3;;86s|39;94?4|V:2270=7e;3`g>{t;1k1<74ed3493i7??2:p7=d=838p1>6m:0a`?85?l3;;>6s|39a94?4|5:2h6c28ih70=7e;337>{t;0:1<7<3;ho6s|38394?4|5:3:6{t;091<74ed349287??3:p7<3=838p1>7::0a`?85>j3;;?6s|38494?4|5:3=6{t;021<74ed3492o7??3:p776:0a`?85>k3;;86s|38c94?4|5:3j6{t;0i1<74ed3492?7??3:p7f0=838p1>mj:0a`?85>j3;;>6s|3b594?4|5:i<6{t;j31<74ed349ho7??2:p7fg=838p1>mn:0a`?85dk3;;?6s|3b`94?4|5:ii6{t;jn1<74ed349hi7??2:p01b=838p19:k:363?822?3k>7p};4g83>7}:<=n15i5245g95fe><7>52z?70a<>=27?9;4>cb9~w1362909w0::1;3`g>;3=?0:<>5rs571>5<5s4>>>7?lc:?710<68;1v9;<:181822;3;ho63;548246=z{=??6=4={<660?7dk27?9;4>059~w1322909w0::5;3`g>;3=?0:5<0s4>>;7<;0:?117<68816>8:5110897`728::70=l9;336>;6>57>52z?712<>=27?954>cb9~w1022909wS:95:?70`<6881v97l:187[2>k27:9h4>009>7fd=99;01<:j:022?x{e7j:36f?_?72;q<644r$0`f>6?c3`3=6=44i2:0>5<?6=44o554>5<5<5<098>5a23494>=h:=81<7*=268106=i:;<1=65`25f94?"5:>098>5a23496>=h:=i1<7*=268106=i:;<1?65`25`94?"5:>098>5a23490>=h:=k1<7*=268106=i:;<1965`25;94?"5:>098>5a23492>=h:=21<7*=268106=i:;<1;65`25594?"5:>098>5a2349<>=h:=<1<7*=268106=i:;<1565`25794?"5:>098>5a2349e>=h:=;1<7*=268106=i:;<1n65f1983>!45?3;<7c<=6;28?l71290/>?95169m670=921b=94?:%013?703g89:7<4;h30>5<#:;=1=:5a23497>=n9;0;6)<=7;34?k45>3>07d?>:18'671=9>1e>?855:9j55<72-89;7?8;o012?0<3`l1<7*=26823>h5:?0<76gj:18'671=9>1e>?858:9j`?6=,;8<6<94n305><=3k07dl50;&162<6?2d9>;4m;:k17?6=,;8<6<94n305>f=h5:?0o76g=1;29 74028=0b?<9:d98m76=83.9>:4>7:l1635$304>414;h3f>5<#:;=1=:5a234954=h5:?0:>65f1b83>!45?3;<7c<=6;30?>o6=3:1(?<8:058j74128>07o=k3;295?6=8r.85h4>019K7a4<@:h>7)=k9;1gb>"6jo0?m>5`fg83>!5c13;o?65rb34f>5<22;0=w)=6e;db?M5c:2B8n85+10392>"6jo0?m>5f9483>>o>l3:17d7>:188md3=831d>9>50;9a616=83<1<7>t$2f:>ce6=4+3e;9=0==n;1l1<7*5$2f:>4b432wi?i850;394?6|,:n26>ji;n3g7?6=,:n265<5sW3o70<;0;0e3>{t1<0;6?uQ949>616=1<1v4?50;0xZ<7<5;>;6484}r334?6=:r798=4<8g9>7a0=9m90qpl>d583>0<52?q/?4k5f`9K7a4<@:h>7)?>1;48 4da2=k87d7::188m5;c074?6=>3:1o68o0;6)=k9;33b>=n1<0;6)=k9;;6?>o>>3:1(>j6:848?l5?n3:1(>j6:2:e?>o5n>0;6)=k9;0e3>=h9m91<7*51;294~"4l008hk5`1e194?"4l00:h>54}r074?6=:rT98=5225295a56=4={_c6?84383;;j6s|9e83>7}Y1m16>9>52g58yv?22909wS7:;<074??23ty2=7>52z\:5>;5<902:6s|11294?4|5;>;6>6i;<1g2?7c;2wvn;k50;196?2|,:3n6k74H2f1?M5e=2.:nk4;a29j=4<722cj97>5;n074?6=3k8?<7>55;092~"41l0mn6*>bg87e6=h:=:1<75f23:94?=ni<0;66g6d;29?l?62900nkl50;494?6|,:n26km4i02e>5<#;m31==h4;h;6>5<#;m315854i8494?"4l002:65f39d94?"4l0084k54i3d4>5<#;m31>k94;n3g7?6=,:n26t$2f:>4eb3f;oj7>5$2f:>4b432wxm84?:3y]e0=:nk0:52z\16==:nk084k5rs363>5<5sW8?<63ib;3g7>{t;k<1<75<6290;w)=m4;68 6?b28:;7)=k9;1gb>"6jo0?m>5`fg83>!5c13;o?65rs363>5<5sW8?<63=418105=z{h?1<7:181[?6348?<77>;|q1>5<4s48?<7<=8:?105<>l27:6kh4$2`7>0=zuk853;090~"41l0m56F>i5<90;66l=4183>0<52?q/?4k5fc9'5g`=5;cda>5<1290;w)=k9;d`?l77n3:1(>j6:02e?>o>=3:1(>j6:878?l?1290/?i759798m6>a290/?i7539d8?l4a?3:1(>j6:3d4?>i6l:0;6)=k9;3g7>=zj:n>6=4>:183!5c13;hi6a>dg83>!5c13;o?65rs`794?4|Vh?01kl511d8yv?62909wS7>;<07}Y:;201kl539d8yv4383:1>vP=419>bg<6l:1v>l9:1818`e20?01>j::0fe?x{e93:1=7>50z&0f1<33-92i7??0:&0`<<4lo1/=oh54`18kc`=83.8h44>d298yv4383:1>vP=419>616=:=:0q~o::181[g2348?<7o:;|q:5?6=:rT2=63=418:5>{t:3:1?v3=41816==::=:15i521;de?!5e<3?0qpl=8g83>6<52=q/?4k5f89K7a4<@:h>7)?>1;48 4da2=k87d7>:188md3=831d>9>50;9a616=83?1>78t$2;f>cd<,8hm69o<;n074?6=3`8947>5;hc6>5<>daj3:1:7>50z&0`<5$2f:><0<3`93j7>5$2f:>6>a32c9j:4?:%1g=?4a?21d=i=50;&0`<<6l:10qo=k5;295?6=8r.8h44>cd9l5a`=83.8h44>d298yvg22909wSo:;46a3ty2=7>52z\:5>;aj33=7p}6d;296~X>l27mn7=838pR?<7;6>a3ty98=4?:3y]616<5oh1=i=4}r1a2?6=:r7mn77:;<1g1?7cn2wvn<4?:083>5}#;k>186*<9d8245=#;m31?ih4$0`e>1g43flm6=4+3e;95a5<3ty98=4?:3y]616<5;>;6?:?;|qb1?6=:rTj963=418b1>{t180;6?uQ909>616=181v?4?:2y>616=:;201?:?:8f8947:t$2;f>c?<@:n97E=m5:&254<13-;ij7:n3:k:5?6=3`k>6=44o363>5<;6=4::385!5>m3li7)?mf;6b7>i5<90;66g=2983>>of=3:17d7k:188m<7=831ijo4?:783>5}#;m31jn5f11d94?"4l00:!5c133=76g<8g83>!5c1393j65f2g594?"4l009j:54o0f0>5<#;m31=i=4;|`0`0<7280;6=u+3e;95fc52z\b1>;aj3;;j6s|9083>7}Y1816jo466:p=a<72;qU5i52fc81b2=z{;836=4={_01<>;aj393j6s|25294?4|V;>;70hm:0f0?xu4j?0;6?u2fc8:1>;4l<0:hk5r}c394?7=83:p(>l;:59'7j6:2fe?!7en3>j?6aif;29 6b>28n876s|25294?4|V;>;70<;0;074>{ti<0;6?uQa49>616=i<1v4?50;0xZ<7<5;>;64?4}r094?5|5;>;6?<7;<074??c34;1jk5+3c691>{zj:2;6=4<:387!5>m3l27E=k2:J0f0=#98;1:6*>bg87e6=n180;66gn5;29?j4383:17o<;0;291?4=>r.85h4ib:&2fc<3i:1d>9>50;9j67>=831bm84?::k:`?6=3`3:6=44bg`94?0=83:p(>j6:ga8m46a290/?i7511d8?l?2290/?i759498m<0=83.8h4466:9j7=`=83.8h44<8g98m7`0290/?i752g58?j7c;3:1(>j6:0f0?>{e;m?1<7?50;2x 6b>28in7b?kf;29 6b>28n876s|a483>7}Yi<16jo4>0g9~w<7=838pR4?4=g`9=3=z{0n1<7?;%1g=?5cn2.:nk4;a29lbc<72-9o57?k3:9~w7272909wS<;0:?105<5<91vl;50;0xZd3<5;>;6l;4}r;2>5<5sW3:70<;0;;2?xu52908w0<;0;01<>;5<902h63>:gd8 6d32<1vqo:?9;297?4=9>50;796?0|,:3n6kl4$0`e>1g43f8?<7>5;h016=44i8f94?=n180;66lib;292?6=8r.8h44ic:k24c<72-9o57??f:9j=0<72-9o577:;:k:2?6=,:n26484;h1;b?6=,:n26>6i;:k1b2<72-9o57d298yg5c=3:1=7>50z&0`<<6kl1d=ih50;&0`<<6l:10q~o::181[g234li6<>i;|q:5?6=:rT2=63ib;;5?xu>l3:1>vP6d:?ef?4a?2wx>?650;0xZ74?34li6>6i;|q105<72;qU>9>4=g`95a552z?ef??2349o97?kf:~f4<7280;6=u+3c690>"41l0:<=5+3e;97a`<,8hm69o<;nde>5<#;m31=i=4;|q105<72;qU>9>4=363>7273tyj97>52z\b1>;5<90j96s|9083>7}Y1816>9>5909~w7<72:q6>9>523:8972720n01<4if:&0f1<23twi>k650;196?2|,:3n6k74H2f1?M5e=2.:=<49;%3ab?2f;2c2=7>5;hc6>5<;6=44b363>5<22;0=w)=6e;da?!7en3>j?6a=4183>>o5:10;66gn5;29?l?c2900e4?50;9abg<72?0;6=u+3e;9bf=n99l1<7*=n1?0;6)=k9;;5?>o40o0;6)=k9;1;b>=n:o=1<7*5}#;m31=nk4o0fe>5<#;m31=i=4;|qb1?6=:rTj963ib;33b>{t180;6?uQ909>bg<>>2wx5i4?:3y]=a=:nk09j:5rs30;>5<5sW89463ib;1;b>{t:=:1<77}:nk029632:nm7)?mf;6b7>ian3:1(>j6:0f0?>{t:=:1<77}Yi<16>9>5a49~w<7=838pR4?4=363><774?348?<77k;<39bc=#;k>196srb22;>5<42;0?w)=6e;d:?M5c:2B8n85+10392>"6jo0?m>5f9083>>of=3:17b<;0;29?g4383:197<56z&0=`?650;9je0<722c2h7>5;h;2>5<2oi0e<>i:18'7a?=99l07d7::18'7a?=1<10e4850;&0`<<>>21b?5h50;&0`<<40o10e?h8:18'7a?=:o=07b?k3;29 6b>28n876sm3e794?7=83:p(>j6:0af?j7cn3:1(>j6:0f0?>{ti<0;6?uQa49>bg<68o1v4?50;0xZ<7<5oh15;5rs8f94?4|V0n01kl52g58yv4503:1>vP=299>bg<40o1v?:?:181[43827mn7?k3:p7g0=838p1kl5949>7a3=9ml0qpl>:182>5<7s-9i87:4$2;f>4673-9o57=kf:&2fc<3i:1djk4?:%1g=?7c;21v?:?:181[4382798=4=419~wd3=838pRl;4=363>d3;62ol0(>l;:49~yg56l3:1?7<54z&0=`3}#;0o1jo5+1cd90d5;6=44i30;>5<>o>93:17ohm:185>5<7s-9o57hl;h33b?6=,:n26<>i;:k:1?6=,:n264;4;h;5>5<#;m315;54i2:e>5<#;m31?5h4;h0e3?6=,:n26?h8;:m2`6<72-9o57?k3:9~f6b2290:6=4?{%1g=?7dm2e:hk4?:%1g=?7c;21vl;50;0xZd3<5oh1==h4}r;2>5<5sW3:70hm:848yv?c2909wS7k;7`03ty9>54?:3y]67><5oh1?5h4}r074?6=:rT98=52fc82`6=z{:h=6=4={<3<5:n>6m3;;<6*54}r074?6=:rT98=5225296166=4={_c6?84383k>7p}61;296~X>92798=461:p6?6=;r798=4=299>616=1m16=7hi;%1a0?35}#;0o1=<<4H2f1?M5e=2.8h447e:&2fc<3i:1b==?50;&0`<<68o10cj7;:a761=8391<7>t$2;f>4b73A9o>6Fj6:02e?>o68:0;6)=k9;33b>=h9ji1<7*<47>52;294~"41l0:=?5G3e08L6d23-9o576j;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:1C?i64;|`706<72;0;6=u+38g9544<@:n97E=m5:&0`<0g98k4ed290/?i751e18L6b?32wi8;650;094?6|,:3n6N4j<1/?i758d9'5g`=>:18'7a?=99l07b?lc;29 6b>28n87E=k8:9~f71f29096=4?{%1:a?76:2B8h?5G3c78 6b>21o0(j6:02e?>i6kj0;6)=k9;3g7>N4l110qo<8b;296?6=8r.85h4>139K7a4<@:h>7)=k9;:f?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>5G3e:8?xd5?j0;6>4?:1y'7o68;0;6)=k9;33b>=n9991<7*5}#;0o1=<<4H2f1?M5e=2.8h447e:&2fc<3i:1b==?50;&0`<<68o10cj7;:a77g=8381<7>t$2;f>4753A9o>6Fj6:0f0?M5c021vn>5<7s-92i7=>;I1g6>N4j<1/?i75319'5g`==:18'7a?=99l07d??3;29 6b>28:m76a>cb83>!5c13;o?65rb52b>5<5290;w)=6e;326>N4l;1C?o;4$2f:>=c<,8hm69o<;h335?6=,:n26<>i;:m2gf<72-9o57?k3:J0`===n7>53;294~"41l0:h=5G3e08L6d23-9o57?lf:&2fc<3i:1b==<50;&0`<<68o10e<><:18'7a?=99l07b?lc;29 6b>28n876sm45c94?4=83:p(>7j:031?M5c:2B8n85+3e;9<`=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26=zj=>i6=4<:183!5>m3;o<6F7<729q/?4k51008L6b53A9i96*"6jo0?m>5f11394?"4l00:5<#;m31=i=4H2f;?>{e<>h1<7<50;2x 6?b28;97E=k2:J0f0=#;m314h5+1cd90d55$2f:>4b43A9o465rb55`>5<5290;w)=6e;326>N4l;1C?o;4$2f:>=c<,8hm69o<;h335?6=,:n26<>i;:m2gf<72-9o57?k3:J0`==55;294~"41l08?6F>4$0`e>1g43`;;>7>5$2f:>46a32c:<>4?:%1g=?77n21b==:50;&0`<<68o10e<>::18'7a?=99l07b?lc;29 6b>28n876sm45794?4=83:p(>7j:031?M5c:2B8n85+3e;9<`=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26=zj=>=6=4=:183!5>m3;:>6F1g43`;;=7>5$2f:>46a32e:on4?:%1g=?7c;2B8h554}c673?6=:3:1l:;%1g=?>b3-;ij7:n3:k244<72-9o57??f:9l5fe=83.8h44>d29K7a><3th?854?:483>5}#;0o1?>5G3e08L6d23-9o57=?;%3ab?2f;2c:;:18'7a?=99l07d??5;29 6b>28:m76a>cb83>!5c13;o?65rb3cg>5<4290;w)=6e;12?M5c:2B8n85+3e;975=#9kl18l=4i021>5<#;m31==h4;h337?6=,:n26<>i;:m2gf<72-9o57?k3:9~f7gb29096=4?{%1:a?76:2B8h?5G3c78 6b>21o0(j6:02e?>i6kj0;6)=k9;3g7>N4l110qoj6:228 4da2=k87d??2;29 6b>28:m76g>0283>!5c13;;j65`1ba94?"4l00:h>54}c0a4?6=;3:1l:;%1g=?7dn2.:nk4;a29j554=83.8h44>0g98m464290/?i7511d8?j7dk3:1(>j6:0f0?>{e:k?1<7=50;2x 6?b2:;0D>j=;I1a1>"4l008<6*>bg87e6=n9981<7*5$2f:>4b432wi>o850;094?6|,:3n6N4j<1/?i758d9'5g`=>:18'7a?=99l07b?lc;29 6b>28n87E=k8:9~f7d029086=4?{%1:a?563A9o>6Fj6:02e?>i6kj0;6)=k9;3g7>=zj;h36=4<:183!5>m3;o<6F6<729q/?4k5309K7a4<@:h>7)=k9;13?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`1ff<72;0;6=u+38g9544<@:n97E=m5:&0`<0g98k4ed290/?i751e18L6b?32wi>oj50;194?6|,:3n6>?4H2f1?M5e=2.8h44<0:&2fc<3i:1b==<50;&0`<<68o10e<><:18'7a?=99l07b?lc;29 6b>28n876sm2cg94?5=83:p(>7j:0f3?M5c:2B8n85+3e;95f`<,8hm69o<;h336?6=,:n26<>i;:k246<72-9o57??f:9l5fe=83.8h44>d298yg4d93:1?7>50z&0=`<492B8h?5G3c78 6b>2::0(j6:02e?>o68:0;6)=k9;33b>=h9ji1<7*7>52;294~"41l0:=?5G3e08L6d23-9o576j;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:1C?i64;|`1g6<72:0;6=u+38g974=O;m80D>l:;%1g=?573-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd5k=0;6>4?:1y'7j=;I1a1>"4l00:ok5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn?m8:180>5<7s-92i7=>;I1g6>N4j<1/?i75319'5g`==:18'7a?=99l07d??3;29 6b>28:m76a>cb83>!5c13;o?65rb3a;>5<5290;w)=6e;326>N4l;1C?o;4$2f:>=c<,8hm69o<;h335?6=,:n26<>i;:m2gf<72-9o57?k3:J0`==53;294~"41l08=6F>4$0`e>1g43`;;>7>5$2f:>46a32c:<>4?:%1g=?77n21d=nm50;&0`<<6l:10qod19K7a4<@:h>7)=k9;3`b>"6jo0?m>5f11094?"4l00:5<#;m31==h4;n3`g?6=,:n26t$2;f>67<@:n97E=m5:&0`<<482.:nk4;a29j554=83.8h44>0g98m464290/?i7511d8?j7dk3:1(>j6:0f0?>{e:jo1<7<50;2x 6?b28;97E=k2:J0f0=#;m314h5+1cd90d55$2f:>4b43A9o465rb3ae>5<4290;w)=6e;12?M5c:2B8n85+3e;975=#9kl18l=4i021>5<#;m31==h4;h337?6=,:n26<>i;:m2gf<72-9o57?k3:9~f7b729086=4?{%1:a?7c82B8h?5G3c78 6b>28im7)?mf;6b7>o68;0;6)=k9;33b>=n9991<7*4?:283>5}#;0o1?<5G3e08L6d23-9o57=?;%3ab?2f;2c:7<729q/?4k51008L6b53A9i96*"6jo0?m>5f11394?"4l00:5<#;m31=i=4H2f;?>{e:m?1<7=50;2x 6?b2:;0D>j=;I1a1>"4l008<6*>bg87e6=n9981<7*5$2f:>4b432wi>i850;194?6|,:3n6N4j<1/?i751bd8 4da2=k87d??2;29 6b>28:m76g>0283>!5c13;;j65`1ba94?"4l00:h>54}c0g=?6=;3:1N4l;1C?o;4$2f:>66<,8hm69o<;h336?6=,:n26<>i;:k246<72-9o57??f:9l5fe=83.8h44>d298yg4ci3:1>7>50z&0=`<69;1C?i<4H2`6?!5c132n7)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*6<729q/?4k5309K7a4<@:h>7)=k9;13?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`1`f<72:0;6=u+38g95a6<@:n97E=m5:&0`<<6ko1/=oh54`18m465290/?i7511d8?l77;3:1(>j6:02e?>i6kj0;6)=k9;3g7>=zj;nm6=4<:183!5>m39:7E=k2:J0f0=#;m31?=5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn?k?:181>5<7s-92i7?>2:J0`7=O;k?0(>j6:9g8 4da2=k87d??1;29 6b>28:m76a>cb83>!5c13;o?6F50z&0=`<492B8h?5G3c78 6b>2::0(j6:02e?>o68:0;6)=k9;33b>=h9ji1<7*7>53;294~"41l0:h=5G3e08L6d23-9o57?lf:&2fc<3i:1b==<50;&0`<<68o10e<><:18'7a?=99l07b?lc;29 6b>28n876sm2d794?5=83:p(>7j:238L6b53A9i96*"6jo0?m>5f11094?"4l00:5<#;m31==h4;n3`g?6=,:n26t$2;f>4753A9o>6Fj6:0f0?M5c021vn?k8:180>5<7s-92i7=>;I1g6>N4j<1/?i75319'5g`==:18'7a?=99l07d??3;29 6b>28:m76a>cb83>!5c13;o?65rb3g;>5<4290;w)=6e;3g4>N4l;1C?o;4$2f:>4ea3-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd49o0;6>4?:1y'7j=;I1a1>"4l00:ok5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn><>:180>5<7s-92i7=>;I1g6>N4j<1/?i75319'5g`==:18'7a?=99l07d??3;29 6b>28:m76a>cb83>!5c13;o?65rb201>5<5290;w)=6e;326>N4l;1C?o;4$2f:>=c<,8hm69o<;h335?6=,:n26<>i;:m2gf<72-9o57?k3:J0`==52;294~"41l0:=?5G3e08L6d23-9o576j;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:1C?i64;|`061<72<0;6=u+38g976=O;m80D>l:;%1g=?573-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98m463290/?i7511d8?l77=3:1(>j6:02e?>i6kj0;6)=k9;3g7>=zj:8>6=4<:183!5>m3;o<6F6<729q/?4k5309K7a4<@:h>7)=k9;13?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`062<72:0;6=u+38g95a6<@:n97E=m5:&0`<<6ko1/=oh54`18m465290/?i7511d8?l77;3:1(>j6:02e?>i6kj0;6)=k9;3g7>=zj:836=4<:183!5>m39:7E=k2:J0f0=#;m31?=5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn>5<7s-92i7?k0:J0`7=O;k?0(>j6:0ae?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`04d<72;0;6=u+38g9544<@:n97E=m5:&0`<0g98k4ed290/?i751e18L6b?32wi?<;50;194?6|,:3n6N4j<1/?i751bd8 4da2=k87d??2;29 6b>28:m76g>0283>!5c13;;j65`1ba94?"4l00:h>54}c122?6=:3:1l:;%1g=?>b3-;ij7:n3:k244<72-9o57??f:9l5fe=83.8h44>d29K7a><3th8=:4?:283>5}#;0o1?<5G3e08L6d23-9o57=?;%3ab?2f;2c:7<729q/?4k51008L6b53A9i96*"6jo0?m>5f11394?"4l00:5<#;m31=i=4H2f;?>{e;831<7<50;2x 6?b28;97E=k2:J0f0=#;m314h5+1cd90d55$2f:>4b43A9o465rb23b>5<5290;w)=6e;326>N4l;1C?o;4$2f:>=c<,8hm69o<;h335?6=,:n26<>i;:m2gf<72-9o57?k3:J0`==53;294~"41l08=6F>4$0`e>1g43`;;>7>5$2f:>46a32c:<>4?:%1g=?77n21d=nm50;&0`<<6l:10qo=>c;296?6=8r.85h4>139K7a4<@:h>7)=k9;:f?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>5G3e:8?xd48k0;6>4?:1y'7o68;0;6)=k9;33b>=n9991<7*5}#;0o1?<5G3e08L6d23-9o57=?;%3ab?2f;2c:6<729q/?4k5309K7a4<@:h>7)=k9;13?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`04`<72:0;6=u+38g974=O;m80D>l:;%1g=?573-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd48o0;6>4?:1y'7j=;I1a1>"4l00:ok5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn>??:180>5<7s-92i7=>;I1g6>N4j<1/?i75319'5g`==:18'7a?=99l07d??3;29 6b>28:m76a>cb83>!5c13;o?65rb232>5<4290;w)=6e;3g4>N4l;1C?o;4$2f:>4ea3-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd49;0;6>4?:1y'7j=;I1a1>"4l00:ok5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn>?<:180>5<7s-92i7=>;I1g6>N4j<1/?i75319'5g`==:18'7a?=99l07d??3;29 6b>28:m76a>cb83>!5c13;o?65rb237>5<3290;w)=6e;3g5>N4l;1C?o;4$2f:>4ea3-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98m463290/?i7511d8?j7dk3:1(>j6:0f0?>{e:ok1<7<50;2x 6?b28;97E=k2:J0f0=#;m314h5+1cd90d55$2f:>4b43A9o465rb223>5<5290;w)=6e;326>N4l;1C?o;4$2f:>=c<,8hm69o<;h335?6=,:n26<>i;:m2gf<72-9o57?k3:J0`==53;294~"41l08=6F>4$0`e>1g43`;;>7>5$2f:>46a32c:<>4?:%1g=?77n21d=nm50;&0`<<6l:10qo=?2;296?6=8r.85h4>139K7a4<@:h>7)=k9;:f?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>5G3e:8?xd48:0;6>4?:1y'7o68;0;6)=k9;33b>=n9991<7*5}#;0o1=<<4H2f1?M5e=2.8h447e:&2fc<3i:1b==?50;&0`<<68o10cj7;:a753=8381<7>t$2;f>4753A9o>6Fj6:0f0?M5c021vn>>9:181>5<7s-92i7?>2:J0`7=O;k?0(>j6:9g8 4da2=k87d??1;29 6b>28:m76a>cb83>!5c13;o?6F50z&0=`<6l91C?i<4H2`6?!5c13;hj6*>bg87e6=n9981<7*5$2f:>4b432wi>kl50;194?6|,:3n6>?4H2f1?M5e=2.8h44<0:&2fc<3i:1b==<50;&0`<<68o10e<><:18'7a?=99l07b?lc;29 6b>28n876sm2ga94?5=83:p(>7j:238L6b53A9i96*"6jo0?m>5f11094?"4l00:5<#;m31==h4;n3`g?6=,:n26t$2;f>67<@:n97E=m5:&0`<<482.:nk4;a29j554=83.8h44>0g98m464290/?i7511d8?j7dk3:1(>j6:0f0?>{e:oo1<7:50;2x 6?b2:80D>j=;I1a1>"4l008<6*>bg87e6=n9981<7*5$2f:>46a32e:on4?:%1g=?7c;21vn?hi:187>5<7s-92i7?k1:J0`7=O;k?0(>j6:0ae?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31==h4;n3`g?6=,:n26t$2;f>4753A9o>6Fj6:0f0?M5c021vn5<7s-92i7?>2:J0`7=O;k?0(>j6:9g8 4da2=k87d??1;29 6b>28:m76a>cb83>!5c13;o?6F7>50z&0=`<69;1C?i<4H2`6?!5c132n7)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*d883>7<729q/?4k51008L6b53A9i96*"6jo0?m>5f11394?"4l00:5<#;m31=i=4H2f;?>{e9mk1<7;50;2x 6?b2:90D>j=;I1a1>"4l008<6*>bg87e6=n9981<7*5$2f:>46a32c:<84?:%1g=?77n21d=nm50;&0`<<6l:10qo?kb;297?6=8r.85h4>d19K7a4<@:h>7)=k9;3`b>"6jo0?m>5f11094?"4l00:5<#;m31==h4;n3`g?6=,:n26t$2;f>67<@:n97E=m5:&0`<<482.:nk4;a29j554=83.8h44>0g98m464290/?i7511d8?j7dk3:1(>j6:0f0?>{e:0i1<7<50;2x 6?b28;97E=k2:J0f0=#;m314h5+1cd90d55$2f:>4b43A9o465rb3c7>5<5290;w)=6e;326>N4l;1C?o;4$2f:>=c<,8hm69o<;h335?6=,:n26<>i;:m2gf<72-9o57?k3:J0`==52;294~"41l0:=?5G3e08L6d23-9o576j;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:1C?i64;|`1e3<72;0;6=u+38g9544<@:n97E=m5:&0`<0g98k4ed290/?i751e18L6b?32wi>l950;094?6|,:3n6N4j<1/?i758d9'5g`=>:18'7a?=99l07b?lc;29 6b>28n87E=k8:9~f7g?29096=4?{%1:a?76:2B8h?5G3c78 6b>21o0(j6:02e?>i6kj0;6)=k9;3g7>N4l110qo139K7a4<@:h>7)=k9;:f?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>5G3e:8?xd5ih0;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*7j:031?M5c:2B8n85+3e;9<`=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26=zj;386=4=:183!5>m3;:>6F1g43`;;=7>5$2f:>46a32e:on4?:%1g=?7c;2B8h554}c0:0?6=;3:1N4l;1C?o;4$2f:>66<,8hm69o<;h336?6=,:n26<>i;:k246<72-9o57??f:9l5fe=83.8h44>d298yg4>=3:1?7>50z&0=`<492B8h?5G3c78 6b>2::0(j6:02e?>o68:0;6)=k9;33b>=h9ji1<7*53;294~"41l08=6F>4$0`e>1g43`;;>7>5$2f:>46a32c:<>4?:%1g=?77n21d=nm50;&0`<<6l:10qo<67;290?6=8r.85h4<2:J0`7=O;k?0(>j6:228 4da2=k87d??2;29 6b>28:m76g>0283>!5c13;;j65f11694?"4l00:5<#;m31=i=4;|`1==<72:0;6=u+38g95a6<@:n97E=m5:&0`<<6ko1/=oh54`18m465290/?i7511d8?l77;3:1(>j6:02e?>i6kj0;6)=k9;3g7>=zj;326=4<:183!5>m3;o<6F6<729q/?4k51e28L6b53A9i96*5<#;m31==h4;h337?6=,:n26<>i;:m2gf<72-9o57?k3:9~f7?e29086=4?{%1:a?563A9o>6Fj6:02e?>i6kj0;6)=k9;3g7>=zj;3o6=4<:183!5>m39:7E=k2:J0f0=#;m31?=5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn?7j:180>5<7s-92i7=>;I1g6>N4j<1/?i75319'5g`==:18'7a?=99l07d??3;29 6b>28:m76a>cb83>!5c13;o?65rb3;e>5<4290;w)=6e;3g4>N4l;1C?o;4$2f:>4ea3-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd5i90;6>4?:1y'7o68;0;6)=k9;33b>=n9991<7*5}#;0o1=i>4H2f1?M5e=2.8h44>cg9'5g`==:18'7a?=99l07d??3;29 6b>28:m76a>cb83>!5c13;o?65rb3c1>5<4290;w)=6e;12?M5c:2B8n85+3e;975=#9kl18l=4i021>5<#;m31==h4;h337?6=,:n26<>i;:m2gf<72-9o57?k3:9~f7g429086=4?{%1:a?7c82B8h?5G3c78 6b>28im7)?mf;6b7>o68;0;6)=k9;33b>=n9991<7*5}#;0o1=i>4H2f1?M5e=2.8h44>cg9'5g`==:18'7a?=99l07d??3;29 6b>28:m76a>cb83>!5c13;o?65rb345>5<5290;w)=6e;326>N4l;1C?o;4$2f:>=c<,8hm69o<;h335?6=,:n26<>i;:m2gf<72-9o57?k3:J0`==52;294~"41l0:=?5G3e08L6d23-9o576j;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:1C?i64;|`12=<72:0;6=u+38g974=O;m80D>l:;%1g=?573-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd5>00;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*7j:031?M5c:2B8n85+3e;9<`=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26=zj;m3;:>6F1g43`;;=7>5$2f:>46a32e:on4?:%1g=?7c;2B8h554}c05g?6=<3:1N4l;1C?o;4$2f:>66<,8hm69o<;h336?6=,:n26<>i;:k246<72-9o57??f:9j552=83.8h44>0g98k4ed290/?i751e18?xd5>m0;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*7j:031?M5c:2B8n85+3e;9<`=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26=zj;?o6=4;:183!5>m3;o=6F;:18'7a?=99l07b?lc;29 6b>28n876sm24g94?5=83:p(>7j:238L6b53A9i96*"6jo0?m>5f11094?"4l00:5<#;m31==h4;n3`g?6=,:n261<7>t$2;f>64<@:n97E=m5:&0`<<482.:nk4;a29j554=83.8h44>0g98m464290/?i7511d8?l77<3:1(>j6:02e?>i6kj0;6)=k9;3g7>=zj;<;6=4<:183!5>m39:7E=k2:J0f0=#;m31?=5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn?8>:180>5<7s-92i7=>;I1g6>N4j<1/?i75319'5g`==:18'7a?=99l07d??3;29 6b>28:m76a>cb83>!5c13;o?65rb341>5<4290;w)=6e;3g4>N4l;1C?o;4$2f:>4ea3-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd5>:0;6>4?:1y'7j=;I1a1>"4l00:ok5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn?8;:180>5<7s-92i7=>;I1g6>N4j<1/?i75319'5g`==:18'7a?=99l07d??3;29 6b>28:m76a>cb83>!5c13;o?65rb346>5<3290;w)=6e;3g5>N4l;1C?o;4$2f:>4ea3-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98m463290/?i7511d8?j7dk3:1(>j6:0f0?>{e<5$2f:>4b43A9o465rb543>5<5290;w)=6e;326>N4l;1C?o;4$2f:>=c<,8hm69o<;h335?6=,:n26<>i;:m2gf<72-9o57?k3:J0`====7>52;294~"41l0:=?5G3e08L6d23-9o576j;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:1C?i64;|`727<72=0;6=u+38g95a7<@:n97E=m5:&0`<<6ko1/=oh54`18m465290/?i7511d8?l77;3:1(>j6:02e?>o68=0;6)=k9;33b>=h9ji1<7*=?7>53;294~"41l08=6F>4$0`e>1g43`;;>7>5$2f:>46a32c:<>4?:%1g=?77n21d=nm50;&0`<<6l:10qo<80;297?6=8r.85h4<1:J0`7=O;k?0(>j6:228 4da2=k87d??2;29 6b>28:m76g>0283>!5c13;;j65`1ba94?"4l00:h>54}c046?6=<3:1N4l;1C?o;4$2f:>66<,8hm69o<;h336?6=,:n26<>i;:k246<72-9o57??f:9j552=83.8h44>0g98k4ed290/?i751e18?xd5?:0;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*7j:031?M5c:2B8n85+3e;9<`=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26=zj;=>6=4=:183!5>m3;:>6F1g43`;;=7>5$2f:>46a32e:on4?:%1g=?7c;2B8h554}c042?6=:3:1l:;%1g=?>b3-;ij7:n3:k244<72-9o57??f:9l5fe=83.8h44>d29K7a><3th9;:4?:483>5}#;0o1?>5G3e08L6d23-9o57=?;%3ab?2f;2c:;:18'7a?=99l07d??5;29 6b>28:m76a>cb83>!5c13;o?65rb35;>5<4290;w)=6e;3g4>N4l;1C?o;4$2f:>4ea3-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd5?00;6>4?:1y'7o68;0;6)=k9;33b>=n9991<7*5}#;0o1=i>4H2f1?M5e=2.8h44>cg9'5g`==:18'7a?=99l07d??3;29 6b>28:m76a>cb83>!5c13;o?65rb35e>5<3290;w)=6e;11?M5c:2B8n85+3e;975=#9kl18l=4i021>5<#;m31==h4;h337?6=,:n26<>i;:k241<72-9o57??f:9l5fe=83.8h44>d298yg4??3:1?7>50z&0=`<492B8h?5G3c78 6b>2::0(j6:02e?>o68:0;6)=k9;33b>=h9ji1<7*52;294~"41l0:=?5G3e08L6d23-9o576j;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:1C?i64;|`1<<<72;0;6=u+38g9544<@:n97E=m5:&0`<0g98k4ed290/?i751e18L6b?32wi>5o50;094?6|,:3n6N4j<1/?i758d9'5g`=>:18'7a?=99l07b?lc;29 6b>28n87E=k8:9~f7>e29096=4?{%1:a?76:2B8h?5G3c78 6b>21o0(j6:02e?>i6kj0;6)=k9;3g7>N4l110qo<7c;296?6=8r.85h4>139K7a4<@:h>7)=k9;:f?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>5G3e:8?xd50m0;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*7j:031?M5c:2B8n85+3e;9<`=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26=zj;2;6=4<:183!5>m3;o<6F6<729q/?4k5309K7a4<@:h>7)=k9;13?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`1<7<72:0;6=u+38g974=O;m80D>l:;%1g=?573-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd50:0;694?:1y'7j=;I1a1>"4l00:ok5+1cd90d55$2f:>46a32c:<94?:%1g=?77n21d=nm50;&0`<<6l:10qo<74;290?6=8r.85h4<2:J0`7=O;k?0(>j6:228 4da2=k87d??2;29 6b>28:m76g>0283>!5c13;;j65f11694?"4l00:5<#;m31=i=4;|`1<0<72:0;6=u+38g95a6<@:n97E=m5:&0`<<6ko1/=oh54`18m465290/?i7511d8?l77;3:1(>j6:02e?>i6kj0;6)=k9;3g7>=zj;2=6=4<:183!5>m39:7E=k2:J0f0=#;m31?=5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vo4?:3y]f>;5io0:7}Yk279n:4>039~wa<72;qUh63=be8247=z{l0;6?uQe:?1g6<68;1vk4?:3y]b>;5k00:vP>1:?1`0<68;1v<<50;0xZ44<5;ni6<>=;|q27?6=:rT:?63=e08247=z{8?1<76;296~X6>279i84>029~w4e=838pR4643ty:h7>52z\2`>;5jk0:<>5rs0g94?4|V8o01?m>:020?xu6n3:1>vP>f:?1g2<68:1v?>50;0xZ76<5;io6<><;|q15?6=:rT9=63=d28246=z{;81<728:87p}=3;296~X5;279hk4>029~w3d=83;9wS8m;<4f>d3<5;d3<5:8:6<><;<110?77:278=;4>009>741=99901>?l:022?857;3;;?63>d88244=::0i1==?4=3c:>4663482i7??2:?123<68816>;651108971128::70<7a;335>{t>l0;68u26d8105=:;821==?4=3c;>466348=57??1:?1<5<68;1v4850;axZ<0<5;<7<5?o15<5226f9=4=::1l15<5224;9=4=:;1:15<522g:9=4=:;9215<5230f9=4=:<9k1==?4}r3`=?6=;r78h>4if:?12`<>l27:h946d:p5a2=83=p1464348<<7??3:?1<2<68;1v70?kb;3`g>{t9m<1<74ed34;om7??3:p5a1=838p128ih70?kb;337>{t9mk1<74ed34;on7??2:p617=838pR?:>;<0a4?7dk2wx>9<50;0xZ4>97p}=4583>6}Y9=1U>9:4=3g4>4653ty9884?:3y]613<5;h36984=3`f>4ed3ty98:4?:3y]611<5;i?6964=3ab>4ed3ty9844?:3y]61?<5;n;69o4=3f5>4ed3ty98o4?:3y]61d<5;nh69m4=3g1>4ed3ty98i4?:3y]61b<5;o369h4=211>4663499=7??2:?05<<68816?==5110894bf28:970;5ih0:<<522849554<5;<<6<>>;<05e?77927?9k4>009>621=99801?68:020?84?13;;=6s|24:94?2|5;=h6039>036=99;0q~<:9;296~;5=0098=5226`9557m7>52z?11<cb9~w73e2909w0<:b;3`g>;5>:0:5<5s48>o7?lc:?11c<68=1v?;k:181842l3;ho63=618246=z{;?n6=4={<06a?7dk279:<4>029~w73a2909w0<:f;3`g>;5><0:<95rs343>5<5s48=<7?lc:?127<68;1v?8>:18184193;ho63=638246=z{;<96=4={<056?7dk279:>4>029~w7042909w0<93;3`g>;5>=0:5<5s48=87?lc:?120<68:1v?89:181841>3;ho63=5e8247=z{;<<6=4={<053?7dk2799i4>059~w70?2909w0<98;3`g>;5>80:5<5s48=57?lc:?11`<68;1v?8n:181841i3;ho63=5d8246=z{;029~w70d2909w0<9c;3`g>;5><0:5<5s48=h7?lc:?11c<68;1v?8j:181841m38?<63=7`8244=z{;6s|26094?4|5;=96:=50;0x971428ih70<87;337>{t:>>1<74ed348<;7??4:p623=838p1?9::0a`?840?3;;96s|26494?4|5;==6:950;0x971028ih70<88;337>{t:>21<74ed348<57??3:p62?=838p1?96:0a`?84093;;>6s|26c94?4|5;=j6:l50;0x971e28ih70<8c;337>{t:>n1<77273498;7??2:p62c=838p1?9k:`7897>128ih7p}=7g83>7}::>l1=nm4=3:6>4653ty94=4?:3y>6=6=9ji01?6=:021?xu5080;6?u229395fe<5;2?6<>;;|q1<7<72;q6>5<51ba897>428:97p}=8283>7}::191=nm4=3:7>4643ty9494?:3y>6=2=9ji01?6::020?xu50<0;6?u229795fe<5;2=6<>=;|q1<2<72;q6>5951ba897>428:87p}=8983>7}::121=nm4=3:3>4643ty9444?:3y>6=?=9ji01?6=:020?xu50h0;6?u229c95fe<5;286<>;;|q15l51ba897>328:97p}=8b83>7}::1i1=nm4=3:2>4653ty94i4?:3y>6=b=9ji01?6>:020?xu50l0;6?u229g95fe<5;2=6<><;|q1465348i:7??1:?1fg<68;16>om5113897e628:970;5k>0:=;<0`a?779279h>4>039>6a2=99;01?j6:021?84ci3;;=63=dg8247=::l:1==?4=3g6>465348n:7??1:p6<6=838p1?6i:`7897g428ih7p}=9083>7}::0;1=nm4=3;7>4653ty95?4?:3y>6<4=9ji01?o?:020?xu51:0;6?u228195fe<5;k96<><;|q1=1<72;q6>4:51ba897?>28:97p}=9483>7}::0?1=nm4=3c2>4653ty95;4?:3y>6<0=9ji01?7n:021?xu51>0;6?u228595fe<5;3j6<><;|q1==<72;q6>4651ba897?e28:87p}=9883>7}::031=nm4=3;g>4643ty95l4?:3y>6<;|q1=f<72;q6>4m51ba897?328:87p}=9e83>7}::0n1=nm4=3c0>4653ty95h4?:3y>6=;|q1e5<72;q6>l>51ba897g628:87p}=a083>7}::h;1=nm4=3c1>4653ty9m?4?:3y>6d4=9ji01?o<:020?xu5i=0;6?u22`695fe<5;326<><;|q1e0<72;q6>l;51ba897?228:87p}=a783>7}::h<1=nm4=3;5>4643ty9m:4?:3y>6d1=9ji01?78:021?xu5i10;6?u22`:95fe<5;3<6<>;;|q1e<<72;q6>l751ba897??28:97p}=a`83>7}::hk1=nm4=3;;>4643ty9mn4?:3y>6db=9ji01?l?:021?xu5im0;6?u22`g95fe<5;km6<><;|q1e`<72;q6>lh51ba897d728:87p}=b583>7}::k?1=nm4=3`;>4653ty9n84?:3y>6g0=9ji01?l8:020?xu5j?0;6?u22c595fe<5;h36<><;|q1fd<72;q6>ol51ba897db28:97p}=bc83>7}::ki1=nm4=3`g>4643ty9nn4?:3y>6gb=9ji01?lj:020?xu5k90;6?u22b395fe<5;i?6<>=;|q1g4<72;q6>n<51ba897e428:87p}=c383>7}::j91=nm4=3a7>4643ty9o;4?:3y>6f1=9ji01?mn:021?xu5k>0;6?u22b:95fe<5;i26<><;|q1g=<72;q6>n751ba897ef28:87p}=cb83>7}::jn1=nm4=3f3>4653ty9oi4?:3y>6fc=9ji01?mi:020?xu5kl0;6?u22bd95fe<5;n;6<><;|q1`7<72;q6>i=51ba897b128:97p}=d283>7}::m>1=nm4=3f6>4643ty9h94?:3y>6a3=9ji01?j9:020?xu5l10;6?u22e;95fe<5;nh6<>=;|q1`<<72;q6>io51ba897be28:87p}=d`83>7}::mh1=nm4=3f`>4643ty9hh4?:3y>6a`=9ji01?k=:021?xu5lo0;6?u22d295fe<5;o:6<><;|q1a5<72;q6>h?51ba897c528:87p}=e583>7}::l?1=nm4=3g;>4653ty9i84?:3y>6`0=9ji01?k8:020?xu5m?0;6?u22d595fe<5;o36<><;|q1ac<72;qU>hh4=54;>4663ty9j54?:03x97`?2;>;70==a;335>;49o0:<>523369552<5:;>6<>=;<12f?77;278009>6cb=9980193;;?63=928244=::?h1==?4=37e>464348<>7??2:?136<68816>:h5110897>e28::7p}=f883>7}::o21m8522gd95fe52z?1bd<6kj16?=951108yv4aj3:1>v3=fc82gf=::ol1==<4}r0eg?6=:r79jn4>cb9>6cc=9980q~52z?1b`<6kj16>kh51168yv5783:1>v3<0182gf=:;9=1===4}r135?6=:r78<<4>cb9>6cd=9980q~=?2;296~;48;0:on522g`955552z?046<6kj16>kk51118yv57<3:1>v3<0582gf=::oi1==<4}r131?6=:r78<84>cb9>6ce=9990q~=?6;296~;48?0:on522gg955252z?042<6kj16>kj51118yv5703:1=9u231:9616<5:8i6<>=;<12b?77:278>94>049>74g=99;01>>m:021?84ai3;;=63<008247=:;9?1==?4=0f4>466348j87??1:?1=7<68816>4;51108973e28:970<9c;337>;5>m0:<<522609555<5;=?6<>>;<04b?77;2794n4>009~w66>2909w0=?8;c6?856<3;ho6s|31c94?4|5::j64;337>{t;9i1<74ed349:=7??2:p75b=838p1>>k:0a`?857n3;;?6s|31g94?4|5::n60;336>{t;8:1<74ed349:=7??3:p747=838p1>?>:0a`?856:3;;?6s|30094?4|5:;964;336>{t;8?1<74ed349:>7??2:p740=838p1>?9:0a`?857k3;;?6s|30594?4|5:;<6{t;831<74ed349:<7??3:p74g=838p1>?n:0a`?856;3;;?6s|30`94?4|5:;i6{t;8n1<7?>{<12`?438278>>4>009>75g=99;01>?::020?85783;;=63<008246=:;9<1==?4=0f5>466348j97??1:?1=a<68;16>8l51118970d28:?70<:c;335>;5?;0:<9522679557<5;=m6<>;;<0;`?7792wx?=;|q064<72;q6???51ba8964128:97p}<2383>7}:;;81=nm4=206>4643ty8>>4?:3y>775=9ji01><7:020?xu4:=0;6?u233695fe<5:8;6<><;|q060<72;q6??;51ba8964128:87p}<2783>7}:;;<1=nm4=204>4643ty8>:4?:3y>771=9ji01><7:021?xu4:10;6?u233:95fe<5:8;6<>=;|q06<<72;q6??o51ba8964e28:87p}<2b83>7}Y;;i01>=8:0a`?xu4;;0;6?u232095fe<5:9<6<><;|q0<5<72:qU?9:4=2:3>72734>==7??1:p7=7=83?pR>6>;<123?77:2795:4>029>63>=99901?67:022?xu40:0;6nuQ3918964328:870==5;336>;49k0:=;<0:5?77:2795o4>039>63e=99801?8?:021?84083;;>63=8d8244=z{:o86=4={<1;4?g23499n7?lc:p7c0=83h9;<65f?77;27?8o4>039>02g=99;019:::022?821:3;;?6s|41;94?4|V=:270:?9;074>{t<9h1<7<7<5=:j605c=9ji0q~:=c;296~X3:j1689951138yv23:3:1>vP;439>015=9ji0q~:;4;296~;3<:0:<<5245:95fe?97>52z?700<6kj1689651118yv23>3:1>v3;4782gf=:<=21==:4}r673?6=:r7?8:4>cb9>01>=99?0q~:;9;296~X3<01689l51ba8yv23i3:1>v3;4`82gf=:<=h1===4}r66a?6=:rT?9h5247195fe>j7>52z?71c<6kj168;<51108yv2183:1>v3;6182gf=:cb9>035=9990q~:92;296~;3>;0:on524719554=;7>52z\722=:=h7>52z\72a=:<>i1==?4}r643?6=:rT?;:5246:95fe<57>52z?73=<688168:j51ba8yv20i3:1>v3;7`82gf=:<>n1===4}r64f?6=:r7?;o4>cb9>02b=99>0q~:8c;296~;3?j0:on5246f95532o7>55z\7=f=:46634>5;h61g?6=3`;?<7>5;n35a?6=3`>2o7>5;h65`?6=3f;>=7>5;n11g?6=3f>:i7>5;n3a6?6=3f8?6=44o3f94?=e;m91<7?50;2x 6?b28:;7E=k2:J0f0=#;m31?ih4$0`e>1g43flm6=4+3e;95a5<3th:494?:481>3}#;0o1jl5G3e08L6d23-;ij7:n3:k:1?6=3`3o6=44i8394?=ni<0;66a=4183>>d5<90;6;4?:1y'7a?=nj1b==h50;&0`<<68o10e4;50;&0`<<>=21b5;4?:%1g=??132c84k4?:%1g=?5?n21b>k950;&0`<<5n>10c4<729q/?i753ed8k4b4290/?i751e18?xu5<90;6?uQ2528972728n87p}n5;296~Xf=2798=4>0g9~w7`03ty297>52z\:1>;5<90296s|9083>7}Y1816>9>5979~w4672909w0<;0;1;b>;4l?0:h>5r}c4e>5<22;0=w)=6e;db?M5c:2B8n85+1cd90d5>o>93:17do::188k7272900n?:?:185>5<7s-9o57hl;h33b?6=,:n26<>i;:k:1?6=,:n264;4;h;5>5<#;m315;54i2:e>5<#;m31?5h4;h0e3?6=,:n26?h8;:m2`6<72-9o57?k3:9~f6b1290:6=4?{%1g=?5cn2e:h>4?:%1g=?7c;21v?:?:181[4382798=4>d29~wd3=838pRl;4=363>46a3ty2h7>52z\:`>;5<909j:5rs8794?4|V0?01?:?:878yv?62909wS7>;<074??13ty:<=4?:3y>616=;1l01>j9:0f0?x{e9m>1<7;52;4x 6?b2ok0D>j=;I1a1>"6980=7)?mf;6b7>o>=3:17d7k:188m<7=831bm84?::m105<722h98=4?:783>5}#;m31jn5f11d94?"4l00:!5c133=76g<8g83>!5c1393j65f2g594?"4l009j:54o0f0>5<#;m31=i=4;|`0`3<7280;6=u+3e;97a`;6=2798=465:p=4<72;qU5<522529=3=z{8:;6=4={<074?5?n278h;4>d29~yg4c290>6?49{%1:a?`f3A9o>6F5;hc6>5<;6=44b363>5<1290;w)=k9;d`?l77n3:1(>j6:02e?>o>=3:1(>j6:878?l?1290/?i759798m6>a290/?i7539d8?l4a?3:1(>j6:3d4?>i6l:0;6)=k9;3g7>=zj:n=6=4>:183!5c139oj6a>d283>!5c13;o?65rs363>5<5sW8?<63=4182`6=z{h?1<7l2798=4=f69~w<3=838pR4;4=363><30183>7}::=:1?5h4=2f5>4b43twim?4?:281>1}#;0o1j45G3e08L6d23-;ij7:n3:k:5?6=3`k>6=44o363>5<;6=4::385!5>m3li7)?mf;6b7>i5<90;66g=2983>>of=3:17d7k:188m<7=831ijo4?:783>5}#;m31jn5f11d94?"4l00:!5c133=76g<8g83>!5c1393j65f2g594?"4l009j:54o0f0>5<#;m31=i=4;|`0`0<7280;6=u+3e;95fc52z\b1>;aj3;;j6s|9083>7}Y1816jo466:p=a<72;qU5i52fc81b2=z{;836=4={_01<>;aj393j6s|25294?4|V;>;70hm:0f0?xu4j?0;6?u2fc8:1>;4l<0:hk5r}c394?7=83:p(>l;:59'7j6:2fe?!7en3>j?6aif;29 6b>28n876s|25294?4|V;>;70<;0;074>{ti<0;6?uQa49>616=i<1v4?50;0xZ<7<5;>;64?4}r094?5|5;>;6?<7;<074??c34;1jk5+3c691>{zj8i?6=4<:387!5>m3l27E=k2:J0f0=#9kl18l=4i8394?=ni<0;66a=4183>>d5<90;684=:7y'728:m76g65;29 6b>20?07d79:18'7a?=1?10e>6i:18'7a?=;1l07d2;l<76a>d283>!5c13;o?65rb2f6>5<6290;w)=k9;3`a>i6lo0;6)=k9;3g7>=z{h?1<7:181[?634li6484}r;g>5<5sW3o70hm:3d4?xu5:10;6?uQ23:89cd=;1l0q~<;0;296~X5<916jo4>d29~w6d12909w0hm:87896b228nm7psm1;295?6=8r.8n94;;%1:a?7782.8h449>52528yvg22909wSo:;<074?g23ty2=7>52z\:5>;5<902=6s|2;297~;5<909>5522529=a=:93lm7)=m4;78yxd5<3:1?7<54z&0=`:188md3=831d>9>50;9a616=83?1>78t$2;f>cd<,8hm69o<;n074?6=3`8947>5;hc6>5<>daj3:1:7>50z&0`<5$2f:><0<3`93j7>5$2f:>6>a32c9j:4?:%1g=?4a?21d=i=50;&0`<<6l:10qo=k5;295?6=8r.8h44>cd9l5a`=83.8h44>d298yvg22909wSo:;46a3ty2=7>52z\:5>;aj33=7p}6d;296~X>l27mn7=838pR?<7;6>a3ty98=4?:3y]616<5oh1=i=4}r1a2?6=:r7mn77:;<1g1?7cn2wvn<4?:083>5}#;k>186*<9d8245=#;m31?ih4$0`e>1g43flm6=4+3e;95a5<3ty98=4?:3y]616<5;>;6?:?;|qb1?6=:rTj963=418b1>{t180;6?uQ909>616=181v?4?:2y>616=:;201?:?:8f8947:t$2;f>c?<@:n97E=m5:&2fc<3i:1b5<4?::kb1?6=3f8?<7>5;c074?6==381:v*<9d8ef>"6jo0?m>5`25294?=n:;21<75fa483>>o>l3:17d7>:188fcd=83<1<7>t$2f:>ce6=4+3e;9=0==n;1l1<7*5$2f:>4b432wi?i;50;394?6|,:n26k94}r01552fc80;6=4={_074>;aj3;o?6s|3c494?4|5oh158523e795a`:183!5e<3>0(>7j:023?!5c139oj6*>bg87e6=hno0;6)=k9;3g7>=z{;>;6=4={_074>;5<9098=5rs`794?4|Vh?01?:?:`78yv?62909wS7>;<074??63ty96=4<{<074?4502798=46d:?2>c`<,:h?685r}c31N4l;1C?o;4$032>3=#9kl18l=4i8394?=ni<0;66a=4183>>d5<90;684=:7y'728:m76g65;29 6b>20?07d79:18'7a?=1?10e>6i:18'7a?=;1l07d2;l<76a>d283>!5c13;o?65rb2f6>5<6290;w)=k9;3`a>i6lo0;6)=k9;3g7>=z{h?1<7:181[?634li6484}r;g>5<5sW3o70hm:3d4?xu5:10;6?uQ23:89cd=;1l0q~<;0;296~X5<916jo4>d29~w6d12909w0hm:87896b228nm7psm1;295?6=8r.8n94;;%1:a?7782.8h449>52528yvg22909wSo:;<074?g23ty2=7>52z\:5>;5<902=6s|2;297~;5<909>5522529=a=:93lm7)=m4;78yxd6j;0;684=:7y'7j?6g65;29?l?c2900e4?50;9je0<722e98=4?::`105<72?0;6=u+3e;9bf=n99l1<7*=n1?0;6)=k9;;5?>o40o0;6)=k9;1;b>=n:o=1<7*5}#;m31?ih4o0f0>5<#;m31=i=4;|q105<72;qU>9>4=363>4b43tyj97>52z\b1>;5<90:=3:1>vP65:?105<>=2wx5<4?:3y]=4=::=:15;5rs023>5<5s48?<7=7f:?0`3<6l:1vqo?:1;291?4=>r.85h4ia:J0`7=O;k?0(5;n074?6=3k8?<7>56;294~"4l00mo6g>0g83>!5c13;;j65f9483>!5c133>76g66;29 6b>20<07d=7f;29 6b>2:2m76g=f683>!5c138m;65`1e194?"4l00:h>54}c1g2?6=93:152z\105=::=:1=i=4}rc6>5<5sWk>70<;0;33b>{t1m0;6?uQ9e9>616=:o=0q~7::181[?2348?<77:;|q:5?6=:rT2=63=418:2>{t99:1<76>a349o:7?k3:~f40b290>6?49{%1:a?`f3A9o>6F5;hc6>5<;6=44b363>5<1290;w)=k9;d`?l77n3:1(>j6:02e?>o>=3:1(>j6:878?l?1290/?i759798m6>a290/?i7539d8?l4a?3:1(>j6:3d4?>i6l:0;6)=k9;3g7>=zj:n=6=4>:183!5c139oj6a>d283>!5c13;o?65rs363>5<5sW8?<63=4182`6=z{h?1<7l2798=4=f69~w<3=838pR4;4=363><30183>7}::=:1?5h4=2f5>4b43twi=o750;796?0|,:3n6ko4H2f1?M5e=2.:nk4;a29j=0<722c2h7>5;h;2>5<2oi0e<>i:18'7a?=99l07d7::18'7a?=1<10e4850;&0`<<>>21b?5h50;&0`<<40o10e?h8:18'7a?=:o=07b?k3;29 6b>28n876sm3e494?7=83:p(>j6:2fe?j7c;3:1(>j6:0f0?>{t:=:1<77}Yi<16>9>511d8yv?c2909wS7k;<074?4a?2wx584?:3y]=0=::=:1585rs8394?4|V0;01?:?:848yv7783:1>v3=4180l:;%3ab?2f;2c297>5;h;g>5<>i5<90;66l=4183>3<729q/?i75fb9j55`=83.8h44>0g98m<3=83.8h4465:9j=3<72-9o5779;:k07}Y:=:01?:?:0f0?xuf=3:1>vPn5:?105<68o1v4j50;0xZ;6?h8;|q:1?6=:rT2963=418:1>{t180;6?uQ909>616=1?1v<>?:1818438393j6355;092~"41l0mm6F5<>of=3:17b<;0;29?g4383:1:7>50z&0`<5$2f:><0<3`93j7>5$2f:>6>a32c9j:4?:%1g=?4a?21d=i=50;&0`<<6l:10qo=k6;295?6=8r.8h44d298yv4383:1>vP=419>616=9m90q~o::181[g2348?<7??f:p=a<72;qU5i5225296c16=4={_;6?843833>7p}61;296~X>92798=466:p556=838p1?:?:2:e?85c>3;o?6srb30:>5<42;0?w)=6e;d:?M5c:2B8n85+10392>"6jo0?m>5f9083>>of=3:17b<;0;29?g4383:197<56z&0=`?650;9je0<722c2h7>5;h;2>5<2oi0e<>i:18'7a?=99l07d7::18'7a?=1<10e4850;&0`<<>>21b?5h50;&0`<<40o10e?h8:18'7a?=:o=07b?k3;29 6b>28n876sm3e794?7=83:p(>j6:0af?j7cn3:1(>j6:0f0?>{ti<0;6?uQa49>bg<68o1v4?50;0xZ<7<5oh15;5rs8f94?4|V0n01kl52g58yv4503:1>vP=299>bg<40o1v?:?:181[43827mn7?k3:p7g0=838p1kl5949>7a3=9ml0qpl>:182>5<7s-9i87:4$2;f>4673-9o57=kf:&2fc<3i:1djk4?:%1g=?7c;21v?:?:181[4382798=4=419~wd3=838pRl;4=363>d3;62ol0(>l;:49~yg45l3:1?7<54z&0=`3}#;0o1jo5+1cd90d5;6=44i30;>5<>o>93:17ohm:185>5<7s-9o57hl;h33b?6=,:n26<>i;:k:1?6=,:n264;4;h;5>5<#;m315;54i2:e>5<#;m31?5h4;h0e3?6=,:n26?h8;:m2`6<72-9o57?k3:9~f6b2290:6=4?{%1g=?7dm2e:hk4?:%1g=?7c;21vl;50;0xZd3<5oh1==h4}r;2>5<5sW3:70hm:848yv?c2909wS7k;7`03ty9>54?:3y]67><5oh1?5h4}r074?6=:rT98=52fc82`6=z{:h=6=4={<3<5:n>6m3;;<6*54}r074?6=:rT98=5225296166=4={_c6?84383k>7p}61;296~X>92798=461:p6?6=;r798=4=299>616=1m16=7hi;%1a0?353;294~"41l08=6F>4$0`e>1g43`;;>7>5$2f:>46a32c:<>4?:%1g=?77n21d=nm50;&0`<<6l:10qo?:a;296?6=8r.85h4>139K7a4<@:h>7)=k9;:f?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>5G3e:8?xd6jk0;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*6<729q/?4k5309K7a4<@:h>7)=k9;13?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`2<3<72;0;6=u+38g9544<@:n97E=m5:&0`<0g98k4ed290/?i751e18L6b?32wi=5950;194?6|,:3n6>?4H2f1?M5e=2.8h44<0:&2fc<3i:1b==<50;&0`<<68o10e<><:18'7a?=99l07b?lc;29 6b>28n876sm2g83>6<729q/?4k51e28L6b53A9i96*5<#;m31==h4;h337?6=,:n26<>i;:m2gf<72-9o57?k3:9~f75c29096=4?{%1:a?76:2B8h?5G3c78 6b>21o0(j6:02e?>i6kj0;6)=k9;3g7>N4l110qo<j6:228 4da2=k87d??2;29 6b>28:m76g>0283>!5c13;;j65`1ba94?"4l00:h>54}c62b?6=;3:1N4l;1C?o;4$2f:>66<,8hm69o<;h336?6=,:n26<>i;:k246<72-9o57??f:9l5fe=83.8h44>d298yg2583:1>7>50z&0=`<69;1C?i<4H2`6?!5c132n7)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*6<729q/?4k5309K7a4<@:h>7)=k9;13?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`235<72:0;6=u+38g974=O;m80D>l:;%1g=?573-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd6?80;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*7j:238L6b53A9i96*"6jo0?m>5f11094?"4l00:5<#;m31==h4;n3`g?6=,:n26t$2;f>4b73A9o>6Fj6:02e?>o68:0;6)=k9;33b>=h9ji1<7*?7>53;294~"41l08=6F>4$0`e>1g43`;;>7>5$2f:>46a32c:<>4?:%1g=?77n21d=nm50;&0`<<6l:10qo?:4;296?6=8r.85h4>139K7a4<@:h>7)=k9;:f?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>5G3e:8?xd6=<0;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*7j:238L6b53A9i96*"6jo0?m>5f11094?"4l00:5<#;m31==h4;n3`g?6=,:n26t$2;f>4b73A9o>6Fj6:02e?>o68:0;6)=k9;33b>=h9ji1<7*53;294~"41l08=6F>4$0`e>1g43`;;>7>5$2f:>46a32c:<>4?:%1g=?77n21d=nm50;&0`<<6l:10qo?m5;296?6=8r.85h4>139K7a4<@:h>7)=k9;:f?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>5G3e:8?xd6j?0;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*7j:238L6b53A9i96*"6jo0?m>5f11094?"4l00:5<#;m31==h4;n3`g?6=,:n26=8391<7>t$2;f>4b73A9o>6Fj6:02e?>o68:0;6)=k9;33b>=h9ji1<7*52;294~"41l0:=?5G3e08L6d23-9o576j;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:1C?i64;|`171<72:0;6=u+38g95a6<@:n97E=m5:&0`<<6ko1/=oh54`18m465290/?i7511d8?l77;3:1(>j6:02e?>i6kj0;6)=k9;3g7>=zj;9>6=4<:183!5>m39:7E=k2:J0f0=#;m31?=5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn?=9:181>5<7s-92i7?>2:J0`7=O;k?0(>j6:9g8 4da2=k87d??1;29 6b>28:m76a>cb83>!5c13;o?6F7>50z&0=`<69;1C?i<4H2`6?!5c132n7)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*6<729q/?4k5309K7a4<@:h>7)=k9;13?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`17<<72;0;6=u+38g9544<@:n97E=m5:&0`<0g98k4ed290/?i751e18L6b?32wi>>o50;194?6|,:3n6>?4H2f1?M5e=2.8h44<0:&2fc<3i:1b==<50;&0`<<68o10e<><:18'7a?=99l07b?lc;29 6b>28n876sm22`94?5=83:p(>7j:238L6b53A9i96*"6jo0?m>5f11094?"4l00:5<#;m31==h4;n3`g?6=,:n26t$2;f>67<@:n97E=m5:&0`<<482.:nk4;a29j554=83.8h44>0g98m464290/?i7511d8?j7dk3:1(>j6:0f0?>{e::;1<7=50;2x 6?b28n;7E=k2:J0f0=#;m31=nh4$0`e>1g43`;;>7>5$2f:>46a32c:<>4?:%1g=?77n21d=nm50;&0`<<6l:10qo<<2;297?6=8r.85h4<1:J0`7=O;k?0(>j6:228 4da2=k87d??2;29 6b>28:m76g>0283>!5c13;;j65`1ba94?"4l00:h>54}c007?6=<3:1l:;%1g=?7dn2.:nk4;a29j554=83.8h44>0g98m464290/?i7511d8?l77<3:1(>j6:02e?>i6kj0;6)=k9;3g7>=zj;8i6=4<:183!5>m39:7E=k2:J0f0=#;m31?=5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn?5<7s-92i7?k0:J0`7=O;k?0(>j6:0ae?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`077<72;0;6=u+38g9544<@:n97E=m5:&0`<0g98k4ed290/?i751e18L6b?32wi?>950;094?6|,:3n6N4j<1/?i758d9'5g`=>:18'7a?=99l07b?lc;29 6b>28n87E=k8:9~f65d29086=4?{%1:a?563A9o>6Fj6:02e?>i6kj0;6)=k9;3g7>=zj:9o6=4;:183!5>m3;o=6F;:18'7a?=99l07b?lc;29 6b>28n876sm17194?4=83:p(>7j:031?M5c:2B8n85+3e;9<`=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26=zj8<>6=4<:183!5>m3;o<6F6783>6<729q/?4k5309K7a4<@:h>7)=k9;13?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`222<72;0;6=u+38g9544<@:n97E=m5:&0`<0g98k4ed290/?i751e18L6b?32wi=;650;094?6|,:3n6N4j<1/?i758d9'5g`=>:18'7a?=99l07b?lc;29 6b>28n87E=k8:9~f40>29086=4?{%1:a?563A9o>6Fj6:02e?>i6kj0;6)=k9;3g7>=zj8m39:7E=k2:J0f0=#;m31?=5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn<8m:180>5<7s-92i7?k0:J0`7=O;k?0(>j6:0ae?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`22f<72:0;6=u+38g974=O;m80D>l:;%1g=?573-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd6>=0;6>4?:1y'7j=;I1a1>"4l00:ok5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn<9j:180>5<7s-92i7=>;I1g6>N4j<1/?i75319'5g`==:18'7a?=99l07d??3;29 6b>28:m76a>cb83>!5c13;o?65rb05e>5<4290;w)=6e;3g4>N4l;1C?o;4$2f:>4ea3-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd6090;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*7j:031?M5c:2B8n85+3e;9<`=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26=zj8296=4<:183!5>m39:7E=k2:J0f0=#;m31?=5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn<6<:187>5<7s-92i7==;I1g6>N4j<1/?i75319'5g`==:18'7a?=99l07d??3;29 6b>28:m76g>0583>!5c13;;j65`1ba94?"4l00:h>54}c341?6=;3:1N4l;1C?o;4$2f:>66<,8hm69o<;h336?6=,:n26<>i;:k246<72-9o57??f:9l5fe=83.8h44>d298yg70>3:1>7>50z&0=`<69;1C?i<4H2`6?!5c132n7)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*7683>7<729q/?4k51008L6b53A9i96*"6jo0?m>5f11394?"4l00:5<#;m31=i=4H2f;?>{e9>21<7<50;2x 6?b28;97E=k2:J0f0=#;m314h5+1cd90d55$2f:>4b43A9o465rb05:>5<4290;w)=6e;12?M5c:2B8n85+3e;975=#9kl18l=4i021>5<#;m31==h4;h337?6=,:n26<>i;:m2gf<72-9o57?k3:9~f41f29086=4?{%1:a?7c82B8h?5G3c78 6b>28im7)?mf;6b7>o68;0;6)=k9;33b>=n9991<7*5}#;0o1??5G3e08L6d23-9o57=?;%3ab?2f;2c:;:18'7a?=99l07b?lc;29 6b>28n876sm8383>7<729q/?4k51008L6b53A9i96*"6jo0?m>5f11394?"4l00:5<#;m31=i=4H2f;?>{e0:0;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*7<729q/?4k51008L6b53A9i96*"6jo0?m>5f11394?"4l00:5<#;m31=i=4H2f;?>{e0<0;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*0<729q/?4k5329K7a4<@:h>7)=k9;13?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31==h4;h331?6=,:n26<>i;:m2gf<72-9o57?k3:9~f=1=8391<7>t$2;f>4b73A9o>6Fj6:02e?>o68:0;6)=k9;33b>=h9ji1<7*52;294~"41l0:=?5G3e08L6d23-9o576j;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:1C?i64;|`2`2<72;0;6=u+38g9544<@:n97E=m5:&0`<0g98k4ed290/?i751e18L6b?32wi=i650;094?6|,:3n6N4j<1/?i758d9'5g`=>:18'7a?=99l07b?lc;29 6b>28n87E=k8:9~f4b>29096=4?{%1:a?76:2B8h?5G3c78 6b>21o0(j6:02e?>i6kj0;6)=k9;3g7>N4l110qo?ka;297?6=8r.85h4<1:J0`7=O;k?0(>j6:228 4da2=k87d??2;29 6b>28:m76g>0283>!5c13;;j65`1ba94?"4l00:h>54}c3gf?6=;3:1N4l;1C?o;4$2f:>66<,8hm69o<;h336?6=,:n26<>i;:k246<72-9o57??f:9l5fe=83.8h44>d298yg7ck3:1?7>50z&0=`<6l91C?i<4H2`6?!5c13;hj6*>bg87e6=n9981<7*5$2f:>4b432wi=ij50;194?6|,:3n6>?4H2f1?M5e=2.8h44<0:&2fc<3i:1b==<50;&0`<<68o10e<><:18'7a?=99l07b?lc;29 6b>28n876sm1eg94?5=83:p(>7j:0f3?M5c:2B8n85+3e;95f`<,8hm69o<;h336?6=,:n26<>i;:k246<72-9o57??f:9l5fe=83.8h44>d298yv432909wS<;;<07>7273ty9h7>511y]6a=::m098=52a58246=:9>:1==<4=052>46634;>?7??2:?211<68816=o:5110894d228::70<<4;337>;4;;0:<<521779555<582;6<>>;<34e?77:27387??1:?2`3<6881v?k50;0x97b=1<16>k4>cb9~w3d=83kpR;l4=0f7>d3<51:1==<4=0:4>465348897??3:?223<68:16=:k51108941228:8706::022?87c13;;=6s|6g83>7}Y>o16:k4=419~w2`=838p1;h5a49><5<6kj1v5?50;1x93`=1<16=5:5949><2<6kj1v5<50;0x9=4=9ji015851118yv>42909w06<:0a`?8>128:?7p}74;296~;?<3;ho6376;331>{t0<0;6?u28482gf=:0>0:<>5rs9494?4|51<1=nm4=9595542z\:2>;60=02=639f;;2?87c<33:7061<>927::<461:?26=<>927:n?461:?214<>927::h461:?2f<<>927:95461:?23f<>9279>4461:?16a<>92wxm?4?:3y>e7<5<916>k4>039~wd5=838p1l<5a49>e1<6kj1v54n5:p57>=8346634286<>>;|q26<<72:qU=?74=929555<582=6<>>;|q205<721qU=9>4=53e>465348897??2:?072<68816=;851108941b28:870?85;336>;6lm0:5<5sW;>=63>508105=z{8?96=4={<365?g234;>;7?lc:p505=838p1<;<:0a`?872?3;;>6s|14694?4|58??6{t9<<1<74ed34;>;7??3:p50>=838p1<;7:363?872;3;;?6s|14;94?4|58?36l;4=07b>4ed3ty:9n4?:2y]50e<5:9h6<>=;<3ge?77:2wx=;?50;0xZ40634;==7<;0:p534=838p1<8>:`78940328ih7p}>6283>7}:9?91=nm4=04:>4643ty::84?:3y>533=9ji01<8m:021?xu6>?0;6?u217495fe<58=;|q222<72;q6=;951ba8940f28:87p}>6983>7}:9?21=nm4=04`>4643ty::44?:3y>53?=9ji01<8;:021?xu6>h0;6?u217c95fe<58<;|q22g<72;q6=;l51ba8940d28:97p}>6b83>7}:9?i1=nm4=047>4643ty::h4?:3y]53c<58525=9ji0q~?80;296~;6?90:on52161955452z?234<6kj16=:<51118yv70:3:1>v3>7382gf=:9>91===4}r340?6=:r7::h465:?23g<6kj1v<9::181870=3;ho63>788247=z{8==6=4={<342?7dk27:;44>029~w4102909w0?87;3`g>;6?k0:5<5s4;<47?lc:?23g<68=1v<96:18187013;ho63>7`8246=z{8=j6=4={<34e?7dk27:;o4>029~w41d2909w0?8c;074>;6?90:<>5rs05g>5<5s4;838247=z{8=m6=4={<3;4?7dk27:4?4>029~w4>72909w0?71;3`g>;60:0:<95rs0:2>5<5s4;3>7?lc:?2<6<68;1v<6;:18187?<38?<63<3e8246=z{82>6=4={<3;0?g234;3;7?lc:p5=0=838p1<69:0a`?87??3;;?6s|1c094?4|V8h970?m2;074>{t9k91<7d3<58h36b483>7}:9k?1=nm4=0`4>4653ty:n;4?:3y>5g0=9ji010;6?u21c595fe<58h36<><;|q2f<<72;q6=o75252894d328:87p}>b`83>7}:9k31m8521c`95fe55z?1`?g234;h87<;0:?2f<<>=27:95465:?1b?77;2wx=n;50;1x94e32h?01<9l:87894>428ih7p}>c883>g}:;m91jk521969=a=:>o02h63>d58:`>;5l33o70?m2;;g?872933o70?9e;;g?87e133o70?:8;;g?870k33o7p}>d583>3}:9m>1>9>4=315>46634;=;7??1:?2<4<68816=:8511389=0=9980q~?k5;296~;6l=02963>dd82gf=z{8n=6=4={<3g2?7dk27:hl4>029~w4b02909w0?k7;3`g>;6lk0:5<5s4;o47?lc:?2`g<68:1vdd8246=z{8nj6=4={<3ge?7dk27:hn4>039~w4be2909w0?kb;3`g>;6lj0:<>5rs0f`>5<5s4;oo7?lc:?2`a<68:1vdd8247=z{;826=46{<01=?438279?i4>009>661=99;01?=7:021?845j3;;>63>698244=:9>l1==<4=05;>46634;o;7??1:p67g=838p1?<6:`78974d28ih7p}=2c83>7}::;h1=nm4=30`>4643ty9>i4?:by>67b=:=:01?=j:021?844<3;;>63=388244=:::k1==<4=30`>46534;=97??2:?22<<68;16=:h51118941028::706=:022?87c03;;=6s|23g94?4|5;8o6l;4=310>4ed3ty9>k4?:3y>67`=9ji01?=n:020?xu5;90;6?u222295fe<5;986<>;;|q174<72;q6>>?51ba8975528:97p}=3383>7}:::81=nm4=310>4653ty9?94?:3y>662=9ji01?=>:021?xu5;<0;6?u222795fe<5;9i6<>=;|q173<72;q6>>851ba8975e28:87p}=3683>7}:::=1=nm4=311>4643ty9?54?:3y>66>=9ji01?=?:021?xu5;00;6?u222;95fe<5;9;6<><;|q17d<72;q6>>o51ba8975428:87p}=3c83>7}:::h1=nm4=312>4643ty9?n4?:3y>66b=9ji01?=j:020?xu5;o0;68u2258b1>;6j;02963>508:1>;f<3;;>63=3d82gf=z{:8h6=4={_11g>;4;m0:on5rs211>5<5s498>7?lc:?07f<68:1v>=8:181854?3;ho63<3e8241=z{:9h6=4={<10g?7dk278?i4>039~w6`12909wS=i6:?765<6881v9?j:181[26m27?><4>cb9~w17a2909w0:>f;3`g>;3:80:5<5s4>9<7?lc:?764<68:1v9009>503=99;0q~:9d;297~X3>m16=ol5113894d128::7p};9b83>1}Y<0i01<9l:`78917a28:870?82;336>{zj:o=6=4l:3`976}O;k?0(>7j:gg8 4db2:3o7b:65;29?j27n3:17d=i6;29?j0e2900ek650;9j35<722c<97>5;h11`?6=3`98>7>5;h103?6=3f>;?7>5;h33e?6=3k9o?7>51;294~"41l0:<=5G3e08L6d23-9o57=kf:&2fc<3i:1djk4?:%1g=?7c;21vn9>i:186>7<1s-92i7hn;I1g6>N4j<1/=oh54`18m<3=831b5i4?::k:5?6=3`k>6=44o363>5<;6=49:183!5c13lh7d??f;29 6b>28:m76g65;29 6b>20?07d79:18'7a?=1?10e>6i:18'7a?=;1l07d2;l<76a>d283>!5c13;o?65rb2f5>5<6290;w)=k9;1gb>i6l:0;6)=k9;3g7>=z{;>;6=4={_074>;5<90:h>5rs`794?4|Vh?01?:?:02e?xu>l3:1>vP6d:?105<5n>1v4;50;0xZ<3<5;>;64;4}r;2>5<5sW3:70<;0;;5?xu6890;6?u225297=`<5:n=678t$2;f>cg<@:n97E=m5:&2fc<3i:1b584?::k:`?6=3`3:6=44i`794?=h:=:1<75m25294?0=83:p(>j6:ga8m46a290/?i7511d8?l?2290/?i759498m<0=83.8h4466:9j7=`=83.8h44<8g98m7`0290/?i752g58?j7c;3:1(>j6:0f0?>{e;m<1<7?50;2x 6b>2:nm7b?k3;29 6b>28n876s|25294?4|V;>;70<;0;3g7>{ti<0;6?uQa49>616=99l0q~7k:181[?c348?<74?:481>3}#;0o1jl5G3e08L6d23-;ij7:n3:k:1?6=3`3o6=44i8394?=ni<0;66a=4183>>d5<90;6;4?:1y'7a?=nj1b==h50;&0`<<68o10e4;50;&0`<<>=21b5;4?:%1g=??132c84k4?:%1g=?5?n21b>k950;&0`<<5n>10c4<729q/?i753ed8k4b4290/?i751e18?xu5<90;6?uQ2528972728n87p}n5;296~Xf=2798=4>0g9~w7`03ty297>52z\:1>;5<90296s|9083>7}Y1816>9>5979~w4672909w0<;0;1;b>;4l?0:h>5r}c10N4l;1C?o;4$0`e>1g43`3>6=44i8f94?=n180;66gn5;29?j4383:17o<;0;292?6=8r.8h44ic:k24c<72-9o57??f:9j=0<72-9o577:;:k:2?6=,:n26484;h1;b?6=,:n26>6i;:k1b2<72-9o57d298yg5c>3:1=7>50z&0`<<4lo1d=i=50;&0`<<6l:10q~<;0;296~X5<916>9>51e18yvg22909wSo:;<074?77n2wx5i4?:3y]=a=::=:1>k94}r;6>5<5sW3>70<;0;;6?xu>93:1>vP61:?105<>>2wx==>50;0x97272:2m70=k6;3g7>{zj>;1<7;52;4x 6?b2ok0D>j=;I1a1>"6jo0?m>5f9483>>o>l3:17d7>:188md3=831d>9>50;9a616=83<1<7>t$2f:>ce6=4+3e;9=0==n;1l1<7*5$2f:>4b432wi?i850;394?6|,:n26>ji;n3g7?6=,:n265<5sW3o70<;0;0e3>{t1<0;6?uQ949>616=1<1v4?50;0xZ<7<5;>;6484}r334?6=:r798=4<8g9>7a0=9m90qpl86;291?4=>r.85h4ia:J0`7=O;k?0(5;n074?6=3k8?<7>56;294~"4l00mo6g>0g83>!5c13;;j65f9483>!5c133>76g66;29 6b>20<07d=7f;29 6b>2:2m76g=f683>!5c138m;65`1e194?"4l00:h>54}c1g2?6=93:152z\105=::=:1=i=4}rc6>5<5sWk>70<;0;33b>{t1m0;6?uQ9e9>616=:o=0q~7::181[?2348?<77:;|q:5?6=:rT2=63=418:2>{t99:1<76>a349o:7?k3:~f6d?290>6?49{%1:a?`f3A9o>6F5;hc6>5<;6=44b363>5<1290;w)=k9;d`?l77n3:1(>j6:02e?>o>=3:1(>j6:878?l?1290/?i759798m6>a290/?i7539d8?l4a?3:1(>j6:3d4?>i6l:0;6)=k9;3g7>=zj:n=6=4>:183!5c139oj6a>d283>!5c13;o?65rs363>5<5sW8?<63=4182`6=z{h?1<7l2798=4=f69~w<3=838pR4;4=363><30183>7}::=:1?5h4=2f5>4b43twi?:4?:281>1}#;0o1j45G3e08L6d23-;:=784$0`e>1g43`3:6=44i`794?=h:=:1<75m25294?3=:37j:g`8 4da2=k87b<;0;29?l4503:17do::188m3:1o68o0;6)=k9;33b>=n1<0;6)=k9;;6?>o>>3:1(>j6:848?l5?n3:1(>j6:2:e?>o5n>0;6)=k9;0e3>=h9m91<7*51;294~"4l00:oh5`1ed94?"4l00:h>54}rc6>5<5sWk>70hm:02e?xu>93:1>vP61:?ef??13ty2h7>52z\:`>;aj38m;6s|23:94?4|V;8370hm:2:e?xu5<90;6?uQ25289cd=9m90q~=m6;296~;aj33>70=k5;3gb>{zj80;6<4?:1y'7g2=<2.85h4>019'7a?=;ml0(;7p}n5;296~Xf=2798=4n5:p=4<72;qU5<522529=4=z{;0;6>u2252967><5;>;64j4=08eb>"4j=0>7psm6b83>7<729q/?4k51008L6b53A9i96*"6jo0?m>5f11394?"4l00:5<#;m31=i=4H2f;?>{e>m0;6>4?:1y'7j=;I1a1>"4l00:ok5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn>:>:181>5<7s-92i7?>2:J0`7=O;k?0(>j6:9g8 4da2=k87d??1;29 6b>28:m76a>cb83>!5c13;o?6F7>50z&0=`<69;1C?i<4H2`6?!5c132n7)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*6<729q/?4k5309K7a4<@:h>7)=k9;13?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`0f?6=:3:1l:;%1g=?>b3-;ij7:n3:k244<72-9o57??f:9l5fe=83.8h44>d29K7a><3th?=84?:383>5}#;0o1=<<4H2f1?M5e=2.8h447e:&2fc<3i:1b==?50;&0`<<68o10cj7;:a040=8391<7>t$2;f>4b73A9o>6Fj6:02e?>o68:0;6)=k9;33b>=h9ji1<7*53;294~"41l08=6F>4$0`e>1g43`;;>7>5$2f:>46a32c:<>4?:%1g=?77n21d=nm50;&0`<<6l:10qo97:181>5<7s-92i7?>2:J0`7=O;k?0(>j6:9g8 4da2=k87d??1;29 6b>28:m76a>cb83>!5c13;o?6F29086=4?{%1:a?7c82B8h?5G3c78 6b>28im7)?mf;6b7>o68;0;6)=k9;33b>=n9991<7*52;294~"41l0:=?5G3e08L6d23-9o576j;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:1C?i64;|`40?6=;3:1l:;%1g=?7dn2.:nk4;a29j554=83.8h44>0g98m464290/?i7511d8?j7dk3:1(>j6:0f0?>{e;:k1<7<50;2x 6?b28;97E=k2:J0f0=#;m314h5+1cd90d55$2f:>4b43A9o465rb21a>5<4290;w)=6e;3g4>N4l;1C?o;4$2f:>4ea3-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd4;<0;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*7j:0f3?M5c:2B8n85+3e;95f`<,8hm69o<;h336?6=,:n26<>i;:k246<72-9o57??f:9l5fe=83.8h44>d298yg5483:1>7>50z&0=`<69;1C?i<4H2`6?!5c132n7)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*6<729q/?4k51e28L6b53A9i96*5<#;m31==h4;h337?6=,:n26<>i;:m2gf<72-9o57?k3:9~f6>=8381<7>t$2;f>4753A9o>6Fj6:0f0?M5c021vn979:181>5<7s-92i7?>2:J0`7=O;k?0(>j6:9g8 4da2=k87d??1;29 6b>28:m76a>cb83>!5c13;o?6F?3:1?7>50z&0=`<6l91C?i<4H2`6?!5c13;hj6*>bg87e6=n9981<7*5$2f:>4b432wi8=:50;194?6|,:3n6N4j<1/?i751bd8 4da2=k87d??2;29 6b>28:m76g>0283>!5c13;;j65`1ba94?"4l00:h>54}c56>5<5290;w)=6e;326>N4l;1C?o;4$2f:>=c<,8hm69o<;h335?6=,:n26<>i;:m2gf<72-9o57?k3:J0`==m3;:>6F1g43`;;=7>5$2f:>46a32e:on4?:%1g=?7c;2B8h554}c5a>5<5290;w)=6e;326>N4l;1C?o;4$2f:>=c<,8hm69o<;h335?6=,:n26<>i;:m2gf<72-9o57?k3:J0`==m3;:>6F1g43`;;=7>5$2f:>46a32e:on4?:%1g=?7c;2B8h554}c5g>5<2290;w)=6e;10?M5c:2B8n85+3e;975=#9kl18l=4i021>5<#;m31==h4;h337?6=,:n26<>i;:k241<72-9o57??f:9j553=83.8h44>0g98k4ed290/?i751e18?xd4jj0;6>4?:1y'7j=;I1a1>"4l00:ok5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn>lk:180>5<7s-92i7?k0:J0`7=O;k?0(>j6:0ae?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`0f`<72;0;6=u+38g9544<@:n97E=m5:&0`<0g98k4ed290/?i751e18L6b?32wi?oh50;094?6|,:3n6N4j<1/?i758d9'5g`=>:18'7a?=99l07b?lc;29 6b>28n87E=k8:9~f6e7290>6=4?{%1:a?543A9o>6Fj6:02e?>o68=0;6)=k9;33b>=n99?1<7*5}#;0o1=i>4H2f1?M5e=2.8h44>cg9'5g`==:18'7a?=99l07d??3;29 6b>28:m76a>cb83>!5c13;o?65rs2594?4|5:=1>9>4=7f9554<7<5:21=nm4}r1b>5<5s49<6l;4=2`95fe76>=i<16?>=5a49>77c=i<16:i4>cb9>043=99;01>ln:021?81?28::709<:022?854i3;;=63<348244=:;::1==?4=2`e>4663ty=o7>52z?5g?7dk27=h7??3:p2c<72:q68=:5110892b=9ji01>m?:021?xu083:1?vP80:?40?77:2730<6881v:<50;0x927=1<16;94>cb9~w25=838p1:=51ba8922=9990q~9::180[1234=26<>=;<5b>4663ty<:7>52z?42?438273=<6kj16;44>029~w2g=838p1:;51ba892b=9980q~9m:18181f28ih709k:020?xu0k3:1>v38b;3`g>;0l3;;86s|7e83>7}:?j0:on527e8240=z{o21<77t^g:8916a20;01>=7:838927=1816;;461:?0f=<>927847??1:p55g=839pR<>n;<622?77:278nl4>029~w4e>2903w0=k3;de?827n33o70==e;;g?854;33o70=<8;;g?81620n01:859e9>7g>=1m1v>039>7gb=9980q~==e;296~;4:l098=523cf955552z?06`<>=278?<4>cb9~w6572909w0=<0;3`g>;4;80:<>5rs211>5<4sW98>63<438244=:;:<1==<4}r107?6=:r78?>4=419>717=99;0q~=<4;296~;4;:02963<3782gf=z{:9>6=4={<101?7dk278?;4>029~w6502908wS=<7:?07g<68;16?om51108yv5403:1>v3<398105=:;ki1===4}r10=?6=:r78?5465:?07g<6kj1v>=n:181854i3;ho63<3c8246=z{:>;6=4={<177?7dk278nh4>009~w6262909w0=;1;3`g>;4<:0:5<5s49?>7?lc:?006<68:1v>l7:18185e038?<63;978244=z{:h26=4={<1al7:878916a20?01>m>:0a`?xu4jj0;6?u23ca95fe<5:i;6<><;|q0fa<72;q6?oj51ba896e728:?7p}7}:;ko1=nm4=2a3>4623ty8nk4?:3y>7g`=9ji01>m>:020?xu4k90;6?u23b295fe<5:i:6<>=;|q0b3<7246534>;87??3:p055=838pR9><;<630?7dk2wx8=h50;0xZ16a34>;j7<;0:p042=838p19>i:`78917128ih7p};1483>7}:<8?1=nm4=535>4643ty?584?:3y]0<3<5=3<6uG3c78 6?b289o7)?me;1:`>i6:00;66g;9483>>o38o0;66g>be83>>i69=0;66a>ofl3:17d:95;29?l7193:17b:?1;29?l?42900e4<50;9l07e=831b=8l50;9l03b=831b=om50;9j055=831d8<950;9l075=831d>94?::m73`<722h8h>4?:083>5}#;0o1==>4H2f1?M5e=2.8h44r.85h4ia:J0`7=O;k?0(5;n074?6=3k8?<7>56;294~"4l00mo6g>0g83>!5c13;;j65f9483>!5c133>76g66;29 6b>20<07d=7f;29 6b>2:2m76g=f683>!5c138m;65`1e194?"4l00:h>54}c1g2?6=93:152z\105=::=:1=i=4}rc6>5<5sWk>70<;0;33b>{t1m0;6?uQ9e9>616=:o=0q~7::181[?2348?<77:;|q:5?6=:rT2=63=418:2>{t99:1<76>a349o:7?k3:~fg5=8391>7:t$2;f>c?<@:n97E=m5:&2fc<3i:1b5<4?::kb1?6=3f8?<7>5;c074?6==381:v*<9d8ef>"6jo0?m>5`25294?=n:;21<75fa483>>o>l3:17d7>:188fcd=83<1<7>t$2f:>ce6=4+3e;9=0==n;1l1<7*5$2f:>4b432wi?i;50;394?6|,:n26k94}r01552fc80;6=4={_074>;aj3;o?6s|3c494?4|5oh158523e795a`:183!5e<3>0(>7j:023?!5c139oj6*>bg87e6=hno0;6)=k9;3g7>=z{;>;6=4={_074>;5<9098=5rs`794?4|Vh?01?:?:`78yv?62909wS7>;<074??63ty96=4<{<074?4502798=46d:?2>c`<,:h?685r}ca4>5<42;0?w)=6e;d:?M5c:2B8n85+1cd90d5>i5<90;66l=4183>0<52?q/?4k5fc9'5g`=5;cda>5<1290;w)=k9;d`?l77n3:1(>j6:02e?>o>=3:1(>j6:878?l?1290/?i759798m6>a290/?i7539d8?l4a?3:1(>j6:3d4?>i6l:0;6)=k9;3g7>=zj:n>6=4>:183!5c13;hi6a>dg83>!5c13;o?65rs`794?4|Vh?01kl511d8yv?62909wS7>;<07}Y:;201kl539d8yv4383:1>vP=419>bg<6l:1v>l9:1818`e20?01>j::0fe?x{e93:1=7>50z&0f1<33-92i7??0:&0`<<4lo1/=oh54`18kc`=83.8h44>d298yv4383:1>vP=419>616=:=:0q~o::181[g2348?<7o:;|q:5?6=:rT2=63=418:5>{t:3:1?v3=41816==::=:15i521;de?!5e<3?0qpll3;297?4=9>50;796?0|,:3n6kl4$0`e>1g43f8?<7>5;h016=44i8f94?=n180;66lib;292?6=8r.8h44ic:k24c<72-9o57??f:9j=0<72-9o577:;:k:2?6=,:n26484;h1;b?6=,:n26>6i;:k1b2<72-9o57d298yg5c=3:1=7>50z&0`<<6kl1d=ih50;&0`<<6l:10q~o::181[g234li6<>i;|q:5?6=:rT2=63ib;;5?xu>l3:1>vP6d:?ef?4a?2wx>?650;0xZ74?34li6>6i;|q105<72;qU>9>4=g`95a552z?ef??2349o97?kf:~f4<7280;6=u+3c690>"41l0:<=5+3e;97a`<,8hm69o<;nde>5<#;m31=i=4;|q105<72;qU>9>4=363>7273tyj97>52z\b1>;5<90j96s|9083>7}Y1816>9>5909~w7<72:q6>9>523:8972720n01<4if:&0f1<23twink4?:281>1}#;0o1j45G3e08L6d23-;ij7:n3:k:5?6=3`k>6=44o363>5<;6=4::385!5>m3li7)?mf;6b7>i5<90;66g=2983>>of=3:17d7k:188m<7=831ijo4?:783>5}#;m31jn5f11d94?"4l00:!5c133=76g<8g83>!5c1393j65f2g594?"4l009j:54o0f0>5<#;m31=i=4;|`0`0<7280;6=u+3e;95fc52z\b1>;aj3;;j6s|9083>7}Y1816jo466:p=a<72;qU5i52fc81b2=z{;836=4={_01<>;aj393j6s|25294?4|V;>;70hm:0f0?xu4j?0;6?u2fc8:1>;4l<0:hk5r}c394?7=83:p(>l;:59'7j6:2fe?!7en3>j?6aif;29 6b>28n876s|25294?4|V;>;70<;0;074>{ti<0;6?uQa49>616=i<1v4?50;0xZ<7<5;>;64?4}r094?5|5;>;6?<7;<074??c34;1jk5+3c691>{zjkh1<7=52;6x 6?b2o30D>j=;I1a1>"6jo0?m>5f9083>>of=3:17b<;0;29?g4383:197<56z&0=`?650;9je0<722c2h7>5;h;2>5<2oi0e<>i:18'7a?=99l07d7::18'7a?=1<10e4850;&0`<<>>21b?5h50;&0`<<40o10e?h8:18'7a?=:o=07b?k3;29 6b>28n876sm3e794?7=83:p(>j6:0af?j7cn3:1(>j6:0f0?>{ti<0;6?uQa49>bg<68o1v4?50;0xZ<7<5oh15;5rs8f94?4|V0n01kl52g58yv4503:1>vP=299>bg<40o1v?:?:181[43827mn7?k3:p7g0=838p1kl5949>7a3=9ml0qpl>:182>5<7s-9i87:4$2;f>4673-9o57=kf:&2fc<3i:1djk4?:%1g=?7c;21v?:?:181[4382798=4=419~wd3=838pRl;4=363>d3;62ol0(>l;:49~yg25;3:1?7<54z&0=`:188md3=831d>9>50;9a616=83?1>78t$2;f>cd<,8hm69o<;n074?6=3`8947>5;hc6>5<>daj3:1:7>50z&0`<5$2f:><0<3`93j7>5$2f:>6>a32c9j:4?:%1g=?4a?21d=i=50;&0`<<6l:10qo=k5;295?6=8r.8h44>cd9l5a`=83.8h44>d298yvg22909wSo:;46a3ty2=7>52z\:5>;aj33=7p}6d;296~X>l27mn7=838pR?<7;6>a3ty98=4?:3y]616<5oh1=i=4}r1a2?6=:r7mn77:;<1g1?7cn2wvn<4?:083>5}#;k>186*<9d8245=#;m31?ih4$0`e>1g43flm6=4+3e;95a5<3ty98=4?:3y]616<5;>;6?:?;|qb1?6=:rTj963=418b1>{t180;6?uQ909>616=181v?4?:2y>616=:;201?:?:8f8947:t$2;f>c?<@:n97E=m5:&2fc<3i:1b5<4?::kb1?6=3f8?<7>5;c074?6==381:v*<9d8ef>"6jo0?m>5`25294?=n:;21<75fa483>>o>l3:17d7>:188fcd=83<1<7>t$2f:>ce6=4+3e;9=0==n;1l1<7*5$2f:>4b432wi?i;50;394?6|,:n26k94}r01552fc80;6=4={_074>;aj3;o?6s|3c494?4|5oh158523e795a`:183!5e<3>0(>7j:023?!5c139oj6*>bg87e6=hno0;6)=k9;3g7>=z{;>;6=4={_074>;5<9098=5rs`794?4|Vh?01?:?:`78yv?62909wS7>;<074??63ty96=4<{<074?4502798=46d:?2>c`<,:h?685r}c07>5<42;0?w)=6e;d:?M5c:2B8n85+1cd90d5>i5<90;66l=4183>0<52?q/?4k5fc9'5g`=5;cda>5<1290;w)=k9;d`?l77n3:1(>j6:02e?>o>=3:1(>j6:878?l?1290/?i759798m6>a290/?i7539d8?l4a?3:1(>j6:3d4?>i6l:0;6)=k9;3g7>=zj:n>6=4>:183!5c13;hi6a>dg83>!5c13;o?65rs`794?4|Vh?01kl511d8yv?62909wS7>;<07}Y:;201kl539d8yv4383:1>vP=419>bg<6l:1v>l9:1818`e20?01>j::0fe?x{e93:1=7>50z&0f1<33-92i7??0:&0`<<4lo1/=oh54`18kc`=83.8h44>d298yv4383:1>vP=419>616=:=:0q~o::181[g2348?<7o:;|q:5?6=:rT2=63=418:5>{t:3:1?v3=41816==::=:15i521;de?!5e<3?0qpl>1583>6<52=q/?4k5f89K7a4<@:h>7)?mf;6b7>o>93:17do::188k7272900n?:?:186>7<1s-92i7hm;%3ab?2f;2e98=4?::k16=<722cj97>5;h;g>5<3<729q/?i75fb9j55`=83.8h44>0g98m<3=83.8h4465:9j=3<72-9o5779;:k0{t1m0;6?uQ9e9>bg<5n>1v?<7:181[45027mn7=7f:p616=838pR?:?;4b43ty8n;4?:3y>bg<>=278h84>dg9~yg7=83;1<7>t$2`7>1=#;0o1==>4$2f:>6ba3-;ij7:n3:meb?6=,:n265;n074?6=3k8?<7>55;092~"41l0mn6*>bg87e6=h:=:1<75f23:94?=ni<0;66g6d;29?l?62900nkl50;494?6|,:n26km4i02e>5<#;m31==h4;h;6>5<#;m315854i8494?"4l002:65f39d94?"4l0084k54i3d4>5<#;m31>k94;n3g7?6=,:n26t$2f:>4eb3f;oj7>5$2f:>4b432wxm84?:3y]e0=:nk0:52z\16==:nk084k5rs363>5<5sW8?<63ib;3g7>{t;k<1<75<6290;w)=m4;68 6?b28:;7)=k9;1gb>"6jo0?m>5`fg83>!5c13;o?65rs363>5<5sW8?<63=418105=z{h?1<7:181[?6348?<77>;|q1>5<4s48?<7<=8:?105<>l27:6kh4$2`7>0=zuk8no7>53;090~"41l0m56F5<56;294~"4l00mo6g>0g83>!5c13;;j65f9483>!5c133>76g66;29 6b>20<07d=7f;29 6b>2:2m76g=f683>!5c138m;65`1e194?"4l00:h>54}c1g1?6=93:16=4={_c6?8`e28:m7p}61;296~X>927mn779;|q:`?6=:rT2h63ib;0e3>{t:;21<77}Y:=:01kl51e18yv5e>3:1>v3ib;;6?85c=3;oj6srb083>4<729q/?o:54:&0=`<6891/?i753ed8 4da2=k87bhi:18'7a?=9m907p}=4183>7}Y:=:01?:?:363?xuf=3:1>vPn5:?1056}::=:1>?64=363>4=:5y'7j?6g61;29?lg22900c?:?:188f727290>6?49{%1:a?`e3-;ij7:n3:m105<722c9>54?::kb1?6=3`3o6=44i8394?=enk0;6;4?:1y'7a?=nj1b==h50;&0`<<68o10e4;50;&0`<<>=21b5;4?:%1g=??132c84k4?:%1g=?5?n21b>k950;&0`<<5n>10c4<729q/?i751bg8k4ba290/?i751e18?xuf=3:1>vPn5:?ef?77n2wx5<4?:3y]=4=:nk02:6s|9e83>7}Y1m16jo4=f69~w74?2909wS<=8:?ef?5?n2wx>9>50;0xZ72734li6ji;%3ab?2f;2emj7>5$2f:>4b432wx>9>50;0xZ727348?<7<;0:pe0<72;qUm8522529e0=z{0;1<73A9o>6F5<5$2f:>46a32c297>5$2f:><3<3`3=6=4+3e;9=3=5$2f:>7`032e:h>4?:%1g=?7c;21vn>j::182>5<7s-9o57?le:m2`c<72-9o57?k3:9~wd3=838pRl;4=g`955`?64=g`97=`52z\105=:nk0:h>5rs2`5>5<5s4li64;4=2f6>4ba3twi=7>51;294~"4j=0?7)=6e;334>"4l008hk5+1cd90d552z\105=::=:1>9>4}rc6>5<5sWk>70<;0;c6?xu>93:1>vP61:?105<>92wx>7>53z?105<5:116>9>59e9>5?`a3-9i87;4}|`bN4l;1C?o;4$0`e>1g43`3:6=44i`794?=h:=:1<75m25294?3=:37j:g`8 4da2=k87b<;0;29?l4503:17do::188m3:1o68o0;6)=k9;33b>=n1<0;6)=k9;;6?>o>>3:1(>j6:848?l5?n3:1(>j6:2:e?>o5n>0;6)=k9;0e3>=h9m91<7*51;294~"4l00:oh5`1ed94?"4l00:h>54}rc6>5<5sWk>70hm:02e?xu>93:1>vP61:?ef??13ty2h7>52z\:`>;aj38m;6s|23:94?4|V;8370hm:2:e?xu5<90;6?uQ25289cd=9m90q~=m6;296~;aj33>70=k5;3gb>{zj80;6<4?:1y'7g2=<2.85h4>019'7a?=;ml0(;7p}n5;296~Xf=2798=4n5:p=4<72;qU5<522529=4=z{;0;6>u2252967><5;>;64j4=08eb>"4j=0>7psm47f94?5=:3>p(>7j:g;8L6b53A9i96*>bg87e6=n180;66gn5;29?j4383:17o<;0;291?4=>r.85h4ib:&2fc<3i:1d>9>50;9j67>=831bm84?::k:`?6=3`3:6=44bg`94?0=83:p(>j6:ga8m46a290/?i7511d8?l?2290/?i759498m<0=83.8h4466:9j7=`=83.8h44<8g98m7`0290/?i752g58?j7c;3:1(>j6:0f0?>{e;m?1<7?50;2x 6b>28in7b?kf;29 6b>28n876s|a483>7}Yi<16jo4>0g9~w<7=838pR4?4=g`9=3=z{0n1<7?;%1g=?5cn2.:nk4;a29lbc<72-9o57?k3:9~w7272909wS<;0:?105<5<91vl;50;0xZd3<5;>;6l;4}r;2>5<5sW3:70<;0;;2?xu52908w0<;0;01<>;5<902h63>:gd8 6d32<1vqo:=c;297?4=9>50;796?0|,:3n6kl4$0`e>1g43f8?<7>5;h016=44i8f94?=n180;66lib;292?6=8r.8h44ic:k24c<72-9o57??f:9j=0<72-9o577:;:k:2?6=,:n26484;h1;b?6=,:n26>6i;:k1b2<72-9o57d298yg5c=3:1=7>50z&0`<<6kl1d=ih50;&0`<<6l:10q~o::181[g234li6<>i;|q:5?6=:rT2=63ib;;5?xu>l3:1>vP6d:?ef?4a?2wx>?650;0xZ74?34li6>6i;|q105<72;qU>9>4=g`95a552z?ef??2349o97?kf:~f4<7280;6=u+3c690>"41l0:<=5+3e;97a`<,8hm69o<;nde>5<#;m31=i=4;|q105<72;qU>9>4=363>7273tyj97>52z\b1>;5<90j96s|9083>7}Y1816>9>5909~w7<72:q6>9>523:8972720n01<4if:&0f1<23twi?k850;196?2|,:3n6k74H2f1?M5e=2.:nk4;a29j=4<722cj97>5;n074?6=3k8?<7>55;092~"41l0mn6*>bg87e6=h:=:1<75f23:94?=ni<0;66g6d;29?l?62900nkl50;494?6|,:n26km4i02e>5<#;m31==h4;h;6>5<#;m315854i8494?"4l002:65f39d94?"4l0084k54i3d4>5<#;m31>k94;n3g7?6=,:n26t$2f:>4eb3f;oj7>5$2f:>4b432wxm84?:3y]e0=:nk0:52z\16==:nk084k5rs363>5<5sW8?<63ib;3g7>{t;k<1<75<6290;w)=m4;68 6?b28:;7)=k9;1gb>"6jo0?m>5`fg83>!5c13;o?65rs363>5<5sW8?<63=418105=z{h?1<7:181[?6348?<77>;|q1>5<4s48?<7<=8:?105<>l27:6kh4$2`7>0=zuk>:;7>53;090~"41l0m56F5<56;294~"4l00mo6g>0g83>!5c13;;j65f9483>!5c133>76g66;29 6b>20<07d=7f;29 6b>2:2m76g=f683>!5c138m;65`1e194?"4l00:h>54}c1g1?6=93:16=4={_c6?8`e28:m7p}61;296~X>927mn779;|q:`?6=:rT2h63ib;0e3>{t:;21<77}Y:=:01kl51e18yv5e>3:1>v3ib;;6?85c=3;oj6srb083>4<729q/?o:54:&0=`<6891/?i753ed8 4da2=k87bhi:18'7a?=9m907p}=4183>7}Y:=:01?:?:363?xuf=3:1>vPn5:?1056}::=:1>?64=363>4=:5y'7j?6g61;29?lg22900c?:?:188f727290>6?49{%1:a?`e3-;ij7:n3:m105<722c9>54?::kb1?6=3`3o6=44i8394?=enk0;6;4?:1y'7a?=nj1b==h50;&0`<<68o10e4;50;&0`<<>=21b5;4?:%1g=??132c84k4?:%1g=?5?n21b>k950;&0`<<5n>10c4<729q/?i751bg8k4ba290/?i751e18?xuf=3:1>vPn5:?ef?77n2wx5<4?:3y]=4=:nk02:6s|9e83>7}Y1m16jo4=f69~w74?2909wS<=8:?ef?5?n2wx>9>50;0xZ72734li6ji;%3ab?2f;2emj7>5$2f:>4b432wx>9>50;0xZ727348?<7<;0:pe0<72;qUm8522529e0=z{0;1<73A9o>6F5<5$2f:>46a32c297>5$2f:><3<3`3=6=4+3e;9=3=5$2f:>7`032e:h>4?:%1g=?7c;21vn>j::182>5<7s-9o57?le:m2`c<72-9o57?k3:9~wd3=838pRl;4=g`955`?64=g`97=`52z\105=:nk0:h>5rs2`5>5<5s4li64;4=2f6>4ba3twi=7>51;294~"4j=0?7)=6e;334>"4l008hk5+1cd90d552z\105=::=:1>9>4}rc6>5<5sWk>70<;0;c6?xu>93:1>vP61:?105<>92wx>7>53z?105<5:116>9>59e9>5?`a3-9i87;4}|`;N4l;1C?o;4$0`e>1g43`3:6=44i`794?=h:=:1<75m25294?3=:37j:g`8 4da2=k87b<;0;29?l4503:17do::188m3:1o68o0;6)=k9;33b>=n1<0;6)=k9;;6?>o>>3:1(>j6:848?l5?n3:1(>j6:2:e?>o5n>0;6)=k9;0e3>=h9m91<7*51;294~"4l00:oh5`1ed94?"4l00:h>54}rc6>5<5sWk>70hm:02e?xu>93:1>vP61:?ef??13ty2h7>52z\:`>;aj38m;6s|23:94?4|V;8370hm:2:e?xu5<90;6?uQ25289cd=9m90q~=m6;296~;aj33>70=k5;3gb>{zj80;6<4?:1y'7g2=<2.85h4>019'7a?=;ml0(;7p}n5;296~Xf=2798=4n5:p=4<72;qU5<522529=4=z{;0;6>u2252967><5;>;64j4=08eb>"4j=0>7psm3b094?5=:3>p(>7j:g;8L6b53A9i96*>bg87e6=n180;66gn5;29?j4383:17o<;0;291?4=>r.85h4ib:&2fc<3i:1d>9>50;9j67>=831bm84?::k:`?6=3`3:6=44bg`94?0=83:p(>j6:ga8m46a290/?i7511d8?l?2290/?i759498m<0=83.8h4466:9j7=`=83.8h44<8g98m7`0290/?i752g58?j7c;3:1(>j6:0f0?>{e;m?1<7?50;2x 6b>28in7b?kf;29 6b>28n876s|a483>7}Yi<16jo4>0g9~w<7=838pR4?4=g`9=3=z{0n1<7?;%1g=?5cn2.:nk4;a29lbc<72-9o57?k3:9~w7272909wS<;0:?105<5<91vl;50;0xZd3<5;>;6l;4}r;2>5<5sW3:70<;0;;2?xu52908w0<;0;01<>;5<902h63>:gd8 6d32<1vqoo8:180>7<3s-92i7h6;I1g6>N4j<1/=oh54`18m<7=831bm84?::m105<722h98=4?:481>3}#;0o1jo5+1cd90d5;6=44i30;>5<>o>93:17ohm:185>5<7s-9o57hl;h33b?6=,:n26<>i;:k:1?6=,:n264;4;h;5>5<#;m315;54i2:e>5<#;m31?5h4;h0e3?6=,:n26?h8;:m2`6<72-9o57?k3:9~f6b2290:6=4?{%1g=?7dm2e:hk4?:%1g=?7c;21vl;50;0xZd3<5oh1==h4}r;2>5<5sW3:70hm:848yv?c2909wS7k;7`03ty9>54?:3y]67><5oh1?5h4}r074?6=:rT98=52fc82`6=z{:h=6=4={<3<5:n>6m3;;<6*54}r074?6=:rT98=5225296166=4={_c6?84383k>7p}61;296~X>92798=461:p6?6=;r798=4=299>616=1m16=7hi;%1a0?31}#;0o1j45G3e08L6d23-;:=784$0`e>1g43`3:6=44i`794?=h:=:1<75m25294?3=:37j:g`8 4da2=k87b<;0;29?l4503:17do::188m3:1o68o0;6)=k9;33b>=n1<0;6)=k9;;6?>o>>3:1(>j6:848?l5?n3:1(>j6:2:e?>o5n>0;6)=k9;0e3>=h9m91<7*51;294~"4l00:oh5`1ed94?"4l00:h>54}rc6>5<5sWk>70hm:02e?xu>93:1>vP61:?ef??13ty2h7>52z\:`>;aj38m;6s|23:94?4|V;8370hm:2:e?xu5<90;6?uQ25289cd=9m90q~=m6;296~;aj33>70=k5;3gb>{zj80;6<4?:1y'7g2=<2.85h4>019'7a?=;ml0(;7p}n5;296~Xf=2798=4n5:p=4<72;qU5<522529=4=z{;0;6>u2252967><5;>;64j4=08eb>"4j=0>7psme783>6<52=q/?4k5f89K7a4<@:h>7)?>1;48 4da2=k87d7>:188md3=831d>9>50;9a616=83?1>78t$2;f>cd<,8hm69o<;n074?6=3`8947>5;hc6>5<>daj3:1:7>50z&0`<5$2f:><0<3`93j7>5$2f:>6>a32c9j:4?:%1g=?4a?21d=i=50;&0`<<6l:10qo=k5;295?6=8r.8h44>cd9l5a`=83.8h44>d298yvg22909wSo:;46a3ty2=7>52z\:5>;aj33=7p}6d;296~X>l27mn7=838pR?<7;6>a3ty98=4?:3y]616<5oh1=i=4}r1a2?6=:r7mn77:;<1g1?7cn2wvn<4?:083>5}#;k>186*<9d8245=#;m31?ih4$0`e>1g43flm6=4+3e;95a5<3ty98=4?:3y]616<5;>;6?:?;|qb1?6=:rTj963=418b1>{t180;6?uQ909>616=181v?4?:2y>616=:;201?:?:8f894=8391>7:t$2;f>c?<@:n97E=m5:&254<13-;ij7:n3:k:5?6=3`k>6=44o363>5<;6=4::385!5>m3li7)?mf;6b7>i5<90;66g=2983>>of=3:17d7k:188m<7=831ijo4?:783>5}#;m31jn5f11d94?"4l00:!5c133=76g<8g83>!5c1393j65f2g594?"4l009j:54o0f0>5<#;m31=i=4;|`0`0<7280;6=u+3e;95fc52z\b1>;aj3;;j6s|9083>7}Y1816jo466:p=a<72;qU5i52fc81b2=z{;836=4={_01<>;aj393j6s|25294?4|V;>;70hm:0f0?xu4j?0;6?u2fc8:1>;4l<0:hk5r}c394?7=83:p(>l;:59'7j6:2fe?!7en3>j?6aif;29 6b>28n876s|25294?4|V;>;70<;0;074>{ti<0;6?uQa49>616=i<1v4?50;0xZ<7<5;>;64?4}r094?5|5;>;6?<7;<074??c34;1jk5+3c691>{zj82m6=4<:387!5>m3l27E=k2:J0f0=#98;1:6*>bg87e6=n180;66gn5;29?j4383:17o<;0;291?4=>r.85h4ib:&2fc<3i:1d>9>50;9j67>=831bm84?::k:`?6=3`3:6=44bg`94?0=83:p(>j6:ga8m46a290/?i7511d8?l?2290/?i759498m<0=83.8h4466:9j7=`=83.8h44<8g98m7`0290/?i752g58?j7c;3:1(>j6:0f0?>{e;m?1<7?50;2x 6b>28in7b?kf;29 6b>28n876s|a483>7}Yi<16jo4>0g9~w<7=838pR4?4=g`9=3=z{0n1<7?;%1g=?5cn2.:nk4;a29lbc<72-9o57?k3:9~w7272909wS<;0:?105<5<91vl;50;0xZd3<5;>;6l;4}r;2>5<5sW3:70<;0;;2?xu52908w0<;0;01<>;5<902h63>:gd8 6d32<1vqo?66;297?4=:79'5g`=5<5$2f:>46a32c297>5$2f:><3<3`3=6=4+3e;9=3=5$2f:>7`032e:h>4?:%1g=?7c;21vn>j::182>5<7s-9o57?le:m2`c<72-9o57?k3:9~wd3=838pRl;4=g`955`?64=g`97=`52z\105=:nk0:h>5rs2`5>5<5s4li64;4=2f6>4ba3twi=7>51;294~"4j=0?7)=6e;334>"4l008hk5+1cd90d552z\105=::=:1>9>4}rc6>5<5sWk>70<;0;c6?xu>93:1>vP61:?105<>92wx>7>53z?105<5:116>9>59e9>5?`a3-9i87;4}|`2e1<72:0969u+38g9b<=O;m80D>l:;%325?0<,8hm69o<;h;2>5<56;294~"4l00mo6g>0g83>!5c13;;j65f9483>!5c133>76g66;29 6b>20<07d=7f;29 6b>2:2m76g=f683>!5c138m;65`1e194?"4l00:h>54}c1g1?6=93:16=4={_c6?8`e28:m7p}61;296~X>927mn779;|q:`?6=:rT2h63ib;0e3>{t:;21<77}Y:=:01kl51e18yv5e>3:1>v3ib;;6?85c=3;oj6srb083>4<729q/?o:54:&0=`<6891/?i753ed8 4da2=k87bhi:18'7a?=9m907p}=4183>7}Y:=:01?:?:363?xuf=3:1>vPn5:?1056}::=:1>?64=363>4>:2yK7g3<,:3n65<4$0`e>1g43`;;>7>5$2f:>46a32c:<>4?:%1g=?77n21d=nm50;&0`<<6l:10q~om:181[ge349oh7??3:pef<72;qUmn523ef955452z\0<==:;mn1=nm4}|```?6=;3;1?vFj6:02e?>i6kj0;6)=k9;3g7>=z{hh1<7039~w6>?2909wS=78:?0`a<6kj1vqoml:180>4<4sA9i96*<9d82g6=nik0;66gnc;29?j5?03:17o=kd;297?6=8r.85h47)=k9;1g4>"6jo0?m>5f11094?"4l00:5<#;m31==h4;n3`g?6=,:n266s|39:94?4|V:2370=kd;3`g>{zjjh1<7=51;1xL6d23-92i7?l3:kbf?6=3`kh6=44o2:;>5<m39o=6Fj?;%3ab?2f;2c:029~wde=838pRlm4=2fg>4653ty8454?:3y]7=><5:no6t$2;f>67<@:n97E=m5:&0`<<482.:nk4;a29j554=83.8h44>0g98m464290/?i7511d8?j7dk3:1(>j6:0f0?>{e?<0;6>4?:1y'7o68;0;6)=k9;33b>=n9991<7*5}#;0o1=<<4H2f1?M5e=2.8h447e:&2fc<3i:1b==?50;&0`<<68o10cj7;:a065=8391<7>t$2;f>67<@:n97E=m5:&0`<<482.:nk4;a29j554=83.8h44>0g98m464290/?i7511d8?j7dk3:1(>j6:0f0?>{e<>91<7<50;2x 6?b28;97E=k2:J0f0=#;m314h5+1cd90d55$2f:>4b43A9o465rb557>5<4290;w)=6e;12?M5c:2B8n85+3e;975=#9kl18l=4i021>5<#;m31==h4;h337?6=,:n26<>i;:m2gf<72-9o57?k3:9~fdg=8381<7>t$2;f>4753A9o>6Fj6:0f0?M5c021vn>m::181>5<7s-92i7?>2:J0`7=O;k?0(>j6:9g8 4da2=k87d??1;29 6b>28:m76a>cb83>!5c13;o?6Fc29096=4?{%1:a?76:2B8h?5G3c78 6b>21o0(j6:02e?>i6kj0;6)=k9;3g7>N4l110qo139K7a4<@:h>7)=k9;:f?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>5G3e:8?xd3;<0;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*7j:031?M5c:2B8n85+3e;9<`=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26=zj=296=4=:183!5>m3;:>6F1g43`;;=7>5$2f:>46a32e:on4?:%1g=?7c;2B8h554}ca:>5<5290;w)=6e;326>N4l;1C?o;4$2f:>=c<,8hm69o<;h335?6=,:n26<>i;:m2gf<72-9o57?k3:J0`==m39:7E=k2:J0f0=#;m31?=5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vnn;50;094?6|,:3n6N4j<1/?i758d9'5g`=>:18'7a?=99l07b?lc;29 6b>28n87E=k8:9~ff0=8391<7>t$2;f>67<@:n97E=m5:&0`<<482.:nk4;a29j554=83.8h44>0g98m464290/?i7511d8?j7dk3:1(>j6:0f0?>{ek80;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*6<729q/?4k5309K7a4<@:h>7)=k9;13?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`a`?6=:3:1l:;%1g=?>b3-;ij7:n3:k244<72-9o57??f:9l5fe=83.8h44>d29K7a><3thii7>53;294~"41l08=6F>4$0`e>1g43`;;>7>5$2f:>46a32c:<>4?:%1g=?77n21d=nm50;&0`<<6l:10qoj?:180>5<7s-92i7=>;I1g6>N4j<1/?i75319'5g`==:18'7a?=99l07d??3;29 6b>28:m76a>cb83>!5c13;o?65rbe094?5=83:p(>7j:238L6b53A9i96*"6jo0?m>5f11094?"4l00:5<#;m31==h4;n3`g?6=,:n26l:;%1g=?573-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xda>3:1>7>50z&0=`<69;1C?i<4H2`6?!5c132n7)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*139K7a4<@:h>7)=k9;:f?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>5G3e:8?xd6i?0;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*7j:031?M5c:2B8n85+3e;9<`=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26=zj8kj6=4=:183!5>m3;:>6F1g43`;;=7>5$2f:>46a32e:on4?:%1g=?7c;2B8h554}c3bf?6=:3:1l:;%1g=?>b3-;ij7:n3:k244<72-9o57??f:9l5fe=83.8h44>d29K7a><3th:mn4?:283>5}#;0o1?<5G3e08L6d23-9o57=?;%3ab?2f;2c:ae83>6<729q/?4k5309K7a4<@:h>7)=k9;13?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`2e`<72:0;6=u+38g974=O;m80D>l:;%1g=?573-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd6io0;6>4?:1y'7j=;I1a1>"4l00:ok5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn5<7s-92i7?k0:J0`7=O;k?0(>j6:0ae?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`2e2<72:0;6=u+38g974=O;m80D>l:;%1g=?573-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd6i10;6>4?:1y'7j=;I1a1>"4l00:ok5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn<7>:180>5<7s-92i7=>;I1g6>N4j<1/?i75319'5g`==:18'7a?=99l07d??3;29 6b>28:m76a>cb83>!5c13;o?65rb0;1>5<5290;w)=6e;326>N4l;1C?o;4$2f:>=c<,8hm69o<;h335?6=,:n26<>i;:m2gf<72-9o57?k3:J0`==53;294~"41l0:h=5G3e08L6d23-9o57?lf:&2fc<3i:1b==<50;&0`<<68o10e<><:18'7a?=99l07b?lc;29 6b>28n876sm18694?5=83:p(>7j:238L6b53A9i96*"6jo0?m>5f11094?"4l00:5<#;m31==h4;n3`g?6=,:n26t$2;f>4b73A9o>6Fj6:02e?>o68:0;6)=k9;33b>=h9ji1<7*53;294~"41l08=6F>4$0`e>1g43`;;>7>5$2f:>46a32c:<>4?:%1g=?77n21d=nm50;&0`<<6l:10qo?7b;296?6=8r.85h4>139K7a4<@:h>7)=k9;:f?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>5G3e:8?xd60j0;6>4?:1y'7j=;I1a1>"4l00:ok5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn<6k:180>5<7s-92i7=>;I1g6>N4j<1/?i75319'5g`==:18'7a?=99l07d??3;29 6b>28:m76a>cb83>!5c13;o?65rb0:f>5<4290;w)=6e;3g4>N4l;1C?o;4$2f:>4ea3-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xdb03:1>7>50z&0=`<69;1C?i<4H2`6?!5c132n7)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*139K7a4<@:h>7)=k9;:f?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>5G3e:8?xdbi3:1>7>50z&0=`<69;1C?i<4H2`6?!5c132n7)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*139K7a4<@:h>7)=k9;:f?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>5G3e:8?xdbk3:1>7>50z&0=`<69;1C?i<4H2`6?!5c132n7)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*j6:228 4da2=k87d??2;29 6b>28:m76g>0283>!5c13;;j65f11694?"4l00:5<#;m31==h4;h332?6=,:n26<>i;:k242<72-9o57??f:9l5fe=83.8h44>d298yg26i3:1?7>50z&0=`<492B8h?5G3c78 6b>2::0(j6:02e?>o68:0;6)=k9;33b>=h9ji1<7*:n7>52;294~"41l0:=?5G3e08L6d23-9o576j;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:1C?i64;|`75f<72:0;6=u+38g974=O;m80D>l:;%1g=?573-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd39m0;6>4?:1y'7j=;I1a1>"4l00:ok5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn96j:186>5<7s-92i7=<;I1g6>N4j<1/?i75319'5g`==:18'7a?=99l07d??3;29 6b>28:m76g>0583>!5c13;;j65f11794?"4l00:5<#;m31=i=4;|`7l:;%1g=?573-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd3190;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*7j:031?M5c:2B8n85+3e;9<`=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26=zj=396=4<:183!5>m39:7E=k2:J0f0=#;m31?=5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn97<:180>5<7s-92i7=>;I1g6>N4j<1/?i75319'5g`==:18'7a?=99l07d??3;29 6b>28:m76a>cb83>!5c13;o?65rb5;7>5<4290;w)=6e;12?M5c:2B8n85+3e;975=#9kl18l=4i021>5<#;m31==h4;h337?6=,:n26<>i;:m2gf<72-9o57?k3:9~f4??29096=4?{%1:a?76:2B8h?5G3c78 6b>21o0(j6:02e?>i6kj0;6)=k9;3g7>N4l110qo?6c;296?6=8r.85h4>139K7a4<@:h>7)=k9;:f?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>5G3e:8?xd61m0;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*7j:031?M5c:2B8n85+3e;9<`=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26=zj83m6=4=:183!5>m3;:>6F1g43`;;=7>5$2f:>46a32e:on4?:%1g=?7c;2B8h554}c3b4?6=:3:1l:;%1g=?>b3-;ij7:n3:k244<72-9o57??f:9l5fe=83.8h44>d29K7a><3th:m<4?:283>5}#;0o1=i>4H2f1?M5e=2.8h44>cg9'5g`==:18'7a?=99l07d??3;29 6b>28:m76a>cb83>!5c13;o?65rb0c1>5<4290;w)=6e;12?M5c:2B8n85+3e;975=#9kl18l=4i021>5<#;m31==h4;h337?6=,:n26<>i;:m2gf<72-9o57?k3:9~f4g4290?6=4?{%1:a?553A9o>6Fj6:02e?>o68=0;6)=k9;33b>=h9ji1<7*53;294~"41l0:h=5G3e08L6d23-9o57?lf:&2fc<3i:1b==<50;&0`<<68o10e<><:18'7a?=99l07b?lc;29 6b>28n876sm18c94?5=83:p(>7j:238L6b53A9i96*"6jo0?m>5f11094?"4l00:5<#;m31==h4;n3`g?6=,:n26t$2;f>4b73A9o>6Fj6:02e?>o68:0;6)=k9;33b>=h9ji1<7*m3;o<6Fd19K7a4<@:h>7)=k9;3`b>"6jo0?m>5f11094?"4l00:5<#;m31==h4;n3`g?6=,:n260g98k4ed290/?i751e18L6b?32wi>o4?:283>5}#;0o1?<5G3e08L6d23-9o57=?;%3ab?2f;2c:d19K7a4<@:h>7)=k9;3`b>"6jo0?m>5f11094?"4l00:5<#;m31==h4;n3`g?6=,:n26t$2;f>4753A9o>6Fj6:0f0?M5c021vn>hk:181>5<7s-92i7?>2:J0`7=O;k?0(>j6:9g8 4da2=k87d??1;29 6b>28:m76a>cb83>!5c13;o?6F7>50z&0=`<69;1C?i<4H2`6?!5c132n7)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*6<729q/?4k51e28L6b53A9i96*5<#;m31==h4;h337?6=,:n26<>i;:m2gf<72-9o57?k3:9~f167290?6=4?{%1:a?553A9o>6Fj6:02e?>o68=0;6)=k9;33b>=h9ji1<7*52;294~"41l0:=?5G3e08L6d23-9o576j;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:1C?i64;|`25=<72;0;6=u+38g9544<@:n97E=m5:&0`<0g98k4ed290/?i751e18L6b?32wi=<750;094?6|,:3n6N4j<1/?i758d9'5g`=>:18'7a?=99l07b?lc;29 6b>28n87E=k8:9~f47f29096=4?{%1:a?76:2B8h?5G3c78 6b>21o0(j6:02e?>i6kj0;6)=k9;3g7>N4l110qo?>b;296?6=8r.85h4>139K7a4<@:h>7)=k9;:f?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>5G3e:8?xd69j0;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*7j:0f3?M5c:2B8n85+3e;95f`<,8hm69o<;h336?6=,:n26<>i;:k246<72-9o57??f:9l5fe=83.8h44>d298yg76m3:1?7>50z&0=`<492B8h?5G3c78 6b>2::0(j6:02e?>o68:0;6)=k9;33b>=h9ji1<7*54;294~"41l08>6F>4$0`e>1g43`;;>7>5$2f:>46a32c:<>4?:%1g=?77n21b==:50;&0`<<68o10c1683>6<729q/?4k51e28L6b53A9i96*5<#;m31==h4;h337?6=,:n26<>i;:m2gf<72-9o57?k3:9~f1>3290<6=4?{%1:a?533A9o>6Fj6:02e?>o68=0;6)=k9;33b>=n99?1<7*5$2f:>46a32e:on4?:%1g=?7c;21vn96::181>5<7s-92i7?>2:J0`7=O;k?0(>j6:9g8 4da2=k87d??1;29 6b>28:m76a>cb83>!5c13;o?6F3:1>7>50z&0=`<69;1C?i<4H2`6?!5c132n7)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*7<729q/?4k51008L6b53A9i96*"6jo0?m>5f11394?"4l00:5<#;m31=i=4H2f;?>{e<121<7<50;2x 6?b28;97E=k2:J0f0=#;m314h5+1cd90d55$2f:>4b43A9o465rb5::>5<5290;w)=6e;326>N4l;1C?o;4$2f:>=c<,8hm69o<;h335?6=,:n26<>i;:m2gf<72-9o57?k3:J0`==3m7>54;294~"41l08>6F>4$0`e>1g43`;;>7>5$2f:>46a32c:<>4?:%1g=?77n21b==:50;&0`<<68o10c6<729q/?4k51e28L6b53A9i96*5<#;m31==h4;h337?6=,:n26<>i;:m2gf<72-9o57?k3:9~f1>d290?6=4?{%1:a?553A9o>6Fj6:02e?>o68=0;6)=k9;33b>=h9ji1<7*9:7>52;294~"41l0:=?5G3e08L6d23-9o576j;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:1C?i64;|`762<72;0;6=u+38g9544<@:n97E=m5:&0`<0g98k4ed290/?i751e18L6b?32wi8?650;094?6|,:3n6N4j<1/?i758d9'5g`=>:18'7a?=99l07b?lc;29 6b>28n87E=k8:9~f14>29096=4?{%1:a?76:2B8h?5G3c78 6b>21o0(j6:02e?>i6kj0;6)=k9;3g7>N4l110qo:=a;290?6=8r.85h4<2:J0`7=O;k?0(>j6:228 4da2=k87d??2;29 6b>28:m76g>0283>!5c13;;j65f11694?"4l00:5<#;m31=i=4;|`76g<72:0;6=u+38g95a6<@:n97E=m5:&0`<<6ko1/=oh54`18m465290/?i7511d8?l77;3:1(>j6:02e?>i6kj0;6)=k9;3g7>=zjm<1<7<50;2x 6?b28;97E=k2:J0f0=#;m314h5+1cd90d55$2f:>4b43A9o465rbec94?2=83:p(>7j:208L6b53A9i96*"6jo0?m>5f11094?"4l00:5<#;m31==h4;h330?6=,:n26<>i;:m2gf<72-9o57?k3:9~fad=8381<7>t$2;f>4753A9o>6Fj6:0f0?M5c021vnim50;094?6|,:3n6N4j<1/?i758d9'5g`=>:18'7a?=99l07b?lc;29 6b>28n87E=k8:9~fab=8381<7>t$2;f>4753A9o>6Fj6:0f0?M5c021vnik50;094?6|,:3n6N4j<1/?i758d9'5g`=>:18'7a?=99l07b?lc;29 6b>28n87E=k8:9~fa`=8381<7>t$2;f>4753A9o>6Fj6:0f0?M5c021vnh>50;194?6|,:3n6>?4H2f1?M5e=2.8h44<0:&2fc<3i:1b==<50;&0`<<68o10e<><:18'7a?=99l07b?lc;29 6b>28n876sme083>1<729q/?4k5339K7a4<@:h>7)=k9;13?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31==h4;n3`g?6=,:n26j6:02e?>i6kj0;6)=k9;3g7>=zjm21<7:50;2x 6?b2:80D>j=;I1a1>"4l008<6*>bg87e6=n9981<7*5$2f:>46a32e:on4?:%1g=?7c;21vni750;194?6|,:3n6N4j<1/?i751bd8 4da2=k87d??2;29 6b>28:m76g>0283>!5c13;;j65`1ba94?"4l00:h>54}c`6>5<5290;w)=6e;326>N4l;1C?o;4$2f:>=c<,8hm69o<;h335?6=,:n26<>i;:m2gf<72-9o57?k3:J0`==m3;o>6F;:18'7a?=99l07d??5;29 6b>28:m76a>cb83>!5c13;o?65rbc594?4=83:p(>7j:031?M5c:2B8n85+3e;9<`=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26=zjk21<7=50;2x 6?b2:;0D>j=;I1a1>"4l008<6*>bg87e6=n9981<7*5$2f:>4b432win44?:283>5}#;0o1?<5G3e08L6d23-9o57=?;%3ab?2f;2c:d19K7a4<@:h>7)=k9;3`b>"6jo0?m>5f11094?"4l00:5<#;m31==h4;n3`g?6=,:n26t$2;f>67<@:n97E=m5:&0`<<482.:nk4;a29j554=83.8h44>0g98m464290/?i7511d8?j7dk3:1(>j6:0f0?>{e<:k1<7<50;2x 6?b28;97E=k2:J0f0=#;m314h5+1cd90d55$2f:>4b43A9o465rb51a>5<5290;w)=6e;326>N4l;1C?o;4$2f:>=c<,8hm69o<;h335?6=,:n26<>i;:m2gf<72-9o57?k3:J0`==8o7>52;294~"41l0:=?5G3e08L6d23-9o576j;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:1C?i64;|`77a<72;0;6=u+38g9544<@:n97E=m5:&0`<0g98k4ed290/?i751e18L6b?32wi8>k50;094?6|,:3n6N4j<1/?i758d9'5g`=>:18'7a?=99l07b?lc;29 6b>28n87E=k8:9~f15a29086=4?{%1:a?563A9o>6Fj6:02e?>i6kj0;6)=k9;3g7>=zj=>;6=4<:183!5>m3;o<6F6<729q/?4k5309K7a4<@:h>7)=k9;13?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`77=<72=0;6=u+38g977=O;m80D>l:;%1g=?573-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98m463290/?i7511d8?j7dk3:1(>j6:0f0?>{e<:31<7=50;2x 6?b28n;7E=k2:J0f0=#;m31=nh4$0`e>1g43`;;>7>5$2f:>46a32c:<>4?:%1g=?77n21d=nm50;&0`<<6l:10qo?=1;296?6=8r.85h4>139K7a4<@:h>7)=k9;:f?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>5G3e:8?xd6:;0;6>4?:1y'7j=;I1a1>"4l00:ok5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn<<<:181>5<7s-92i7?>2:J0`7=O;k?0(>j6:9g8 4da2=k87d??1;29 6b>28:m76a>cb83>!5c13;o?6F50z&0=`<6l91C?i<4H2`6?!5c13;hj6*>bg87e6=n9981<7*5$2f:>4b432wi=?;50;194?6|,:3n6>?4H2f1?M5e=2.8h44<0:&2fc<3i:1b==<50;&0`<<68o10e<><:18'7a?=99l07b?lc;29 6b>28n876sm13494?5=83:p(>7j:238L6b53A9i96*"6jo0?m>5f11094?"4l00:5<#;m31==h4;n3`g?6=,:n261<7>t$2;f>4b63A9o>6Fj6:02e?>o68:0;6)=k9;33b>=n99>1<7*o4?:283>5}#;0o1?<5G3e08L6d23-9o57=?;%3ab?2f;2c:3483>7<729q/?4k51008L6b53A9i96*"6jo0?m>5f11394?"4l00:5<#;m31=i=4H2f;?>{e9:<1<7<50;2x 6?b28;97E=k2:J0f0=#;m314h5+1cd90d55$2f:>4b43A9o465rb014>5<5290;w)=6e;326>N4l;1C?o;4$2f:>=c<,8hm69o<;h335?6=,:n26<>i;:m2gf<72-9o57?k3:J0`==52;294~"41l0:=?5G3e08L6d23-9o576j;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:1C?i64;|`27<<72;0;6=u+38g9544<@:n97E=m5:&0`<0g98k4ed290/?i751e18L6b?32wi=>o50;094?6|,:3n6N4j<1/?i758d9'5g`=>:18'7a?=99l07b?lc;29 6b>28n87E=k8:9~f45e29096=4?{%1:a?76:2B8h?5G3c78 6b>21o0(j6:02e?>i6kj0;6)=k9;3g7>N4l110qo?j6:228 4da2=k87d??2;29 6b>28:m76g>0283>!5c13;;j65`1ba94?"4l00:h>54}c31g?6=;3:1N4l;1C?o;4$2f:>66<,8hm69o<;h336?6=,:n26<>i;:k246<72-9o57??f:9l5fe=83.8h44>d298yg75l3:1?7>50z&0=`<6l91C?i<4H2`6?!5c13;hj6*>bg87e6=n9981<7*5$2f:>4b432wi=?k50;794?6|,:3n6>=4H2f1?M5e=2.8h44<0:&2fc<3i:1b==<50;&0`<<68o10e<><:18'7a?=99l07d??4;29 6b>28:m76g>0483>!5c13;;j65`1ba94?"4l00:h>54}c31b?6=;3:1l:;%1g=?7dn2.:nk4;a29j554=83.8h44>0g98m464290/?i7511d8?j7dk3:1(>j6:0f0?>{e9::1<7=50;2x 6?b2:;0D>j=;I1a1>"4l008<6*>bg87e6=n9981<7*5$2f:>4b432wi=>?50;194?6|,:3n6N4j<1/?i751bd8 4da2=k87d??2;29 6b>28:m76g>0283>!5c13;;j65`1ba94?"4l00:h>54}c306?6=;3:1l:;%1g=?7dn2.:nk4;a29j554=83.8h44>0g98m464290/?i7511d8?j7dk3:1(>j6:0f0?>{e9:91<7=50;2x 6?b2:;0D>j=;I1a1>"4l008<6*>bg87e6=n9981<7*5$2f:>4b432wi=>:50;194?6|,:3n6N4j<1/?i751bd8 4da2=k87d??2;29 6b>28:m76g>0283>!5c13;;j65`1ba94?"4l00:h>54}r07>5<5sW8?70<;:363?xu5?3:1>v3=4;c6?84d28ih7p}=8;296~;503;ho63=c;336>{t:00;6?u22882gf=::k0:4ed348h6<><;|q5b?6=;r7<97?lc:?2=a<68816=<751138yv>?2909w067:363?81228:87p}79;29e~;?033:70:9d;;2?825k33:70:>7;;2?85a>33:70=l2;;2?84bj33:70?9d;;2?8g020;015o51ba8yv>e2909w06m:363?81228:97p}7c;297~;?j3k>7067:`789=b=9ji0q~7=:1822~X>:27?;h461:?a7??634i<64?4=b19=4=:jo02=63mb;;2?825;33:70?=9;;2?84320;01hm5909>9278o>461:?b<7<582m64?4=0;5><7<58k?64?4=9c9557;6i90:<<5210a955772734;247??1:?253<6881vl650;1x9d>=:=:01<7l:022?87603;;=6s|a883>6}:i10j963n7;c6?8gf28ih7p}nd;296~Xfl27m87o:;|qba?6=ir7i?7<;0:?`f?ge34n;6<><;46634>3i7??5:?7<1<68;16858511389ag=99801im511389g1=99;0q~l;:1818d42h?01oo51ba8yvd22909w0l::0a`?8d?28:87p}m6;296~;e>3;ho63m9;336>{tj>0;6?u2b682gf=:j00:<>5rsc:94?4|5k21=nm4=cc95544ed34hj6<><;|qbb?6=ir7in7<;0:?`f?gd34n;6<>=;46634>3j7??2:?7<1<68:1685;511389ag=99901il511389g0=9980q~ll:1818de2h?01ok51ba8yvdc2909w0lk:0a`?8db28:87p}m0;29=~;en38?<63lc;c`?8b528:970kl:022?82?n3;;?63;858241=:<1=1==?4=ed9557<5k<1===4}ra3>5<5s4hm6l;4=b095fe4ed34i96<><;|qa5?6=0r7h?7<;0:?``?gd34n?6<>=;46634>2<7??1:?7<<<68816hh4>009>f3<68=1vn:50;0x9f5=i<16o;4>cb9~wf3=838p1n;51ba89f0=9990q~l=:18;8e02;>;70mj:`a89`b=9990197>:022?82?03;;=63ka;330>;cl3;;=63m6;331>{tk10;6?u2c68b1>;di3;ho6s|c883>7}:k00:on52c`8246=z{jl1<7=t=ba9eg=:l90:on52d38246=z{m;1<7=t=bf9eg=:l;0:on52d58246=z{m91<75<5s4n=64643tyo;7>52z?g3?7dk27o47??3:p`=<72;q6h54>cb9>`<<68:1vio50;0x9ag=9ji01i951108yvbe2909w0jm:0a`?8c628:87p}kc;296~;ck3;ho63j1;336>{tlm0;6?u2de82gf=:m80:<95rseg94?4|5mo1=nm4=e:95544ed34n36<>;;|qf4?6=:r7n<7?lc:?g=?77:2wxi<4?:3y>a4<6kj16h:4>029~w`4=838p1nl539:89gc=9980q~k<:1818ed2:2370m=:021?xub<3:1>v3ld;1;<>;d>3;;>6s|e483>7}:kl084552c`8247=z{l<1<7=t=d49616<583n6<>>;<32e?7792wxi:4?:3y>a3cb9>aa<68;1vh750;0x9`?=9ji01hj51168yvcf2909w0kn:0a`?8cc28:=7p}jb;296~;bj3;ho63jd;331>{tmj0;6?u2eb82gf=:mm0:<:5rsg694?2|5o?1m852f58105=:l?0:<<52b48244=z{o?1<7=t=g79616<5l:1==<4=c:9554<7<5o<1=nm4}r320?6==;<6;0?77=2wx=<;50;6x947028ih70?=4;336>;6;00:<<5213f955452z?253<6kj16=v3>1982gf=:98n1===4}r32=?6=:r7:=44>cb9>54`=9980q~?>a;296~;69h0:on5210g955452z?25g<6kj16=v3>1b82gf=:98=1===4}r32`?6=:r7:=i4>cb9>54`=9990q~?>e;296~;69l0:on5210d955252z?25c<6kj16=<951108yv7583:1>v3>158b1>;6:>0:on5rs002>5<5s4;9=7?lc:?261<68:1v<<=:181875:3;ho63>248247=z{8886=4={<317?7dk27:>84>029~w4432909w0?=4;3`g>;6:?0:<>5rs006>5<5s4;997?lc:?262<68=1v<<9:181875>3;ho63>268247=z{8826=4<{_31=>;6:0098=52121955452z?26<cb9~w44e2909w0?=b;3`g>;6:o0:5<5s4;9o7?lc:?274<68;1v<318247=z{88n6=4={<31a?7dk27:?94>029~w44a2909w0?=f;3`g>;6;;0:5<5s4;8<7?lc:?274<68:1v<=>:18187493;ho63>338246=z{8996=4={<306?7dk27:?>4>029~w4542909w0?<3;3`g>;6;=0:5<5s4;897?lc:?27f<68:1v<=9:181874>3;ho63>2b8246=z{89<6=4={<303?7dk27:>i4>029~w45?2909w0?<8;3`g>;6;90:<>5rs01:>5<5s4;857?lc:?26`<68:1v<=n:181874i3;ho63>2d8241=z{89i6=4={<30f?7dk27:>h4>049~w45d2909w0?;6:o0:<>5rs07a>5<5sW;>n63;328247=z{8<:6=4={_355>;6>m0j96s|17f94?2|58ac8244=:90;1==<4=0;1>46634;3m7??3:?75d<68:16>l4>009>7c`=998019=n:022?82383;;>63>228244=:9;h1==<4=016>4663ty:444?:3y>5=>=i<16=5k51ba8yv7?i3:1>v3>8`82gf=:91i1===4}r3;f?6=:r7:4o4>cb9>5=b=9990q~?7c;296~;60j0:on5219g955452z?28g8105=:9h31==?4=0`3>46534;287??2:?244>029>7ce=99;019<7:022?824j3;;=63;3b8244=:9;;1==?4=001>46434;8:7??1:?27f<68;1v<7?:18187?n3k>70?65;3`g>{t90;1<74ed34;2?7??3:p5<4=838p1<7=:0a`?87><3;;?6s|18194?4|58386{t90<1<7ht=0;5>72734;j:7??1:?2ea<68;16=4=5110894>c28:970:>c;336>;61h0:46634>8i7??1:?263<68;16=>951138944d28:970?=e;336>{t90=1<7d3<583i69883>7}:9031=nm4=0;b>4643ty:5l4?:3y>5<;|q2=a<72;q6=4j51ba894g428:97p}>9d83>7}:90o1=nm4=0c1>4653ty:5k4?:3y>5<`=9ji01<;|q2e4<72;q6=l?51ba894g428:87p}>a383>7}:9h81=nm4=0c0>4633ty:m>4?:3y>5d5=9ji01<76:021?xu6i=0;672734;jo7??2:?2=4<68:16=5o5110894>e28::70:>a;336>;39k0:<<5218`9554<5;21==<4=2dg>46634>9;7??1:?772<68;168>j51138944028:870?=b;337>;6;10:<<5212c955752z?2e1cb9~w4g12909w0?n6;3`g>;6ij0:<>5rs0c4>5<5s4;j;7?lc:?2e=<68:1vae8246=z{8kj6=4={<3be?7dk27:mh4>029~w4ge2909w0?nb;3`g>;6i>0:<>5rs0c`>5<5s4;jo7?lc:?2ec<68;1vag8246=z{8kn6=4={<3ba?7dk27:n=4>029~w4ga2909w0?nf;3`g>;6i10:5<5s4;i<7?lc:?2e2<68;1v039~w4dc2908wS?md:?76<<688168>951118yv4b13:1?v3=e`82gf=:90l1==?4=03a>4663ty9io4?:3y>6`d=:=:01?kn:020?xu5mj0;6?u22da9616<5;oj6<>=;|q1aa<72:q6>hm5a49>6`d=i<16>hk51ba8yv5d:3:1?v34613ty8o>4?:2y>7f5=:=:0196j:020?82?<3;;;6s|3b694?5|5:i86l;4=2a1>d3<5:i>67273ty8jo4?:3y>7c0=i<168=>51ba8yv5ak3:1>v3cb9>056=9980q~=ie;296~;4nl0:on52412955252z?0bc<6kj168=>51118yv2793:1?vP;009>7a5=no168:k59e9~w1642908wS:?3:?;`?77927:?o4>009~w16a2909wS:?f:?be?7792wx8<950;0xZ17034>:;7<;0:p04?=838p19?8:`78917c28ih7p};1`83>7}:<8k1=nm4=53g>4653ty?=o4?:3y>04d=9ji019?l:020?xu39j0;6?u240a95fe<5=;o6<><;|q766<72;qU8?=4=500>7273ty?>84?:3y>075=i<168?l51ba8yv25>3:1>v3;2782gf=:<;k1===4}r613?6=:r7?>:4>cb9>07g=9980q~:=8;296~;3:10:on5243c9552957>52z?76<<6kj168?l51118yv25i3:1>v3;2`82gf=:<;h1==<4}r61g?6=:rT?>n5243a96168=7>52z?776<6kj168>;51138yv24:3:1>v3;3382gf=:<:91===4}r600?6=:r7?>n4n5:?770<6kj1v9=9:180824:3;;=63;728244=:<:31=nm4}r603?6=:r7??:4>cb9>017=9980q~:<8;296~;3;10:on5242;95558m7>52z?77d<6kj168>h51108yv24j3:1>v3;3c82gf=:<:l1===4}r60g?6=:r7??n4>cb9>016=9990q~:8i7>52z?77`<6kj168>651168yv24n3:1>v3;3g82gf=:<=;1===4}r674?6=:r7?8=4>cb9>06>=9990q~:;1;296~;3<80:on5242;9554=97>52z\720=::lo1==?4}r65`?6=:rT?:i5247f9616<>7>52z?731<6kj168:851138yv20;3:1>v3;7282gf=:<>>1===4}r641?6=:r7?:i4n5:?733<6kj1v99j:180[20m27?;h4=419>a<<6881v96>:181820m3k>70:72;3`g>{t<191<7<3<5=2h6e28:97p};8483>7}:<1?1=nm4=5:b>4643ty?4;4?:3y>0=0=9ji0196n:021?xu30>0;6?u249595fe<5=2j6<>;;|q7<=<72;q685651ba891>d28:97p};8883>7}:<131=nm4=5:`>4633ty?4l4?:3y>0=g=9ji0196m:020?xu30k0;6?u249`95fe<5=2h6<><;|q77}:<1o1=nm4=5;7>4653ty?4k4?:3y>0=`=9ji0197<:021?xu3190;6?u248295fe<5=396<>=;|q7=4<72;q684?51ba891?528:87p};9383>7}:<081=nm4=5;0>4643ty?5>4?:3y>0<5=9ji0197;:020?xu31<0;6?uQ487896e228::7psm3d694?1=;h08hvF42900e>:;:188k6>62900ek650;9l7<`=831d?ll50;9j=1<722h8h>4?:083>5}#;0o1==>4H2f1?M5e=2.8h44r.85h4ia:J0`7=O;k?0(5;n074?6=3k8?<7>56;294~"4l00mo6g>0g83>!5c13;;j65f9483>!5c133>76g66;29 6b>20<07d=7f;29 6b>2:2m76g=f683>!5c138m;65`1e194?"4l00:h>54}c1g2?6=93:152z\105=::=:1=i=4}rc6>5<5sWk>70<;0;33b>{t1m0;6?uQ9e9>616=:o=0q~7::181[?2348?<77:;|q:5?6=:rT2=63=418:2>{t99:1<76>a349o:7?k3:~f6gd290>6?49{%1:a?`f3A9o>6F5;hc6>5<;6=44b363>5<1290;w)=k9;d`?l77n3:1(>j6:02e?>o>=3:1(>j6:878?l?1290/?i759798m6>a290/?i7539d8?l4a?3:1(>j6:3d4?>i6l:0;6)=k9;3g7>=zj:n=6=4>:183!5c139oj6a>d283>!5c13;o?65rs363>5<5sW8?<63=4182`6=z{h?1<7l2798=4=f69~w<3=838pR4;4=363><30183>7}::=:1?5h4=2f5>4b43twi?:?50;796?0|,:3n6ko4H2f1?M5e=2.:=<49;%3ab?2f;2c297>5;h;g>5<>i5<90;66l=4183>3<729q/?i75fb9j55`=83.8h44>0g98m<3=83.8h4465:9j=3<72-9o5779;:k07}Y:=:01?:?:0f0?xuf=3:1>vPn5:?105<68o1v4j50;0xZ;6?h8;|q:1?6=:rT2963=418:1>{t180;6?uQ909>616=1?1v<>?:1818438393j6355;092~"41l0mm6F5<>of=3:17b<;0;29?g4383:1:7>50z&0`<5$2f:><0<3`93j7>5$2f:>6>a32c9j:4?:%1g=?4a?21d=i=50;&0`<<6l:10qo=k6;295?6=8r.8h44d298yv4383:1>vP=419>616=9m90q~o::181[g2348?<7??f:p=a<72;qU5i5225296c16=4={_;6?843833>7p}61;296~X>92798=466:p556=838p1?:?:2:e?85c>3;o?6srb26g>5<42;0?w)=6e;d:?M5c:2B8n85+10392>"6jo0?m>5f9083>>of=3:17b<;0;29?g4383:197<56z&0=`?650;9je0<722c2h7>5;h;2>5<2oi0e<>i:18'7a?=99l07d7::18'7a?=1<10e4850;&0`<<>>21b?5h50;&0`<<40o10e?h8:18'7a?=:o=07b?k3;29 6b>28n876sm3e794?7=83:p(>j6:0af?j7cn3:1(>j6:0f0?>{ti<0;6?uQa49>bg<68o1v4?50;0xZ<7<5oh15;5rs8f94?4|V0n01kl52g58yv4503:1>vP=299>bg<40o1v?:?:181[43827mn7?k3:p7g0=838p1kl5949>7a3=9ml0qpl>:182>5<7s-9i87:4$2;f>4673-9o57=kf:&2fc<3i:1djk4?:%1g=?7c;21v?:?:181[4382798=4=419~wd3=838pRl;4=363>d3;62ol0(>l;:49~yg53m3:1?7<54z&0=`3}#;0o1jo5+1cd90d5;6=44i30;>5<>o>93:17ohm:185>5<7s-9o57hl;h33b?6=,:n26<>i;:k:1?6=,:n264;4;h;5>5<#;m315;54i2:e>5<#;m31?5h4;h0e3?6=,:n26?h8;:m2`6<72-9o57?k3:9~f6b2290:6=4?{%1g=?7dm2e:hk4?:%1g=?7c;21vl;50;0xZd3<5oh1==h4}r;2>5<5sW3:70hm:848yv?c2909wS7k;7`03ty9>54?:3y]67><5oh1?5h4}r074?6=:rT98=52fc82`6=z{:h=6=4={<3<5:n>6m3;;<6*54}r074?6=:rT98=5225296166=4={_c6?84383k>7p}61;296~X>92798=461:p6?6=;r798=4=299>616=1m16=7hi;%1a0?31}#;0o1j45G3e08L6d23-;:=784$0`e>1g43`3:6=44i`794?=h:=:1<75m25294?3=:37j:g`8 4da2=k87b<;0;29?l4503:17do::188m3:1o68o0;6)=k9;33b>=n1<0;6)=k9;;6?>o>>3:1(>j6:848?l5?n3:1(>j6:2:e?>o5n>0;6)=k9;0e3>=h9m91<7*51;294~"4l00:oh5`1ed94?"4l00:h>54}rc6>5<5sWk>70hm:02e?xu>93:1>vP61:?ef??13ty2h7>52z\:`>;aj38m;6s|23:94?4|V;8370hm:2:e?xu5<90;6?uQ25289cd=9m90q~=m6;296~;aj33>70=k5;3gb>{zj80;6<4?:1y'7g2=<2.85h4>019'7a?=;ml0(;7p}n5;296~Xf=2798=4n5:p=4<72;qU5<522529=4=z{;0;6>u2252967><5;>;64j4=08eb>"4j=0>7psm34294?5=:3>p(>7j:g;8L6b53A9i96*>1085?!7en3>j?6g61;29?lg22900c?:?:188f727290>6?49{%1:a?`e3-;ij7:n3:m105<722c9>54?::kb1?6=3`3o6=44i8394?=enk0;6;4?:1y'7a?=nj1b==h50;&0`<<68o10e4;50;&0`<<>=21b5;4?:%1g=??132c84k4?:%1g=?5?n21b>k950;&0`<<5n>10c4<729q/?i751bg8k4ba290/?i751e18?xuf=3:1>vPn5:?ef?77n2wx5<4?:3y]=4=:nk02:6s|9e83>7}Y1m16jo4=f69~w74?2909wS<=8:?ef?5?n2wx>9>50;0xZ72734li6ji;%3ab?2f;2emj7>5$2f:>4b432wx>9>50;0xZ727348?<7<;0:pe0<72;qUm8522529e0=z{0;1<73A9o>6F2.:nk4;a29j=4<722cj97>5;n074?6=3k8?<7>55;092~"41l0mn6*>bg87e6=h:=:1<75f23:94?=ni<0;66g6d;29?l?62900nkl50;494?6|,:n26km4i02e>5<#;m31==h4;h;6>5<#;m315854i8494?"4l002:65f39d94?"4l0084k54i3d4>5<#;m31>k94;n3g7?6=,:n26t$2f:>4eb3f;oj7>5$2f:>4b432wxm84?:3y]e0=:nk0:52z\16==:nk084k5rs363>5<5sW8?<63ib;3g7>{t;k<1<75<6290;w)=m4;68 6?b28:;7)=k9;1gb>"6jo0?m>5`fg83>!5c13;o?65rs363>5<5sW8?<63=418105=z{h?1<7:181[?6348?<77>;|q1>5<4s48?<7<=8:?105<>l27:6kh4$2`7>0=zuk9>>7>53;090~"41l0m56F>i5<90;66l=4183>0<52?q/?4k5fc9'5g`=5;cda>5<1290;w)=k9;d`?l77n3:1(>j6:02e?>o>=3:1(>j6:878?l?1290/?i759798m6>a290/?i7539d8?l4a?3:1(>j6:3d4?>i6l:0;6)=k9;3g7>=zj:n>6=4>:183!5c13;hi6a>dg83>!5c13;o?65rs`794?4|Vh?01kl511d8yv?62909wS7>;<07}Y:;201kl539d8yv4383:1>vP=419>bg<6l:1v>l9:1818`e20?01>j::0fe?x{e93:1=7>50z&0f1<33-92i7??0:&0`<<4lo1/=oh54`18kc`=83.8h44>d298yv4383:1>vP=419>616=:=:0q~o::181[g2348?<7o:;|q:5?6=:rT2=63=418:5>{t:3:1?v3=41816==::=:15i521;de?!5e<3?0qpl<5283>6<52=q/?4k5f89K7a4<@:h>7)?>1;48 4da2=k87d7>:188md3=831d>9>50;9a616=83?1>78t$2;f>cd<,8hm69o<;n074?6=3`8947>5;hc6>5<>daj3:1:7>50z&0`<5$2f:><0<3`93j7>5$2f:>6>a32c9j:4?:%1g=?4a?21d=i=50;&0`<<6l:10qo=k5;295?6=8r.8h44>cd9l5a`=83.8h44>d298yvg22909wSo:;46a3ty2=7>52z\:5>;aj33=7p}6d;296~X>l27mn7=838pR?<7;6>a3ty98=4?:3y]616<5oh1=i=4}r1a2?6=:r7mn77:;<1g1?7cn2wvn<4?:083>5}#;k>186*<9d8245=#;m31?ih4$0`e>1g43flm6=4+3e;95a5<3ty98=4?:3y]616<5;>;6?:?;|qb1?6=:rTj963=418b1>{t180;6?uQ909>616=181v?4?:2y>616=:;201?:?:8f8947:t$2;f>c?<@:n97E=m5:&254<13-;ij7:n3:k:5?6=3`k>6=44o363>5<;6=4::385!5>m3li7)?mf;6b7>i5<90;66g=2983>>of=3:17d7k:188m<7=831ijo4?:783>5}#;m31jn5f11d94?"4l00:!5c133=76g<8g83>!5c1393j65f2g594?"4l009j:54o0f0>5<#;m31=i=4;|`0`0<7280;6=u+3e;95fc52z\b1>;aj3;;j6s|9083>7}Y1816jo466:p=a<72;qU5i52fc81b2=z{;836=4={_01<>;aj393j6s|25294?4|V;>;70hm:0f0?xu4j?0;6?u2fc8:1>;4l<0:hk5r}c394?7=83:p(>l;:59'7j6:2fe?!7en3>j?6aif;29 6b>28n876s|25294?4|V;>;70<;0;074>{ti<0;6?uQa49>616=i<1v4?50;0xZ<7<5;>;64?4}r094?5|5;>;6?<7;<074??c34;1jk5+3c691>{zj:?i6=4<:080M5e=2.85h4>c29jeg<722cjo7>5;n1;53;294~"41l08h<5G3e08L6d23-9o57=k0:&2fc<3i:1b==<50;&0`<<68o10e<><:18'7a?=99l07b?lc;29 6b>28n876s|ac83>7}Yik16?ij51118yvgd2909wSol;<1g`?77:2wx?5650;0xZ6>?349oh7?lc:~f63f29086<4<{I1a1>"41l0:o>5fac83>>ofk3:17b=78;29?g5cl3:1?7>50z&0=`<4l81C?i<4H2`6?!5c139o<6*>bg87e6=n9981<7*5$2f:>4b432wxmo4?:3y]eg=:;mn1===4}rc`>5<5sWkh70=kd;336>{t;121<75<42808wE=m5:&0=`<6k:1bmo4?::kbg?6=3f9347>5;c1g`?6=;3:1l:;%1g=?5c82.:nk4;a29j554=83.8h44>0g98m464290/?i7511d8?j7dk3:1(>j6:0f0?>{tik0;6?uQac9>7ab=9990q~ol:181[gd349oh7??2:p7=>=838pR>67;<1g`?7dk2wvn>;7:180>4<4sA9i96*<9d82g6=nik0;66gnc;29?j5?03:17o=kd;297?6=8r.85h47)=k9;1g4>"6jo0?m>5f11094?"4l00:5<#;m31==h4;n3`g?6=,:n266s|39:94?4|V:2370=kd;3`g>{zj:?<6=4<:080M5e=2.85h4>c29jeg<722cjo7>5;n1;53;294~"41l08h<5G3e08L6d23-9o57=k0:&2fc<3i:1b==<50;&0`<<68o10e<><:18'7a?=99l07b?lc;29 6b>28n876s|ac83>7}Yik16?ij51118yvgd2909wSol;<1g`?77:2wx?5650;0xZ6>?349oh7?lc:~f63129086<4<{I1a1>"41l0:o>5fac83>>ofk3:17b=78;29?g5cl3:1?7>50z&0=`<4l81C?i<4H2`6?!5c139o<6*>bg87e6=n9981<7*5$2f:>4b432wxmo4?:3y]eg=:;mn1===4}rc`>5<5sWkh70=kd;336>{t;121<75<42808wE=m5:&0=`<6k:1bmo4?::kbg?6=3f9347>5;c1g`?6=;3:1l:;%1g=?5c82.:nk4;a29j554=83.8h44>0g98m464290/?i7511d8?j7dk3:1(>j6:0f0?>{tik0;6?uQac9>7ab=9990q~ol:181[gd349oh7??2:p7=>=838pR>67;<1g`?7dk2wvn>6=:181>5<7s-92i7?>2:J0`7=O;k?0(>j6:9g8 4da2=k87d??1;29 6b>28:m76a>cb83>!5c13;o?6F7>50z&0=`<69;1C?i<4H2`6?!5c132n7)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*6<729q/?4k51e28L6b53A9i96*5<#;m31==h4;h337?6=,:n26<>i;:m2gf<72-9o57?k3:9~f61229086=4?{%1:a?7c82B8h?5G3c78 6b>28im7)?mf;6b7>o68;0;6)=k9;33b>=n9991<7*4?:383>5}#;0o1=<<4H2f1?M5e=2.8h447e:&2fc<3i:1b==?50;&0`<<68o10cj7;:a70c=8391<7>t$2;f>67<@:n97E=m5:&0`<<482.:nk4;a29j554=83.8h44>0g98m464290/?i7511d8?j7dk3:1(>j6:0f0?>{e;?:1<7=50;2x 6?b2:;0D>j=;I1a1>"4l008<6*>bg87e6=n9981<7*5$2f:>4b432wi?;<50;194?6|,:3n6>?4H2f1?M5e=2.8h44<0:&2fc<3i:1b==<50;&0`<<68o10e<><:18'7a?=99l07b?lc;29 6b>28n876sm37694?5=83:p(>7j:238L6b53A9i96*"6jo0?m>5f11094?"4l00:5<#;m31==h4;n3`g?6=,:n26t$2;f>67<@:n97E=m5:&0`<<482.:nk4;a29j554=83.8h44>0g98m464290/?i7511d8?j7dk3:1(>j6:0f0?>{e;?21<7=50;2x 6?b2:;0D>j=;I1a1>"4l008<6*>bg87e6=n9981<7*5$2f:>4b432wi?8m50;094?6|,:3n6N4j<1/?i758d9'5g`=>:18'7a?=99l07b?lc;29 6b>28n87E=k8:9~f6>329086=4?{%1:a?563A9o>6Fj6:02e?>i6kj0;6)=k9;3g7>=zj:2>6=4=:183!5>m3;:>6F1g43`;;=7>5$2f:>46a32e:on4?:%1g=?7c;2B8h554}c1;2?6=;3:1N4l;1C?o;4$2f:>66<,8hm69o<;h336?6=,:n26<>i;:k246<72-9o57??f:9l5fe=83.8h44>d298yg5??3:1?7>50z&0=`<492B8h?5G3c78 6b>2::0(j6:02e?>o68:0;6)=k9;33b>=h9ji1<7*52;294~"41l0:=?5G3e08L6d23-9o576j;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:1C?i64;|`03=<72;0;6=u+38g9544<@:n97E=m5:&0`<0g98k4ed290/?i751e18L6b?32wi?:750;094?6|,:3n6N4j<1/?i758d9'5g`=>:18'7a?=99l07b?lc;29 6b>28n87E=k8:9~f61f29096=4?{%1:a?76:2B8h?5G3c78 6b>21o0(j6:02e?>i6kj0;6)=k9;3g7>N4l110qo=8b;296?6=8r.85h4>139K7a4<@:h>7)=k9;:f?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>5G3e:8?xd4?j0;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*7j:031?M5c:2B8n85+3e;9<`=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26=zj:=n6=4=:183!5>m3;:>6F1g43`;;=7>5$2f:>46a32e:on4?:%1g=?7c;2B8h554}c14b?6=13:1N4l;1C?o;4$2f:>66<,8hm69o<;h336?6=,:n26<>i;:k246<72-9o57??f:9j552=83.8h44>0g98m462290/?i7511d8?l77>3:1(>j6:02e?>o68>0;6)=k9;33b>=n9921<7*5$2f:>4b432wi?l?50;094?6|,:3n6N4j<1/?i758d9'5g`=>:18'7a?=99l07b?lc;29 6b>28n87E=k8:9~f6g429096=4?{%1:a?76:2B8h?5G3c78 6b>21o0(j6:02e?>i6kj0;6)=k9;3g7>N4l110qo=n4;296?6=8r.85h4>139K7a4<@:h>7)=k9;:f?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>5G3e:8?xd4i<0;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*7j:031?M5c:2B8n85+3e;9<`=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26=zj:k<6=4=:183!5>m3;:>6F1g43`;;=7>5$2f:>46a32e:on4?:%1g=?7c;2B8h554}c1bl:;%1g=?>b3-;ij7:n3:k244<72-9o57??f:9l5fe=83.8h44>d29K7a><3th8m44?:383>5}#;0o1=<<4H2f1?M5e=2.8h447e:&2fc<3i:1b==?50;&0`<<68o10cj7;:a7dg=8321<7>t$2;f>63<@:n97E=m5:&0`<<482.:nk4;a29j554=83.8h44>0g98m464290/?i7511d8?l77<3:1(>j6:02e?>o68<0;6)=k9;33b>=n99<1<7*5$2f:>46a32e:on4?:%1g=?7c;21vn>o=:180>5<7s-92i7?k0:J0`7=O;k?0(>j6:0ae?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`0f4<72:0;6=u+38g974=O;m80D>l:;%1g=?573-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd4j;0;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*7j:0f3?M5c:2B8n85+3e;95f`<,8hm69o<;h336?6=,:n26<>i;:k246<72-9o57??f:9l5fe=83.8h44>d298yv?3290;<145??6349jn77>;<17`??6349?i77>;<17b??6349><77>;<165??6349>>77>;<167??6349>877>;|q2g<<727<`=1m16?lm59e9>727=1m16?ll59e9~w6232909wS=;4:?030<68;1v>:::185853l38?<63<548bf>;4=l0:<>5234a9557<5:=<6<>>;<1b5?7792wx?9850;7x962b2;>;70=:5;c`?852m3;;>63<798244=:;h91==?4}r173?6==r788k4=419>700=ij16?;>51108961>28::70=n4;335>{t;=21<7;t=273>727349>;7ol;<156?77:278;l4>009>7d3=99;0q~=;9;291~;4=8098=5234:9ef=:;?>1==<4=25a>466349j;7??1:p71g=83;=:363?85213kh70=96;336>;40?0:>;|q00g<72?q6?8=52528963f2hi01>87:021?85?<3;;?63<7e8244=:;h21==?4}r17g?6=70d=ij16?5:51108961b28::7p}<5e83>6}:;<<1mo5234g95fe<5:<;6<><;|q01c<72:q6?895ac9>736=9ji01>8=:020?xu4>80;6>u234:9eg=:;?81=nm4=247>4643ty8:>4?:2y>70?=ik16?;:51ba8960128:87p}<6483>6}:;<;|q022<72;q6?8l5ac9>73>=9ji0q~=99;296~;497=78:p73d=838p1>:i:`7896312:237p}<6b83>7}:;<:1m85234597=>52z?0146>?3ty8;=4?:3y>702=i<16?8l539:8yv5093:1?v3<708105=:;181==?4=2:6>4663ty8;?4?:3y>727=i<16?:=51ba8yv50<3:1>v3<708:1>;4?<0:on5rs255>5<2s49jj7??2:?030<68:16?:=51138961a28ih70=m1;336>{t;>=1<74ed349=838p1>97:0a`?850n3;;?6s|36;94?4|5:=26{t;>h1<74ed3499l:0a`?850n3;;;6s|36f94?4|5:=o6{t;1;1<7{t;1>1<74ed3493;7??2:p7=3=838p1>6::0a`?85?>3;;?6s|39494?4|5:2=67i:87896g528ih7p}7}:;h;1=nm4=2cb>4653ty8m>4?:3y>7d5=9ji01>on:020?xu4i=0;6?u23`695fe<5:kj6<>;;|q0e0<72;q6?l;51ba896gf28:>7p}7}:;h<1=nm4=2cb>4603ty8m:4?:3y>7d1=9ji01>on:025?xu4i10;6?u23`:95fe<5:kj6<>7;|q0e<<72;q6?l751ba896g528:87p}7}:;hk1=nm4=2c1>4653ty8mo4?:3y]7dd<5:ki6?:?;|q0ef<72;q6?lm5252896d628:87p}7}:;hi158523`d95fe52z?0e`<6kj16?lh51118yv5e83:1>v3;4j:0:on5rs2`2>5<5s49i=7?lc:?0f6<68;1v>l=:18185e:3;ho6352;294~"41l0:=?5G3e08L6d23-9o576j;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:1C?i64;|`0a4<72;0;6=u+38g9544<@:n97E=m5:&0`<0g98k4ed290/?i751e18L6b?32wi?h<50;194?6|,:3n6>?4H2f1?M5e=2.8h44<0:&2fc<3i:1b==<50;&0`<<68o10e<><:18'7a?=99l07b?lc;29 6b>28n876sm3dd94?4=83:p(>7j:031?M5c:2B8n85+3e;9<`=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26=zj:l;6=4=:183!5>m3;:>6F1g43`;;=7>5$2f:>46a32e:on4?:%1g=?7c;2B8h554}c1e5?6=;3:1N4l;1C?o;4$2f:>66<,8hm69o<;h336?6=,:n26<>i;:k246<72-9o57??f:9l5fe=83.8h44>d298yg5b13:1>7>50z&0=`<69;1C?i<4H2`6?!5c132n7)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*6<729q/?4k51e28L6b53A9i96*5<#;m31==h4;h337?6=,:n26<>i;:m2gf<72-9o57?k3:9~ft$2;f>46b3A9o>6Fh4$0`2>46a3-;ij7:n3:k244<72-9o57??f:9l5fe=83.8h44>d298yg5a03:1>7>50z&0=`<68l1C?i<4H2`6?!5c132n7E?j6:0f0?>{eo6880;6)=k9;33b>=h9ji1<7*;47>52;294~"41l0:"6j80:5$2f:>4b432wi8;850;094?6|,:3n6<>j;I1g6>N4j<1/?i758d9K56`<,8h:6<>i;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:10qo:>3;296?6=8r.85h4>0d9K7a4<@:h>7)=k9;:f?M74n2.:n<4>0g9'5g`=>:18'7a?=99l07b?lc;29 6b>28n876sm48`94?4=83:p(>7j:02f?M5c:2B8n85+3e;9<`=O9:l0(:02e?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>54}c61a?6=:3:1l:;%1g=?>b3A;8j6*>b0824c=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26i;:m2gf<72-9o57?k3:9~ft$2;f>46b3A9o>6Fh4$0`2>46a3-;ij7:n3:k244<72-9o57??f:9l5fe=83.8h44>d298yg`429096=4?{%1:a?77m2B8h?5G3c78 6b>21o0D<=i;%3a5?77n2.:nk4;a29j557=83.8h44>0g98k4ed290/?i751e18?xd31l0;6?4?:1y'7j=;I1a1>"4l003i6F>3g9'5g7=99l0(j6:02e?>i6kj0;6)=k9;3g7>=zj?81<7<50;2x 6?b28:n7E=k2:J0f0=#;m314h5G12d8 4d628:m7)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*m3;;i6Fbg87e6=n99;1<7*52;294~"41l0:"6j80:5$2f:>4b432wi9k4?:383>5}#;0o1==k4H2f1?M5e=2.8h447e:J27c=#9k;1==h4$0`e>1g43`;;=7>5$2f:>46a32e:on4?:%1g=?7c;21vn8j50;094?6|,:3n6<>j;I1g6>N4j<1/?i758d9K56`<,8h:6<>i;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:10qo;l:181>5<7s-92i7??e:J0`7=O;k?0(>j6:9g8L45a3-;i=7??f:&2fc<3i:1b==?50;&0`<<68o10c0d9K7a4<@:h>7)=k9;:f?M74n2.:n<4>0g9'5g`=>:18'7a?=99l07b?lc;29 6b>28n876sm5`83>7<729q/?4k511g8L6b53A9i96*N6;o1/=o?511d8 4da2=k87d??1;29 6b>28:m76a>cb83>!5c13;o?65rb4;94?4=83:p(>7j:02f?M5c:2B8n85+3e;9<`=O9:l0(:02e?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>54}c7;>5<5290;w)=6e;33a>N4l;1C?o;4$2f:>=c<@89m7)?m1;33b>"6jo0?m>5f11394?"4l00:5<#;m31=i=4;|`63?6=:3:1l:;%1g=?>b3A;8j6*>b0824c=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26i;:m2gf<72-9o57?k3:9~f03=8381<7>t$2;f>46b3A9o>6Fh4$0`2>46a3-;ij7:n3:k244<72-9o57??f:9l5fe=83.8h44>d298yg3329096=4?{%1:a?77m2B8h?5G3c78 6b>21o0D<=i;%3a5?77n2.:nk4;a29j557=83.8h44>0g98k4ed290/?i751e18?xd1i3:1>7>50z&0=`<68l1C?i<4H2`6?!5c132n7E?j6:0f0?>{e>00;6?4?:1y'7j=;I1a1>"4l003i6F>3g9'5g7=99l0(j6:02e?>i6kj0;6)=k9;3g7>=zj?21<7<50;2x 6?b28:n7E=k2:J0f0=#;m314h5G12d8 4d628:m7)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*m3;;i6Fbg87e6=n99;1<7*52;294~"41l0:"6j80:5$2f:>4b432wi:84?:383>5}#;0o1==k4H2f1?M5e=2.8h447e:J27c=#9k;1==h4$0`e>1g43`;;=7>5$2f:>46a32e:on4?:%1g=?7c;21vn;:50;094?6|,:3n6<>j;I1g6>N4j<1/?i758d9K56`<,8h:6<>i;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:10qo8<:181>5<7s-92i7??e:J0`7=O;k?0(>j6:9g8L45a3-;i=7??f:&2fc<3i:1b==?50;&0`<<68o10c0d9K7a4<@:h>7)=k9;:f?M74n2.:n<4>0g9'5g`=>:18'7a?=99l07b?lc;29 6b>28n876sm40394?4=83:p(>7j:0ag?M5c:2B8n85+3e;9<`=O9:l0(:0f0?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>54}c6:=?6=:3:1l:;%1g=?>b3A;8j6*>b082`6=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26t$2;f>4ec3A9o>6Fh4$0`2>4b43-;ij7:n3:k244<72-9o57??f:9l5fe=83.8h44>d298yg21<3:1>7>50z&0=`<6km1C?i<4H2`6?!5c132n7E?j6:0f0?>{e<o6880;6)=k9;33b>=h9ji1<7*;o7>52;294~"41l0:oi5G3e08L6d23-9o576j;I30b>"6j80:h>5+1cd90d55$2f:>4b432wi?ko50;094?6|,:3n6N4j<1/?i758d9K56`<,8h:6ce9K7a4<@:h>7)=k9;:f?M74n2.:n<4>d29'5g`=>:18'7a?=99l07b?lc;29 6b>28n876sm44f94?4=83:p(>7j:0ag?M5c:2B8n85+3e;9<`=O9:l0(:0f0?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>54}c645?6=:3:1l:;%1g=?>b3A;8j6*>b082`6=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26t$2;f>4ec3A9o>6Fh4$0`2>4b43-;ij7:n3:k244<72-9o57??f:9l5fe=83.8h44>d298yg5a:3:1>7>50z&0=`<6km1C?i<4H2`6?!5c132n7E?j6:0f0?>{e;o?1<7<50;2x 6?b28io7E=k2:J0f0=#;m314h5G12d8 4d628n87)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*=57>52;294~"41l0:oi5G3e08L6d23-9o576j;I30b>"6j80:h>5+1cd90d55$2f:>4b432wi8<650;094?6|,:3n6N4j<1/?i758d9K56`<,8h:6ce9K7a4<@:h>7)=k9;:f?M74n2.:n<4>d29'5g`=>:18'7a?=99l07b?lc;29 6b>28n876sm49294?4=83:p(>7j:0ag?M5c:2B8n85+3e;9<`=O9:l0(:0f0?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>54}c616?6=:3:1l:;%1g=?>b3A;8j6*>b082`6=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26t$2;f>4ec3A9o>6Fh4$0`2>4b43-;ij7:n3:k244<72-9o57??f:9l5fe=83.8h44>d298yg27>3:1>7>50z&0=`<6km1C?i<4H2`6?!5c132n7E?j6:0f0?>{e:k81<7<50;2x 6?b28io7E=k2:J0f0=#;m314h5G12d8 4d628n87)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*52;294~"41l0:oi5G3e08L6d23-9o576j;I30b>"6j80:h>5+1cd90d55$2f:>4b432wi>h=50;094?6|,:3n6N4j<1/?i758d9K56`<,8h:6ce9K7a4<@:h>7)=k9;:f?M74n2.:n<4>d29'5g`=>:18'7a?=99l07b?lc;29 6b>28n876sm2e594?4=83:p(>7j:0ag?M5c:2B8n85+3e;9<`=O9:l0(:0f0?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>54}c0g5?6=:3:1l:;%1g=?>b3A;8j6*>b082`6=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26t$2;f>4ec3A9o>6Fh4$0`2>4b43-;ij7:n3:k244<72-9o57??f:9l5fe=83.8h44>d298yg4d=3:1>7>50z&0=`<6km1C?i<4H2`6?!5c132n7E?j6:0f0?>{e:kl1<7<50;2x 6?b28io7E=k2:J0f0=#;m314h5G12d8 4d628n87)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*52;294~"41l0:oi5G3e08L6d23-9o576j;I30b>"6j80:h>5+1cd90d55$2f:>4b432wi>o=50;094?6|,:3n6N4j<1/?i758d9K56`<,8h:6ce9K7a4<@:h>7)=k9;:f?M74n2.:n<4>d29'5g`=>:18'7a?=99l07b?lc;29 6b>28n876sm3b83>4<729q/?i752368L6d23A;:<6*>b0824c=#9j;1><=4i307>5<#;m31>?:4;|`0`?6=93:1o5:=0;6)=k9;010>=zj:l1<7?50;2x 6b>2;8?7E=m5:J255=#9k;1==h4$0a2>4c53`8987>5$2f:>74332wi8=4?:083>5}#;m31>?:4H2`6?M7682.:n<4>0g9'5f7=9l90e?<;:18'7a?=:;>07pl;1;295?6=8r.8h44=259K7g3<@8;;7)?m1;33b>"6k80:i95f23694?"4l009>954}c61>5<6290;w)=k9;010>N4j<1C=<>4$0`2>46a3-;h=7?j5:k161<72-9o57<=4:9~f15=83;1<7>t$2f:>7433A9i96F>119'5g7=99l0(:0g5?l45<3:1(>j6:307?>{e<=0;6<4?:1y'7a?=:;>0D>l:;I324>"6j80:51;294~"4l009>95G3c78L4773-;i=7??f:&2g4<6m11b>?:50;&0`<<5:=10qo:9:182>5<7s-9o57<=4:J0f0=O98:0(:02e?!7d9389<6g=2583>!5c1389865rb5594?7=83:p(>j6:307?M5e=2B:==5+1c3955`<,8i:67E?>0:&2f4<68o1/=n?51dc8m743290/?i752368?xd313:1=7>50z&0`<<5:=1C?o;4H033?!7e93;;j6*>c082af=n:;>1<7*i6=4>:183!5c138986Fi;%3`5?7bn2c9>94?:%1g=?45<21vn9m50;394?6|,:n26?<;;I1a1>N6991/=o?511d8 4e62;8:7d<=4;29 6b>2;8?76sm4e83>4<729q/?i752368L6d23A;:<6*>b0824c=#9j;1>?<4i307>5<#;m31>?:4;|`7a?6=93:1o5:=0;6)=k9;010>=zj<:1<7?50;2x 6b>2;8?7E=m5:J255=#9k;1==h4$0a2>4`33`8987>5$2f:>74332wi9<4?:083>5}#;m31>?:4H2`6?M7682.:n<4>0g9'5f7=9oi0e?<;:18'7a?=:;>07pl:2;295?6=8r.8h44=259K7g3<@8;;7)?m1;33b>"6k809<55f23694?"4l009>954}c70>5<6290;w)=k9;010>N4j<1C=<>4$0`2>46a3-;h=7t$2f:>7433A9i96F>119'5g7=99l0(:0gg?l45<3:1(>j6:307?>{e100;6<4?:1y'7a?=:;>0D>l:;I324>"6j80:51;294~"4l009>95G3c78L4773-;i=7??f:&2g4<6ml1b>?:50;&0`<<5:=10qoh=:182>5<7s-9o57<=4:J0f0=O98:0(:02e?!7d93;m<6g=2583>!5c1389865rb362>5<6290;w)=k9;010>N4j<1C=<>4$0`2>4b43-;h=7i5:=0;6)=k9;010>=zj;>?6=4>:183!5c138986F94?:%1g=?45<21vn?:::182>5<7s-9o57<=4:J0f0=O98:0(:0f0?!7d938;>6a=2583>!5c1389865rb365>5<6290;w)=k9;010>N4j<1C=<>4$0`2>4b43-;h=7i5:=0;6)=k9;010>=zj;>36=4>:183!5c138986F94?:%1g=?45<21vn?:6:182>5<7s-9o57<=4:J0f0=O98:0(:0f0?!7d93;mj6a=2583>!5c1389865rb36b>5<6290;w)=k9;010>N4j<1C=<>4$0`2>4b43-;h=7i5:=0;6)=k9;010>=zj;>h6=4>:183!5c138986F94?:%1g=?45<21vn?:k:182>5<7s-9o57<=4:J0f0=O98:0(:0f0?!7d938;;6a=2583>!5c1389865rb2gg>5<6290;w)=k9;010>N4j<1C=<>4$0`2>4b43-;h=7<>7:m161<72-9o57<=4:9~f6`4290:6=4?{%1g=?45<2B8n85G1028 4d628n87)?l1;022>i5:=0;6)=k9;010>=zj:l<6=4>:183!5c138986Fi;%3`5?7a>2c9>94?:%1g=?45<21vn>h6:182>5<7s-9o57<=4:J0f0=O98:0(:0f0?!7d938:96a=2583>!5c1389865rb522>5<6290;w)=k9;010>N4j<1C=<>4$0`2>4b43-;h=7<>9:m161<72-9o57<=4:9~f162290:6=4?{%1g=?45<2B8n85G1028 4d628n87)?l1;02a>i5:=0;6)=k9;010>=zj=:<6=4>:183!5c138986Fi;%3`5?47n2c9>94?:%1g=?45<21vn9>6:182>5<7s-9o57<=4:J0f0=O98:0(:0f0?!7d93;mm6a=2583>!5c1389865rb533>5<6290;w)=k9;010>N4j<1C=<>4$0`2>4b43-;h=7?i2:m161<72-9o57<=4:9~f175290:6=4?{%1g=?45<2B8n85G1028 4d628:m7)?l1;025>o5:=0;6)=k9;010>=zj=;<6=4>:183!5c138986F94?:%1g=?45<21vn9?j:182>5<7s-9o57<=4:J0f0=O98:0(:0f0?!7d938:o6a=2583>!5c1389865rb500>5<6290;w)=k9;010>N4j<1C=<>4$0`2>4b43-;h=7<>a:m161<72-9o57<=4:9~f14c290:6=4?{%1g=?45<2B8n85G1028 4d628:m7)?l1;3e1>o5:=0;6)=k9;010>=zj=8m6=4>:183!5c138986F94?:%1g=?45<21vn9:6:182>5<7s-9o57<=4:J0f0=O98:0(:0f0?!7d93;mn6a=2583>!5c1389865rb57b>5<6290;w)=k9;010>N4j<1C=<>4$0`2>4b43-;h=7i5:=0;6)=k9;010>=zj=?n6=4>:183!5c138986F94?:%1g=?45<21vn98::182>5<7s-9o57<=4:J0f0=O98:0(:02e?!7d938:h6g=2583>!5c1389865rb544>5<6290;w)=k9;010>N4j<1C=<>4$0`2>4b43-;h=7?i9:m161<72-9o57<=4:9~f10f290:6=4?{%1g=?45<2B8n85G1028 4d628n87)?l1;3e<>i5:=0;6)=k9;010>=zj=:183!5c138986Fi;%3`5?7a?2c9>94?:%1g=?45<21vn99?:182>5<7s-9o57<=4:J0f0=O98:0(:0f0?!7d938:86a=2583>!5c1389865rb55e>5<6290;w)=k9;010>N4j<1C=<>4$0`2>4b43-;h=7i5:=0;6)=k9;010>=zj=3j6=4>:183!5c138986Fi;%3`5?4682c9>94?:%1g=?45<21vn97k:182>5<7s-9o57<=4:J0f0=O98:0(:02e?!7d93;m?6g=2583>!5c1389865rs3794?4|5:oi6?:4=2ge>4663ty9:7>52z?0af<5<278j=4>009~w7b=838p1>kl:3f896`328::7p}87??1:?0g?45<2wx?i4?:2y]7a=:=<0:<<523e8161=z{:o1<7=t^2g8900=99;01>k52368yv5a2908wS=i;<74>466349m6?<;;|q74?6=;rT?<63:8;335>;3838986s|4083>6}Y<816944>009>04<5:=1v9<50;1xZ14<586=4<{_60?83e28::70:<:307?xu3<3:1?vP;4:?6g?77927?87<=4:p00<72:qU88525e8244=:<<09>95rs5494?5|V=<018k51138910=:;>0q~:8:180[2034?m6<>>;<64>7433ty?47>53z\7<>;183;;=63;8;010>{t<00;6>uQ489>24<68816844=259~w1d=839pR9l4=709557<5=h1>?:4}r6`>5<4sW>h708<:022?82d2;8?7p};d;297~X3l27=87??1:?7`?45<2wx8h4?:2y]0`=:><0:<<524d8161=z{=l1<7=t^5d8930=99;019h52368yv372908wS;?;<44>46634?;6?<;;|q65?6=;rT>=6398;335>;2938986s|5383>6}Y=;16:44>009>17<5:=1v8=50;1xZ05<5?k1==?4=419672f=:{t=?0;6>u23d79`>;3i90o70;9:0a`?xu2?3:1?v3cb9~w0?=839p1>k::02891g728:018751ba8yv3f2908w0=j5;32?82f83;:70;n:0a`?xu2j3:1?v3;3i90:>63:b;3`g>{t=j0;6>u23d7956=:525b82gf=z{42<5=k;6<:4=4f95fe6:?7e5<6>27>j7?lc:p25<72:q6?h;5199>0d6=9116:=4>cb9~w37=838p1>k::0;8937=9ji0q~8=:18185b=3;i708=:0a`?xu1;3:1>v3;a182g>;1;3;ho6s|6583>7}:4c<5??1=nm4}r45>5<5s4>j<7?i;<45>4ed3ty=;7>52z?7e5<5827=;7?lc:p2=<72;q68l>5209>2=<6kj1v;750;1x96c22;8019o?:30893?=9ji0q~8n:18085b=38870:n0;00?80f28ih7p}9b;291~;4m<0=n63;a185f>;4mj0=n63;4m=0286s|7d83>7}:;li1:k523d4930=z{0=1<7=t^8589<>=99;014952368yv??2909w0=jb;;1?8??28ih7p}69;297~X>1272m7??1:?:=?45<2wx5l4?:7y>7`3=1?168l>5979>7`e=1?16?h85f99>7`2=n1165l4>cb9~w?:4}r;`>5<5s49nn77<;<;`>4ed3tym>7>53z\e6>;a;3;;=63i2;010>{tn:0;6?u23d`9ea=:n:0:on5rs02b>5<5s49n97??a:?0a3<68h1v289~w4272909w0=j5;374>;4mj0:8=5rs072>5<5s49no7?:1:?0ag<6=k1v<;l:18185b=3;>o63609~w40b2909w0=jc;35a>;4mk0:ni5rs0`1>5<5s49no7?m2:?0ag<6jj1v?:>:180[439279mo4>cb9>617=:;>0q~<;2;297~X5<;16>o?51ba897252;8?7p}=4583>6}Y:=>01?l=:0a`?843<38986s|25794?5|V;>>70;5<<09>95rs365>5<4sW8?:63=b882gf=::=<1>?:4}r073?6=;rT98:522cd95fe<5;><6?<;;|q10=<72:qU>964=3a6>4ed348?47<=4:p61?=839pR?:6;<0`f?7dk279844=259~w72f2908wS<;a:?1`4<6kj16>9o52368yv43j3:1?vP=4c9>6a1=9ji01?:m:307?xu5uQ25a897bc28ih70<;c;010>{t:=n1<7=t^36g?84b;3;ho63=4e8161=z{;>m6=4={<1f1?43n27?m=4=4g9~w7ge2909w0:n0;075>;5ik0:<<5rs3`2>5<5s4>j<7<;2:?1f4<6881v?l=:18182f838?863=b38244=z{;h86=4={<6b4?43=279n>4>009~w7d>2909w0:n0;072>;5j00:<<5rs3`e>5<5s4>j<7<;7:?1fc<6881v?m::18182f838?463=c48244=z{;ii6=4={<6b4?431279oo4>009~w7b62909w0:n0;07e>;5l80:<<5rs3f4>5<5s4>j<7<;b:?1`2<6881v?jk:18182f838?o63=de8244=z{;o86=4={<6b4?43l279i>4>009~w7ca2909w0=j5;0fb>;3i909ik5rs21f>5<5s49no7==c:?0a3<4;;1v>=i:18182f8399o63?6=4={<6b4?53<278i94<459~w6>62909w0:n0;1;5>;4m=084<5rs2:0>5<5s4>j<7=73:?0a1<40:1v>66:18185b=3935638278i44>009~w6?a2909w0=j4;1:b>;4mh0:5<5s49n87=nb:?0a7<68;1v>k?:18185b>3=;70=j2;3`g>{t;l;1<74ed349n>7??3:p7`1=838p1>k9:214?85bi3;ho6s|3d:94?4|5:o267?lc:?0aa<5:=1v>kj:18185bn3;ho63029~w6`52909w0=i1;3`g>;4n;0:<<5rs2d0>5<4sW9m?63?:4}r1e1?6=:r78j94>cb9>7c3=99;0q~=i7;297~X4n>16?k65113896`02;8?7p}1}:6`1349n:7=i6:?0b=<6kj1v>h6:180[5a1278jl4>cb9>7c?=:;>0q~=ia;296~;4mk08j;523gc9557;=7>53z\744=:<981=nm4=522>7433ty?7`d=<9;019>=:022?xu38<0;6>uQ4178916128ih70:?5;010>{t<9<1<716434>;:7??1:p051=839pR9>8;<63;3810:on5rs52:>5<4sW>;563;0b82gf=:<931>?:4}r63g?6=:r7?m=4;089>05e=99;0q~:>0;297~X3991687}:;l<18=h4=532>4663ty?=?4?:2y]044<5=;86<>>;<626?45<2wx8<=50;0x96ce2=:m70:>3;3`g>{t<8=1<7=t^534?82603;ho63;168161=z{=;36=4={<1ff?26?27?=54>009~w17b2908wS:>e:?767<6kj168v3>5243695fe<5=886?<;;|q761<72;q6?hl54318914328::7p};2e83>6}Y<;n019h4>cb9~w14a2908wS:=f:?775<6kj168?h52368yv2483:1>v326?<;;|q70f<72;q68l>545;8912d28::7p};5`83>6}Y<>h7?lc:?71f<5:=1v9;k:18182f83><;63;5e8244=z{=?n6=4<{_66a>;3>=0:on5244g9672=87>52z?7e5<3=l168;:51138yv21=3:1?vP;649>030=99;0198::307?xu3>?0;6>u23d79033<5:oi698:;<652?7dk2wx8;950;1xZ10034>=57?lc:?722<5:=1v986:18182f83>=;63;688244=z{=;3>j0:on5247c9672=o7>52z?7e5<3>h168;m51138yv21m3:1?vP;6d9>03`=99;0198j:307?xu3>o0;6>u24`2903b<5:oh698k;<65b?7dk2wx8:>50;1xZ11734><=7?lc:?735<5:=1v99>:18185bj3>=h63;708244=z{==m6=4<{_64b>;3090:on5246d96723<7>52z?0ag<3?l1685>51138yv2>03:1?vP;999>0>;|q7=d<72:qU84o4=5;a>46634>2m7<=4:p0km:5;6?82>j3;ho6s|48f94?5|V=3o70:6e;335>;31m09>95rs5;f>5<3s49n97:6c:?7e5<31j16?hm548a891?b28ih7psa17g0>5<3sA9i96sa17g7>5<3sA9i96sa17g6>5<4sA9i96sa17g5>5<6sA9i96G=25824:|Xe`?7|9j;1qp`>6d;94?7|@:h>7D<=4;3x5?{]nm0:w:|m53cf290:wE=m5:K161<6s80vVkj51z3`5?{zf851zJ0f0=N:;>1=v?5}[dg>4}6k80vqc?9eb83>4}O;k?0E?<;:0y2>x\al3;p=n?5}|l22`b=83;pD>l:;H010?7|93wQji4>{0a2>x{i9?on6=4>{I1a1>O5:=0:w<4rZgf95~7d93wvb<8jf;295~N4j<1B>?:51z39y_`c28q:o<4r}o35b5<728qC?o;4I307>4}62tPmh7?t1b39yxh6>o;1<7?tH2`6?L45<3;p=7sUfe824e62twe=;h=:182M5e=2C9>94>{08~^cb=9r;h=7srn04e7?6=9rB8n85F23695~7=uSlo6c08~yk71n=0;65<6sA9i96G=25824:|Xe`?7|9j;1qp`>6g;94?7|@:h>7D<=4;3x5?{]nm0:w:|m53`f290:wE=m5:K161<6s80vVkj51z3`5?{zf851zJ0f0=N:;>1=v?5}[dg>4}6k80vqc?9fb83>4}O;k?0E?<;:0y2>x\al3;p=n?5}|l22cb=839pD>l:;|l22cc=839pD>l:;|l22c`=839pD>l:;|l2356=839pD>l:;|l2357=839pD>l:;|l2354=839pD>l:;|l2355=839pD>l:;|l2352=839pD>l:;|l2353=839pD>l:;|l2350=83>pD>l:;|l2351=838pD>l:;|l235>=839pD>l:;|l235?=83>pD>l:;|l235g=838pD>l:;|l235d=838pD>l:;|l235e=838pD>l:;|l235b=838pD>l:;|l235c=838pD>l:;|l235`=838pD>l:;|l2346=838pD>l:;|l2347=838pD>l:;|l2344=839pD>l:;|l2345=839pD>l:;|l2342=83;8wE=m5:m5272290?wE=m5:m5271290:wE=m5:K161<6s80vVkj51z3`5?{zf8=:;7>528yK7g3:|Xe`?7|9j;1qp`>70;94?2csA9i96sa163b>5<6sA9i96G=25824vF=4?:4yK7g3<4?:2yK7g3?4?:5yK7g3>4?:2yK7g394?:6yK7g384?:5yK7g3;4?:5yK7g3:4?:0yK7g373:94?7|@:h>7D<=4;3x6?{]nm0:w:|m524>290:wE=m5:K161<6s;0vVkj51z3`5?{zf8=9m7>51zJ0f0=N:;>1=v<5}[dg>4}6k80vqc?82c83>4}O;k?0E?<;:0y1>x\al3;p=n?5}|l237e=83;pD>l:;H010?7|:3wQji4>{0a2>x{i9>8o6=4>{I1a1>O5:=0:w?4rZgf95~7d93wvb<9=e;295~N4j<1B>?:51z09y_`c28q:o<4r}o346c<728qC?o;4I307>4}52tPmh7?t1b39yxh6?::1<7?tH2`6?L45<3;p>7sUfe824e62twe=:=>:182M5e=2C9>94>{38~^cb=9r;h=7srn0506?6=9rB8n85F23695~4=uSlo6c08~yk70;:0;6>uG3c78yk70;=0;6?uG3c78yk70;>0;6?uG3c78yk70;10;6?uG3c78yk70;00;6?uG3c78yk70;h0;6?uG3c78yk70;k0;6?uG3c78yk70;j0;6?uG3c78yk70;m0;6?uG3c78yk70;l0;6?uG3c78yk70;o0;6?uG3c78yk70<90;6>uG3c78yk70<80;6>uG3c78yk70<;0;6>uG3c78yk70<:0;68uG3c78yk70<=0;6>uG3c78yk70<<0;6>uG3c78yk700;6?uG3c78yk70<10;6>uG3c78yk70<00;6>uG3c78yk7050;3xL6d23td:;8?50;3xL6d23td:;8<50;3xL6d23@8987?t2;Yba<6s8i:6psa1670>5<6sA9i96sa1677>5<6sA9i96G=258243:1=vF74;94?5|@:h>7p`>74c94?7|@:h>7D<=4;3x6?{]nm0:w:|m523e2909wE=m5:m523d290:wE=m5:K161<6s80vVkj51z3`5?{zf8=>h7>52zJ0f0=zf8=>i7>51zJ0f0=N:;>1=v<5}[dg>4}6k80vqc?85g83>1}O;k?0qc?86183>4}O;k?0E?<;:0y1>x\al3;p=n?5}|l2337=839pD>l:;|l2334=83;pD>l:;H010?7|93wQji4>{0a2>x{i9><86=4={I1a1>{i9>{I1a1>O5:=0:w?4rZgf95~7d93wvb<995;290~N4j<1vb<996;295~N4j<1B>?:51z09y_`c28q:o<4r}o3422<72;qC?o;4}o342=<728qC?o;4I307>4}52tPmh7?t1b39yxh6??31<7:tH2`6?xh6??k1<7?tH2`6?L45<3;p=7sUfe824e62twe=:8m:180M5e=2we=:8l:182M5e=2C9>94>{38~^cb=9r;h=7srn055`?6=c08~yk70>o0;6?uG3c78yk70?90;65<5sA9i96sa1657>5<6sA9i96G=25827vF3:1=vF76;94?4|@:h>7p`>76c94?7|@:h>7D<=4;3x6?{]nm0:w:|m521e2909wE=m5:m521d290:wE=m5:K161<6s80vVkj51z3`5?{zf8=53zJ0f0=zf8=51zJ0f0=N:;>1=v<5}[dg>4}6k80vqc?87g83>1}O;k?0qc?88183>4}O;k?0E?<;:0y1>x\al3;p=n?5}|l23=7=839pD>l:;|l23=4=83;pD>l:;H010?7|:3wQji4>{0a2>x{i9>286=4={I1a1>{i9>2?6=4>{I1a1>O5:=0:w<4rZgf95~7d93wvb<975;296~N4j<1vb<976;295~N4j<1B>?:51z39y_`c28q:o<4r}o34<2<725<6sA9i96sa1b:94?7|@:h>7p`>c883>4}O;k?0qc?la;295~N4j<1vb51zJ0f0=zf8im6=4>{I1a1>{i9m:1<7?tH2`6?xh6l80;6l:;|l2`0<728qC?o;4}o3g2?6=9rB8n85rn0f;>5<6sA9i96sa1e;94?7|@:h>7p`>d`83>4}O;k?0qc?kb;296~N4j<1vb51zJ0f0=zf8o:6=4>{I1a1>{i9l81<7?tH2`6?xh6m:0;6l:;|l2a=<728qC?o;4}o3f=?6=9rB8n85rn0gb>5<6sA9i96sa1d`94?7|@:h>7p`>ee83>4}O;k?0qc?je;295~N4j<1vb50;3xL6d23td:j?4?:0yK7g351zJ0f0=zf8l?6=4>{I1a1>{i9o?1<7?tH2`6?xh6n?0;6l:;|l2bd<728qC?o;4}o3ef?6=9rB8n85rn0d`>5<6sA9i96sa1gf94?7|@:h>7p`>fd83>4}O;k?0qc?if;295~N4j<1vb?>?:182M5e=2we>=?50;3xL6d23td951zJ0f0=zf;:?6=4>{I1a1>{i:9?1<7=tH2`6?xh58?0;6?uG3c78yk47?3:1>vFl:;|l14d<728qC?o;4}o03f?6=9rB8n85rn32`>5<6sA9i96sa21f94?4|@:h>7p`=0d83>7}O;k?0qc8:9;297~N4j<1vb;;m:180M5e=2we:8m50;0xL6d23td=9i4?:3yK7g3i7>51zJ0f0=zf??m6=4>{I1a1>{i>?:1<7?tH2`6?xh1>80;6l:;|l537<72:qC?o;4}o447?6=9rB8n85rn757>5<6sA9i96sa66794?7|@:h>7p`97783>4}O;k?0qc887;295~N4j<1vb;97:182M5e=2we::750;3xL6d23td=;l4?:0yK7g351zJ0f0=zf?=h6=4>{I1a1>{i>>n1<7?tH2`6?xh1?l0;67290:wE=m5:m2=7=83;pD>l:;|l5<7<728qC?o;4}o4;7?6=9rB8n85rn7:7>5<6sA9i96sa69794?4|@:h>7p`98783>7}O;k?0qc877;295~N4j<1vb;67:182M5e=2we:5750;3xL6d23td=4l4?:0yK7g351zJ0f0=zf?2h6=4>{I1a1>{i>1n1<7?tH2`6?xh10l0;6l:;|l5=7<72:qC?o;4}o4:7?6=;rB8n85rn7;7>5<6sA9i96sa68794?7|@:h>7p`99783>4}O;k?0qc867;295~N4j<1vb;77:182M5e=2we:4750;3xL6d23td=5l4?:0yK7g351zJ0f0=zf?3h6=4>{I1a1>{i>0n1<7?tH2`6?xh11l0;6n3:1=vFl:;|l5e7<728qC?o;4}o4b7?6=;rB8n85rn7c7>5<4sA9i96sa6`794?7|@:h>7p`9a783>4}O;k?0qc8n7;295~N4j<1vb;o7:182M5e=2we:l750;3xL6d23td=ml4?:0yK7g351zJ0f0=zf?kh6=4>{I1a1>{i>hn1<7?tH2`6?xh1il0;6l:;|l5f7<728qC?o;4}o4a7?6=9rB8n85rn7`7>5<6sA9i96sa6c794?7|@:h>7p`9b783>4}O;k?0qc8m7;295~N4j<1vb;l7:182M5e=2we:o750;3xL6d23td=nl4?:0yK7g351zJ0f0=zf?hh6=4>{I1a1>{i>kn1<7?tH2`6?xh1jl0;6l:;|l5g7<728qC?o;4}o4`7?6=9rB8n85rn7a7>5<6sA9i96sa6b794?7|@:h>7p`9c783>4}O;k?0qc8l7;295~N4j<1vb;m7:182M5e=2we:n750;3xL6d23td=ol4?:0yK7g351zJ0f0=zf?ih6=4>{I1a1>{i>jn1<7?tH2`6?xh1kl0;6l:;|l5`7<728qC?o;4}o4g7?6=9rB8n85rn7f7>5<6sA9i96sa6e794?7|@:h>7p`9d783>4}O;k?0qc8k7;295~N4j<1vb;j7:182M5e=2we:i750;3xL6d23td=hl4?:0yK7g351zJ0f0=zf?no6=4<{I1a1>{i>mo1<7=tH2`6?xh1lo0;6l:;|l5a6<728qC?o;4}o4f0?6=9rB8n85rn7g6>5<6sA9i96sa6d494?7|@:h>7p`9e683>4}O;k?0qc8j8;295~N4j<1vb;k6:182M5e=2we:ho50;3xL6d23td=io4?:0yK7g353zJ0f0=zf?oo6=4<{I1a1>{i>lo1<7?tH2`6?xh1mo0;6l:;|l5b6<728qC?o;4}o4e0?6=9rB8n85rn7d6>5<6sA9i96sa6g494?7|@:h>7p`9f683>4}O;k?0qc8i8;295~N4j<1vb;h6:182M5e=2we:ko50;3xL6d23td=jo4?:0yK7g351zJ0f0=zf?lo6=4>{I1a1>{i>oo1<7?tH2`6?xh1no0;6l:;|l446<728qC?o;4}o530?6=9rB8n85rn626>5<6sA9i96sa71494?7|@:h>7p`80683>4}O;k?0qc9?8;295~N4j<1vb:>6:182M5e=2we;=o50;3xL6d23td<51zJ0f0=zf>;:6=4<{I1a1>{i?8>1<7=tH2`6?xh09<0;6>uG3c78yk1603:1=vF290:wE=m5:m34g=83;pD>l:;|l45g<728qC?o;4}o52`?6=9rB8n85rn63e>5<6sA9i96sa73294?7|@:h>7p`82083>4}O;k?0qc9=2;295~N4j<1vb:<8:182M5e=2we;?650;3xL6d23td<>44?:0yK7g351zJ0f0=zfh8:6=4={I1a1>{ii;>1<7uG3c78ykg5>3:1=vF=83;pD>l:;|lb6<<728qC?o;4}oc1e?6=9rB8n85rn`0`>5<4sA9i96saa3f94?5|@:h>7p`n2g83>6}O;k?0qco<3;296~N4j<1vbl=;:182M5e=2wem>;50;3xL6d23tdj?;4?:0yK7g351zJ0f0=zfh936=4={I1a1>{ii:31<7uG3c78ykg4l3:1=vFl:;|lb05<728qC?o;4}oc75?6=9rB8n85rn`61>5<6sA9i96saa5194?7|@:h>7p`n4583>4}O;k?0qco;5;295~N4j<1vbl:8:181M5e=2wem9650;3xL6d23tdj844?:0yK7g351zJ0f0=zfh>i6=4={I1a1>{ii=i1<7?tH2`6?xhfl:;|lb14<728qC?o;4}oc66?6=:rB8n85rn`70>5<6sA9i96saa4694?7|@:h>7p`n5483>4}O;k?0qco:6;295~N4j<1vbl;8:182M5e=2wem8650;0xL6d23tdj944?:3yK7g3m7>51zJ0f0=zfh?h6=4={I1a1>{iil:;|lb27<72;qC?o;4}oc57?6=>rB8n85rn`47>5<3sA9i96saa7794?d|@:h>7p`n6783>7}O;k?0qco97;296~N4j<1vbl87:182M5e=2wem;750;3xL6d23tdj:l4?:0yK7g351zJ0f0=zfh{I1a1>{ii?n1<7?tH2`6?xhf>l0;6l:;|lb37<728qC?o;4}oc47?6=;rB8n85rn`57>5<4sA9i96saa6794?7|@:h>7p`n7783>4}O;k?0qco87;295~N4j<1vbl97:182M5e=2wem:750;3xL6d23tdj;l4?:0yK7g351zJ0f0=zfh=h6=4>{I1a1>{ii>n1<7?tH2`6?xhf?l0;67290:wE=m5:me=7=83;pD>l:;|lb<7<725<6sA9i96saa9494?7|@:h>7p`n8883>4}O;k?0qco7a;295~N4j<1vbom6:180M5e=2wenno50;1xL6d23tdioo4?:2yK7g351zJ0f0=zfkin6=4>{I1a1>{ijm:1<7l:;|la`3<728qC?o;4}o`g3?6=9rB8n85rncf;>5<6sA9i96sabe;94?7|@:h>7p`md`83>4}O;k?0qclkd;29<~N4j<1vboji:181M5e=2wenh>50;0xL6d23tdii<4?:0yK7g352zJ0f0=zfko?6=4={I1a1>{ijl?1<7?tH2`6?xhem>0;6?uG3c78ykdb03:1>vF290:wE=m5:mf`g=83;pD>l:;|laag<728qC?o;4}o`fg?6=9rB8n85rncgg>5<5sA9i96sabdg94?4|@:h>7p`meg83>6}O;k?0qcli0;295~N4j<1vboh>:182M5e=2wenk<50;3xL6d23tdij>4?:0yK7g351zJ0f0=zfkl36=4={I1a1>{ijo31<7?tH2`6?xhenk0;6uG3c78yk75;k0;6uG3c78yk75<80;6<=tH2`6?xh6:=81<7=tH2`6?xh6:=91<7;tH2`6?xh6:=<1<7=tH2`6?xh6:==1<7=tH2`6?xh6:=21<7;tH2`6?xh6:=k1<7:tH2`6?xh6:=h1<7=tH2`6?xh6:=i1<7?tH2`6?xh6:=n1<7?tH2`6?xh6:=o1<7?tH2`6?xh6:=l1<7?tH2`6?xh6:<:1<7?tH2`6?xh6:<;1<7:tH2`6?xh6:<81<7=tH2`6?xh6:<91<7?tH2`6?xh6:<>1<7:tH2`6?xh6:1<7?tH2`6?xh6:??1<7?tH2`6?xh6:?<1<7?tH2`6?xh6:?=1<7?tH2`6?xh6:?21<7?tH2`6?xh6:?31<7?tH2`6?xh6:?k1<7?tH2`6?xh6:?h1<7?tH2`6?xh6:?i1<7:1<7=tH2`6?xh6:>;1<7=tH2`6?xh6:>81<7?tH2`6?xh6:>91<7?tH2`6?xh6:>>1<7?tH2`6?xh6:>?1<7?tH2`6?xh6:><1<7?tH2`6?xh6:>=1<7;tH2`6?xh6:>21<7=tH2`6?xh6:>31<7=tH2`6?xh6:>h1<7?tH2`6?xh6:>i1<7?tH2`6?xh6:>n1<7?tH2`6?xh6:>o1<7?tH2`6?xh6:>l1<7?tH2`6?xh6:1:1<7?tH2`6?xh6:1;1<7?tH2`6?xh6:181<7?tH2`6?xh6:191<7?tH2`6?xh6:1>1<7?tH2`6?xh6:1?1<7=tH2`6?xh6:1<1<7?tH2`6?xh6:1=1<7?tH2`6?xh6:121<7?tH2`6?xh6:131<7?tH2`6?xh6:1k1<7?tH2`6?xh6:1h1<7?tH2`6?xh6:1n1<7=tH2`6?xh6:1o1<7?tH2`6?xh6:1l1<7?tH2`6?xh6:0:1<7?tH2`6?xh6:0;1<7?tH2`6?xh6:081<7?tH2`6?xh6:091<7?tH2`6?xh6:0>1<7?tH2`6?xh6:0?1<7?tH2`6?xh6:0<1<7?tH2`6?xh6:0=1<7?tH2`6?xh6:021<7?tH2`6?xh6:031<7?tH2`6?xh6:0k1<7?tH2`6?xh6:0h1<7?tH2`6?xh6:0i1<7?tH2`6?xh6:0n1<7?tH2`6?xh6:0o1<7?tH2`6?xh6:h;1<7=tH2`6?xh6:h81<7=tH2`6?xh6:h91<7=tH2`6?xh6:h>1<7?tH2`6?xh6:h?1<7?tH2`6?xh6:h<1<7?tH2`6?xh6:h=1<7?tH2`6?xh6:h21<7=tH2`6?xh6:h31<7=tH2`6?xh6:hk1<7?tH2`6?xh6:hh1<7?tH2`6?xh6:hi1<7?tH2`6?xh6:hn1<7?tH2`6?xh6:ho1<7=tH2`6?xh6:hl1<7=tH2`6?xh6:k:1<7?tH2`6?xh6:k;1<7?tH2`6?xh6:k81<7?tH2`6?xh6:k91<7?tH2`6?xh6:k>1<7?tH2`6?xh6:k?1<7?tH2`6?xh6:k<1<7?tH2`6?xh6:k=1<7?tH2`6?xh6:k21<7?tH2`6?xh6:k31<7?tH2`6?xh6:kk1<7?tH2`6?xh6:kh1<7=tH2`6?xh6:ki1<7=tH2`6?xh6:kn1<7?tH2`6?xh6:ko1<7?tH2`6?xh6:kl1<7?tH2`6?xh6:j:1<7?tH2`6?xh6:j;1<7?tH2`6?xh6:j81<7?tH2`6?xh6:j91<7?tH2`6?xh6:j>1<7?tH2`6?xh6:j?1<7?tH2`6?xh6:j<1<7?tH2`6?xh6:j31<7?tH2`6?xh6:jk1<7=tH2`6?xh6:jh1<7=tH2`6?xh6:ji1<7;tH2`6?xh6:jn1<7=tH2`6?xh6:jo1<7=tH2`6?xh6:jl1<7;tH2`6?xh6:m;1<7=tH2`6?xh6:m81<7?tH2`6?xh6:m91<7?tH2`6?xh6:m>1<7?tH2`6?xh6:m?1<7?tH2`6?xh6:mk1<7=tH2`6?xh6:mh1<7?tH2`6?xh6:mi1<7?tH2`6?xh6:mn1<7?tH2`6?xh6:ml1<7=tH2`6?xh6:l:1<7?tH2`6?xh6:l;1<7?tH2`6?xh6:l81<7?tH2`6?xh6:l91<7?tH2`6?xh6:l>1<7?tH2`6?xh6:l<1<7?tH2`6?xh6:l=1<7?tH2`6?xh6:l21<7=tH2`6?xh6:l31<7?tH2`6?xh6:lk1<7?tH2`6?xh6:lh1<7?tH2`6?xh6:li1<7?tH2`6?xh6:ln1<7?tH2`6?xh6:lo1<7?tH2`6?xh6:ll1<7?tH2`6?xh6:o:1<7?tH2`6?xh6:o;1<7?tH2`6?xh6:o81<7?tH2`6?xh6:o91<7?tH2`6?xh6:o<1<7?tH2`6?xh6:o=1<7?tH2`6?xh6:o21<7=tH2`6?xh6:ok1<71<7?tH2`6?xh6;9?1<7?tH2`6?xh6;9<1<7?tH2`6?xh6;9=1<7?tH2`6?xh6;921<7?tH2`6?xh6;931<7?tH2`6?xh6;9k1<7?tH2`6?xh6=:o1<7;tH2`6?xh6==:1<7:tH2`6?xh6==;1<7:tH2`6?xh6==81<7:tH2`6?xh6==91<7:tH2`6?xh6==>1<7:tH2`6?xh6==?1<7:tH2`6?xh6==<1<7:tH2`6?xh6===1<7:tH2`6?xh6==21<71<7:tH2`6?xh6=1<7?tH2`6?xh6=??1<7?tH2`6?xh6=?<1<7?tH2`6?xh6=?21<7:1<7?tH2`6?xh6=>;1<7?tH2`6?xh6=>91<7>1<7?1<7?tH2`6?xh6=><1<7=1<7?tH2`6?xh6=>21<7?tH2`6?xh?:3:1=vsa9683>4}zf;?h6=4>{|l134<728qvb?7?:180xh50h0;65<4std9m44?:0y~j7eb2908wp`=c983>4}zf;oo6=4<{|l1a2<728qvb>>l:180xh48?0;65<4std8>84?:0y~j62f2908wp`<4583>4}zf:<26=4<{|l026<728qvb>67:180xh40;0;65<6std<:o4?:0y~j2>7290:wp`89483>4}zf>h?6=4<{|l4e`<728qvb:j<:180xh0km0;65<4std4}zf19;6=4<{|l;6d<728qvb5:::182xh?=h0;65<6std3494?:0y~j=?>290:wp`7ad83>4}zf1io6=4<{|l;g2<728qvb5kl:180xh?m?0;65<6stdjo;4?:0y~jdbe290:wp`nf183>4}zfk:>6=4>{|la5d<728qvbournc6;>5<6stdh>54?:0y~jf202908wp`l4083>4}zfj<=6=4<{|l`25<728qvbn6::180xhd?o0;65<4stdh5h4?:0y~jfe42908wp`lbe83>4}zfjo96=4<{|l``f<728qvbi>>:180xhdnk0;65<4stdo=l4?:0y~ja5a2908wp`k3883>4}zfm?n6=4<{|lg1=<728qvbi9k:180xhc?>0;65<4stdo5;4?:0y~jade2908wp`kb483>4}zfmnj6=4<{|lg`1<728qvbih6:180xhcn:0;65<4stdn=?4?:0y~j`502908wp`j3083>4}zfl?=6=4<{|lf15<728qvbh9::180xhb>o0;65<4stdn4h4?:0y~j`d42908wp`jae83>4}zfln96=4<{|lfgf<728qvbhh>:180xhbmk0;65<4stdm4}zfo>n6=4<{|le0=<728qvbk8k:180xha>>0;65<4stdm4;4?:0y~jcge2908wp`ia483>4}zf899m7>51zm565a290:wp`>34694?7|ug;8:44?:0y~j45?03:1?vsa12:1>5<6std:?l950;1xyk74i80;6m?:182xh6;l?1<7=t}o30`c<728qvb<:?4;297~{i9:ln6=4>{|l2075=839pqc?;1e83>4}zf8>?>7>53zm515d290:wp`>47394?5|ug;?9o4?:0y~yx{GHJq:n??59ec6a7c>uIJIw=sO@Qy~DE \ No newline at end of file diff --git a/cpld/XC95144/MXSE.ngr b/cpld/XC95144/MXSE.ngr deleted file mode 100644 index f276696..0000000 --- a/cpld/XC95144/MXSE.ngr +++ /dev/null @@ -1,3 +0,0 @@ -XILINX-XDB 0.1 STUB 0.1 ASCII -XILINX-XDM V1.6e -$52:==)&&IEX#x8;/,,GV(q02$%#K]M.w;8*+)NGKG%z45!..KLFV(q12$%#@V\E/t;?+((ZHG%z<5?1:326>752F__\XZ5R@>25?69j2;96_O31083:d=6>3K7>>47>028534f3;;1M1<>:0<24>462@D[YY4N<3395;c<::0JSK]M<3195;733;91EC^ZT;C\BVD;::0:2<5<1:62?0><=3N787>1c:79@drfI5>1<3745;GP81<76l1>6D@_UU8G81<7688097GAPTV9@drfI5>1<3h45;MVPUSS2X6?6=0>4:79KPRW]]0Yi~{ct=694;><=3[787>1d:79V`urd}6?6=0i;48\eab789:787>11:42?2><03N7;7>1c::9@drfI5=1<3k48;KMTPR=L5=1<3?=;98JJUSS2MkmL28:1>=Zly~`y28:137;2=1>G;994>7L2>1?78E9756<1J0<=15:C?51823H6:93;4A=35:0=F48=596O319<6?D:617>0M1?15:C?65803H69=7?15:C?64823H69>394A=00>=823H69?3:4A=0=0>G;;7>0M1:14:C?1;29<2K753:4AMG31>GKM9G>7LBJ0Ra8EIC7Wds<=>?d:COA5Yj}q:;<=?j;@NF4Zkrp9:;<;5NNE0A53=FFM8I>95NNE15?DHC;K;=7L@K3C05?DHC;K9?7L@K479BJA2E9?1JBI:M279BJA2E;?1JBI:M479BJA3E:?1JBI;M379BJA3E33HYyjn5NSsd\jjr789;:i6O\rg]mkq6788Uba}QNSsd\jjr789;:56OPFR@?558>3HUM_O2>1?;8EZ@TJ5;9245N_GQA845912KTJ^L315<:?DYA[K6:9374A^DPF971601JSK]M<05==>GXNZH7=506;@]EWG:61720MRH\B=3==>GXNZH7>=06;@]EWG:59730MRH\B=01:g=FWOYI0?=51?;8EZ@TJ588255N_GQA878?3HUM_O2<>99B[CUE4=437LQISC>6:==FWOYI0;07;@]EWG:0611JSK]M<9<;?DYA[K62295Ncmp22>Gdd{UBB[Qfmq]BgitXAG\:86LNCUg8FDESW`g{SOOLT078FDESz=1II_\:;CGQV502HN^_|i6:@FVWtum2HN^_|}_omw4566:;1II_\}r^llp5679Vcf|RLJRSpq[kis89::=55MUR]JJCI63J>0O<8B3:A;I1=DIJ^97NK<;BNH=>EKC;RTEBL8;BNH[CUE?2IGGRG@B29@HW56Mce`p2[dhc89:;Sdc_Bnfew7Xign;<=>>3:Aoo0=DdbOe=55LljGm[LHQW`g{SNbdEo]JJS703Jf`SK]B159@hnYNF_Uba}QLlj]JJS763M>0H1>14:F?5;20H1:14:F?1;2B;?7?0HYOLK028@QGDCVcf|RJ[ABI23>BSIJAyj:5KT@AHvw`99GeqgF48437Io{a@>1:==Ci}kJ0>0n;EcweD:329437Io{a@>7:==Ci}kJ0807;EcweD:16h1OmyoN<683:==Ci}kJ0:0>;D08AV3CT4;4>7H]33?58AV:3294>7H]34?c8AVYffm:;<=?n;DQ\ekb789:Te`~PER]bja6789;j7H]Paof34576i2OXSl`k0122[lkwWLYTmcj?0132`>CTWjg{Sh?01214>CTWjg{Sh?012\mhvXMZUha}Qjq12344bCTWfx;<=>Pilr\AVYhz9:;<<;4EUC@Oa=B\HI@Sl`k012365=B\HI@Sl`k0123[lkwWL^JOFQnne23457682O_MNEPclr\at67898=7HZNCJ]`iuYby9:;?0136?@YNGK80I=4Es07?CEKC;1MH95IDBG1?C@33OLo=:5IFe3.Mk>9:DE`4+Nf8;37KHk1,Km6<=ANm;&Ec<>8:DE`4+Nf:30JKj>-Hl05<=ANm;&Ec:>8:DE`4+Nf-Hl\mhv33OLo>:5IFe0.Mk>9:DE`7+Nf8;37KHk2,Km6<=ANm8&Ec<>8:DE`7+Nf:30JKj=-Hl05==ANm8&Ec:6;GDg6(Oi<820JKj=-Hl6=>@Al;'Bb8?7;GDg6(Oi>01MJi<"Io42=>@Al;'Bb:?7;GDg6(Oi0k1MJi<"Io]jiu2@Al:'Bb??7;GDg7(Oi;01MJi="Io12<>@Al:'Bb974FGf0)Lh3901MJi="Io72<>@Al:'Bb;l4FGf0)LhXadz?7KHk469EBa2*Ag20JKj;-Hl2=>@Al='Bb@Al='Bb>74FGf7)Lh4901MJi:"Io62<>@Al='Bb8l4FGf7)LhXadz87K]M3:DPIf=A[DU;!}o}eov`?CUJW8'{mkatb9EWHY5%ykyicz>;F18CKB63@80E=<4I008M74>0ELM\5:KBGV723@KH_?;4I@AP7==NIJYTe`~;;HLJP6=NF_?0EBOLTe9JKDESWhdo<=>?219JKDESWhdo<=>?_hos[LIFK]Ujbi>?013g?LIFK]Ufyu>?01g8MJGD\Vg~t=>?00d8MJGD\Vg~t=>?003e?LIFK]Ufyu>?0102a>OHIJ^Taxv?012054=NGHI_S`{w0123[lkwk2CDMNZPos23457b3@EJOYQ`r1234ZojxVCDMNZPos2345713@EJOY|9;HMAAWTb3@EII_\Pmtz3456a3@EII_\Pmtz34566991BCOK]R^ov|56788::<6G@BDPQ[hs89:;=0:KLF@TUWds<=>?20d8MJDBZ[Ufyu>?01124>OHJLXYS`{w012374`91028MJDBZ[Ufyu>?0152b>OHJLXYS`{w0123<466991BCOK]R^ov|56780;:>6G@BDPQ[hs89:;Sdcd:KLF@TUWfx;<=>=0:KLF@TUWfx;<=>Pilr\MJDBZ[Ud~=>?0068MJDJ<2CDN^:4INAP1>OHKZ;>7DALS378MJET;o1BCN]Pclr\at67898?7DALS^antZcv89:;Sdc_HM@WZejxVoz<=>?1g9JKFUXkdzTi|>?0007?LID[Vif|Rk~0122[lkwW@EH_Rmbp^gr45669o1BCN]Pclr\at678;8?7DALS^antZcv89:9Sdc_HM@WZejxVoz<=>=1g9JKFUXkdzTi|>?0207?LID[Vif|Rk~0120[lkwW@EH_Rmbp^gr45649o1BCN]Pclr\at678=8?7DALS^antZcv89:?Sdc_HM@WZejxVoz<=>;1g9JKFUXkdzTi|>?0407?LID[Vif|Rk~0126[lkwW@EH_Rmbp^gr45629o1BCN]Pclr\at678?8?7DALS^antZcv89:=Sdc_HM@WZejxVoz<=>91g9JKFUXkdzTi|>?0607?LID[Vif|Rk~0124[lkwW@EH_Rmbp^gr4560911BCN]Pilr7?LIK8j1BCA>Pmtz3456c3@EGOHX^IX=?5FOQU@WZojxVCD\ZM\149JKWCVl2CD^H_Paof3456582CD^H_Paof3456XadzTEB\JQ^cm`56788n0EB\JQ^ov|5678l1BC_K^_lw{45679o1BC_K^_lw{456798l0EB\JQ^ov|5678;;n7DA]EP]nq}67899m7DA]EP]nq}67899:j6G@RDS\ip~789:?=h5FOSGR[hs89:;9=0:KLVR6Xign;<=>Pilr\MJTP8Vkeh=>?00f8MJTP8Vg~t=>?0d9JKWQ7Wds<=>?1g9JKWQ7Wds<=>?10d8MJTP8Vg~t=>?033f?LIU_9Ufyu>?01125>OHZ^:Taxv?012\mhvc3@EY[=Qaou2344473@EY[=Qaou2344YneyUBC_Y?_omw45669j1BC_Y?_np34566m2CD^Z>Pos2345YneyUBC_Y?_np34566k2CD^Z>Pos23447b3@EY[=Q`r1235ZojxVCD^Z>Pos2344723@EY[4INPT5Zgil9:;>c:KLVR7Xg{:;<=?j;HMQS4Yhz9:;0EB\jae{\ekb789:Te`~PINPfeaXign;<=>>f:KLV`gcqVddx=>?1368MJTbimsTbbz?013\mhvXAFXnmiwPnnv34576m2CD^hoky^mq4567:;1BC_kndx]lv5678Vcf|RG@Rdcg}Ziu89:;=>5FORg8MJUXkdzTi|>?01g8MJUXkdzTi|>?00g8MJUXkdzTi|>?03g8MJUXkdzTi|>?02g8MJUXkdzTi|>?05g8MJUXkdzTi|>?04g8MJUXkdzTi|>?0768MJS7k2CDY=Qbuy2345b5Fn518Mk3b3BON\RFFESCQGM_03EELENOCd:NSIZGCLVY^NR?k;MRN[DBCWZ_IS?j4LQO\GJSI\LXT=i5CPL]@KPHSM[U946B_M^FEBZ?3`9OTHYCNOU:8l5CPL]GBCY6=h1G\@QKFG]22d=KXDUOJKQ>7`9OTHYCNOU:4l5CPL]GBCY6101G\@QKFG]1e>JWEVNMJRJWEVNMJR<;a:NSIZBANV8>m6B_M^FEBZ41i2F[ARJIF^04e>JWEVNMJR<7a:NSIZBANV8256B_M^FEBZ5f3EZFSIHI_22b?IVJWMLMS>?n;MRN[A@AW:8j7A^B_EDE[65f3EZFSIHI_26b?IVJWMLMS>;6;MRN[A@AW=30@]CPDGD\1<=KXDUOJKQ99:NSIZBANV=27A^B_EDE[=?Jhim;Tbbz?01314>Jhim;Tbbz?013\mhvXDfko=R``t123542497C>=;O31?K453G9?7CA]759MKW>63F>0CIM\e:MGGVYneyUDHN]>3:MQ60=HZ;H:96A]2C00?JT4=2EY?O?:;NP0F7587B\:3:MQ26=HZ>90C_6;;Nl`af=HfjoTmcj?0122a>IiklUjbi>?01]jiuYHfjoTmcj?0122f>IiklUd~=>?00a8KkebWfx;<=>Pilr\KkebWfx;<=>>4:Mvp5cQfmq]Lqq56<2E~x9k4Otv7[lkwWF8<:4Otv6a>Ir|Ir|?o0Cxz9_hos[Jss>8>0Cxz84:Mvp=c>30227ZJ33YKYXl5_IO]AQVOCPk1[ECQMURLBI@4W;:7>0]1=16:S?0?69<2[783:4Q=7=0>W;>7<0]1950?68U919i2[T=Ra}01235d=VW8Ud~=>?0^kntZWX9Vey<=>?149QE969>2XJ0<>18:PB847=87<0^L2>1?78VD:66<1YM1<15:PB86823[K783;4R@>6:0=UI5<596\N<6<6?WG;07?0^L26>29QEH34R@O@WZIqm{fjuBhi279QEHETWF|n~aovOgd\mhvXZHGH_RAyesnb}J`a9o1YM@M\_Ntfvig~Gg8?7_OBCR]Lr`tkipEeSdc_SCNGVYH~lxgmtAa1e9QEHETWhdo<=>?219QEHETWhdo<=>?_hos[WGJKZUjbi>?013g?WGJKZUjbi>?0003?WGJKZUjbi>?00]jiuYUIDIXSl`k01225462XJAIG\7:PBIAOT9o1YM@JFS0]nq}6789;;7_OBDHQ2[hs89:;=>1038VDKCAZ;Taxv?0121546109QEHBN[8Ufyu>?017254=UIDNB_?00d8VDKCAZ8Tmcj?01310>TFEMCX>Road1235ZojxVXJAIG\2^cm`56798o0^LCKIR0\kw6789897_OBDHQ1[jt789:Te`~PR@OGMV4Xg{:;<=?>2:PBIAOTW`g{S_OBDHQ2<>TFE[ojht??;SCNV`gcqVkeh=>?0348VDKUmhnrSl`k0123[lkwW[KF^hoky^cm`56788;;7_OBRdcg}Zgil9:;=?84R@OQadb~Whdo<=>>_hos[WGJZlkouRoad12354773[KF^hoky^cm`567:;<0^LC]e`fz[dhc89:9Sdc_SCNV`gcqVkeh=>?2033?WGJZlkouRoad123770?4348VDKUmhnrSl`k0127[lkwW[KF^hoky^cm`567<8;;7_OBRdcg}Zgil9:;9?84R@OQadb~Whdo<=>:_hos[WGJZlkouRoad12314773[KF^hoky^ov|56788;0^LC]e`fz[hs89:;=<<4R@OQadb~Wds<=>?1031?WGJZlkouRczx123477692XJA_kndx]nq}67899:86\NMSgb`|Yj}q:;<=Qfmqd8VDKUmhnrSb|?01210>TFE[ojhtQ`r1234ZojxVXJA_kndx]lv56788?0^L]JLe9QEVCKWds<=>?e:PBW@JXe|r;<=>>f:PBW@JXe|r;<=>>1g9QEVCKWds<=>?20g8VDUBDVg~t=>?02d8VDUBDVg~t=>?023e?WGTMEUfyu>?0162a>TF[LFTaxv?012654=UIZOGS`{w0123[lkwk2XJS=Qbuy2345bTFW8Ufyu>?0132a>TFW8Ufyu>?0102`>TFW8Ufyu>?01124>TFW8Ufyu>?01]jiueTFW;Ufyu>?013f?WGX:Vg~t=>?003f?WGX:Vg~t=>?033g?WGX:Vg~t=>?0233?WGX:Vg~t=>?0^kntf=UIV9Taxv?012g?WGX;Vg~t=>?00g8VDY4Wds<=>?10g8VDY4Wds<=>?20f8VDY4Wds<=>?3028VDY4Wds<=>?_hosg>TFW=Ufyu>?01f8VDY3Wds<=>?1d9QEZ2Xe|r;<=>>1d9QEZ2Xe|r;<=>=1e9QEZ2Xe|r;<=><119QEZ2Xe|r;<=>Pilr`?WGX=Vg~t=>?0e9QEZ3Xe|r;<=>>e:PB[0Yj}q:;<=?>e:PB[0Yj}q:;<=<>d:PB[0Yj}q:;<==>0:PB[0Yj}q:;<=Qfmqa8VDY1Wds<=>?d:PB[3Yj}q:;<=?j;SC\2Zkrp9:;<??;SC\2Zkrp9:;k;SC\3Zkrp9:;<4R@]4[hs89:;Sdcc:PB[=Yj}q:;<=j4R@];[hs89:;=h5]A^:\ip~789::=h5]A^:\ip~789:9=i5]A^:\ip~789:8==5]A^:\ip~789:Te`~l;SC\=Zkrp9:;>149QKHETl2XDAN]Paof3456582XDAN]Paof3456XadzT^BCLS^cm`56788;;7_ABCR]`iuYby9:;?_hos[WIJKZUha}Qjq12344773[EFO^Qfmq]QKHET9;1Y_i5]S^antZcv89:;h6\\_bos[`w789;o7_]Pclr\at678;n0^^Qlmq]fu567;m1Y_Rmbp^gr4563l2XXSnc_ds3453c3[YTo`~Pep234357_kndx48V`gcq9=0^hoky1p5?Wcflp;<7_kndx3q2>Tbims9;6\jae{1vd=UmhnrSDAMS89Qadb~W[KFh6\jae{\ekb789:9<6\jae{\ekb789:Te`~PRdcg}Zgil9:;<>e:PfeaXg{:;<=Qfmq]Qadb~Wfx;<=>>c:PfeaXg{:;<<84RddBgn0TbnJd0?06;SgeGkr;;730^hhLnu>7:<=UmoIex1;19:PfbFhs4?4i7_kiCov?3?6912XnjN`{<6<4?WcaLfdn=?5]egFlj`YdeyUn}=>?03c8V``CggoTo`~Pep2345YneyUYikJ`nd]`iuYby9:;<?1^kntZTbnMeeiR``t123540Tb{|f0<06;Sgpqir;:730^h}zlu>0:g=Umzgx1:50?;8V`urd}6?245]erwop93912Xnxb{<719:Pfwpjs4>49:6\jstnw[5Yig}:;<=Qfmq]Qavsk|V:Tbbz?01222>UD[@IX=?5\CRK@WZojxVYH_DM\1c9PMBDR[VCEJBo4SHE\FPUNLQh0_DIPBTQMEHC33Zig~95\crvb?VhcZHGH_Z\>2:Qm`WGJKZ]YSl`k01236d=TfmXJAN]XR^cm`5678Vcf|R]adSCNGVQUWhdo<=>?1008WkbUIDIX[_Qnne23444f3Zdo^LCLSVP\ekb789;Te`~PSofQEHET_[Ujbi>?00321>Uil[KFO^Y]_bos[`w789:8<6]adSCNGVQUWjg{Sh?012\mhvX[gnYM@M\WS]`iuYby9:;<?2228WkbUIDIX[_Qlmq]fu567:Vcf|R]adSCNGVQUWjg{Sh?010250=TfmXJAN]XR^antZcv89:8?=5\nePBIFUPZVif|Rk~0120[lkwWZdo^LCLSVP\ghvXmx:;<>?>5:Qm`WGJKZ]YSnc_ds3452482Yeh_OBCRUQ[fkwWl{;<=:Pilr\WkbUIDIX[_Qlmq]fu567<8;>7^`kR@O@WRTXkdzTi|>?0413?VhcZHGH_Z\Pclr\at678?1038WkbUIDIX[_Q`r12357><[gnYM@M\WS]lv5679Vcf|R]adSCNGVQUWfx;<=?>109PjaTFEJY\^Ra}01216==TfmXJAN]XR^mq4565W`g{S^`kR@O@WRTXg{:;?0^kntZRnele~xLQlmq]fu56788;;7YgbenwwEZhh|9:;=?84ThofkprFWge<=>>_hos[QojmfMR``t12354><\`gncxzMb:Vji`ir|KZyi<>4ThofkprEWhdo<=>?279Wmhch}}HTmcj?012\mhvX\`gncxzM_`lg45679:1]ON74VHGT[Q_WM:1]\Lm4VQC\mhvX^YK:96X_Asd6?SVFz{n0Z]O}r^llp5679;:0Z]O}r^llp5679Vcf|RX_Asp\jjr789;:m6XfdSCNGVQUl2\bh_OBCRUQ21m602\bh_OBCRUQ21mXkdzTi|>?0115?SocZHGH_Z\94j]`iuYby9:;=aTo`~Pep2344513_co^LCLSVP50nYdeyUn}=>?1^kntZPnl[KFO^Y]65i\ghvXmx:;<?01]jiuYQamXJAN]XR^antZcv89:;=<;4VhfQEHET_[Uha}Qjq123566<^`nYM@M\WS]`iuYby9:;=Rgbp^Tj`WGJKZ]YSnc_ds345769<1]ei\NMBQTVZejxVoz<=>=319UmaTFEJY\^Rmbp^gr4565W`g{S[gkR@O@WRTXkdzTi|>?03321>Pnl[KFO^Y]_bos[`w78998<6XfdSCNGVQUWjg{Sh?011\mhvX^`nYM@M\WS]`iuYby9:;??5228RlbUIDIX[_Qlmq]fu567=Vcf|RXfdSCNGVQUWjg{Sh?017250=QamXJAN]XR^antZcv89:=?=5YiePBIFUPZVif|Rk~0125[lkwW_co^LCLSVP\ghvXmx:;<;?>5:Tj`WGJKZ]YSnc_ds3451482\bh_OBCRUQ[fkwWl{;<=9Pilr\RlbUIDIX[_Qlmq]fu567?8;>7[gkR@O@WRTXkdzTi|>?0913?SocZHGH_Z\Pclr\at6781Uba}QYiePBIFUPZVif|Rk~012;543<^`nYM@M\WS]`iuYby9:;5>>4VhfQEHET_[Uha}Qjq123=ZojxV\bh_OBCRUQ[fkwWl{;<=7>149UmaTFEJY\^Rmbp^gr4577;91]ei\NMBQTVZejxVoz<=??_hos[SocZHGH_Z\Pclr\at6799;:96XfdSCNGVQUWjg{Sh?00304>Pnl[KFO^Y]_bos[`w788;Te`~PVhfQEHET_[Uha}Qjq122547f3_co^LCLSVP\mhvX^`nYM@M\WS325>Pnl[KFO^Y]_np34566:2\bh_OBCRUQ[jt789::=?5YiePBIFUPZVey<=>?2008RlbUIDIX[_Q`r12346723_co^LCLSVP\kw6789Uba}?k;YKOMK^*PMH+<#?/SUWA$5(6(HYHED84XRVOMG1e:ZPPZOJXEOFICZNTHMM[FII[]XJECZ\119[WQYU\EU[^B^JRU[4?]USW_IH:6Vkb^Kg55=_ldUFmga}Vdppmjh682RoaRCfnnpUawungg?0Tb2?>79[k9699?1Sc1>1279[k969;01Sc1>1_hos7>^t|01SyIBoegof>Yflm:;<=2?>c9\eab789:7=3l4_`fg45674;4i7Rokd1234959l2Ujhi>?01>7>58e3Vkoh=>?0=6=f>Yflm:;<=2:>c9\eab789:7:3j4_`fg45674>0;2o5Paef3456;?720Sl`k012353=Xign;<=>Pilr\[dhc89:;=55Paof34576>2Ujbi>?00]jiuYXign;<=?>8:]bja678;;=7Road1236ZojxVUjbi>?033;?Zgil9:;?<84_`lg4564W`g{SRoad12374>;179\ekb789>Te`~P_`lg4563911Tmcj?01722>Yffm:;<8Qfmq]\ekb789?:46Qbuy23451>4:]lv5678Vcf|RQ`r1234414:]lv5679Vcf|RQ`r123543?0018gkr03je~byk}2:ap7>ban01oeklthmm7>`tjk1moQaou23457d3oyiSca{0123[lkwWoyiSca{012351=ngkg?7dams89mEAir|EO;=55aAEmvpIC7W`g{ScOKotvOA57682dJHb{{LD2\kw67898=7cOKotvOA5Yhz9:;?013:?kGCg|~GI4nCGQVZojxVdII_\>4:l@EVe?0328jAoiDLUd~=>?0^kntZhCagFNSb|?01222>hCagEN=?5aDhlLAZojxVdOecAJ169m@jssGLl0bIaztNG\ekb789:986`KotvLAZgil9:;?00d8jAir|FOTbbz?01310>hCg|~DIR``t1235ZojxVdOcxz@E^llp56798o0bIaztNG\kw6789897cJ`uuMF[jt789:Te`~PnEmvpJCXg{:;<=?;;oNGW==iDMYTJ^L7;oNGWZOHJj1e@I]Paof34566m2dGH^Qnne2345YneyUe@I]Paof34566k2dGH^Qnne23447b3gFO_Road1235ZojxVdGH^Qnne23447d3gFO_R``t12354chKLZUd~=>?0^kntZhKLZUd~=>?00`8jIBTWfx;<=?>c:lO@VYhz9:;=Rgbp^lO@VYhz9:;=<:4nMUFg>hK_LUjbi>?013f?kJPMVkeh=>?0^kntZhK_LUjbi>?013f?kJPMVcf|R`CWD30?kIBj2dDIRoad12344ehHMVcf|R`@E0;8jJpbzekr<?03:8jJpbzekr0b_K\6:lQKHET9;1e^BCLS^kntZhUGDIX=;5aRNOTA`=iZFG\IRoad12347495aVLC\ghvXmx:;<=Qfmq]mRHGXkdzTi|>?013`?kPJIVddx=>?10g8jSKFWge<=>>_hos[kPJIVddx=>?1068jSVF02d]\LQISC:8jSVFW@EIo6`YP@]bja6789;n7cX_A^cm`5678Vcf|R`YP@]bja6789;n7cX_A^kntZhQXH;87cYJ7:lTAZ@TJo1ecy>?01]bja67898?7ca{0123[dhc89:;Sdc_omw4567Whdo<=>?169skiYddb30|bbPcmifj==wgeUxoa|7;qmo[vub|11{czPcmib?uiu|Viggh`6;qmqpZbf|h20|b|{_nrb2>vhz}Uzn6~`ru]qavsk|01{czPsbnq=>vhz}Uxhz>;s18vdk?3{~gSyweg9pkptdmVlb`h`nmdf8wjsuklUgeckab39vt<=qienSnga9:tbhpcXgyh37um6512{h`=k0?8:ubc56.1xFGx89m86NOx27g>C<528qX5;4<5b8155<6;=22>k4lacdxj632281e?8856:&011<435?h5c`a2?a7ck3:1=7?tS84970e=:8:1=>:793d9gdda3L9o>4?:082>5}T1?089n4=118271>>:o0hmoh4$201>4ga3-8:=7:?8:`2`f<72=91o78?{M165?4|@8kn7)<>1;633>{K;<81=v*;0386?x"49>0:hn5U8881<<693wQ>k752zc955>o>93:17b=k0;29?lb22900c>o=:188k6d72900c>k=:188k6g22900c>o8:188m6`72900c>7::188k74f290/><=523;8j7752910c?<7:18'645=:;30b??=:098k754290/><=523;8j7752;10c?==:18'645=:;30b??=:298k756290/><=523;8j7752=10c?=?:18'645=:;30b??=:498k74a290/><=523;8j7752?10c?<=523;8j7752110c?<=523;8j7752h10c?<8:18'645=:;30b??=:c98m26=83.9=>49f:l157<732c=i7>5$330>3`o1k3:1(??<:7d8j7752:10e;o50;&156<1n2d9=?4;;:k5=?6=,;;86;h4n331>0=h59;0=76g97;29 7742?l0b??=:698m30=83.9=>49f:l1575$330>3`o1;3:1(??<:7d8j7752k10e;<50;&156<1n2d9=?4l;:k55?6=,;;86;h4n331>a=21<7*=1285b>h59;0n76g87;29 7742?l0b??=:g98m20=83.9=>49f:l157<6821b;84?:%027?0a3g8:>7?>;:k40?6=,;;86;h4n331>44<3`=86=4+20192c=i:881=>54i6094?"59:0=j6`=13820>=n?80;6)<>3;4e?k46:3;>76g9b;29 7742?l0b??=:048?g50m3:1=o4<6;6bI5293;pD;?74}d2tP9j44>{c8~m=`=831d=;o50;9l6c1=831d>kk50;9j3g<722c8ok4?::m20d<722c8ik4?::m170<722e9n84?::m265<722c8n7>5$330>6go403:1(??<:2c8j7752;10e>850;&156<4i2d9=?4<;:k00?6=,;;86>o4n331>1=h59;0>76g<2;29 7742:k0b??=:798m67=83.9=>45$330>6go5m3:1(??<:2c8j7752h10e?j50;&156<4i2d9=?4m;:k1g?6=,;;86>o4n331>f=h59;0o76g;3;29 7742:k0b??=:d98m14=83.9=>44?:083>5}#;8=1???4H26e?j47n3:17pl0<52?qG?8?51zJ2e`=zD:?96{#;8=1=o;4i`294?=h:8k1<75f9c83>>o>k3:17d96:188f2<72<0;6=u+3059`d=O;=l0@>;>:0y'573=;ol0qd6j:188m<5=831b4l4?::kb4?6=3f8:m7>5;|`4e?6=:3:1301:o51058yv7b=3:1>v38:81892g=9lh0q~7l:181[?d34=14h5rs0g5>5<5s4=1>0;6?uQa19>3?g73twi?n850;797?0|D:?:6=:09~ 67028i;7do?:188k77f2900e4l50;9j=f<722c2?7>5;c594?3=83:p(>?8:ec8L62a3E9>=7?t$006>6`a3tc3i7>5;h;0>5<>i59h0;66sm34294?7=83:p(>?8:035?M53n2e:=84?::a=a<72;0;6=u+3059570<@:>m7d?>7;29?j7bj3:17p}6b;296~X>j27<65o4}r;`>5<5sW3h707k:034?xu6m<0;6?u27;:f?8?c28oi7p}>e783>7}:;<:1=<;4=68:7>{t9l=1<74}|`261<728;1?7?<{%123?75<2e:>=4?::k76?6=3`>86=44i3`94?=n:j0;66g=d;29?l4b2900e?h50;9j75<722c8=7>5;h11>5<>o4>3:17d=7:188m6?=831b?o4?::`264<7200;6=u+30591a=O;=l0e2900e50z&052<2l2B88k5f10:94?=n9831<75f10c94?=n98h1<75f10a94?=n98n1<75f10g94?=n98l1<75`1d`94?=zj8886=4<:183!56?3>o7E=;f:k25=<722c:=44?::m2ag<722wx=?>50;0xZ44734;9?7?jb:p07<72;qU8?52133954>86=4={_60?87593;:56s|2c83>7}Y:k16=??510c8yv4d2909wSi4?:3y]6a=:9;;1=5<5sW8n70?=1;32`>{t:o0;6?uQ2g9>577=98o0q~=?:181[5734;9=7?>f:p74<72;qU?<52130954>7}Y;:16=?<510c8yv532909wS=;;<316?76j2wx?;4?:3y]73=:9;81=5<5sW9370?=2;32`>{t;00;6?uQ389>574=98o0q~=m:181[5e34;9>7?>f:p577=838p1<<>:0ga?875;3;:46s|13094?4|5889665}#;8=1=k64H26e?l7603:17d?>9;29?l76i3:17d?>b;29?l76k3:17d?>d;29?l76m3:17d?>f;29?j7bj3:17pl>4b83>6<729q/?<951dg8L62a3`;:47>5;h32=?6=3f;nn7>5;|`20a<72:0;6=u+30595`c<@:>m7d?>8;29?l7613:17b?jb;29?xu67}Y:o=01<:m:03:?xu65183>7}Y9<:01<:m:03b?xu6=;0;6?uQ1408942e28;i7p}>5583>7}Y9<>01<:m:03`?xu6=?0;6?uQ1448942e28;n7p}>5983>7}Y9<201<:m:03g?xu6=h0;6?uQ14c8942e28;m7p}>5b83>7}Y94c83>7}:9=h1=hl4=06g>47?3ty:8n4?:3y>51e=9lh01<:k:03:?x{e;=n1<7j53;dx 6702:>o7b=;9;29?l5483:17d=<2;29?l54<3:17d=<6;29?l5403:17d=50z&052<6n11C?9h4i03;>5<5<5<5<5<55;294~"49>0:j95G35d8m47?2900eed9K71`5<52z\075=:;=k1=<74}r106?6=:rT8??5235c954>52z\071=:;=k1=52z\07==:;=k1=52z\07f=:;=k1=52z\005=:;=h1=<64}r176?6=:rT88?5235`954g52z\001=:;=h1=<74}r172?6=:rT88;5235`954d52z?00d<6mk16?9m510:8yv53j3:1>v3<4c82ag=:;=i1=<74}|`2aa<72<0;6=u+305912=O;=l0e2900e50z&052<3n2B88k5f10:94?=n9831<75`1d`94?=zj;926=4;:183!56?3?:7E=;f:k25=<722c:=44?::k25d<722e:io4?::a66c=8391<7>t$234>4cb3A9?j6g>1983>>o6900;66a>ec83>>{e;;l1<7;50;2x 6702:i;h325;h32e?6=3`;:n7>5;n3ff?6=3th8854?:283>5}#;8=18i5G35d8m47?2900e5<4290;w)=>7;3fa>N46=4?{%123?313A9?j6g>1983>>o6900;66g>1`83>>o69k0;66a>ec83>>{e9?h1<7=50;2x 6702=o0D>:i;h325;n3ff?6=3th8o84?:283>5}#;8=18i5G35d8m47?2900e5<2290;w)=>7;77?M53n2c:=54?::k25<<722c:=l4?::k25g<722e:io4?::a767=83?1<7>t$234>01<@:>m7d?>8;29?l7613:17d?>a;29?l76j3:17b?jb;29?xd4;:0;684?:1y'741==?1C?9h4i03;>5<5<5<55;294~"49>0>;6F<4g9j54>=831b=<750;9j54g=831b==8:186>5<7s-9:;7;9;I17b>o6910;66g>1883>>o69h0;66g>1c83>>i6mk0;66sm32;94?3=83:p(>?8:448L62a3`;:47>5;h32=?6=3`;:m7>5;h32f?6=3f;nn7>5;|`07g<72<0;6=u+305910=O;=l0e2900e50z&052<2>2B88k5f10:94?=n9831<75f10c94?=n98h1<75`1d`94?=zj:9m6=4::183!56?3?>7E=;f:k25=<722c:=44?::k25d<722c:=o4?::m2ag<722wi?9?50;794?6|,:;<6884H26e?l7603:17d?>9;29?l76i3:17d?>b;29?j7bj3:17pl<4283>0<729q/?<95549K71`5<5<N46=4?{%123?333A9?j6g>1983>>o6900;66g>1`83>>o69k0;66a>ec83>>{e;9>1<7;50;2x 6702:i;h325;h32e?6=3`;:n7>5;n3ff?6=3th8<;4?:483>5}#;8=1985G35d8m47?2900e5<2290;w)=>7;74?M53n2c:=54?::k25<<722c:=l4?::k25g<722e:io4?::a75e=83?1<7>t$234>01<@:>m7d?>8;29?l7613:17d?>a;29?l76j3:17b?jb;29?xd48l0;684?:1y'741==?1C?9h4i03;>5<5<5<55;294~"49>0>46F<4g9j54>=831b=<750;9j54g=831b=5<7s-9:;7;8;I17b>o6910;66g>1883>>o69h0;66g>1c83>>i6mk0;66sm14394?3=83:p(>?8:448L62a3`;:47>5;h32=?6=3`;:m7>5;h32f?6=3f;nn7>5;|`216<72<0;6=u+305913=O;=l0e2900e50z&052<2=2B88k5f10:94?=n9831<75f10c94?=n98h1<75`1d`94?=zj8?<6=4::183!56?3?=7E=;f:k25=<722c:=44?::k25d<722c:=o4?::m2ag<722wi=8750;794?6|,:;<68;4H26e?l7603:17d?>9;29?l76i3:17d?>b;29?j7bj3:17pl>5c83>0<729q/?<95549K71`5<5<N41983>>o6900;66a>ec83>>{e::n1<7=50;2x 6702=o0D>:i;h325;n3ff?6=3th8=<4?:583>5}#;8=1=k?4H26e?l7603:17d?>9;29?l76i3:17b?jb;29?xd4890;6>4?:1y'741=5<5<54;294~"49>0:j<5G35d8m47?2900e50z&052<3l2B88k5f10:94?=n9831<75`1d`94?=zj:;>6=4<:183!56?3;ni6F<4g9j54>=831b=<750;9l5`d=831vn?l8:180>5<7s-9:;7:j;I17b>o6910;66g>1883>>i6mk0;66s|8g83>6}Y0o16?n=59c9>7f0=1k1v<8n:181[71i27::o4>ec9~w7`02908wS16>k651d`8yv4am3:1>vP=fd9>75`=9lh0q~9m:180[1e349h:77l;<1`1?7602wx?nh50;0xZ6ea349h?796;|q20d<72;qU=9o4=07f>42f3ty8ik4?:2y]7``<5:8m6>;50;1xZ7523488i7?jb:?06c<6901v?l::181[4e=279nl4>ec9~w4472909wS?=0:?261<6:91v<:j:181872m3;?i63>4g82ag=z{8?;6=4={<36a?72827:9<4>ec9~w4352909w0?:e;366>;6=:0:io5rs077>5<5s4;>i7?:4:?210<6mk1v<;9:181872m3;>:63>5682ag=z{8?36=4={<36a?72027:944>ec9~w43f2909w0?:e;36e>;6=k0:io5rs07`>5<5s4;>i7?:c:?21a<6mk1v?l9:18184ei3;:563=b682ag=z{8oh6=4<{<3f`?7bj279nl4>199>7f3=9830q~=?3;296~;48=0:io52303954>52z?043<6mk16?v3<0982ag=:;8;1=ec9>745=9820q~=?b;296~;48j0:io52301954?52z?04`<6mk16?<=510c8yv4an3:1>v3<0182ag=:;8?1=<64}r135?6=:r78ec9>743=9830q~=l3;296~;4k:09=l523b49e5=z{:i=6=4;{<1`2?46i279?o4>189>66b=98201?l8:03;?xu5j10;6?u22c;95`d<5;h<67}:;;l1=4ce3ty9?l4?:3y>66c=98201?=m:0ga?xu5;j0;6?u222g954?<5;9o67}:;j915n523b795`d53z?06c<6mk16?96510:8966a28;37p}<1183>7}:;8;1=hl4=223>47>3ty8=?4?:3y>745=9lh01>>=:03:?xu49=0;6?u231d954?<5:;>67}:::31=hl4=31g>47>3ty8??4?:3y>71b=;:801>=<:0ga?xu4;=0;6?u235f9762<5:9>67}:;=n1?>64=21:>4ce3ty8?l4?:3y>71b=;:k01>=m:0ga?xu4<=0;6?u235f9712<5:>>66}:;=n1?984=264>4ce349;<7?>8:p76e=839p1>:k:21`?854l3;nn63<03825==z{:9n6=4={<17`?54m278?k4>ec9~w6272909w0=;d;174>;4<80:io5rs261>5<5s49?h7=;2:?006<6mk1v?=9:180844?3;nn63>6c825<=:::h1=<64}r1a>547>348i57?>b:?20c<69k16=8?510`8943428;i70?:5;32f>;6=>0:=o5214;954d<58?i65fz\0=>;6:=08563>ee825g=:::=1=<64=31:>47>348m47?>b:?1f<<69h16=9h510c8943628;270?:3;32=>;6=<0:=552145954g<58?261`9'6cd=;h1e>ko51:p7=<72oqU?55213697==:9ln1=<74=31:>47f348m47?>8:?06f<69016>o7510;8942a28;270?:1;32<>;6=:0:=l52147954g<58?<6189>50b=9830(?hm:2c8j7`f2;1v>850;gxZ60<588?6>84=0gg>47?348m47?>a:?06f<69116>o7510:8942a28;370?:1;32e>;6=:0:=552147954?<58?<6199>50b=9820(?hm:2c8j7`f2:1v>:50;1xZ62<588?6>:4=20f>47e3-8mn7=n;o0ee?2"5nk08m6`=f`86?xu4:3:1?vP<2:?261<4:278>h4>189'6cd=;h1e>ko56:p74<72:qU?<52136974=:;;o1=<64$3da>6g;4;<0:=l52325954?<5:9261c9>76`=98h01>:>:03a?853;3;:n63<44825g=:;==1=6g;4;<0:=o52325954d<5:926189>76`=98201>:>:03b?853;3;:m63<44825d=:;==1=6g;4;<0:=452325954><5:9261`9>76`=98k01>:>:03:?853;3;:463<44825<=:;==1=<74$3da>6g;4;<0:=552325954g<5:926199>76`=98301>:>:03;?853;3;:563<44825==:;==1=<64$3da>6g|V;i01<<;:3a8966328;i70=?6;32f>;4810:=o5231c954g<5::h658z\1f>;6:=09n63<05825d=:;9<1=47f349;m7?>9:?04f<69016?=k510:8 7`e2:k0b?hn:e9~w15=832pR9=4=007>15<5::?6189>75g=98201>>l:03a?857m3;:n6*=fc80e>h5nh0n7p};2;29<~X3:27:>94;2:?041<69016?=8510:8966?28;370=?a;32f>;48j0:=55231g954g<,;li6>o4n3db>c=z{8o=6=4={<167?47n278o>4n0:~f167290887=?:44xH63628qC=lk4}M166?4|f=:86>;4$521>0=z,:;<6?=;;[::>7}>2o0vV?h6:3yb>`>i4jo0;66g>o4mo0;66g>o5;<0;66a>o5j<0;66a=c583>>i4jk0;66a=2`83>!46;38956`=1383?>i5:10;6)<>3;01=>h59;0:76a=3283>!46;38956`=1381?>i5;;0;6)<>3;01=>h59;0876a=3083>!46;38956`=1387?>i5;90;6)<>3;01=>h59;0>76a=2g83>!46;38956`=1385?>i5:l0;6)<>3;01=>h59;0<76a=2e83>!46;38956`=138;?>i5:j0;6)<>3;01=>h59;0276a=2c83>!46;38956`=138b?>i5:>0;6)<>3;01=>h59;0i76g<8;29 7742:=0b??=:198m60=83.9=>4<7:l157<632c887>5$330>61o4:3:1(??<:258j7752=10e>?50;&156<4?2d9=?4:;:k04?6=,;;86>94n331>3=h59;0<76g=e;29 7742:=0b??=:998m7b=83.9=>4<7:l157<>32c9o7>5$330>61o3;3:1(??<:258j7752j10e9<50;&156<4?2d9=?4k;:k75?6=,;;86>94n331>`=h59;0m76g<=5369m644=9810e>j50;&156<4?2d9=?4>2:9j7f<72-8:?7=8;o026?7432c897>5$330>61tL272>4}O9ho0qA=:2;3x 1652?1v(>?8:031?l?e2900c=:188k7632900c?>9:188k76?2900c?>n:188k76d2900c?>k:188m4g?2900e7de3`;j47>5;h3b=?6=3`;jm7>5;h3bf?6=3`;jo7>5;h3b`?6=3`3n6=44o0df>5<5<5<5<5<5<2480bc=za1k1<75fa183>>i59h0;66s|9c83>7}Y1k16;76n;|q2a0<72;q6;7<>a:\15d=z{8o=6=4={_c3?81=i91vqok8:180>4<4sE9>=7?tH0cf?x"49>0on6gn0;29?j46i3:17d7m:188f2<72:0;6=u+3059`<=O;=l0@>;>:0y'573=;ol0qd6n:188md6=831d>{t9l?1<74}|`e:i;M165?7|,88>6>hi;|k;e?6=3`k;6=44o33b>5<5<5<1<729q/?<95509K71`5<5<n7E=;f:k25=<722c:=44?::m2ag<722wii<4?:283>5}#;8=18h5G35d8m47?2900e5<7s-9:;7;n;I17b>o6910;66g>1883>>o69h0;66g>1c83>>o69j0;66a>ec83>>{em=0;684?:1y'741=9o>0D>:i;h325;h32e?6=3`;:n7>5;n3ff?6=3tym47>54z\e<>;b=3;:m63kf;32<>;b;3;:46s|e683>1}Ym>16i84>189>a4<69116i>4>1`9~wae=83>pRim4=d7954><5ml1=<74=d3954?52z\2e==:m:0:=n5rs0cb>5<5sW;jm63j3;32f>{t9hn1<7ec9>a1<6911vih50;0x9a`=9lh01h:510;8yvc72909w0k>:0ga?8c328;j7p}j2;296~;b;3;nn63j4;32f>{zjo=1<7758;33!56?3l<7djl:188m4g?2900e5;cd5>5<3290;w)=>7;72?M53n2c:=54?::k25<<722c:=l4?::m2ag<722wiil4?:583>5}#;8=19?5G35d8m47?2900e1983>>o6900;66g>1`83>>o69k0;66g>1b83>>i6mk0;66smed83>6<729q/?<954d9K71`5<5<4290;w)=>7;6f?M53n2c:=54?::k25<<722e:io4?::ab7<72:0;6=u+30590`=O;=l0e2900c1983>>o6900;66g>1`83>>i6mk0;66smf483>=<729q/?<951g58L62a3`;:47>5;h32=?6=3`;:m7>5;h32f?6=3`;:o7>5;h32`?6=3`;:i7>5;n3ff?6=3tyoo7>53z\gg>;a>3;:m63i2;32=>{t9h21<7:t^0c;?8`128;370kl:03a?8`328;27p}>ab83>1}Y9hi01k8510;89`g=98k01k:510c8yvc029047>34oh647?34l;647?34l?6<5li1=<64}r3b=?6=:rT:m452eb825f=z{8ki6=4={_3bf>;bk3;:m6s|f983>6}Yn116ih4>189>b5<6911vh650;0xZ`><5o?1=hl4}rg:>5<5s4l=647?3tynm7>52z?fe?7bj27m97?>9:pag<72;q6in4>ec9>b0<69h1vhj50;0x9`c=9lh01k;510`8yvca2909w0h?:0ga?8`228;h7p}i1;296~;a:3;nn63i5;32`>{tn:0;6?u2f582ag=:n<0:=h5r}c330?6=03<1hv*<168241=n9h21<75f1`c94?=nn10;66g>ab83>>ob?3:17djl:188m4gc2900ck750;9a555=83?1<7>t$234>03<@:>m7d?>8;29?l7613:17d?>a;29?l76j3:17b?jb;29?xdaj3:197>50z&052<2<2B88k5f10:94?=n9831<75f10c94?=n98h1<75`1d`94?=zjon1<7=50;2x 6702=o0D>:i;h325;n3ff?6=3thmj7>53;294~"49>0?i6F<4g9j54>=831b=<750;9l5`d=831vn<>>:186>5<7s-9:;7;9;I17b>o6910;66g>1883>>o69h0;66g>1c83>>i6mk0;66sm11094?0=83:p(>?8:0d6?M53n2c:=54?::k25<<722c:=l4?::k25g<722c:=n4?::m2ag<722wx=l650;6xZ4g?34;;?7?>9:?ef?76027:<<4>1`9~w4gf2908wS?na:?246<69h16==?510`8yv`?290>wSh7;<337?76027mh7?>8:?eb?76027:<<4>189~w4gd2908wS?nc:?246<69k16jo4>1c9~w`1=839pRh94=g`954?<5on1=<74}rf`>5<4sWnh70hm:03b?8`a28;27p}>ae83>7}Y9hn01<>>:03;?xua13:1>vPi9:?247<6mk1vko50;0x946428oi70??2;32<>{tnk0;6?u2fc82ag=:9981=<74}rd`>5<5s4lo6bc<6mk16==<510`8yv7783:1>v3>0082ag=:9981=2900e1<7>t$234>04<@:>m7d?>8;29?l7613:17d?>a;29?j7bj3:17pl=0683>1<729q/?<95509K71`5<5<54;294~"49>0>>6F<4g9j54>=831b=<750;9j54g=831d=hl50;9~f76e290?6=4?{%123?363A9?j6g>1983>>o6900;66g>1`83>>i6mk0;66sm21g94?5=83:p(>?8:5g8L62a3`;:47>5;h32=?6=3f;nn7>5;|qgg?6=kr7oo7<>a:?f2?bd34l<6im4=027>ae<58lm64>199>653=98201?>8:03;?84713;:m63=0c825<=::9o1=<64}rg4>5`1<5o=1i:521169a2=:9ol1=<74=322>47>348;?7?>9:?140<69h16>=9510;8976>28;270;58l0:=45rsg:94?d|5o21>0m463>058e<>;6no0:=552213954><5;:861`9>65?=98201?>m:03b?xu6i10;69uQ1`:89`0=9h201k951`:8946328k37p}>a883>7}Y9h301k951`;8yv7fi3:18vP>a`9>a3<6ih16j:4>a`9>552=9hk0q~?nb;296~X6ik16j:4>ac9~w4gd2908wS?nc:?e3?7fk27:<94>ab9~w4gc2908wS?nd:?f2?7fl27:<94>ae9~wpR4k4=ea9=g=:m>02n63i8;;a?xu6nl0;6?uQ1gg894`a28oi7p}=0183>7}Y:9:01?>>:0ga?xu58;0;6?uQ2108976428oi7p}=0583>7}Y:9>01?>::0ga?xu58?0;6?uQ2148976028oi7p}=0983>7}Y:9201?>6:0ga?xu58h0;6?uQ21c8976e28oi7p}=0e83>7}Y:9n01?>j:0ga?xucl3:1>v3kc;c3?8c12mn0q~k7:1818c02h:01k95e99~wc?=838p1k65a19>552=n01vq~7m:181[?e34;:=77j;|q2b`<72;qU=kk4=032>4`b3ty9<=4?:3y]656<58;:6?>?;|q147<72;qU>=<4=032>7653ty9<94?:3y]652<58;:6?>;;|q143<72;qU>=84=032>7613ty9<54?:3y]65><58;:6?>7;|q14d<72;qU>=o4=032>76f3ty9l;|q14a<72;qU>=j4=032>76c3ty:m54?:3y]5d><58;:64g>3ty:ml4?:3y]5dg<58;:64ge3ty:mn4?:3y]5de<58;:64gc3twi=k750;796?3|D:?:6=:79'057=;>l0(>h6:`28 6`e2;;j7)=i7;;a?k5a;3;0(>h7:8a8j6`3281/?kj52ga8j6`2291v(>?8:0aa?l?e2900e4m50;9je5<722e9=l4?::k1bf<722h<6=4::386I5293;pD5;h;`>5<2480bc=za1o1<75f9283>>o?i3:17do?:188k77f2900qo=:0;295?6=8r.8=:4>179K71`6=44}r;a>5<5sW3i70958`9~w{t9l?1<747234=15>5rs0g5>5<5s4=1>0;6?uQa19>3?g73twi?:850;194?6|,:;<6o6910;66g>1883>>i6mk0;66s|9c83>7}Y1k16;77m;|q:g?6=:rT2o63<77825<=z{;;j6=4={_02e>;02;;j7p}=fb83>6}Y:oi01:4n0:?033<6911v>9::18181=1j16?:851d`8yxd5d}#<981:6*;00803c=#;o31m=5+3g`964g<,:l<64l4n2d0>4=#;o215n5a3g695>"4nm09jn5a3g794>{#;8=1=i<4i8`94?=n1j0;66gn0;29?j46i3:17d7;tL272>4}O9ho0q)=>7;3a0>of83:17b<>a;29?l?e2900e4m50;9j=6<722h<6=4::183!56?3nj7E=;f:N014<6s-;997=if:j<`<722c2?7>5;h:b>5<:183!56?3;::6F<4g9l543=831v4l50;0xZ03m6s|9b83>7}Y1j16;76j;|q2a0<72;q6?8>5107892<>;2wx=h850;0x92<59h1U>4?:1y'741=9ll0D>:i;h325;n3ff?6=3ty2n7>52z\:f>;020h0q~7l:181[?d349<:7?>9:p64g=838pR??n;<5964g53z\1bf=:?3k;70=86;32<>{t;>?1<7;4??0:io5r}c5g>5<42808wA=:1;3xL4gb3tF89?4>{%636?05;h;a>5<0;6>4?:1y'741=l01C?9h4i9c94?=ni90;66a=1`83>>{t1k0;6?uQ9c9>3?>f3ty:i84?:3y>3?46i2T9=l5rs0g5>5<5sWk;7095a19~yg42<3:1?7?53zN014<6sA;ji6sC34095~"38;0=7p*<1682`1=ni90;66a=1`83>>o>j3:17o950;194?6|,:;<6i74H26e?I5293;p(<<::2de?xo?i3:17do?:188k77f2900q~7m:181[?e34=14l5rs0g6>5<5s4=1>3?g73twi>;750;195?5|D:?:6=:79~ 67028n>7do?:188k77f2900e4l50;9a3?6=;3:1N4X59h1vd79je5<722e9=l4?::k:f?6=3k=1<7=50;2x 6702m30D>:i;M165?7|,88>6>hi;|k;e?6=3`k;6=44o33b>5<4b03`k;6=44o33b>5<2480bc=za1k1<75fa183>>i59h0;66s|9c83>7}Y1k16;76n;|q2a0<72;q6;7<>a:\15d=z{8o=6=4={_c3?81=i91vqo=6a;297?4={I3ba>{K;<81=v*;0385?x"49>0:n;5fa183>>i59h0;66g6b;29?g1=8391<7>t$234>a?<@:>m7d6n:188md6=831d>t$234>4413A9?j6g>1683>>i6mk0;66s|9c83>7}Y1k165k4>169~w4c22909w0958`9>=c<6mk1v5<3290;w)=>7;71?M53n2c:=54?::k25<<722c:=l4?::m2ag<722wi>;:50;194?6|,:;<6o6910;66g>1883>>i6mk0;66s|27394?4|V;<:70<94;3ff>{t:ki1<7{t:{t:kn1<7{t:6;=50;0x970428oi70<94;32=>{zj;=96=46:48g!56?38<>6a=6`83>>o5jj0;66g=6683>>o5=k0;66g=bd83>>o5jo0;66g=c383>>o5jm0;66g=5683>>d5?80;6>4?:1y'741=5<5<53;294~"49>0:ih5G35d8m47?2900e5<4290;w)=>7;6g?M53n2c:=54?::k25<<722e:io4?::a626=83<1<7>t$234>4`23A9?j6g>1983>>o6900;66g>1`83>>o69k0;66g>1b83>>i6mk0;66s|27c94?4|V;{t:ki1<7;5>j0:=55rs37a>5<5sW8>n63=70825<=z{;hn6=4={_0aa>;5?90:=45rs3`e>5<5sW8ij63=71825d=z{;i96=4={_0`6>;5?90:=o5rs3`g>5<5sW8ih63=6g825==z{;?<6=4={_063>;5>o0:=45rs34a>5<5s48<=7?jb:?12f<6901v199~w70b2909w0<9f;3ff>;5?90:=n5r}c07f?6=k3=1=?u+305961d:6=44i3``>5<5<5<5<5<5<j6=4;:183!56?3?97E=;f:k25=<722c:=44?::k25d<722e:io4?::a615=8391<7>t$234>4cb3A9?j6g>1983>>o6900;66a>ec83>>{e:=>1<7=50;2x 6702=n0D>:i;h325;n3ff?6=3th98;4?:283>5}#;8=18h5G35d8m47?2900eed9K71`5<N4290<6=4?{%123?7a>2B88k5f10:94?=n9831<75f10c94?=n98h1<75f10a94?=n98n1<75`1d`94?=z{;>:6=4={_075>;5<00:io5rs3``>5<5sW8io63=45825==z{;<<6=4<{_053>;5n7>52z\11g=::=k1=<74}r06`?6=:rT99i5225c954g52z\1f`=::=31=<74}r0ab?6=:rT9nk5225;954g52z\1g5=::=31=52z\1fa=::=21=<64}r063?6=;rT99:52254954><5;><68h4=365>47>3ty98?4?:3y>61g=9lh01?:<:03:?xu6m<0;6?u225195`d<5;>?69:51d`8972>28;37p}=4483>7}::=<1=hl4=364>47>3ty:i:4?:3y>611=9lh01?:7:03:?xu6m10;6?u225:95`d<5;>26=83>1?78t$234>71?3f89;7>5;h05=?6=3`9>6=44i3`94?=e:>=1<7=50;2x 6702=n0D>:i;h325;n3ff?6=3th9;84?:283>5}#;8=18h5G35d8m47?2900eed9K71`5<:5226495`d53z\12<=::>=1=<64=356>47?3ty897>52z\01>;5?>0:=45rs3`94?4|V;h01?9::03:?xu5?:0;6?u226595`d<5;==6:;51d`8971128;27psm26g94?2=;3?8:35f?j45j3:17d<99;29?l5d2900e?m50;9a62b=8391<7>t$234>1b<@:>m7d?>8;29?l7613:17b?jb;29?xd5?k0;6>4?:1y'741=5<5<53;294~"49>0:ih5G35d8m47?2900e:m51d`8yv4113:1?vP=689>62b=98201?9m:03;?xu4k3:1>vP:j51d`8971d28;37p}=7`83>7}::>h1=hl4=35`>47>3twi>5:50;697?0|,:;<6?6;;n01g?6=3`8=57>5;h1g>5<?8:5f8L62a3`;:47>5;h32=?6=3f;nn7>5;|`1<4<72:0;6=u+30590`=O;=l0e2900c50z&052<6ml1C?9h4i03;>5<5<52z\16f=::181=hl4}r05=?6=;rT9:452291954><5;2:6628;27p}=7g83>7}::191=hl4=3:1>47?3ty94=4?:3y>6=7=9lh01?6=:03:?x{e:1k1<7:53;4x 6702;2j7b<=d;29?l4113:17d=j:188m7c=831i>5750;194?6|,:;<69j4H26e?l7603:17d?>9;29?j7bj3:17pl=8683>6<729q/?<954d9K71`5<2900cvP=2e9>6=>=9lh0q~<99;297~X5>016>57510:897>028;37p}189~w7c=838pR?k4=3:4>47>3ty9484?:3y>6=?=9lh01?67:03;?xu50?0;6?u229595`d<5;2361?78t$234>7?73f89i7>5;h05=?6=3`9m6=44i3d94?=e:1l1<7=50;2x 6702=n0D>:i;h325;n3ff?6=3th94i4?:283>5}#;8=18h5G35d8m47?2900eed9K71`5<h5229g95`d53z\12<=::1l1=<64=3:g>47?3ty8j7>52z\0b>;50o0:=45rs3d94?4|V;l01?6k:03:?xu50k0;6?u229d95`d<5;2n65j51d`897>b28;27psm28494?2=;3?8:3;5?j45n3:17d<99;29?l272900e>>50;9a6<3=8391<7>t$234>1b<@:>m7d?>8;29?l7613:17b?jb;29?xd51:0;6>4?:1y'741=5<5<53;294~"49>0:ih5G35d8m47?2900e4:51d`8yv4113:1?vP=689>6<3=98201?7<:03;?xu383:1>vP;0:?1=0<6901v>>50;0xZ66<5;3864;51d`897?328;37p}=9383>7}::091=hl4=3;7>47>3twi>4m50;697?0|,:;<6?7l;n004?6=3`8=57>5;h62>5<?8:5f8L62a3`;:47>5;h32=?6=3f;nn7>5;|`1=<<72:0;6=u+30590`=O;=l0e2900ci3:1?7>50z&052<6ml1C?9h4i03;>5<5<52z\175=::0k1=hl4}r05=?6=;rT9:45228`954><5;32628;27p}=9683>7}::0h1=hl4=3;b>47?3ty9554?:3y>6l?50;194?6|,:;<69j4H26e?l7603:17d?>9;29?j7bj3:17pl=9g83>6<729q/?<954d9K71`5<2900cvP=309>6d6=9lh0q~<99;297~X5>016>l?510:897?a28;37p};2;296~X3:279m<4>189~w64=838pR><4=3;e>47>3ty95i4?:3y>6d7=9lh01?o?:03;?xu51l0;6?u228d95`d<5;k;6=83>1?78t$234>7g?3f88>7>5;h05=?6=3`>86=44i2194?=e:h=1<7=50;2x 6702=n0D>:i;h325;n3ff?6=3th9m84?:283>5}#;8=18h5G35d8m47?2900eed9K71`5<53z\12<=::h=1=<64=3c6>47?3ty??7>52z\77>;5i>0:=45rs2194?4|V:901?o::03:?xu5i:0;6?u22`595`d<5;k=6l;51d`897g128;27psm2`g94?2=;3?8:3cf?j44;3:17d<99;29?l512900e>:50;9a6db=8391<7>t$234>1b<@:>m7d?>8;29?l7613:17b?jb;29?xd5ik0;6>4?:1y'741=5<5<53;294~"49>0:ih5G35d8m47?2900elm51d`8yv4113:1?vP=689>6db=98201?om:03;?xu4>3:1>vP<6:?1ea<6901v>:50;0xZ62<5;ki6lj51d`897gd28;37p}=a`83>7}::hh1=hl4=3c`>47>3twi>nh50;194?6|,:;<6o6910;66g>1883>>i6mk0;66sm3e794?4=83:p(>?8:005?M53n2c:=:4?::m2ag<722wi?h>50;094?6|,:;<6<<9;I17b>o69>0;66a>ec83>>{e;ko1<7<50;2x 670288=7E=;f:k252<722e:io4?::a7f4=8381<7>t$234>4413A9?j6g>1683>>i6mk0;66sm3ba94?4=83:p(>?8:005?M53n2c:=:4?::m2ag<722wi?i?50;094?6|,:;<6<<9;I17b>o69>0;66a>ec83>>{e:<91<7=50;2x 67028on7E=;f:k25=<722c:=44?::m2ag<722wi=kl50;194?6|,:;<69j4H26e?l7603:17d?>9;29?j7bj3:17pl=5383>6<729q/?<951dg8L62a3`;:47>5;h32=?6=3f;nn7>5;|`0`1<72:0;6=u+30590c=O;=l0e2900c50z&052<202B88k5f10:94?=n9831<75f10c94?=n98h1<75`1d`94?=zj:ho6=4::183!56?3?37E=;f:k25=<722c:=44?::k25d<722c:=o4?::m2ag<722wi?n?50;194?6|,:;<69k4H26e?l7603:17d?>9;29?j7bj3:17pl1<729q/?<95539K71`5<5<53;294~"49>0:ih5G35d8m47?2900e5<3290;w)=>7;73?M53n2c:=54?::k25<<722c:=l4?::m2ag<722wi>8850;794?6|,:;<6884H26e?l7603:17d?>9;29?l76i3:17d?>b;29?j7bj3:17pl=5983>6<729q/?<954d9K71`5<N45<7s-9:;7?i1:J00c=n9821<75f10;94?=n98k1<75`1d`94?=zj;?h6=4;:183!56?3?:7E=;f:k25=<722c:=44?::k25d<722e:io4?::a60c=83>1<7>t$234>06<@:>m7d?>8;29?l7613:17d?>a;29?j7bj3:17pl=6183>6<729q/?<954e9K71`5<a348in77m;<3e=??e348?o77m;<5g>;64l4=3g5>n6189>60g=98301?;j:03b?84183;:56s|3ef94?4|V:no70=j0;3ff>{t;1i1<78t^2:`?85c<3;:46347?349hn7?>9:p7a4=838pR>j=;<1g1?7bj2wx?n750;0xZ6e>349ho7?jb:p77n;<1:e?46i2wx>h950;1xZ7c0348in7?n9:?113<69k1v:l50;`xZ2d<5;hi63a1`9>60>=98201?;n:03b?842k3;:m63=5d825==::?:1=<64}r1ab?6=:rT8nk523b095`d52z\0e`=:;kn1=189~w6b12909wS=k6:?0`c<69h1v?=::18b[44=279no4>a`9>6f`=9820147?348>o7?>9:?11`<6901v>j?:181[5c8278h<4>ec9~w7d22909wS1v?m;:181[4d<279ok4>ec9~w6de2909wS=mb:?0f`<6mk1v:j50;1x97de28ki709k:33b?842>3;:56s|22d94?2|5;?861c9>7fd=9820q~<;d;296~;5=;0:=55225g95`d52z?117<69016>8>51d`8yv4b>3:1?vP=d79>6`0=:8k01>mj:03:?xu4k90;6?u23b09541<5:i:65105896ba28oi7p}=4183>7}::=:1>47?3ty98n4?:3y>61e=:8k01?;<:03:?xu5jj0;6;u22c`95cc<5;<=6?ll;<046?4ek2798o4=bb9>5cd=98201?:j:03;?xu5jm0;68u22c`9656<5;<=6?lk;<046?4el2798o4=be9>606=9820q~i6?lj;|q110<72;q6>8851d`8970?28;37p}=bg83>6}::kh1>=:4=351>7da348?n7;63=4c8112=::<21=hl4=34;>47>3ty9o=4?:3y>6gd=:9<01?:m:3a3?xu5=00;6?u224c95`d<5;<36ol521:8972e2;i:7p}=5c83>1}::?<1>8l4=351>73e348?n7<:b:?11f<6mk1v?m=:18184ej38;m63=7381g7=z{;?o6=4<{<052?42l2798o4=5e9>60c=9lh0q~?i9;291~;5jk0:mi521g;964g<5;>n6199~w73a2908w0<96;06b>;552z?1fg<58m16>h85a19~w6dd2909w0=me;323>;4jm0:io5rs2ab>5<5s49hn7?jb:?0g`<6911v?;>:181843k33h70<:2;3ff>{t;0h1<7d6<5:3h6;7520c8971?2;<270<8e;05=>;50=09:45229c963?<5;3;6?86;<0:2?4112795n4=689>6d4=:?301?o7:34:?84fm38=563<9b8252=z{;7<9a:p5cg=838p11}::?<1>;94=351>700348?n7<97:?12=<6mk1v>mk:18185dk3;:;63:6=4={<074?g7348?n7<;1:p602=838p1?;;:33b?84dn3;:56s|27394?4|5;??6l>4=345>7063ty8h>4?:3y>7a3=98=01>j;:0ga?xu5;:0;6?uQ221897gb2;987)h5nh097p}=3383>7}Y::801?o7:311?!4aj38956`=f`80?xu5;80;6?uQ223897g52;9:7)h5nh0?7p}=3183>7}Y:::01?7l:313?!4aj38956`=f`86?xu5:o0;6?uQ23d897?12;8m7)h5nh0=7p}=2d83>7}Y:;o01?7?:30f?!4aj38956`=f`84?xu5:m0;6?uQ23f897>f2;8o7)h5nh037p}=2b83>7}Y:;i01?6;:30`?!4aj38956`=f`8:?xu5:k0;6?uQ23`8971b2;8i7)h5nh0j7p}=2683>7}Y:;=01?97:304?!4aj38956`=f`8a?xu403:1>vP<8:\16==#:oh1?:5a2gc94>{t;?0;6?uQ379>6dc=;?1/>kl5369m6cg=92wx?94?:2y]71=Y:;k01?oj:268 7`e2:=0b?hn:39~w65=838pR>=4=3c;>65<,;li6>94n3db>6=z{:81<7?50;0xZ67<5;3h6>?4$3da>617`<,;li6>94n3db>2=z{;o1<7f2;o0(?hm:258j7`f211v?j50;0xZ7b<5;2?6?j4$3da>617d<,;li6>94n3db>g=z{=91<7614=3;5>16<,;li6>94n3db>c=z{:l1<7;|q0`?6=:rT8h63=8580`>"5nk08;6`=f`826>{t;j0;6?uQ3b9>62c=;j1/>kl5369m6cg=9:1v>;50;0xZ63<5;=36>;4$3da>61:4<5zN014<6sA;ji6sC34096~h38:0?46*;0386?x"49>0:845f8g83>>o6;:0;66g>6`83>>o6:>0;66g<8b83>>i0m3:17d9m:188k40d2900e>oj:188m42f2900c<96:188m6ca2900e>j9:188k43a2900c?m;:188k6g22900c<7l:188k12=831d8n4?::`151<72k0:6ouC34395~N6il1v@>;=:0y'054=<2w/?<951018m=<50;9l652=831d>=850;9j5d>=831b=l750;9j5dg=831b=ll50;9j5de=831i=a983>>o6i00;66g>a`83>>o6ik0;66g>ab83>>o>m3:17b?ie;29?j4783:17bof83:17b<>a;29?l?e2900n:4?:283>5}#;8=1h45G35d8H63628q/=?;53gd8yl>f2900el>50;9l64g=831v4l50;0xZ03m6s|1d794?4|5>09=l5Q20c8yv7b>3:1>vPn0:?4>d653;397~J4=80:wE?ne:'741=lk1bm=4?::m15d<722c2n7>5;c594?5=83:p(>?8:e;8L62a3E9>=7?t$006>6`a3tc3m7>5;hc3>5<5<5sW3i70958`9~w4c22909w09520c8Z77f3ty:i;4?:3y]e5=:?3k;7psme783>1<52?8:5f8L62a3`;:47>5;h32=?6=3f;nn7>5;|`gb?6=;3:12900c47?3ty:mn4?:3y]5de<5l:1=<74}rg4>5<5sWo<70ji:03:?xucl3:1>vPkd:?gb?7bj2wxhh4?:3y>a5<6mk16hk4>199~yg`029036;4k{%123?`03`;j47>5;hf`>5<>da;3:1?7>50z&052<3m2B88k5f10:94?=n9831<75`1d`94?=zjlk1<7=50;2x 6702=o0D>:i;h325;n3ff?6=3thno7>53;294~"49>0?i6F<4g9j54>=831b=<750;9l5`d=831vnhk50;694?6|,:;<68?4H26e?l7603:17d?>9;29?l76i3:17b?jb;29?xda83:197>50z&052<2>2B88k5f10:94?=n9831<75f10c94?=n98h1<75`1d`94?=zjo81<7850;2x 67028l>7E=;f:k25=<722c:=44?::k25d<722c:=o4?::k25f<722e:io4?::p5d>=838pR47>3tyoo7>55z\gg>;a;3;:463ja;32<>;bm3;:563i0;32=>{tm>0;69uQe69>ad<69016in4>189>a`<6911v8:?fa?76i2wx=l750;0xZ4g>34l;652z\2eg=:n90:=55rsd:94?4|Vl201k<51d`8yvc>2909w0h<:0ga?8`528;37p}ja;296~;bi3;nn63i2;32=>{tmk0;6?u2eb82ag=:n;0:=l5rsdf94?4|5lo1=hl4=g0954d4ce34l96t$234>1c<@:>m7d?>8;29?l7613:17b?jb;29?xd5880;6>4?:1y'741=5<5<53;294~"49>0?i6F<4g9j54>=831b=<750;9l5`d=831vn?>::180>5<7s-9:;7:i;I17b>o6910;66g>1883>>i6mk0;66sm21594?5=83:p(>?8:0gf?M53n2c:=54?::k25<<722e:io4?::p`f<721q6hn4=1`9>a3189>655=98301?>::03:?847?3;:56s|e683>=}:m>09=l52e78f3>;a?3o<70?if;32=>;5880:=552211954><5;:>652z\2ed=:n>0:ml5rs0ca>5<5sW;jn63i7;3bf>{t9hi1<7=t^0c`?8c128kh70h8:0c`?xu>m3:1?vP6e:?gg??e34o<64l4}r3ea?6=:rT:jh521gd95`d52z\145=::9;1=hl4}r036?6=:rT952z\141=::9?1=hl4}r032?6=:rT9<;5221595`dd6<5l<1hi5rsd:94?4|5l=1m=52f68f<>{z{0h1<7vP=019>547=:9:0q~vP=059>547=:9>0q~vP>a99>547=9h20q~?n9;296~X6i016=vP>a`9>547=9hk0q~?nb;296~X6ik16=vP>ab9>547=9hi0qpl>3383>6<62:qG?8?51zJ2e`=zD:?96{#;8=1=o94i`294?=h:8k1<75f9c83>>d029086=4?{%123?b>3A9?j6B<5082!75=39mj6sf8`83>>of83:17b<>a;29?xu>j3:1>vP6b:?4>=g52z?4>77f3W8:m6s|1d494?4|Vh:01:4n0:~f4>?290>6?4:{M165?7|@8kn7pB<5382!27:3>0q)=>7;3a<>of83:17b<>a;29?l?e2900e4m50;9j=6<722h<6=4::183!56?3nj7E=;f:k;a?6=3`386=44i9c94?=ni90;66a=1`83>>{e;<:1<7?50;2x 67028;=7E=;f:m250<722wx5o4?:3y]=g=:?32j7p}6c;296~X>k27<65k4}r3f1?6=:r789=4>149>3??43ty:i;4?:3y>3?46i2T9=l5rs0g4>5<5sWk;7095a19~yg7c13:187<54zN014<6sA;ji6sC3409<~"38;0?7):?1;14b>"4n00j<6*"4nm09jn5a3g795>{#;8=1=o74i8`94?=ni90;66a=1`83>>o5nj0;66l8:180>4<4sE9>=7?tH0cf?x"49>0:n95fa183>>i59h0;66g6b;29?g1=8391<7>t$234>a?<@:>m7d6n:188md6=831d>{t9l?1<74}|`02<<72;0;6=u+3059570<@:>m7d?>7;29?j7bj3:17p}6b;296~X>j27<64l4}r02e?6=:rT9=l527;02e>{t:oi1<70j<63<6882ag=zuk336=4;:080I5293;pD;>7:4$522>61a3-9m57o?;%1ef?46i2.8j:46b:l0b6<63-9mh7b`9j=g<722cj<7>5;n02e?6=3`8mo7>5;c594?5=939p@>;>:0yK5dc5;h;a>5<0;6>4?:1y'741=l01C?9h4i9c94?=ni90;66a=1`83>>{t1k0;6?uQ9c9>3?>f3ty:i84?:3y>3?46i2T9=l5rs0g5>5<5sWk;7095a19~yv?e2909wS7m;<59=g=z{;;j6=4={_02e>;02;;j7p}=fb83>7}Y:oi01:4n0:~f1e=83?1>7;tL272>4}O9ho0qA=:2;cx 1652=1/8=?536d8 6`>2h:0(>hm:33b?!5a?33i7c=i3;38 6`?20i0b>h;:09'7cc=;8<0b>h9:09~ 67028hi7d7m:188m5<22;0>wA=:1;3xL4gb3t.8=:4>b59je5<722e9=l4?::k:f?6=3`3h6=44i8194?=e?3:197>50z&052>o>;3:17d6n:188md6=831d>2B88k5`10794?=z{0h1<7e483>7}:;<:1=<;4=68:7>{t9l<1<74}|`03=<72:0;6=u+30595`c<@:>m7d?>8;29?l7613:17b?jb;29?xu>j3:1>vP6b:?4>3:1?vP<179>3?g7349<47?>8:p721=838p1:46c:?03=<6mk1vqo?96;291?4==rF89<4>{I3ba>{K;<81=v*;0387?x"49>0:n55fa183>>i59h0;66g6b;29?l?d2900e4=50;9a3?6==3:1N45<6290;w)=>7;322>N4{t1j0;6?uQ9b9>3?>b3ty:i84?:3y>706=98?01:463:p5`0=838p1:4=1`9]64g52z\b4>;02h:0qpl>a283>0<52{#;8=1=o64i`294?=h:8k1<75f9c83>>o>k3:17d7<:188f2<72<0;6=u+3059`d=O;=l0e5k50;9j=6<722c3m7>5;hc3>5<7095929~w4c12909w09520c8Z77f3ty:i:4?:3y]e5=:?3k;7psm17a94?5=939p@>;>:0yK5dc>7?t$521>1=z,:;<65<50z&052>of83:17b<>a;29?xu>j3:1>vP6b:?4>=g52z?4>77f3W8:m6s|1d494?4|Vh:01:4n0:~f41>290>6?4:{M165?7|@8kn7pB<5382!27:3>0q)=>7;3a<>of83:17b<>a;29?l?e2900e4m50;9j=6<722h<6=4::183!56?3nj7E=;f:k;a?6=3`386=44i9c94?=ni90;66a=1`83>>{e;<:1<7?50;2x 67028;=7E=;f:m250<722wx5o4?:3y]=g=:?32j7p}6c;296~X>k27<65k4}r3f1?6=:r789=4>149>3??43ty:i;4?:3y>3?46i2T9=l5rs0g4>5<5sWk;7095a19~yg2329086<4<{M165?7|@8kn7pB<5382!27:3>0q)=>7;3g7>of83:17b<>a;29?l?e2900n:4?:283>5}#;8=1h45G35d8m=g=831bm=4?::m15d<722wx5o4?:3y]=g=:?32j7p}>e483>7}:?38:m6P=1`9~w4c12909wSo?;<59e5=zuk;>j7>55;091~J4=80:wE?ne:O704=9r.?5;h;`>5<f2900el>50;9l64g=831vn>;?:182>5<7s-9:;7?>6:J00c=h98?1<75rs8`94?4|V0h01:47a:p=f<72;qU5n527;:f?xu6m<0;6?u23429543<5>02?6s|1d494?4|5>09=l5Q20c8yv7b?3:1>vPn0:?4>d60}K;<;1=vF>ad9~H63528q/8=<54:'741=9k20el>50;9l64g=831b5o4?::k:g?6=3`386=44b683>0<729q/?<95d`9K71`>o?i3:17do?:188k77f2900qo=:0;295?6=8r.8=:4>179K71`6=44}r;a>5<5sW3i70958`9~w{t9l?1<747234=15>5rs0g5>5<5s4=1>0;6?uQa19>3?g73twi=k750;796?3|D:?:6=:59'057=;>l0(>h6:`28 6`e2;;j7)=i7;;a?k5a;3;0(>h7:8a8j6`3281/?kj52ga8j6`2291v(>?8:0``?l?e2900e4m50;9je5<722e9=l4?::k1bf<722h<6=4::386I5293;pD5;h;`>5<2480bc=za1o1<75f9283>>o?i3:17do?:188k77f2900qo=:0;295?6=8r.8=:4>179K71`6=44}r;a>5<5sW3i70958`9~w{t9l?1<747234=15>5rs0g5>5<5s4=1>0;6?uQa19>3?g73twi?:850;194?6|,:;<6o6910;66g>1883>>i6mk0;66s|9c83>7}Y1k16;77m;|q:g?6=:rT2o63<77825<=z{;;j6=4={_02e>;02;;j7p}=fb83>6}Y:oi01:4n0:?033<6911v>9::18181=1j16?:851d`8yxd60m0;684<:6yO707=9rB:mh5rL271>d}#<98186*;00803c=#;o31m=5+3g`964g<,:l<64l4n2d0>4=#;o215n5a3g695>"4nm09jn5a3g794>{#;8=1=oj4i8`94?=n1j0;66gn0;29?j46i3:17d7;tL272>4}O9ho0q)=>7;3a0>of83:17b<>a;29?l?e2900e4m50;9j=6<722h<6=4::183!56?3nj7E=;f:k;a?6=3`386=44i9c94?=ni90;66a=1`83>>{e;<:1<7?50;2x 67028;=7E=;f:m250<722wx5o4?:3y]=g=:?32j7p}6c;296~X>k27<65k4}r3f1?6=:r789=4>149>3??43ty:i;4?:3y>3?46i2T9=l5rs0g4>5<5sWk;7095a19~yg5113:1?7>50z&052<3l2B88k5f10:94?=n9831<75`1d`94?=zj:==6=4<:183!56?3;nj6F<4g9j54>=831b=<750;9l5`d=831v4l50;0xZ02n6s|9b83>7}Y1j16?:8510;8yvg72909wSo?;<15=?7612wx><5:==6k278;;4>ec9~yg1b290>6>48{M165?7|@8kn7pB<538b!27:3>0(9>>:25e?!5a13k;7)=ib;02e>"4n>02n6`50;9l64g=831b>km50;9a3?6==3819vB<5082M7fm2w/?<951c68md6=831d>5;h;0>5<0;684?:1y'741=lh1C?9h4i9g94?=n1:0;66g7a;29?lg72900c??n:188yg5283:1=7>50z&052<69?1C?9h4o036>5<03i6s|1d794?4|5:?;6e683>7}Yi916;7o?;|a73?=8391<7>t$234>1b<@:>m7d?>8;29?l7613:17b?jb;29?xd4??0;6>4?:1y'741=9ll0D>:i;h325;n3ff?6=3ty2n7>52z\:f>;020h0q~7l:181[?d349<:7?>9:pe5<72;qUm=5237;954?52z\15d=:?38:m6s|2ga94?5|V;lh70=99;32<>;4??0:=55rs24;>5<5s4=1m=5237;95`d52z?4>4103f;=j7>5;h02h6=44i04g>5<6=44i335>5<5<4290;w)=>7;6f?M53n2c:=54?::k25<<722e:io4?::a527=8391<7>t$234>4cb3A9?j6g>1983>>o6900;66a>ec83>>{e9>81<7=50;2x 6702=n0D>:i;h325;n3ff?6=3th:;94?:283>5}#;8=18h5G35d8m47?2900ef09K71`5<5<52z\22c=:9>?1=hl4}r02h6=4<{_6`?870>3;:463>70825==z{8;6??0:=45rs336>5<5sW8:963>74825<=z{;;=6=4={_022>;6?=0:=45rs011>5<5sW;8>63>75825==z{8=;6=4={<342?7bj27:;<4>189~w4c22909w0?81;3ff>;6?;0:=45rs0g5>5<5s4;<>7?jb:?230<6911v<9<:181870<3;nn63>74825d=zuk;3=7>54;192~"49>0:4<5`16a94?=n8983>>o4mo0;66l>8183>6<729q/?<954e9K71`5<N4;6?o0:io5rs5a94?5|V=i01<6?:03;?870m3;:46s|19:94?4|V82370?70;32=>{t;ll1<7{zj8<>6=4;:285!56?3;=96a>6183>>o3k3:17d?96;29?l5fm3:17o?94;297?6=8r.8=:4;d:J00c=n9821<75f10;94?=h9lh1<75rb041>5<4290;w)=>7;6e?M53n2c:=54?::k25<<722e:io4?::a535=8391<7>t$234>4cb3A9?j6g>1983>>o6900;66a>ec83>>{t9?:1<76}Y6783>7}Y9?<01<8;:03:?xu4il0;6?uQ3`g8940528;27p}>6083>7}:9?>1=hl4=040>47?3ty::?4?:3y>534=9lh01<8<:03:?x{e9h81<7:53;4x 67028k97b?6d;29?l2d2900e:180>5<7s-9:;7:k;I17b>o6910;66g>1883>>i6mk0;66sm18d94?5=83:p(>?8:5d8L62a3`;:47>5;h32=?6=3f;nn7>5;|`2e5<72:0;6=u+30595`c<@:>m7d?>8;29?l7613:17b?jb;29?xu61m0;6?uQ18f894g728oi7p};c;297~X3k27:m<4>199>5<`=9820q~?n3;296~X6i:16=l?510;8yv5c>3:1>vP5<`=9830q~?6e;296~;6i80:io521`2954>52z?2=c<6mk16=l>510;8yxd5ko0;694?:1y'741=9o80D>:i;h325;h32e?6=3f;nn7>5;|`0e3<72=0;6=u+305914=O;=l0e2900e:i;h325;n3ff?6=3th:jo4?:283>5}#;8=18i5G35d8m47?2900e5<4290;w)=>7;6f?M53n2c:=54?::k25<<722e:io4?::a5=e=83>1<7>t$234>4`63A9?j6g>1983>>o6900;66g>1`83>>i6mk0;66sm17g94?2=83:p(>?8:438L62a3`;:47>5;h32=?6=3`;:m7>5;n3ff?6=3th:484?:583>5}#;8=1=k=4H26e?l7603:17d?>9;29?l76i3:17b?jb;29?xd6?k0;6>4?:1y'741=5<5<53;294~"49>0:ih5G35d8m47?2900eed9K71`5<2900c7>50z&052<6:?1C?9h4i034>5<279K71`5<52;294~"49>0:>;5G35d8m4702900c93:1>7>50z&052<6:?1C?9h4i034>5<5<69rT3j63=158:f>;6;;02n63>898:f>;6l002n6368;;a?82d20h01<89:8`894g420h01<8l:8`8941>20h019:59c9>50`=1k16=4m59c9>5c?=1k16=5j59c9>3`<>j2wx=>=50;1xZ45434=n6l>4=0;2>4703ty::l4?:3y]53g<5;in6d652z\05<5sW=n709j:33b?xu0j3:14vP8b:?151<6i016=k752ga894>c2;lh709j:3d`?87?i3;:m63>6d825d=:91?1=<64}r35g?6=:rT::n5217a964g53z\0e`=:9??1?lk4=04;>4703ty:8l4?:9y]51g<5;;?6189>5=g=98i0184825<=z{8=26=4={_34=>;6?009=l5rs2ge>5<3sW9nj63>898b4>;60808ik523`4954g53z\0`3=:9h81?i84=0c6>4703ty:9k4?:3y]50`<58?m6??n;|q1g1<72;qU>n:4=3ae>4ce3ty8m84?:3y]7d3<5:k=677f3ty?87>52z\70>;3<38:m6s|4b83>f}Y<:51`:891e=:8k01<98:5a894>62=i01<8::5a894g52=i01<6n:03;?8?f28;270?7f;32=>;5kl0:=55216`954>52z?2ec9~w4?c2909w0?6c;c3?87f:3;2h6s|19;94?5|582364m4=0:b>4ce34;on7?>7:p52g=838p1<9m:0ga?87??3;:46s|12094?2|5;;?6339>5=`=9820q~?9d;296~;6?>0::i5217g95`d7`d343j6<:51``894`>2;;j70?7a;32=>;60o0:=l5217g954><582>6a:?7g??d3ty:hl4?:3y>5a?=:oi01<:5214894>028;27p}>8d83>6}:91n15n527d8:g>;60o0:io5rs044>5<5s4;=:7o?;<35a28;i70?63;3ff>{t9181<7q6><:51gg8912=i916=8h59b9>5<:5212894102;;=70?7c;32=>{t:8=1<7=t=337>76534;3o7?>a:?2=6<6901v??7:187846<38;863>76815==:9on1=<64=0;0>47?3ty:454?:3y>5=>=:8k01<6>:0:;?xu6nh0;6?u21g;9=f=:9oh1=hl4}r3g=?6=0f<49?16=;859b9>5d5=1j1v<89:181871>38:m63>648223=z{8<;6=4={<36b?g734;=97?90:p52e=838p1<96:`2894>628=h7p}>6g83>7}:9?i1m=52165953`52z?2<0<6mk16=:l510;8yv4dl3:1?v3=cg825d=::jo1=hl4=0dg>47>3ty:4;4?:3y>5=1=9lh01<6<:034?xu6i=0;6?u21`19e5=:9h?1=hl4}r3b7?6=:r7:m>4=1`9>5d4=9h90q~?7d;296~;60m09=l522bd954?{I3ba>{K;<81>v`;0286<>"38;0>7p*<16820==]:o31=v?8:|m276<722c8i44?::k0=a<722c:m:4?::m262<722e84n4?::kaa?6=3`9hj7>5;h35g?6=3f92?7>5;h:`>5<>d4=90;6<4?:1y'741=98<0D>:i;n321?6=3th:594?:g82>c}K;<;1=vF>ad9~H63528q/8=<53:'741=98>0e4l50;9l5cc=831d>=>50;9l654=831d>=:50;9l650=831d>=650;9l65g=831d>=m50;9j5d>=831b=l750;9j5dg=831b=ll50;9j5de=831b=lj50;9a547=83l1j7?9{M165?7|@8kn7p*<1682=1=n9h21<75f1`;94?=n9hk1<75f1``94?=n9hi1<75f1`f94?=n1l0;66a>fd83>>i5890;66a=0383>>i58=0;66a=0783>>i5810;66a=0`83>>i58j0;66lkc;297?7=;rF89<4>{I3ba>{#;8=1ho5fa183>>i59h0;66g6b;29?g1=8391<7>t$234>a?<@:>m7A=:1;3x 4422:lm7pg7a;29?lg72900c??n:188yv?e2909wS7m;<596=4={<5964ge783>7}Yi916;7o?;|aa2<72:0:6>uC34395~N6il1v(>?8:e`8md6=831d>5;n02e?6=3ty2n7>52z\:f>;021k0q~?j5;296~;02;;j7S<>a:p5`0=838pRl>4=68b4>{zjo21<7=51;1xH63628qC=lk4}%123?be3`k;6=44o33b>5<2480bc=za1k1<75fa183>>i59h0;66s|9c83>7}Y1k16;76n;|q2a0<72;q6;7<>a:\15d=z{8o=6=4={_c3?81=i91vqo??5;297?7=;rF89<4>{I3ba>{#;8=1ho5fa183>>i59h0;66g6b;29?g1=8391<7>t$234>a?<@:>m7A=:1;3x 4422:lm7pg7a;29?lg72900c??n:188yv?e2909wS7m;<596=4={<5964ge783>7}Yi916;7o?;|aa3<72<086:u+3059a3=nm>0;66g>0483>>ock3:17dh7:188kab=831ii?4?:283>5}#;8=18i5G35d8m47?2900e5<7s-9:;7:j;I17b>o6910;66g>1883>>i6mk0;66sme083>1<729q/?<951g38L62a3`;:47>5;h32=?6=3`;:m7>5;n3ff?6=3tyn;7>52z\f3>;b93;:46s|11794?5|V8:>70k=:03;?8ba28;37p}kc;296~Xck27n>7?>9:pb=<72;qUj552dg825<=z{mn1<7:03:?xucn3:1>v3kf;3ff>;b93;:m6srbg594?3=;3=p(>?8:g58m`1=831bhn4?::k240<722cm47>5;ng;>5<:i;h325;n3ff?6=3thnm7>53;294~"49>0?h6F<4g9j54>=831b=<750;9l5`d=831vnhm50;694?6|,:;<6;I17b>o6910;66g>1883>>o69h0;66a>ec83>>{tm>0;6?uQe69>aa<6901vim50;1xZae<5ln1=<64=dc954?52z\240=:mh0:=55rsg:94?4|Vo201hm510c8yvc?2909wSk7;4ce3tyn57>52z?f`?7bj27no7?>8:pad<72;q6il4>ec9>af<6901vqo??4;29059j5dg=831b=ll50;9j5de=831b=lj50;9jb=<722c:m44?::k240<722em57>5;cdf>5<1290;w)=>7;7a?M53n2c:=54?::k25<<722c:=l4?::k25g<722c:=n4?::m2ag<722wijo4?:283>5}#;8=18h5G35d8m47?2900e5<7s-9:;7?i1:J00c=n9821<75f10;94?=n98k1<75`1d`94?=z{8kj6=4={_3be>;am3;:46s|1``94?4|V8ki70hj:03:?xu6ij0;6?uQ1`a89cc=98k0q~?nd;296~X6im16jh4>1c9~wc>=839pRk64=gg954e<5oh1=<74}r3b=?6=:rT:m452fc825==z{8:>6=4={_331>;al3;:m6s|f883>7}Yn016ji4>ec9~wcg=838p1kk51d`89cb=9820q~hm:1818`e28oi70hk:03:?x{e99l1<7955;`x 67028:m7d??5;29?l`?2900eh950;9j`f<722c:m54?::k2e<<722e:<;4?::`24`<72:0;6=u+30590`=O;=l0e2900c50z&052<3m2B88k5f10:94?=n9831<75`1d`94?=zj8:j6=4<:183!56?3>n7E=;f:k25=<722c:=44?::m2ag<722wi==m50;694?6|,:;<68<4H26e?l7603:17d?>9;29?l76i3:17b?jb;29?xd68m0;684?:1y'741=9o>0D>:i;h325;h32e?6=3`;:n7>5;n3ff?6=3ty:<84?:3y]553<58:n60d825==:9921=<74}rg4>5<4sWo<70??8;32<>;68h0:=45rsea94?5|Vmi01<>n:03;?877k3;:46s|1`:94?4|V8k370??c;32e>{t9h31<7{t99=1<74ce34;;h7?>8:p55>=838p1<>7:0ga?877l3;:56s|11;94?4|58:j6{zj8lm6=4<:183!56?3>m7E=;f:k25=<722c:=44?::m2ag<722wi>=?50;194?6|,:;<69k4H26e?l7603:17d?>9;29?j7bj3:17pl=0283>6<729q/?<954d9K71`5<N41983>>o6900;66a>ec83>>{e:931<7=50;2x 6702=o0D>:i;h325;n3ff?6=3th95}#;8=18h5G35d8m47?2900e5c`=98301?>::03;?847?3;:56s|e683>2}:m>09=l52e78f3>;a?3o<70??f;g4?847;3;:463=04825<=::9h1=<74}rd;>5<>s4l36??n;c><5o=1j5521169b==:99l1j552213954><5;:86199~w4622902w0??5;02e>;b>3;;963i7;331>;68=0:<85211d9553<58lm6199>65?=9820q~?n8;296~X6i116==h51`:8yv7f13:1?vP>a89>552=9h301<>i:0c:?xu6ih0;6?uQ1`c8946328kj7p}>ac83>7}Y9hh01<>;:0ca?xu6ij0;6?uQ1`a8946328kh7p}>ae83>7}Y9hn01<>;:0cg?xu>m3:19vP6e:?gg??e34o<64l4=g:9=g=:99?15o5rs0df>5<5sW;mi63>fg82ag=z{;:;6=4={_034>;5880:io5rs321>5<5sW8;>63=0282ag=z{;:?6=4={_030>;58<0:io5rs325>5<5sW8;:63=0682ag=z{;:36=4={_03<>;5800:io5rs32b>5<5sW8;m63=0c82ag=z{mn1<77}:m>0j<63i7;g;?xua13:1>v3i8;c3?877<3l27p}>0783>7}:99?1m=5211d955052z\:f>;69802i6s|1gg94?4|V8ln70?>1;3ea>{t:9:1<71;036>{t:9>1<71;032>{t:921<71;03e>{t:9i1<71;3b<>{t9h31<71;3be>{t9hh1<71;3bg>{t9hn1<75<42;0?wA=:1;3xL4gb3tF89?4>{%636?55;h;a>5<0;6>4?:1y'741=l01C?9h4L272>4}#9;?1?kh4}h:b>5<6=4={<595<5s4=1>0;6?uQa19>3?g73twimh4?:282>6}K;<;1=vF>ad9~H63528q/8=<53:'741=9m90el>50;9l64g=831b5o4?::`4>5<4290;w)=>7;f:?M53n2c3m7>5;hc3>5<5<5sW3i70958`9~w4c22909w09520c8Z77f3ty:i;4?:3y]e5=:?3k;7psm33494?5=939p@>;>:0yK5dc>7?t$521>6=z,:;<65<50z&052>of83:17b<>a;29?xu>j3:1>vP6b:?4>=g52z?4>77f3W8:m6s|1d494?4|Vh:01:4n0:~f=0=8391=7=tL272>4}O9ho0qA=:2;3x 1652:1v(>?8:0f0?lg72900c??n:188m53;294~"49>0o56F<4g9j5;n02e?6=3ty2n7>52z\:f>;021k0q~?j5;296~;02;;j7S<>a:p5`0=838pRl>4=68b4>{zj;h96=4<:080I5293;pD;>7=4}%123?7c;2cj<7>5;n02e?6=3`3i6=44b683>6<729q/?<95d89K71`>i59h0;66s|9c83>7}Y1k16;76n;|q2a0<72;q6;7<>a:\15d=z{8o=6=4={_c3?81=i91vqook:180>7<3sE9>=7?tH0cf?xJ4=;0:w):?2;18y!56?3;i:6gn0;29?j46i3:17d7m:188f2<72:0;6=u+3059`<=O;=l0e5o50;9je5<722e9=l4?::a=c<72;0;6=u+3059570<@:>m7d?>7;29?j7bj3:17p}6b;296~X>j272j7?>7:p5`3=838p1:47a:?:b?7bj2wx=h850;0x92<59h1U>4=:5yO707=9rB:mh5rL271>4}#<981?6s+30595g05<7s-9:;7j6;I17b>o?i3:17do?:188k77f2900qo7i:181>5<7s-9:;7?=6:J00c=n98=1<75`1d`94?=z{0h1<73:1>v38:33b?[46i2wx=h950;0xZd6<5>0j<6srb9794?5=:3>p@>;>:0yK5dc>7?t$521>6=z,:;<65<50z&052>of83:17b<>a;29?xd>n3:1>7>50z&052<6:?1C?9h4i034>5<5<5sW3i707i:034?xu6m<0;6?u27;:b?8?a28oi7p}>e783>7}:?38:m6P=1`9~w4c02909wSo?;<59e5=zuk8i=7>53;090~J4=80:wE?ne:O704=9r.?5;c594?5=83:p(>?8:e;8L62a3`2j6=44i`294?=h:8k1<75rb8d94?4=83:p(>?8:005?M53n2c:=:4?::m2ag<722wx5o4?:3y]=g=:1o0:=:5rs0g6>5<5s4=14l529g82ag=z{8o=6=4={<5964ge683>7}Yi916;7o?;|a`3<72:0969uC34395~N6il1v@>;=:0y'054=;2w/?<951c48md6=831d>5<52z?4>=g<50l1=hl4}r3f2?6=:r7<6??n;_02e>{t9l=1<7=:29~ 67028n87do?:188k77f2900e4l50;9a3?6=;3:1N45;|q:f?6=:rT2n638:9c8yv7b=3:1>v38:33b?[46i2wx=h850;0xZd6<5>0j<6srbcd94?>=:3np@>;>:0yK5dc>76t$521>6=#<9;1?:j4$2:3>1633-9mn7<>a:&0b2<>j2d8j>4>;%1e`?4ak2d8j84>;|&052<6j;1Q444>{0c9y_4a138p=n4>e;j6cb=831b5o4?::k1bf<722e9>=4?:%027?46n2d9=?4?;:m15`<72-8:?7<>f:l157<632e9=i4?:%027?46n2d9=?4=;:m15f<72-8:?7<>f:l157<432e9=o4?:%027?46n2d9=?4;;:`4>5>i5:90;6)<>3;02b>h59;0;76a=1d83>!46;38:j6`=1382?>i59m0;6)<>3;02b>h59;0976a=1b83>!46;38:j6`=1380?>i59k0;6)<>3;02b>h59;0?76gn6;29 7742h?0b??=:198md2=83.9=>4n5:l157<632cj?7>5$330>d3of93:1(??<:`78j7752=10n:4?:480>2}K;<;1=vF>ad9~H63520q/8=?536d8 6`>2h:0(>hm:33b?!5a?33i7c=i3;38 6`c2;lh7c=i5;38 6`b2:;=7c=i6;38y!56?3;h=6g6b;29?lg72900c??n:188m6712900e?hl:188f2<72:0:6>uC34395~N6il1v(>?8:0`7?lg72900c??n:188m53;294~"49>0o56F<4g9j5;n02e?6=3ty2n7>52z\:f>;021k0q~?j5;296~;02;;j7S<>a:p5`0=838pRl>4=68b4>{zj:<26=4<:183!56?3>n7E=;f:k25=<722c:=44?::m2ag<722wi?:l50;194?6|,:;<6o6910;66g>1883>>i6mk0;66s|9c83>7}Y1k16;77m;|qb4?6=:rTj<63<7c825<=z{;;j6=4={_02e>;02;;j7p}<1783>7}Y;8<01>9m:03;?xu5nj0;6?uQ2ga8960>28;37p}<7`83>7}:;?31=<74=25a>4ce3ty8:54?:3y>3?g7349=57?jb:~f=<72=0968uC34395~N6il1v@>;=:6y'057=;>l0(>h6:`28 6`e2;;j7)=i7;;a?k5a;3;0(>hk:3d`?k5a=3;0q)=>7;3a=>o>j3:17do?:188k77f2900e?hl:188f2<72:0:6>uC34395~N6il1v(>?8:0`7?lg72900c??n:188m53;294~"49>0o56F<4g9j5;n02e?6=3ty2n7>52z\:f>;021k0q~?j5;296~;02;;j7S<>a:p5`0=838pRl>4=68b4>{zj:<26=4<:183!56?3>n7E=;f:k25=<722c:=44?::m2ag<722wx5o4?:3y]=g=:?33i7p}n0;296~Xf8278:44>189~w77f2909wS<>a:?4>77f3ty9jn4?:3y]6ce<5:<260}K;<;1=vF>ad9~H6352>q/8=?536d8 6`>2h:0(>hm:33b?!5a?33i7c=i3;38 6`c2;lh7c=i5;38y!56?3;i56g6b;29?lg72900c??n:188m7`d2900n:4?:282>6}K;<;1=vF>ad9~ 67028h?7do?:188k77f2900e4l50;9a3?6=;3:1N45;|q:f?6=:rT2n638:9c8yv7b=3:1>v38:33b?[46i2wx=h850;0xZd6<5>0j<6srb24:>5<4290;w)=>7;6f?M53n2c:=54?::k25<<722e:io4?::p=g<72;qU5o527;;a?xuf83:1>vPn0:?02<<6901v??n:181[46i27<6??n;|q1bf<72;qU>km4=24:>47?3ty8:54?:3y>3?g7349=57?jb:~f44=83>1>7;tL272>4}O9ho0qA=:2;5x 1662:=m7)=i9;c3?!5aj38:m6*h4n:0:7)=id;0eg>h4n<0:7p*<1682f<=n1k0;66gn0;29?j46i3:17d4}O9ho0q)=>7;3a0>of83:17b<>a;29?l?e2900n:4?:283>5}#;8=1h45G35d8m=g=831bm=4?::m15d<722wx5o4?:3y]=g=:?32j7p}>e483>7}:?38:m6P=1`9~w4c12909wSo?;<59e5=zuk9=57>53;294~"49>0?i6F<4g9j54>=831b=<750;9l5`d=831v4l50;0xZ02n6s|a183>7}Yi916?;7510;8yv46i3:1>vP=1`9>3?46i2wx>km50;0xZ7`d349=57?>8:p73>=838p1:4n0:?02<<6mk1vqo?<:187>7<2sE9>=7?tH0cf?xJ4=;0"4n00j<6*"4nm09jn5a3g795>{#;8=1=o74i8`94?=ni90;66a=1`83>>o5nj0;66l8:180>4<4sE9>=7?tH0cf?x"49>0:n95fa183>>i59h0;66g6b;29?g1=8391<7>t$234>a?<@:>m7d6n:188md6=831d>{t9l?1<74}|`02<<72:0;6=u+30590`=O;=l0e2900c28;27p}=1`83>7}Y:8k01:4=1`9~w7`d2909wS87:18181=i916?;751d`8yxd5:?0;6>4?:1y'741=9lo0D>:i;h325;n3ff?6=3ty9ji4?:2y]6cb<5>08=;52234954?3;;a?xu5nj0;6>uQ2ga892<5nj16>?8510:8yv45=3:19v37:3d`?8b=:oi01<<52ga8945=:oi01?<9:0ga?xu5:90;6?uQ2328945=:8k0(?hm:33e?k4ai3:0q~<>e;296~X59l16=?4=1`9'6cd=:8l0b?hn:09~w77c2909wS<>d:?g>77f3-8mn7<>f:l1bd<53ty9=n4?:3y]64e<5109=l5+2g`964`5rs33a>5<5sW8:n638:33b?!4aj38:j6`=f`87?xuf>3:1>vPn6:?27?g73-8mn7o:;o0ee?6h5nh097p}n2;296~Xf:2736l>4$3da>d35rs`394?4|Vh;01:4n0:&1bg4}O9ho0qA=:2;7x 1662:=27)=ia;`0?!27>320(>hl:3ga?!27=3=0q)=>7;3a4>\?138p=o4>9;Y6c?=:r;o6<85}n0e5?6=,;;86?h?;o026?6<3f8nj7>5$330>7`73g8:>7?4;n0fa?6=,;;86?h?;o026?4<3f8nh7>5$330>7`73g8:>7=4;n0fg?6=,;;86?h?;o026?2<3`h26=4+2019f==i:881<65fb683>!46;3h37c<>2;38?ld1290/><=5b99m644=:21bn84?:%027?d?3g8:>7=4;h`7>5<#:891n55a20090>=e9mo1<7=51;1xH63628qC=lk4}%123?7c02ci=7>5;h`1>5<5<42:0>w)=>7;16f>oe93:17dl=:188k7ce2900n>;7:180>5<7s-9:;7:j;I17b>o6910;66g>1883>>i6mk0;66sm34;94?5=83:p(>?8:5g8L62a3`;:47>5;h32=?6=3f;nn7>5;|`01d<72:0;6=u+30595`c<@:>m7d?>8;29?l7613:17b?jb;29?xue93:1?vPm1:?01=<69016?87510:8yvd52908wSl=;<16189~w7ce2909wS;8:18185203;nn63<5`825==z{:?36=4={<16=?7bj2789l4>189~yvd62909wSl>;<16f?d63tyi>7>52z\a6>;4=k0i>6s|2d`94?4|V;oi70=:b;0ff>{zj8nm6=4<:080I5293;pD5;c16f?6=;3919v*<16801g=nj80;66gm2;29?j4bj3:17o=:8;297?6=8r.8=:4;e:J00c=n9821<75f10;94?=h9lh1<75rb27:>5<4290;w)=>7;6f?M53n2c:=54?::k25<<722e:io4?::a70g=8391<7>t$234>4cb3A9?j6g>1983>>o6900;66a>ec83>>{tj80;6>uQb09>70>=98301>;6:03;?xue:3:1?vPm2:?01=<69116?87510;8yv4bj3:1>vP=ec9>70g=9lh0q~=:7;296~;4=10:io5234c954>47>52z?01<<6mk16?8o510;8yxue93:1>vPm1:?01g5<5sW8nn63<5c81ag=zuk;n<7>53;397~J4=80:wE?ne:'741=9m20eo?50;9jf7<722e9io4?::`01g<72:0868u+305970d>i5mk0;66l<5983>6<729q/?<954d9K71`5<N4{tj;0;6>uQb39>70>=98201>;6:03:?xu5mk0;6?uQ2d`8963f28oi7p}<5683>7}:;<21=hl4=27b>47?3ty8954?:3y>70?=9lh01>;n:03:?x{tj80;6?uQb09>70d=j81vo<50;0xZg4<5:?i6o<4}r0ff?6=:rT9io5234`96`d6}K;<;1=vF>ad9~ 67028n37dl>:188mg4=831d>hl50;9a70d=8391?7;t$234>63e3`h:6=44ic094?=h:lh1<75m34:94?5=83:p(>?8:5g8L62a3`;:47>5;h32=?6=3f;nn7>5;|`01<<72:0;6=u+30590`=O;=l0e2900c50z&052<6ml1C?9h4i03;>5<5<{t:lh1<728oi70=:a;32=>{z{k;1<7n7l=;|q1ag<72;qU>hl4=27a>7ce3twi?;750;194?6|,:;<69j4H26e?l7603:17d?>9;29?j7bj3:17pl<6c83>6<729q/?<954e9K71`5<N42B88k5f10594?=h9lh1<75rs24;>5<4s4;oj7l>;<15=?7bj278:o4>189~w60f2908w0?j0;`2?851j3;nn63<6e825<=z{:;<3f5?4bj2.9jo4=f19m6cg=82wx>hh50;0xZ7ca34;n<7ko51:p6`c=838pR?kj;<3gb?4bj2.9jo4=f19m6cg=:2wx>hj50;0xZ7cc34;oi7ko53:p6`e=838pR?kl;<0e2?7bj2.9jo4=f19m6cg=<2wxn44?:3y]f<=:9l;1n?5+2g`9f==i:ok1<6s|b683>6}Yj>16=h>5b39>73b=9820(?hm:c:8j7`f281vo850;1xZg0<58nm6o<4=24a>47?3-8mn7l7;o0ee?46=4<{_`6?87cm3h970=99;32<>"5nk0i46`=f`80?xue<3:18vPm4:?2``189>6c0=98=0(?hm:c:8j7`f2=1vq~3-8mn7<>f:l1bd<73ty9=h4?:2y]64c<5>09=h521ef9f2=#:oh1>4=z{;;o6=4<{_02`>;02;;o70?kd;`5?!4aj38:j6`=f`81?xu59j0;6>uQ20a892<59j16=ij5b49'6cd=:8l0b?hn:29~w77e2908wS<>b:?4>77e34;oh7l;;%0ef?46n2d9jl4;;|q026<72;q6;7o9;<3g`?4a92.9jo4<639m6cg=82wx?;?50;0x925ab=:lo0(?hm:241?k4ai380q~=:f;296~;02h8016`=f`80?xu4=l0;6?u27;c2?87cl38no6*=fc8027=i:ok186srbe294?5=939p@>;>:0yK5dc>7?t$521>6=z,:;<65<50z&052>{t1k0;6?uQ9c9>3?>f3ty:i84?:3y>3?46i2T9=l5rs0g5>5<5sWk;7095a19~yg5b93:197=56zN014<6sA;ji6sC3409e~"38;087):?1;14b>"4n00j<6*"4n102o6`0:o>5f9c83>>o>k3:17do?:188k77f2900e?hl:188f2<72<0968uC34395~N6il1v(>?8:0`7?lg72900c??n:188m:i;h:f>5<>of83:17b<>a;29?xd4=90;6<4?:1y'741=98<0D>:i;n321?6=3ty2n7>52z\:f>;021k0q~7l:181[?d34=14h5rs0g6>5<5s49><7?>5:?4><552z?4>77f3W8:m6s|1d594?4|Vh:01:4n0:~f60>29096=4?{%123?75>2B88k5f10594?=h9lh1<75rb255>5<4290;w)=>7;3fb>N4{t1j0;6?uQ9b9>720=9820q~<>a;296~X59h16;7<>a:p6ce=839pR?hl;<15=?76?278;;4>189~w60?2909w095a19>73?=9lh0q~=85;296~;020i01>99:0ga?x{e9;=1<7=51;1xH63628qC=lk4}M166?7|,=:96>5r$234>4b43`k;6=44o33b>5<j27<65o4}r3f1?6=:r7<6??n;_02e>{t9l<1<7=:29~ 67028n87do?:188k77f2900e4l50;9a3?6=;3:1N45;|q:f?6=:rT2n638:9c8yv7b=3:1>v38:33b?[46i2wx=h850;0xZd6<5>0j<6srb010>5<42808wA=:1;3xL4gb3tF89?4>{%636?55;h;a>5<0;6>4?:1y'741=l01C?9h4i9c94?=ni90;66a=1`83>>{t1k0;6?uQ9c9>3?>f3ty:i84?:3y>3?46i2T9=l5rs0g5>5<5sWk;7095a19~yg5f:3:1?7<54zN014<6sA;ji6sC34095~"38;087p*<1682f3=ni90;66a=1`83>>o>j3:17o950;194?6|,:;<6i74H26e?l>f2900el>50;9l64g=831vn4h50;094?6|,:;<6<<9;I17b>o69>0;66a>ec83>>{t1k0;6?uQ9c9>=c<69>1vec9~w4c12909w09520c8Z77f3ty:i:4?:3y]e5=:?3k;7psm3`594?5=939p@>;>:0yK5dc>7?t$521>6=z,:;<65<50z&052>of83:17b<>a;29?xu>j3:1>vP6b:?4>=g52z?4>77f3W8:m6s|1d494?4|Vh:01:4n0:~f6>d29086?4;{M165?7|@8kn7pB<5382!27:390q)=>7;3a2>of83:17b<>a;29?l?e2900n:4?:283>5}#;8=1h45G35d8m=g=831bm=4?::m15d<722wi5k4?:383>5}#;8=1=?84H26e?l76?3:17b?jb;29?xu>j3:1>vP6b:?:b?76?2wx=h;50;0x9252z\b4>;02h:0qpl6<52=qG?8?51zJ2e`=zD:?96{#;8=1=o84i`294?=h:8k1<75f9c83>>d029086=4?{%123?b>3A9?j6g7a;29?lg72900c??n:188yg?a29096=4?{%123?75>2B88k5f10594?=h9lh1<75rs8`94?4|V0h014h51058yv7b=3:1>v38:9c89<`=9lh0q~?j6;296~;02;;j7S<>a:p5`1=838pRl>4=68b4>{zj:n=6=4<:387I5293;pD;>7=4}%123?7e>2cj<7>5;n02e?6=3`3i6=44b683>6<729q/?<95d89K71`>i59h0;66sm9g83>7<729q/?<951348L62a3`;:;7>5;n3ff?6=3ty2n7>52z\:f>;>n3;:;6s|1d794?4|5>03m636f;3ff>{t9l<1<74}|`26c<720086ou+305957`5<5<5<5<5<4290;w)=>7;6g?M53n2c:=54?::k25<<722e:io4?::a57e=8391<7>t$234>1c<@:>m7d?>8;29?l7613:17b?jb;29?xd6:m0;6:4?:1y'741=9o<0D>:i;h325;h32e?6=3`;:n7>5;h32g?6=3`;:h7>5;n3ff?6=3ty:>l4?:3y]57g<588o647?3ty:;54?:3y]52><588n647>3ty:5:4?:3y]5<1<588o647e3ty:544?:3y]547>3ty:>54?:3y]57><588h62b83>7}:9;i1=hl4=00g>47c3twi8o4?:981><}#;8=18o5`4683>>o61<0;66g>7983>>o61?0;66g>9683>>o6110;66g>9883>>o61h0;66l;a;297?6=8r.8=:4;d:J00c=n9821<75f10;94?=h9lh1<75rb5;94?1=83:p(>?8:0d5?M53n2c:=54?::k25<<722c:=l4?::k25g<722c:=n4?::k25a<722e:io4?::p02<72;qU8:524882ag=z{83>6=4={_3:1>;3i3;:46s|16:94?4|V8=370:n:03:?xu61?0;6?uQ184891?=9830q~?67;296~X61>16844>1`9~w4??2909wS?68:?7=?76j2wx=4750;0xZ4?>34>2636=4={<6b>4ce34>264?::k2=2<722c:554?::k2=<<722c:5l4?::k26=<722c8594?::k203<722h:?;4?:283>5}#;8=18i5G35d8m47?2900e5<4290;w)=>7;6g?M53n2c:=54?::k25<<722e:io4?::a56d=8391<7>t$234>1b<@:>m7d?>8;29?l7613:17b?jb;29?xd6;m0;6>4?:1y'741=5<5<53;294~"49>0?i6F<4g9j54>=831b=<750;9l5`d=831vn<:?:180>5<7s-9:;7?je:J00c=n9821<75f10;94?=h9lh1<75rb062>5<4290;w)=>7;6g?M53n2c:=54?::k25<<722e:io4?::a515=8391<7>t$234>1c<@:>m7d?>8;29?l7613:17b?jb;29?xd6<=0;6:4?:1y'741=9o<0D>:i;h325;h32e?6=3`;:n7>5;h32g?6=3`;:h7>5;n3ff?6=3ty:?94?:3y]562<58>?647?3ty:?>4?:6y]565<589=6189>56d=98301<=i:03:?873;3;:56s|18594?4|V83<70?<7;32<>{t9021<7{t90k1<7;6;o0:=55rs2;7>5<5sW92863>3e825==z{8>=6=4={_372>;6<:0:=55rs016>5<5s4;8:7?jb:?201<6911v<=8:181874?3;nn63>45825<=z{8936=4={<30=?7bj27:894>1`9~w45f2909w0?;6<=0:=o5rs01`>5<5s4;8h7?jb:?205<6911v<=j:181874n3;nn63>41825<=z{8o>6=4={<374?7bj27:8<4>189~w4c12909w0?;1;3ff>;6<=0:=n5rs061>5<5s4;??7?jb:?201<69m1vqo5<4290;w)=>7;6g?M53n2c:=54?::k25<<722e:io4?::a<1<72:0;6=u+30590a=O;=l0e2900c1983>>o6900;66a>ec83>>{ek>0;6>4?:1y'741=5<5<n7E=;f:k25=<722c:=44?::m2ag<722wih?4?:283>5}#;8=18h5G35d8m47?2900e=831b=<750;9l5`d=831vnnl50;494?6|,:;<68m4H26e?l7603:17d?>9;29?l76i3:17d?>b;29?l76k3:17b?jb;29?xddl3:1:7>50z&052<212B88k5f10:94?=n9831<75f10c94?=n98h1<75f10a94?=h9lh1<75rbe694?0=83:p(>?8:4`8L62a3`;:47>5;h32=?6=3`;:m7>5;h32f?6=3`;:o7>5;n3ff?6=3th8i;4?:783>5}#;8=1945G35d8m47?2900e=831b=<750;9j54g=831b=5<7s-9:;7:k;I17b>o6910;66g>1883>>i6mk0;66sm15594?1=83:p(>?8:0d5?M53n2c:=54?::k25<<722c:=l4?::k25g<722c:=n4?::k25a<722e:io4?::a7db=83=1<7>t$234>4`13A9?j6g>1983>>o6900;66g>1`83>>o69k0;66g>1b83>>o69m0;66a>ec83>>{e;h31<7=50;2x 6702=n0D>:i;h325;n3ff?6=3th85?4?:783>5}#;8=1=k;4H26e?l7603:17d?>9;29?l76i3:17d?>b;29?l76k3:17b?jb;29?xd4j10;6>4?:1y'741=9lo0D>:i;h325;n3ff?6=3th8n?4?:283>5}#;8=18h5G35d8m47?2900ef59K71`5<5<N41983>>o6900;66a>ec83>>{ej90;6?4?:1y'741=9;<0D>:i;h323?6=3f;nn7>5;|`06=<72;0;6=u+3059570<@:>m7d?>7;29?j7bj3:17pl78;296?6=8r.8=:4>279K71`5<52;294~"49>0:>;5G35d8m4702900c7>50z&052<6:?1C?9h4i034>5<279K71`5<52;294~"49>0:>;5G35d8m4702900c7>50z&052<6:?1C?9h4i034>5<52121964g<58>>6<=<;|q0a<<72;qU?h74=20;>4703ty85i4?:3y]7<5:h9677f349n87?>9:p7=e=838pR>6l;<1;g?46i2wxnh4?:3y]f`=:l?0j<6s|3bd94?4|V:im70{t9?i1<77}Y;0901>;?:036?xu?k3:18vP7c:?2=1<6i016h;46b:?26<<6911v5l50;34[>e34;2877m;<34;?=33i70`5<>j278i<46b:?262<>j27?877m;<307??e349j>77m;<1b3??e3493o77m;<1ba??e349o:77m;|q0e`<72;qU?lk4=2cf>77f3ty::44?:3y]53?<5:h?677f3ty:m;4?:3y]5d0<5:nj66?33423677f3ty8m:4?:3y]7d1<5:k<6??n;|q70?6=:rT?863;4;02e>{t;l;1<7=t^2g2?85b938:m63k2;32<>{tl:0;6?u2d3825<=:l=0:io5rs2c`>5<5s49jh7?jb:?0e<<6901vll50;1x94?328kj70ol:0ga?87493;:46s|3e;94?4|5:nj6`3<59h16h:4n0:?`=?7602wx??;50;0x96422;;j70==4;32=>{t9::1<74ce34;957?>9:p3`<72:q6=4:51`a89=2=9lh01<=>:03b?xuc93:1>v3k0;c3?8b528oi7p}<2783>7}:;;<1>47?3tyjj7>53z?ba?g734ko6l>4=c295`d7`d34i<6189>gc<6mk1v>7>:18185>:3;nn63<918252=z{1?1<71=<74}r1f7?6=:r78i<4=fb9>7`2=9lh0q~j8:1818b02;;j70m6:03:?xu4j80;6?u23c095`d<5:hj6{t0?0;6?u287815d=:0=0:=55rs2c0>5<5s49j>7o?;<1b0?7bj2wxh=4?:2y>5<2=9hh01i>520c8945628;27p}>7983>1}:90>1=l64=05;>77f34;9j7?88:?7f?7002wxmh4?:3y>e`<59h16mn4>199~w4532909w0?<3;c3?873=3;886s|2c394?4|5;h:6??n;<0a4?7612wx?l650;0x96g>28oi70=nb;323>{t;;=1<7=t=205>d6<5:8>6l>4=20;>4ce3ty:>l4?:3y>571=i916=?h513c8yv4e:3:1>v3=b3815d=::k:1=<64}r117?6=:r78>94>ec9>7`2=98k0q~:8:1818232h:019l5469~wfg=839p1nl51d`89f`=98201>k7:034?xudk3:1>v3ld;3ff>;dn3;:56s|18794?3|583?6=27?n7?65:?200<61<16=99510:8yv7>>3:1;v3>958145=:9;l1=484=5`95<0<58><6199>7g>=9820q~?67;29<~;61=094?034;?;7?>a:?0ea<69016?4<510;896d?28;27p}>9983>=}:90>1>=:4=00e>4??34>i6<77;<371?7>027:8:4>1c9>7db=98k01>7=:03b?85ei3;:56s|18;94??|583?6?>9;<31b?7>127?n7?69:?200<61016?l:510:8942028;h70=nd;32f>;41;0:=o523cc954g59z?2=1<58116=?h518c891d=90k01<:::0;b?85f<3;:563>46825a=:;hn1=47d349im7?>b:p54=2f`>4ce3ty9n>4?:2y>6g4=i916>o?5a19>6g2=9lh0q~=7f;296~;40j0j<63<9182ag=z{1=1<7=t=949e5=:0<0j<6378;3ff>{t;l=1<76}:;kk1=hl4=2`7>47>349om7?>9:p7g3=838p1>oj:`2896d128oi7p}=ag83>6}:90>1=lj4=3`3>4ce34;8=7?>b:p57>=839p1<2882ag=z{8>=6=4={<371?73>27:8:4>ec9~w6gf2909w0=n7;c3?85fj3;nn6s|3d794?4|5:o?6fc<5:916oo4>1b9>ga<69j16h94>1b9>7`0=9820(?hm:b68j7`f291vn=50;7x9g`=:8o01nl510`89fb=98201i:510`896c128;27)3;:o6*=fc8`0>h5nh097p}l1;291~;en38:o63lb;32=>;dl3;:m63k4;32=>;4m?0:=l5+2g`9g1=i:ok1?6s|c183>0}:jo09=o52cc825==:km0:=o52d5825==:;l<1=f2;=:3ym055=>:1/8=<55:'741=1=1Q>k751z0;>xi5m00;66g=d783>>i5m>0;66gk8;29?j5603:17b=>d;29?l>c2900n?j8:18:>7<69rF89<4>{I3ba>{K;<81:v*;0383?!279394d43S2265<5<#:891>?=4n331>4=5<#:891>?=4n331>6=5<#:891>?=4n331>0=5<#:891>?=4n331>2=0;6;=7=8f:&0b<0V5752z06>75=uS8m57!46;389?6`=1383?>i5:;0;6)<>3;017>h59;0:76a=2083>!46;389?6`=1381?>i5:90;6)<>3;017>h59;0876a=1d83>!46;389?6`=1387?>i59m0;6)<>3;017>h59;0>76a=1b83>!46;389?6`=1385?>i59k0;6)<>3;017>h59;0<76gna;29 7742h30b??=:198md>=83.9=>4n9:l157<632cj;7>5$330>d?of<3:1(??<:`;8j7752=10el=50;&1563=h59;0<76l8:180>4<4sE9>=7?tH0cf?x"49>0:o85fa183>>i59h0;66g6b;29?g1=8391<7>t$234>a?<@:>m7A=:1;3x 4422:lm7pg7a;29?lg72900c??n:188yv?e2909wS7m;<596=4={<5964ge783>7}Yi916;7o?;|a5;n02e?6=3ty2n7>52z\:f>;021k0q~?j5;296~;02;;j7S<>a:p5`0=838pRl>4=68b4>{zjm0;6>4>:2yO707=9rB:mh5r$234>4e03`k;6=44o33b>5<2480bc=za1k1<75fa183>>i59h0;66s|9c83>7}Y1k16;76n;|q2a0<72;q6;7<>a:\15d=z{8o=6=4={_c3?81=i91vqo?=:180>4<4sE9>=7?tH0cf?x"49>0:o55fa183>>i59h0;66g6b;29?g1=8391<7>t$234>a?<@:>m7A=:1;3x 4422:lm7pg7a;29?lg72900c??n:188yv?e2909wS7m;<596=4={<5964ge783>7}Yi916;7o?;|a56<72:0:6>uC34395~N6il1v(>?8:0a:?lg72900c??n:188m53;294~"49>0o56F<4g9O707=9r.:>84e483>7}:?38:m6P=1`9~w4c12909wSo?;<59e5=zuk;?6=4<:080I5293;pD5;c594?5=83:p(>?8:e;8L62a3E9>=7?t$006>6`a3tc3m7>5;hc3>5<5<5sW3i70958`9~w4c22909w09520c8Z77f3ty:i;4?:3y]e5=:?3k;7psm1g83>6<62:qG?8?51zJ2e`=z,:;<65<50z&052>{t1k0;6?uQ9c9>3?>f3ty:i84?:3y>3?46i2T9=l5rs0g5>5<5sWk;7095a19~yg4729086<4<{M165?7|@8kn7p*<1682ga=ni90;66a=1`83>>o>j3:17o950;194?6|,:;<6i74H26e?I5293;p(<<::2de?xo?i3:17do?:188k77f2900q~7m:181[?e34=14l5rs0g6>5<5s4=1>3?g73twx5o4?:8y]=g=:?33i70659c9>`??e34;964l4=019=g=:9=02n63>f;;a?84720h0q~<=4;296~X5:=16>=4=1`9'6cd=:;90b?hn:19~w7452909wS<=2:?2b?46i2.9jo4=229m6cg=92wx>??50;0xZ74634;?6??n;%0ef?45;2d9jl4=;|q165<72;qU>?>4=01964g<,;li6?<<;o0ee?552z\15`=:9;09=l5+2g`96755<5sW8:h63k:33b?!4aj389?6`=f`86?xu59j0;6?uQ20a89=<59h1/>kl52318j7`f2?1v??m:181[46j27<6??n;%0ef?45;2d9jl48;|qbe?6=:rTjm63=0;c3?!4aj3k27cd6<,;li6l74n3db>4=z{h=1<7kl5a89m6cg=:2wxm;4?:3y]e3=:9:0j<6*=fc8b=>h5nh087p}n4;296~Xf<27:>7o?;%0ef?g>3g8mm7:4}rc0>5<5sWk870j5a19'6cd=i01e>ko55:pe7<72;qUm?528;c3?!4aj3k27c;<59e5=#:oh1m45a2gc93>{zj8no6=4>0;g953}K;<;1=vF>ad9~H63529:99'7ce=:lh0(9>::69~ 67028h:7W66:3y12?432tP9j44={3;9676=4+20196c25<#:891>k:4n331>4=5<#:891>k:4n331>6=5<#:891>k:4n331>0=5<#:891>k:4n331>2=h59;0;76gmb;29 7742ki0b??=:098mgg=83.9=>4mc:l157<532ci57>5$330>ge54ic594?"59:0io6`=1387?>oe>3:1(??<:ca8j7752<10eo;50;&1562=5;c16f?6=;3919v*<16801g=nj80;66gm2;29?j4bj3:17o=:8;297?6=8r.8=:4;e:J00c=n9821<75f10;94?=h9lh1<75rb27:>5<4290;w)=>7;6f?M53n2c:=54?::k25<<722e:io4?::a70g=8391<7>t$234>4cb3A9?j6g>1983>>o6900;66a>ec83>>{tj80;6>uQb09>70>=98301>;6:03;?xue:3:1?vPm2:?01=<69116?87510;8yv4bj3:1>vP=ec9>70g=9lh0q~=:7;296~;4=10:io5234c954>47>52z?01<<6mk16?8o510;8yxue93:1>vPm1:?01g5<5sW8nn63<5c81ag=zuk;oj7>53;397~J4=80:wE?ne:'741=9m20eo?50;9jf7<722e9io4?::`01g<72:0868u+305970d>i5mk0;66l<5983>6<729q/?<954d9K71`5<N4{tj;0;6>uQb39>70>=98201>;6:03:?xu5mk0;6?uQ2d`8963f28oi7p}<5683>7}:;<21=hl4=27b>47?3ty8954?:3y>70?=9lh01>;n:03:?x{tj80;6?uQb09>70d=j81vo<50;0xZg4<5:?i6o<4}r0ff?6=:rT9io5234`96`d6}K;<;1=vF>ad9~ 67028n37dl>:188mg4=831d>hl50;9a70d=8391?7;t$234>63e3`h:6=44ic094?=h:lh1<75m34:94?5=83:p(>?8:5g8L62a3`;:47>5;h32=?6=3f;nn7>5;|`01<<72:0;6=u+30590`=O;=l0e2900c50z&052<6ml1C?9h4i03;>5<5<{t:lh1<728oi70=:a;32=>{z{k;1<7n7l=;|q1ag<72;qU>hl4=27a>7ce3twi=h?50;195?5|D:?:64:{%123?52j2ci=7>5;h`1>5<5<4290;w)=>7;6f?M53n2c:=54?::k25<<722e:io4?::a70?=8391<7>t$234>1c<@:>m7d?>8;29?l7613:17b?jb;29?xd4=h0;6>4?:1y'741=9lo0D>:i;h325;n3ff?6=3tyi=7>53z\a5>;4=10:=45234;954>;4=h0:io5rs274>5<5s49>47?jb:?01d<6911v>;7:18185213;nn63<5`825<=zuzh:6=4={_`2?852j3h:7p}m2;296~Xe:2789o4m2:p6`d=838pR?km;<16f?4bj2wvn4<4sE9>=7?tH0cf?x"49>0:h55fb083>>oe:3:17b5;c16N429086=4?{%123?2b3A9?j6g>1983>>o6900;66a>ec83>>{e;47?3tyi>7>53z\a6>;4=10:=55234;954?52z\1ag=:;ec9>70g=9820q~=:8;296~;4=00:io5234c954?52z\a5>;4=k0i=6s|b383>7}Yj;16?8l5b39~w7ce2909wS{I3ba>{#;8=1=i64ic394?=nj;0;66a=ec83>>d4=k0;6>4<:4y'741=;2900c50z&052<3m2B88k5f10:94?=n9831<75`1d`94?=zj:?j6=4<:183!56?3;ni6F<4g9j54>=831b=<750;9l5`d=831vo?50;1xZg7<5:?3647>3ty9io4?:3y]6`d<5:?j67}:;<31=hl4=27b>47>3twxn<4?:3y]f4=:;;m:c08yv4bj3:1>vP=ec9>70d=:lh0qpl>e583>6<62:qG?8?51zJ2e`=z,:;<65<:188mg4=831d>hl50;9a70>=8391<7>t$234>1c<@:>m7d?>8;29?l7613:17b?jb;29?xd4=00;6>4?:1y'741=5<5<m7>53;294~"49>0:ih5G35d8m47?2900e:180[d6349>47?>9:?01<<6911vo<50;1xZg4<5:?36hl50;0xZ7ce349>m7?jb:p701=838p1>;7:0ga?852i3;:46s|34:94?4|5:?265<5sWh970=:b;`1?xu5mk0;6?uQ2d`8963e2;oi7psm37;94?5=83:p(>?8:5f8L62a3`;:47>5;h32=?6=3f;nn7>5;|`02g<72:0;6=u+30590a=O;=l0e2900c50z&052<3l2B88k5f10:94?=n9831<75`1d`94?=zj:o7E=;f:k25=<722c:=44?::m2ag<722wi?:?50;194?6|,:;<69j4H26e?l7603:17d?>9;29?j7bj3:17pl<7283>6<729q/?<954e9K71`5<e08a5>;4>m0:io5237d954?53z?2a7ec9>727=9830q~=80;297~;6m:0i=63<7082ag=:;>91=<74}r146?6=:r7:i94m1:?036<6mk1v?h::181[4a=27:i94=ec9'6cd=:o>0b?hn:19~w7`42909wSkl52g68j7`f281v?h=:181[4a:27:i?4=ec9'6cd=:o>0b?hn:39~w7`62909wSkl52g68j7`f2:1v?ki:181[4bn27:i=4=ec9'6cd=:o>0b?hn:59~w7cb2909wSkl52g68j7`f2<1v?kk:181[4bl27:hh4=ec9'6cd=:o>0b?hn:79~w7cd2909wSkl52g68j7`f2>1voj50;0xZgb<58o?6o<4$3da>ge7l=;<145?7602.9jo4mc:l1bd<53tyi57>53z\a=>;6m80i>63<6g825==#:oh1nn5a2gc97>{tj>0;6>uQb69>5`6=j;16?;j510:8 7`e2ki0b?hn:59~wg0=839pRo84=0fe>g4<5:5<4sWh>70?ke;`1?85113;:46*=fc8ag>h5nh0=7p}m4;290~Xe<27:hh4m1:?02<<69016>k851058 7`e2ki0b?hn:69~yv?e2909wS7m;<59=g=z{;8?6=4<{_010>;02;8?70?kd;`g?!4aj389?6`=f`83?xu5:;0;6>uQ230892<5:;16=ij5bc9'6cd=:;90b?hn:09~w7462908wS<=1:?4>74634;oh7ln;%0ef?45;2d9jl4=;|q165<72:qU>?>4=68165=:9mn1n45+2g`96755rs33f>5<4sW8:i638:33f?87cl3h<7)h5nh0?7p}=1e83>6}Y:8n01:4=1e9>5ab=j?1/>kl52318j7`f2<1v??l:180[46k27<6??l;<3g`?d23-8mn7<=3:l1bd<13ty9=o4?:2y]64d<5>09=o521ef9f1=#:oh1>?=4n3db>2=z{:<<6=4={<59ed=:9mn1>k;4$3da>6013g8mm7>4}r151?6=:r7<6l64=0fg>7`43-8mn7=96:l1bd<63ty8:94?:3y>3?g034;oh7?1e>ko52:p735=838p1:4n6:?2`a<5n81/>kl53748j7`f2:1v>8>:18181=i=16=ij52dd8 7`e2:<=7cv38:`1894bc2;on7)h5nh0>7p}<5g83>7}:?3k970?kd;0f`>"5nk08:;5a2gc92>{t;;6lm09in5+2g`9730;=7=8f:&0b<k2d8j94>;%1e`?4ak2d8j84?;|&052<6kl1b5o4?::k:g?6=3`k;6=44o33b>5<0<525<t$234>ag<@:>m7A=:1;3x 4422:lm7pg7e;29?l?42900e5o50;9je5<722e9=l4?::a706=83;1<7>t$234>4713A9?j6a>1483>>{t1k0;6?uQ9c9>3?>f3ty2o7>52z\:g>;021o0q~?j5;296~;4=90:=8527;;0?xu6m?0;6?u27;02e>X59h1v2900c7}Y:8k01:4=1`9~w7`d2908wSd6<5:==6?7:186>7<2sE9>=7?tH0cf?xJ4=;0jw):?2;28 1662:=m7)=i9;c3?!5aj38:m6*h4n:0:7)=i8;;`?k5a<3:0(>hk:3d`?k5a=3:0q)=>7;3`b>o>j3:17d7l:188md6=831d>55;091~J4=80:wE?ne:'741=9k>0el>50;9l64g=831b5o4?::k:g?6=3`386=44b683>0<729q/?<95d`9K71`>o?i3:17do?:188k77f2900qo=:0;295?6=8r.8=:4>179K71`6=44}r;a>5<5sW3i70958`9~w{t9l?1<747234=15>5rs0g5>5<5s4=1>0;6?uQa19>3?g73twi?:850;194?6|,:;<6o6910;66g>1883>>i6mk0;66s|9c83>7}Y1k16;77m;|q:g?6=:rT2o63<77825<=z{;;j6=4={_02e>;02;;j7p}=fb83>6}Y:oi01:4n0:?033<6911v>9::18181=1j16?:851d`8yxd49l0;684=:4yO707=9rB:mh5rL271>d}#<981<6*;00803c=#;o31m=5+3g`964g<,:l<64l4n2d0>4=#;o215n5a3g694>"4nm09jn5a3g794>{#;8=1=nh4i8`94?=n1j0;66gn0;29?j46i3:17d7;tL272>4}O9ho0q)=>7;3a0>of83:17b<>a;29?l?e2900e4m50;9j=6<722h<6=4::183!56?3nj7E=;f:k;a?6=3`386=44i9c94?=ni90;66a=1`83>>{e;<:1<7?50;2x 67028;=7E=;f:m250<722wx5o4?:3y]=g=:?32j7p}6c;296~X>k27<65k4}r3f1?6=:r789=4>149>3??43ty:i;4?:3y>3?46i2T9=l5rs0g4>5<5sWk;7095a19~yg50>3:1?7>50z&052<6n91C?9h4i03;>5<5<;02h:01>99:03;?xu4?<0;6?u27;;`?850>3;nn6srb23g>5<22;0>wA=:1;3xL4gb3tF89?4n{%636?6<,=::6>9i;%1e=?g73-9mn7<>a:&0b2<>j2d8j>4>;%1e7`d3g9m97>4}%123?7el2c2n7>5;h;`>5<{I3ba>{#;8=1=o:4i`294?=h:8k1<75f9c83>>o>k3:17d7<:188f2<72<0;6=u+3059`d=O;=l0e5k50;9j=6<722c3m7>5;hc3>5<7095929~w4c12909w09520c8Z77f3ty:i:4?:3y]e5=:?3k;7psm36494?5=83:p(>?8:0ge?M53n2c:=54?::k25<<722e:io4?::p=g<72;qU5o527;;a?xu>k3:1>vP6c:?033<6901v??n:181[46i27<6??n;|q1bf<72:qU>km4=68b4>;4??0:=55rs256>5<5s4=15n5236495`d5}#;8=1=?84H26e?l76?3:17b?jb;29?xd5mh0;684?:1y'741==<1C?9h4i03;>5<5<5<53;294~"49>0?h6F<4g9j54>=831b=<750;9l5`d=831vn?k<:18:>5<7s-9:;7?ja:J00c=n9821<75f10;94?=n98k1<75f10`94?=n98i1<75f10f94?=n98o1<75f10d94?=h9lh1<75rb23b>57;3f=>N45<7s-9:;7?=6:J00c=n98=1<75`1d`94?=zj:;h6=4=:183!56?3;9:6F<4g9j541=831d=hl50;9~w7c>2909wS279i<46c:p6`1=838pR?k8;<0f5<5sW9:463<19815d=z{:;o6=4={_12`>;49m09=l5rs9f94?2|V1n01>?7:3d`?856m38mo63<1e81bf=z{:;n6=4={<12a?46i278>=4>189~w7c62908w0;5m10:=:522dc954>53z?1a4<5nj16?6`3=9lh0q~=>b;296~;49102o63<1b82ag=z{;o96=4<{<114?760279i>4>ec9>6`3=98=0q~=>f;296~;49m02o63<2182ag=z{:;26=4={<12e?7bj278=n4>169~w7c72908w0;5mh0:=4522d1954`<,;li6?ji;o0ee?654z?1`2<5:;16>ho510c897c428;n70=>a;32a>"5nk09hk5a2gc95>{t:mn1<7:t=3f4>746348nm7?>b:?1a6<69m16?47d3-8mn76a1=:8o01?k<:03a?856i3;:n6*=fc81`c=i:ok186s|2ec94?5|5;n<6??k;<0f7?76i278=l4>1`9'6cd=:ml0b?hn:49~w7b>2908w0;5m:0:=45230c954?<,;li6?ji;o0ee?053z?1`2<59k16>h=510:8967f28;37)h5nh0<7psm39394?e=9=0:ovB<5082M7fm2wG?8<52zl746<1j2.?5;h5e>5<1<75f13294?=e=l0;6>4=:5yO707=9rB:mh5rL271>4}#<981>6s+30595a65<7s-9:;7j6;I17b>J4=80:w)?=5;1eb>{n0h0;66gn0;29?j46i3:17pl6f;296?6=8r.8=:4>279K71`5<e483>7}:?32j707i:0ga?xu6m?0;6?u27;02e>X59h1v;=7=8f:&0b<k2d8j94>;%1e`?4ak2d8j84?;|&052<6jm1b5o4?::k:g?6=3`k;6=44o33b>5<0<525<t$234>ag<@:>m7d6j:188m<5=831b4l4?::kb4?6=3f8:m7>5;|`015<7280;6=u+3059540<@:>m7b?>5;29?xu>j3:1>vP6b:?4>=g3:1>v38:33b?[46i2wx=h950;0xZd6<5>0j<6srb255>5<4290;w)=>7;3fb>N4{t1j0;6?uQ9b9>720=9830q~<>a;296~X59h16;7<>a:p6ce=839pR?hl;<59e5=:;><1=<64}r141?6=:r7<64m4=255>4ce3twi>n650;796?3|D:?:6=:39'057=;>l0(>h6:`28 6`e2;;j7)=i7;;a?k5a;3;0(>h7:8a8j6`3281/?kj52ga8j6`2291v(>?8:0`g?l?e2900e4m50;9je5<722e9=l4?::k1bf<722h<6=4::386I5293;pD5;h;`>5<f2900el>50;9l64g=831vn>;?:182>5<7s-9:;7?>6:J00c=h98?1<75rs8`94?4|V0h01:47a:p=f<72;qU5n527;:f?xu6m<0;6?u23429543<5>02?6s|1d494?4|5>09=l5Q20c8yv7b?3:1>vPn0:?4>d65}#;8=1=hh4H26e?l7603:17d?>9;29?j7bj3:17p}6b;296~X>j27<64l4}r;`>5<5sW3h70=86;32=>{t:8k1<7k278;;4>ec9~yg4di3:197<55zN014<6sA;ji6sC3409e~"38;097):?1;14b>"4n00j<6*"4n102o6`0:ni5f9c83>>o>k3:17do?:188k77f2900e?hl:188f2<72<0968uC34395~N6il1v(>?8:0`7?lg72900c??n:188m:i;h:f>5<>of83:17b<>a;29?xd4=90;6<4?:1y'741=98<0D>:i;n321?6=3ty2n7>52z\:f>;021k0q~7l:181[?d34=14h5rs0g6>5<5s49><7?>5:?4><552z?4>77f3W8:m6s|1d594?4|Vh:01:4n0:~f61129086=4?{%123?7bn2B88k5f10:94?=n9831<75`1d`94?=z{0h1<7j2wx5n4?:3y]=f=:;><1=<74}r02e?6=:rT9=l527;02e>{t:oi1<7=t^3d`?81=i916?:8510:8yv50=3:1>v38:8a8961128oi7psm8183>0<52"38808;k5+3g;9e5=#;oh1>1=6*>of83:17b<>a;29?l4ak3:17o950;796?3|D:?:64?::`4>5<2290;w)=>7;fb?M53n2c3i7>5;h;0>5<>i59h0;66sm34294?7=83:p(>?8:035?M53n2e:=84?::p=g<72;qU5o527;:b?xu>k3:1>vP6c:?4>=c52z?015<69<16;77<;|q2a3<72;q6;7<>a:\15d=z{8o<6=4={_c3?81=i91vqo=86;297?6=8r.8=:4>eg9K71`5<5<5sW3i70959c9~w47>3ty9=l4?:3y]64g<5>09=l5rs3d`>5<4sW8mo638:`28961128;37p}<7483>7}:?33h70=86;3ff>{zj181<7;52;7xH63628qC=lk4}M166?g|,=:96?5+413972`<,:l26l>4$2da>77f3-9m;77m;o1e7?7<,:l364m4n2d7>4=#;on1>km4n2d6>5=z,:;<65<>i59h0;66g=fb83>>d0290>6?4:{M165?7|@8kn7p*<1682f1=ni90;66a=1`83>>o>j3:17d7l:188m<5=831i;7>55;294~"49>0om6F<4g9j<`<722c2?7>5;h:b>5<:183!56?3;::6F<4g9l543=831v4l50;0xZ03m6s|9b83>7}Y1j16;76j;|q2a0<72;q6?8>5107892<>;2wx=h850;0x92<59h1U>4?:1y'741=9ll0D>:i;h325;n3ff?6=3ty2n7>52z\:f>;020h0q~7l:181[?d349<:7?>9:p64g=838pR??n;<5964g53z\1bf=:?3k;70=86;32<>{t;>?1<7;4??0:io5r}c1:`?6==391;vB<5082M7fm2wG?8<5az&747<53->;=7=8f:&0b<k2d8j94>;%1ea?56>2d8j;4?;|&052<6l81b5o4?::k:g?6=3`k;6=44o33b>5<0<525<t$234>ag<@:>m7d6j:188m<5=831b4l4?::kb4?6=3f8:m7>5;|`015<7280;6=u+3059540<@:>m7b?>5;29?xu>j3:1>vP6b:?4>=g3:1>v38:33b?[46i2wx=h950;0xZd6<5>0j<6srb255>5<4290;w)=>7;3fb>N4j2wx5n4?:3y]=f=:;>21=<74}rc3>5<5sWk;70=86;32=>{t:8k1<76;297~X49?16?:8510:8961?28;37p}<7683>7}:?33h70=88;3ff>{t;>?1<7;4??0:io5r}c117?6==391;vB<5082M7fm2wG?8<5az&747<53->;=7=8f:&0b<k2d8j94>;%1e`?4ak2d8j84?;|&052<6jm1b5o4?::k:g?6=3`k;6=44o33b>5<0<525<t$234>ag<@:>m7d6j:188m<5=831b4l4?::kb4?6=3f8:m7>5;|`015<7280;6=u+3059540<@:>m7b?>5;29?xu>j3:1>vP6b:?4>=g3:1>v38:33b?[46i2wx=h950;0xZd6<5>0j<6srb24:>5<4290;w)=>7;6g?M53n2c:=54?::k25<<722e:io4?::a720=8391<7>t$234>4ca3A9?j6g>1983>>o6900;66a>ec83>>{t1k0;6?uQ9c9>3??e3ty2o7>52z\:g>;4??0:=45rs`294?4|Vh:01>86:03:?xu59h0;6?uQ20c892<59h1v?hl:180[4ak278:44>199>720=9820q~=98;296~;02h:01>86:0ga?xu4?<0;6?u27;;`?850>3;nn6srb6a94?5=83:p(>?8:0ge?M53n2c:=54?::k25<<722e:io4?::a6f`=83>1<7>t$234>06<@:>m7d?>8;29?l7613:17d?>a;29?j7bj3:17pl74;291?6=8r.8=:4>f59K71`5<5<279K71`5<53;294~"49>0?i6F<4g9j54>=831b=<750;9l5`d=831vn?j>:180>5<7s-9:;7?je:J00c=n9821<75f10;94?=h9lh1<75rb3f0>5<4290;w)=>7;3fa>N4n7E=;f:k25=<722c:=44?::m2ag<722wi?hj50;194?6|,:;<69k4H26e?l7603:17d?>9;29?j7bj3:17pl90;296?6=8r.8=:4>279K71`5<52z\0a<=:;lo1=hl4}r1:`?6=:rT85i5238f964g55z\0<5:oo64703ty59z\4f>;5k?09jn522b:96ce<5;ij6?hl;<:3>7`d34296?hl;<1:`?56>278>>4=fb9>3f<6mk1vi650;;xZa><5l33i70==3;;a?xu0n3:1?vP8f:?;4??d342?6328;j7p}=c483>6}Y:j?01?m9:8a897b628;37p}=c683>6}Y:j=01?m7:8a897b428;37p}=c883>6}Y:j301?mn:8a897b228;37p}<9583>7}Y;0>01>76:0ga?xu6:90;6>uQ132896?c2h:01><<:`28yv1b2908w06;:0ga?85f93;:463<99825<=z{:3<6=4={<1:=?76?278554>ec9~w0c=838p18k520c892e=9830q~7>52z?1gc<69016>i=51d`8yv4c<3:1>v3=cg825d=::m?1=hl4}r:3>5<5s42;6??n;<:7>47>3ty3>7>52z?;6?46i27387?>b:p775=838p1><<:33b?85bl3;:56s|2b494?4|5;i=6??n;<0g5?7612wx>n650;0x97e?2;;j70{t:jk1<777f348o97?>9:p7`e=838p1>kj:034?85bl3;nn6s|2b694?4|5;im61`7k:8a8964420i01>o>:0ga?x{e;1=1<7<50;2x 670288=7E=;f:k252<722e:io4?::a7=d=8381<7>t$234>4413A9?j6g>1683>>i6mk0;66sm37;94?5=83:p(>?8:5g8L62a3`;:47>5;h32=?6=3f;nn7>5;|`0<<<72:0;6=u+30595`c<@:>m7d?>8;29?l7613:17b?jb;29?xd4n;0;6>4?:1y'741=5<5<52;294~"49>0:>;5G35d8m4702900cd3ty85h4?:3y]77k;|q06>d349397=7c:?0<4<40j1v>kn:181[5bi2784<4vP056=;m80q~=l9;296~X4k0168=>53b;8yv5>>3:1>vP<979>7=2=;0>0q~=l7;296~X4k>168=>53c`8yv5>i3:1>vP<9`9>056=;0k0q~=lf;297~X4ko16?:k53bd896>32:im7p}<9g83>7}Y;0l01>6;:2;g?xu40l0;6?uQ39g896>32:2h7p}<9283>7}Y;0901>6;:2;0?xu4k10;6?uQ3b:891672:no7p}7}Y;lh01>6;:2g:?xu4l10;6?uQ3e:896>32:n=7p}7}Y;kl019>?:2`e?xu40?0;6?uQ394896>028oi7p}<8`83>7}Y;1k01>6m:0ga?xu4io0;6>uQ3`d891672:kn70=75;1ba>{t190;6?uQ919>7=2=0k1v4?50;4xZ<7<5:=n65h4=523>=`<5:2>65h4=25`>a><5:2:6i64}r1g4?6=:rT8h=5241297a66=4={_f6?85?<3hn7p}7}Y;h801>6;:2c1?xu4j90;6?uQ3c2896>32:kn7p}7}Y;l801>6;:2g2?xu4i<0;6?uQ3`7896>22:k>7p}7}Y;h=01>6;:2c4?xu4n90;69uQ3g28961b2:om70:?0;1fb>;40<08ik5rs2;6>5<5sW92963<8080=1=z{;o26=4={<634?4b1278;n4=e89~w7b12909w0:?0;0g2>;4?j09h;5rs010>5<5s49397?<3:?0<1<6;:1v<8n:181850m3;=m63<84822d=z{88<6=4={<1;1?75?278494>269~w7`02909w0=8e;0e3>;4>00:=55rs3df>5<5s4932=>01>66:03:?xu3>3:1>v3<84870>;4000:=55rs3g4>5<5s4>;<71v:l50;7x961b2>h019>?:6`896>22>h01>9l:9f896>62>h0q~=72;296~;40809o45239195`d52z?0<0<6>j16?5:517a8yv5603:1>v3<7b805==:;o81=<64}r12`?6=:r78;n4<1e9>73?=9830q~=i1;296~;4n;0:io52391954152z?745<5k=16?5?52b78yv73i3:1>v3<7d820d=:;1?1=9o4}r34=?6=:r78484>789>7=2=9h=0q~52z?0<2<69>16?5751d`8yv72n3:1>v3<84821c=:;1>1=;74}r001?6=:r78;h4=349>056=::?0q~=98;296~;40806=4={<14a?4e=27?<=4=b49~w4472909w0=8e;314>;4080:>=5rs9194?4|5:2>6:k4=2:2>=752z?0<0<61j16?5:51`48yv2d2909w0=75;6`?85?j3;:;6s|23c94?4|V;8j70:?0;01e>"5nk09>45a2gc94>{t:;21<7"5nk09>45a2gc96>{t::81<76*=fc816<=i:ok1?6s|22394?4|V;9:70:?0;005>"5nk09>45a2gc90>{t:::1<7"5nk09>45a2gc92>{t:;o1<7"5nk09>45a2gc9<>{t:;i1<7"5nk09>45a2gc9e>{t:;=1<77}Y?916?:k53c9'6cd=>o1e>ko50:p2`<72;qU:h5236g97<=#:oh1:k5a2gc95>{t>m0;6>uQ6e9>72c=;1168=>5399'6cd=>o1e>ko52:p2f<72:qU:n5236g973=:<9:1?;5+2g`92c=i:ok1?6s|6`83>6}Y>h16?:k5359>056=;=1/>kl56g9m6cg=<2wx:44?:2y]2<=:;>o1?>52412976=#:oh1:k5a2gc91>{t>10;6>uQ699>72c=;;168=>5339'6cd=>o1e>ko56:p22<72:qU::5236g974=:<9:1?<5+2g`92c=i:ok1;6s|6783>6}Y>?16?:k5319>056=;91/>kl56g9m6cg=02wx:84?:2y]20=:;>o1>k5241296c=#:oh1:k5a2gc9=>{t>=0;6>uQ659>72c=:l168=>52d9'6cd=>o1e>ko5a:p26<72:qU:>5236g96a=:<9:1>i5+2g`92c=i:ok1n6s|6383>6}Y>;16?:k52b9>056=:j1/>kl56g9m6cg=k2wx:<4?:2y]24=:;>o1>o5241296g=#:oh1:k5a2gc9`>{t?10;6>uQ799>72c=<:168=>5429'6cd=>o1e>ko5e:p32<72:qU;:5236g907=:<9:18?5+2g`92c=i:ok1j6s|7783>7}Y??168=>5409'6cd=>o1e>ko5119~w23=838pR:;4=523>16<,;li6;h4n3db>473:p37<72;qU;?5241297a=#:oh1:k5a2gc951=z{>;1<7;<7=:;%0ef?0a3g8mm7?9;|~yxFGKr;8j94k32gbfa?zHIHp - - - - - -]> - diff --git a/cpld/XC95144/MXSE.rpt b/cpld/XC95144/MXSE.rpt deleted file mode 100644 index 86a906d..0000000 --- a/cpld/XC95144/MXSE.rpt +++ /dev/null @@ -1,1318 +0,0 @@ - -cpldfit: version P.20131013 Xilinx Inc. - Fitter Report -Design Name: MXSE Date: 2- 7-2022, 0:19AM -Device Used: XC95144XL-10-TQ100 -Fitting Status: Successful - -************************* Mapped Resource Summary ************************** - -Macrocells Product Terms Function Block Registers Pins -Used/Tot Used/Tot Inps Used/Tot Used/Tot Used/Tot -105/144 ( 73%) 429 /720 ( 60%) 234/432 ( 54%) 80 /144 ( 56%) 67 /81 ( 83%) - -** Function Block Resources ** - -Function Mcells FB Inps Pterms IO -Block Used/Tot Used/Tot Used/Tot Used/Tot -FB1 18/18* 18/54 22/90 11/11* -FB2 3/18 2/54 2/90 8/10 -FB3 6/18 38/54 81/90 10/10* -FB4 11/18 36/54 81/90 10/10* -FB5 13/18 33/54 81/90 8/10 -FB6 18/18* 34/54 62/90 10/10* -FB7 18/18* 38/54 63/90 6/10 -FB8 18/18* 35/54 37/90 4/10 - ----- ----- ----- ----- - 105/144 234/432 429/720 67/81 - -* - Resource is exhausted - -** Global Control Resources ** - -Signal 'CLK2X_IOB' mapped onto global clock net GCK1. -Signal 'CLK_IOB' mapped onto global clock net GCK2. -Signal 'CLK_FSB' mapped onto global clock net GCK3. -Global output enable net(s) unused. -Global set/reset net(s) unused. - -** Pin Resources ** - -Signal Type Required Mapped | Pin Type Used Total -------------------------------------|------------------------------------ -Input : 32 32 | I/O : 61 73 -Output : 32 32 | GCK/IO : 3 3 -Bidirectional : 0 0 | GTS/IO : 3 4 -GCK : 3 3 | GSR/IO : 0 1 -GTS : 0 0 | -GSR : 0 0 | - ---- ---- - Total 67 67 - -** Power Data ** - -There are 105 macrocells in high performance mode (MCHP). -There are 0 macrocells in low power mode (MCLP). -End of Mapped Resource Summary - ************************** Errors and Warnings *************************** - -WARNING:Cpld - Unable to retrieve the path to the iSE Project Repository. Will - use the default filename of 'MXSE.ise'. -INFO:Cpld - Inferring BUFG constraint for signal 'CLK2X_IOB' based upon the LOC - constraint 'P22'. It is recommended that you declare this BUFG explicitedly - in your design. Note that for certain device families the output of a BUFG - constraint can not drive a gated clock, and the BUFG constraint will be - ignored. -INFO:Cpld - Inferring BUFG constraint for signal 'CLK_FSB' based upon the LOC - constraint 'P27'. It is recommended that you declare this BUFG explicitedly - in your design. Note that for certain device families the output of a BUFG - constraint can not drive a gated clock, and the BUFG constraint will be - ignored. -INFO:Cpld - Inferring BUFG constraint for signal 'CLK_IOB' based upon the LOC - constraint 'P23'. It is recommended that you declare this BUFG explicitedly - in your design. Note that for certain device families the output of a BUFG - constraint can not drive a gated clock, and the BUFG constraint will be - ignored. - ************************* Summary of Mapped Logic ************************ - -** 32 Outputs ** - -Signal Total Total Loc Pin Pin Pin Pwr Slew Reg Init -Name Pts Inps No. Type Use Mode Rate State -nDTACK_FSB 22 33 FB3_9 28 I/O O STD FAST RESET -nROMWE 1 2 FB3_17 34 I/O O STD FAST -nAoutOE 1 0 FB4_2 87 I/O O STD FAST -nDoutOE 2 4 FB4_5 89 I/O O STD FAST RESET -nDinOE 2 6 FB4_6 90 I/O O STD FAST -nBERR_FSB 3 9 FB4_9 92 I/O O STD FAST -nVPA_FSB 1 2 FB4_11 93 I/O O STD FAST -nROMCS 2 5 FB5_2 35 I/O O STD FAST -nCAS 1 1 FB5_5 36 I/O O STD FAST RESET -nOE 1 2 FB5_6 37 I/O O STD FAST -RA<4> 2 3 FB5_9 40 I/O O STD FAST -RA<3> 2 3 FB5_11 41 I/O O STD FAST -RA<5> 2 3 FB5_12 42 I/O O STD FAST -RA<2> 2 3 FB5_14 43 I/O O STD FAST -RA<6> 2 3 FB5_15 46 I/O O STD FAST -nVMA_IOB 2 9 FB6_2 74 I/O O STD FAST RESET -nLDS_IOB 4 6 FB6_9 79 I/O O STD FAST RESET -nUDS_IOB 4 6 FB6_11 80 I/O O STD FAST RESET -nAS_IOB 2 4 FB6_12 81 I/O O STD FAST RESET -nADoutLE1 2 3 FB6_14 82 I/O O STD FAST SET -nADoutLE0 1 2 FB6_15 85 I/O O STD FAST -nDinLE 2 3 FB6_17 86 I/O O STD FAST RESET -RA<1> 2 3 FB7_2 50 I/O O STD FAST -RA<7> 2 3 FB7_5 52 I/O O STD FAST -RA<0> 2 3 FB7_6 53 I/O O STD FAST -RA<8> 2 3 FB7_8 54 I/O O STD FAST -RA<10> 1 1 FB7_9 55 I/O O STD FAST -RA<9> 2 3 FB7_11 56 I/O O STD FAST -RA<11> 1 1 FB8_2 63 I/O O STD FAST -nRAS 3 8 FB8_5 64 I/O O STD FAST -nRAMLWE 1 5 FB8_6 65 I/O O STD FAST -nRAMUWE 1 5 FB8_8 66 I/O O STD FAST - -** 73 Buried Nodes ** - -Signal Total Total Loc Pwr Reg Init -Name Pts Inps Mode State -iobm/VPArr 1 1 FB1_1 STD RESET -iobm/VPArf 1 1 FB1_2 STD RESET -iobm/RESrr 1 1 FB1_3 STD RESET -iobm/RESrf 1 1 FB1_4 STD RESET -iobm/IOREQr 1 1 FB1_5 STD RESET -iobm/Er2 1 1 FB1_6 STD RESET -iobm/DTACKrr 1 1 FB1_7 STD RESET -iobm/DTACKrf 1 1 FB1_8 STD RESET -iobm/BERRrr 1 1 FB1_9 STD RESET -iobm/BERRrf 1 1 FB1_10 STD RESET -fsb/ASrf 1 1 FB1_11 STD RESET -cnt/RefCnt<1> 1 1 FB1_12 STD RESET -RefAck 1 2 FB1_13 STD RESET -$OpTx$$OpTx$FX_DC$355_INV$439 1 2 FB1_14 STD -iobs/IOU1 2 2 FB1_15 STD RESET -iobs/IOL1 2 2 FB1_16 STD RESET -iobm/IOS_FSM_FFd2 2 4 FB1_17 STD RESET -iobm/IOS_FSM_FFd1 2 4 FB1_18 STD RESET -iobs/IOACTr 1 1 FB2_16 STD RESET -iobm/Er 1 1 FB2_17 STD RESET -cnt/RefCnt<0> 0 0 FB2_18 STD RESET -fsb/VPA 25 31 FB3_2 STD RESET -fsb/Ready1r 7 17 FB3_5 STD RESET -fsb/Ready2r 9 22 FB3_14 STD RESET -iobs/Once 17 18 FB3_16 STD RESET -ram/RAMDIS1 18 15 FB4_3 STD RESET -ram/RAMReady 16 15 FB4_8 STD RESET -fsb/BERR0r 3 8 FB4_10 STD RESET -iobs/Load1 14 18 FB4_12 STD RESET -iobs/Clear1 1 3 FB4_14 STD RESET -ram/RASEL 20 15 FB4_16 STD RESET -iobs/PS_FSM_FFd2 14 19 FB5_4 STD RESET -iobs/IORW1 16 19 FB5_8 STD RESET -IOREQ 14 19 FB5_13 STD RESET -ram/Once 5 10 FB5_16 STD RESET -IORW0 18 20 FB5_18 STD RESET -iobm/ETACK 1 6 FB6_1 STD RESET -ALE0M 2 5 FB6_3 STD RESET -iobm/ES<3> 3 6 FB6_4 STD RESET -iobm/ES<1> 3 4 FB6_5 STD RESET - -Signal Total Total Loc Pwr Reg Init -Name Pts Inps Mode State -iobm/ES<0> 3 7 FB6_6 STD RESET -iobm/IOS_FSM_FFd4 4 6 FB6_7 STD RESET -iobm/ES<4> 4 7 FB6_8 STD RESET -iobm/IOS_FSM_FFd3 5 10 FB6_10 STD RESET -iobm/ES<2> 5 7 FB6_13 STD RESET -IOACT 6 13 FB6_16 STD RESET -IOBERR 9 14 FB6_18 STD RESET -ram/RS_FSM_FFd2 13 14 FB7_1 STD RESET -cnt/TimeoutBPre 3 11 FB7_3 STD RESET -cnt/RefCnt<4> 1 4 FB7_4 STD RESET -fsb/Ready0r 3 8 FB7_7 STD RESET -cs/nOverlay0 3 8 FB7_10 STD RESET -TimeoutB 3 12 FB7_12 STD RESET -cnt/RefCnt<5> 1 5 FB7_13 STD RESET -ram/RS_FSM_FFd1 5 10 FB7_14 STD RESET -ram/RAMDIS2 7 15 FB7_15 STD RESET -ram/RS_FSM_FFd3 11 14 FB7_16 STD RESET -cnt/RefCnt<7> 1 7 FB7_17 STD RESET -cnt/RefCnt<6> 1 6 FB7_18 STD RESET -ram/BACTr 1 2 FB8_1 STD RESET -cnt/RefCnt<3> 1 3 FB8_3 STD RESET -cnt/RefCnt<2> 1 2 FB8_4 STD RESET -ALE0S 1 2 FB8_7 STD RESET -iobs/PS_FSM_FFd1 2 3 FB8_9 STD RESET -fsb/BERR1r 2 4 FB8_10 STD RESET -cs/nOverlay1 2 3 FB8_11 STD RESET -cnt/RefDone 2 10 FB8_12 STD RESET -$OpTx$FX_DC$360 2 2 FB8_13 STD -TimeoutA 3 10 FB8_14 STD RESET -IOU0 3 5 FB8_15 STD RESET -IOL0 3 5 FB8_16 STD RESET -iobs/IOReady 4 8 FB8_17 STD RESET -BERR_IOBS 4 8 FB8_18 STD RESET - -** 35 Inputs ** - -Signal Loc Pin Pin Pin -Name No. Type Use -A_FSB<13> FB1_2 11 I/O I -A_FSB<14> FB1_3 12 I/O I -A_FSB<15> FB1_5 13 I/O I -A_FSB<16> FB1_6 14 I/O I -A_FSB<17> FB1_8 15 I/O I -A_FSB<18> FB1_9 16 I/O I -A_FSB<19> FB1_11 17 I/O I -A_FSB<20> FB1_12 18 I/O I -A_FSB<21> FB1_14 19 I/O I -A_FSB<22> FB1_15 20 I/O I -CLK2X_IOB FB1_17 22 GCK/I/O GCK -A_FSB<5> FB2_6 2 GTS/I/O I -A_FSB<6> FB2_8 3 GTS/I/O I -A_FSB<7> FB2_9 4 GTS/I/O I -A_FSB<8> FB2_11 6 I/O I -A_FSB<9> FB2_12 7 I/O I -A_FSB<10> FB2_14 8 I/O I -A_FSB<11> FB2_15 9 I/O I -A_FSB<12> FB2_17 10 I/O I -CLK_IOB FB3_2 23 GCK/I/O GCK/I -A_FSB<23> FB3_5 24 I/O I -E_IOB FB3_6 25 I/O I -CLK_FSB FB3_8 27 GCK/I/O GCK -nWE_FSB FB3_11 29 I/O I -nLDS_FSB FB3_12 30 I/O I -nAS_FSB FB3_14 32 I/O I -nUDS_FSB FB3_15 33 I/O I -nRES FB4_8 91 I/O I -A_FSB<1> FB4_12 94 I/O I -A_FSB<2> FB4_14 95 I/O I -A_FSB<3> FB4_15 96 I/O I -A_FSB<4> FB4_17 97 I/O I -nBERR_IOB FB6_5 76 I/O I -nVPA_IOB FB6_6 77 I/O I -nDTACK_IOB FB6_8 78 I/O I - -Legend: -Pin No. - ~ - User Assigned - ************************** Function Block Details ************************ -Legend: -Total Pt - Total product terms used by the macrocell signal -Imp Pt - Product terms imported from other macrocells -Exp Pt - Product terms exported to other macrocells - in direction shown -Unused Pt - Unused local product terms remaining in macrocell -Loc - Location where logic was mapped in device -Pin Type/Use - I - Input GCK - Global Clock - O - Output GTS - Global Output Enable - (b) - Buried macrocell GSR - Global Set/Reset -X - Signal used as input to the macrocell logic. -Pin No. - ~ - User Assigned - *********************************** FB1 *********************************** -Number of function block inputs used/remaining: 18/36 -Number of signals used by logic mapping into function block: 18 -Signal Total Imp Exp Unused Loc Pin Pin Pin -Name Pt Pt Pt Pt # Type Use -iobm/VPArr 1 0 0 4 FB1_1 (b) (b) -iobm/VPArf 1 0 0 4 FB1_2 11 I/O I -iobm/RESrr 1 0 0 4 FB1_3 12 I/O I -iobm/RESrf 1 0 0 4 FB1_4 (b) (b) -iobm/IOREQr 1 0 0 4 FB1_5 13 I/O I -iobm/Er2 1 0 0 4 FB1_6 14 I/O I -iobm/DTACKrr 1 0 0 4 FB1_7 (b) (b) -iobm/DTACKrf 1 0 0 4 FB1_8 15 I/O I -iobm/BERRrr 1 0 0 4 FB1_9 16 I/O I -iobm/BERRrf 1 0 0 4 FB1_10 (b) (b) -fsb/ASrf 1 0 0 4 FB1_11 17 I/O I -cnt/RefCnt<1> 1 0 0 4 FB1_12 18 I/O I -RefAck 1 0 0 4 FB1_13 (b) (b) -$OpTx$$OpTx$FX_DC$355_INV$439 - 1 0 0 4 FB1_14 19 I/O I -iobs/IOU1 2 0 0 3 FB1_15 20 I/O I -iobs/IOL1 2 0 0 3 FB1_16 (b) (b) -iobm/IOS_FSM_FFd2 2 0 0 3 FB1_17 22 GCK/I/O GCK -iobm/IOS_FSM_FFd1 2 0 0 3 FB1_18 (b) (b) - -Signals Used by Logic in Function Block - 1: IOREQ 7: iobm/IOS_FSM_FFd3 13: nLDS_FSB - 2: cnt/RefCnt<0> 8: iobm/IOS_FSM_FFd4 14: nRES - 3: fsb/ASrf 9: iobs/Load1 15: nUDS_FSB - 4: iobm/Er 10: nAS_FSB 16: nVPA_IOB - 5: iobm/IOS_FSM_FFd1 11: nBERR_IOB 17: ram/RS_FSM_FFd1 - 6: iobm/IOS_FSM_FFd2 12: nDTACK_IOB 18: ram/RS_FSM_FFd2 - -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -iobm/VPArr ...............X........................ 1 -iobm/VPArf ...............X........................ 1 -iobm/RESrr .............X.......................... 1 -iobm/RESrf .............X.......................... 1 -iobm/IOREQr X....................................... 1 -iobm/Er2 ...X.................................... 1 -iobm/DTACKrr ...........X............................ 1 -iobm/DTACKrf ...........X............................ 1 -iobm/BERRrr ..........X............................. 1 -iobm/BERRrf ..........X............................. 1 -fsb/ASrf .........X.............................. 1 -cnt/RefCnt<1> .X...................................... 1 -RefAck ................XX...................... 2 -$OpTx$$OpTx$FX_DC$355_INV$439 - ..X......X.............................. 2 -iobs/IOU1 ........X.....X......................... 2 -iobs/IOL1 ........X...X........................... 2 -iobm/IOS_FSM_FFd2 ....XXXX................................ 4 -iobm/IOS_FSM_FFd1 ....XXXX................................ 4 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 - *********************************** FB2 *********************************** -Number of function block inputs used/remaining: 2/52 -Number of signals used by logic mapping into function block: 2 -Signal Total Imp Exp Unused Loc Pin Pin Pin -Name Pt Pt Pt Pt # Type Use -(unused) 0 0 0 5 FB2_1 (b) -(unused) 0 0 0 5 FB2_2 99 GSR/I/O -(unused) 0 0 0 5 FB2_3 (b) -(unused) 0 0 0 5 FB2_4 (b) -(unused) 0 0 0 5 FB2_5 1 GTS/I/O -(unused) 0 0 0 5 FB2_6 2 GTS/I/O I -(unused) 0 0 0 5 FB2_7 (b) -(unused) 0 0 0 5 FB2_8 3 GTS/I/O I -(unused) 0 0 0 5 FB2_9 4 GTS/I/O I -(unused) 0 0 0 5 FB2_10 (b) -(unused) 0 0 0 5 FB2_11 6 I/O I -(unused) 0 0 0 5 FB2_12 7 I/O I -(unused) 0 0 0 5 FB2_13 (b) -(unused) 0 0 0 5 FB2_14 8 I/O I -(unused) 0 0 0 5 FB2_15 9 I/O I -iobs/IOACTr 1 0 0 4 FB2_16 (b) (b) -iobm/Er 1 0 0 4 FB2_17 10 I/O I -cnt/RefCnt<0> 0 0 0 5 FB2_18 (b) (b) - -Signals Used by Logic in Function Block - 1: E_IOB 2: IOACT - -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -iobs/IOACTr .X...................................... 1 -iobm/Er X....................................... 1 -cnt/RefCnt<0> ........................................ 0 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 - *********************************** FB3 *********************************** -Number of function block inputs used/remaining: 38/16 -Number of signals used by logic mapping into function block: 38 -Signal Total Imp Exp Unused Loc Pin Pin Pin -Name Pt Pt Pt Pt # Type Use -(unused) 0 0 \/5 0 FB3_1 (b) (b) -fsb/VPA 25 20<- 0 0 FB3_2 23 GCK/I/O GCK/I -(unused) 0 0 /\5 0 FB3_3 (b) (b) -(unused) 0 0 /\5 0 FB3_4 (b) (b) -fsb/Ready1r 7 2<- 0 0 FB3_5 24 I/O I -(unused) 0 0 /\2 3 FB3_6 25 I/O I -(unused) 0 0 \/5 0 FB3_7 (b) (b) -(unused) 0 0 \/5 0 FB3_8 27 GCK/I/O GCK -nDTACK_FSB 22 17<- 0 0 FB3_9 28 I/O O -(unused) 0 0 /\5 0 FB3_10 (b) (b) -(unused) 0 0 /\2 3 FB3_11 29 I/O I -(unused) 0 0 \/2 3 FB3_12 30 I/O I -(unused) 0 0 \/5 0 FB3_13 (b) (b) -fsb/Ready2r 9 7<- \/3 0 FB3_14 32 I/O I -(unused) 0 0 \/5 0 FB3_15 33 I/O I -iobs/Once 17 12<- 0 0 FB3_16 (b) (b) -nROMWE 1 0 /\4 0 FB3_17 34 I/O O -(unused) 0 0 \/5 0 FB3_18 (b) (b) - -Signals Used by Logic in Function Block - 1: $OpTx$$OpTx$FX_DC$355_INV$439 14: A_FSB<21> 27: fsb/Ready1r - 2: $OpTx$FX_DC$360 15: A_FSB<22> 28: fsb/Ready2r - 3: A_FSB<10> 16: A_FSB<23> 29: fsb/VPA - 4: A_FSB<11> 17: A_FSB<8> 30: iobs/IOReady - 5: A_FSB<12> 18: A_FSB<9> 31: iobs/Once - 6: A_FSB<13> 19: BERR_IOBS 32: iobs/PS_FSM_FFd1 - 7: A_FSB<14> 20: TimeoutA 33: iobs/PS_FSM_FFd2 - 8: A_FSB<15> 21: TimeoutB 34: nADoutLE1 - 9: A_FSB<16> 22: cs/nOverlay1 35: nAS_FSB - 10: A_FSB<17> 23: fsb/ASrf 36: nDTACK_FSB - 11: A_FSB<18> 24: fsb/BERR0r 37: nWE_FSB - 12: A_FSB<19> 25: fsb/BERR1r 38: ram/RAMReady - 13: A_FSB<20> 26: fsb/Ready0r - -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -fsb/VPA X.XXXXXXXXXXXXXXXXXXXX.XXXXXXX...X..XX.. 31 -fsb/Ready1r .....XX.XXXXXXXX.....XX...X..X...XX.X... 17 -nDTACK_FSB .XXXXXXXXXXXXXXXXXXXXXXXXXXX.X...XXXXX.. 33 -fsb/Ready2r ..XXXXXXXXXXXXXXXX.X.XX....X......X.X... 22 -iobs/Once .....XX.XXXXXXXX.....XX.......XXXXX.X... 18 -nROMWE ..................................X.X... 2 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 - *********************************** FB4 *********************************** -Number of function block inputs used/remaining: 36/18 -Number of signals used by logic mapping into function block: 36 -Signal Total Imp Exp Unused Loc Pin Pin Pin -Name Pt Pt Pt Pt # Type Use -(unused) 0 0 0 5 FB4_1 (b) (b) -nAoutOE 1 1<- \/5 0 FB4_2 87 I/O O -ram/RAMDIS1 18 13<- 0 0 FB4_3 (b) (b) -(unused) 0 0 /\5 0 FB4_4 (b) (b) -nDoutOE 2 0 /\3 0 FB4_5 89 I/O O -nDinOE 2 0 \/3 0 FB4_6 90 I/O O -(unused) 0 0 \/5 0 FB4_7 (b) (b) -ram/RAMReady 16 11<- 0 0 FB4_8 91 I/O I -nBERR_FSB 3 1<- /\3 0 FB4_9 92 I/O O -fsb/BERR0r 3 0 /\1 1 FB4_10 (b) (b) -nVPA_FSB 1 0 \/4 0 FB4_11 93 I/O O -iobs/Load1 14 9<- 0 0 FB4_12 94 I/O I -(unused) 0 0 /\5 0 FB4_13 (b) (b) -iobs/Clear1 1 0 \/4 0 FB4_14 95 I/O I -(unused) 0 0 \/5 0 FB4_15 96 I/O I -ram/RASEL 20 15<- 0 0 FB4_16 (b) (b) -(unused) 0 0 /\5 0 FB4_17 97 I/O I -(unused) 0 0 /\1 4 FB4_18 (b) (b) - -Signals Used by Logic in Function Block - 1: A_FSB<13> 13: TimeoutB 25: iobm/IOS_FSM_FFd4 - 2: A_FSB<14> 14: cnt/RefCnt<5> 26: iobs/Once - 3: A_FSB<16> 15: cnt/RefCnt<6> 27: iobs/PS_FSM_FFd1 - 4: A_FSB<17> 16: cnt/RefCnt<7> 28: iobs/PS_FSM_FFd2 - 5: A_FSB<18> 17: cnt/RefDone 29: nADoutLE1 - 6: A_FSB<19> 18: cs/nOverlay1 30: nAS_FSB - 7: A_FSB<20> 19: fsb/ASrf 31: nWE_FSB - 8: A_FSB<21> 20: fsb/BERR0r 32: ram/BACTr - 9: A_FSB<22> 21: fsb/BERR1r 33: ram/Once - 10: A_FSB<23> 22: fsb/VPA 34: ram/RS_FSM_FFd1 - 11: BERR_IOBS 23: iobm/IOS_FSM_FFd2 35: ram/RS_FSM_FFd2 - 12: IORW0 24: iobm/IOS_FSM_FFd3 36: ram/RS_FSM_FFd3 - -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -nAoutOE ........................................ 0 -ram/RAMDIS1 .......XXX...XXXXXX..........X.XXXXX.... 15 -nDoutOE ...........X..........XXX............... 4 -nDinOE ......XXXX...................XX......... 6 -ram/RAMReady .......XXX...XXXXXX..........X.XXXXX.... 15 -nBERR_FSB ......XXXXX.X......XX........X.......... 9 -fsb/BERR0r ......XXXX..X.....XX.........X.......... 8 -nVPA_FSB .....................X.......X.......... 2 -iobs/Load1 XXXXXXXXXX.......XX......XXXXXX......... 18 -iobs/Clear1 ..........................XXX........... 3 -ram/RASEL .......XXX...XXXXXX..........X.XXXXX.... 15 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 - *********************************** FB5 *********************************** -Number of function block inputs used/remaining: 33/21 -Number of signals used by logic mapping into function block: 33 -Signal Total Imp Exp Unused Loc Pin Pin Pin -Name Pt Pt Pt Pt # Type Use -(unused) 0 0 /\5 0 FB5_1 (b) (b) -nROMCS 2 0 /\3 0 FB5_2 35 I/O O -(unused) 0 0 \/5 0 FB5_3 (b) (b) -iobs/PS_FSM_FFd2 14 9<- 0 0 FB5_4 (b) (b) -nCAS 1 0 /\4 0 FB5_5 36 I/O O -nOE 1 0 \/1 3 FB5_6 37 I/O O -(unused) 0 0 \/5 0 FB5_7 (b) (b) -iobs/IORW1 16 11<- 0 0 FB5_8 39 I/O (b) -RA<4> 2 2<- /\5 0 FB5_9 40 I/O O -(unused) 0 0 /\2 3 FB5_10 (b) (b) -RA<3> 2 0 \/2 1 FB5_11 41 I/O O -RA<5> 2 2<- \/5 0 FB5_12 42 I/O O -IOREQ 14 9<- 0 0 FB5_13 (b) (b) -RA<2> 2 1<- /\4 0 FB5_14 43 I/O O -RA<6> 2 0 /\1 2 FB5_15 46 I/O O -ram/Once 5 0 0 0 FB5_16 (b) (b) -(unused) 0 0 \/5 0 FB5_17 49 I/O (b) -IORW0 18 13<- 0 0 FB5_18 (b) (b) - -Signals Used by Logic in Function Block - 1: A_FSB<12> 12: A_FSB<23> 23: iobs/Once - 2: A_FSB<13> 13: A_FSB<3> 24: iobs/PS_FSM_FFd1 - 3: A_FSB<14> 14: A_FSB<4> 25: iobs/PS_FSM_FFd2 - 4: A_FSB<15> 15: A_FSB<5> 26: nADoutLE1 - 5: A_FSB<16> 16: A_FSB<6> 27: nAS_FSB - 6: A_FSB<17> 17: A_FSB<7> 28: nWE_FSB - 7: A_FSB<18> 18: IORW0 29: ram/Once - 8: A_FSB<19> 19: cs/nOverlay1 30: ram/RASEL - 9: A_FSB<20> 20: fsb/ASrf 31: ram/RS_FSM_FFd1 - 10: A_FSB<21> 21: iobs/IOACTr 32: ram/RS_FSM_FFd2 - 11: A_FSB<22> 22: iobs/IORW1 33: ram/RS_FSM_FFd3 - -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -nROMCS ........XXXX......X..................... 5 -iobs/PS_FSM_FFd2 .XX.XXXXXXXX......XXX.XXXXXX............ 19 -nCAS .............................X.......... 1 -nOE ..........................XX............ 2 -iobs/IORW1 .XX.XXXXXXXX......XX.XXXXXXX............ 19 -RA<4> ..X...........X..............X.......... 3 -RA<3> .X...........X...............X.......... 3 -RA<5> ...X...........X.............X.......... 3 -IOREQ .XX.XXXXXXXX......XXX.XXXXXX............ 19 -RA<2> X...........X................X.......... 3 -RA<6> ....X...........X............X.......... 3 -ram/Once .........XXX......XX......X.X.XXX....... 10 -IORW0 .XX.XXXXXXXX.....XXX.XXXXXXX............ 20 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 - *********************************** FB6 *********************************** -Number of function block inputs used/remaining: 34/20 -Number of signals used by logic mapping into function block: 34 -Signal Total Imp Exp Unused Loc Pin Pin Pin -Name Pt Pt Pt Pt # Type Use -iobm/ETACK 1 0 /\1 3 FB6_1 (b) (b) -nVMA_IOB 2 0 0 3 FB6_2 74 I/O O -ALE0M 2 0 0 3 FB6_3 (b) (b) -iobm/ES<3> 3 0 0 2 FB6_4 (b) (b) -iobm/ES<1> 3 0 0 2 FB6_5 76 I/O I -iobm/ES<0> 3 0 0 2 FB6_6 77 I/O I -iobm/IOS_FSM_FFd4 4 0 0 1 FB6_7 (b) (b) -iobm/ES<4> 4 0 0 1 FB6_8 78 I/O I -nLDS_IOB 4 0 0 1 FB6_9 79 I/O O -iobm/IOS_FSM_FFd3 5 0 0 0 FB6_10 (b) (b) -nUDS_IOB 4 0 0 1 FB6_11 80 I/O O -nAS_IOB 2 0 0 3 FB6_12 81 I/O O -iobm/ES<2> 5 0 0 0 FB6_13 (b) (b) -nADoutLE1 2 0 0 3 FB6_14 82 I/O O -nADoutLE0 1 0 \/1 3 FB6_15 85 I/O O -IOACT 6 1<- 0 0 FB6_16 (b) (b) -nDinLE 2 0 \/3 0 FB6_17 86 I/O O -IOBERR 9 4<- 0 0 FB6_18 (b) (b) - -Signals Used by Logic in Function Block - 1: ALE0M 13: iobm/ES<0> 24: iobm/IOS_FSM_FFd3 - 2: ALE0S 14: iobm/ES<1> 25: iobm/IOS_FSM_FFd4 - 3: CLK_IOB 15: iobm/ES<2> 26: iobm/RESrf - 4: IOACT 16: iobm/ES<3> 27: iobm/RESrr - 5: IOBERR 17: iobm/ES<4> 28: iobm/VPArf - 6: IOL0 18: iobm/ETACK 29: iobm/VPArr - 7: IORW0 19: iobm/Er 30: iobs/Clear1 - 8: IOU0 20: iobm/Er2 31: iobs/Load1 - 9: iobm/BERRrf 21: iobm/IOREQr 32: nADoutLE1 - 10: iobm/BERRrr 22: iobm/IOS_FSM_FFd1 33: nBERR_IOB - 11: iobm/DTACKrf 23: iobm/IOS_FSM_FFd2 34: nVMA_IOB - 12: iobm/DTACKrr - -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -iobm/ETACK ............XXXXX................X...... 6 -nVMA_IOB ...X........XXXXX..........XX....X...... 9 -ALE0M ....................XXXXX............... 5 -iobm/ES<3> ............XXXX..XX.................... 6 -iobm/ES<1> ............XX....XX.................... 4 -iobm/ES<0> ............XXXXX.XX.................... 7 -iobm/IOS_FSM_FFd4 ..X.................XXXXX............... 6 -iobm/ES<4> ............XXXXX.XX.................... 7 -nLDS_IOB .....XX..............XXXX............... 6 -iobm/IOS_FSM_FFd3 ..X.....XXXX.....X.....XXXX............. 10 -nUDS_IOB ......XX.............XXXX............... 6 -nAS_IOB .....................XXXX............... 4 -iobm/ES<2> ............XXXXX.XX.................... 7 -nADoutLE1 .............................XXX........ 3 -nADoutLE0 XX...................................... 2 -IOACT ..X.....XXXX.....X..XXXXXXX............. 13 -nDinLE .....................X.XX............... 3 -IOBERR ..X.X...XXXX.....X...XXXXXX.....X....... 14 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 - *********************************** FB7 *********************************** -Number of function block inputs used/remaining: 38/16 -Number of signals used by logic mapping into function block: 38 -Signal Total Imp Exp Unused Loc Pin Pin Pin -Name Pt Pt Pt Pt # Type Use -ram/RS_FSM_FFd2 13 8<- 0 0 FB7_1 (b) (b) -RA<1> 2 1<- /\4 0 FB7_2 50 I/O O -cnt/TimeoutBPre 3 0 /\1 1 FB7_3 (b) (b) -cnt/RefCnt<4> 1 0 0 4 FB7_4 (b) (b) -RA<7> 2 0 0 3 FB7_5 52 I/O O -RA<0> 2 0 0 3 FB7_6 53 I/O O -fsb/Ready0r 3 0 0 2 FB7_7 (b) (b) -RA<8> 2 0 0 3 FB7_8 54 I/O O -RA<10> 1 0 0 4 FB7_9 55 I/O O -cs/nOverlay0 3 0 0 2 FB7_10 (b) (b) -RA<9> 2 0 0 3 FB7_11 56 I/O O -TimeoutB 3 0 0 2 FB7_12 58 I/O (b) -cnt/RefCnt<5> 1 0 \/4 0 FB7_13 (b) (b) -ram/RS_FSM_FFd1 5 4<- \/4 0 FB7_14 59 I/O (b) -ram/RAMDIS2 7 4<- \/2 0 FB7_15 60 I/O (b) -ram/RS_FSM_FFd3 11 6<- 0 0 FB7_16 (b) (b) -cnt/RefCnt<7> 1 0 /\4 0 FB7_17 61 I/O (b) -cnt/RefCnt<6> 1 0 \/4 0 FB7_18 (b) (b) - -Signals Used by Logic in Function Block - 1: A_FSB<10> 14: TimeoutB 27: fsb/ASrf - 2: A_FSB<11> 15: cnt/RefCnt<0> 28: fsb/Ready0r - 3: A_FSB<17> 16: cnt/RefCnt<1> 29: nAS_FSB - 4: A_FSB<18> 17: cnt/RefCnt<2> 30: nRES - 5: A_FSB<19> 18: cnt/RefCnt<3> 31: ram/BACTr - 6: A_FSB<1> 19: cnt/RefCnt<4> 32: ram/Once - 7: A_FSB<20> 20: cnt/RefCnt<5> 33: ram/RAMDIS2 - 8: A_FSB<21> 21: cnt/RefCnt<6> 34: ram/RAMReady - 9: A_FSB<22> 22: cnt/RefCnt<7> 35: ram/RASEL - 10: A_FSB<23> 23: cnt/RefDone 36: ram/RS_FSM_FFd1 - 11: A_FSB<2> 24: cnt/TimeoutBPre 37: ram/RS_FSM_FFd2 - 12: A_FSB<8> 25: cs/nOverlay0 38: ram/RS_FSM_FFd3 - 13: A_FSB<9> 26: cs/nOverlay1 - -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -ram/RS_FSM_FFd2 .......XXX.........XXXX..XX.X.X....XXX.. 14 -RA<1> .X........X.......................X..... 3 -cnt/TimeoutBPre ..............XXXXXXXX.X..X.X........... 11 -cnt/RefCnt<4> ..............XXXX...................... 4 -RA<7> ..X........X......................X..... 3 -RA<0> X....X............................X..... 3 -fsb/Ready0r .......XXX...............XXXX....X...... 8 -RA<8> ...X........X.....................X..... 3 -RA<10> .......X................................ 1 -cs/nOverlay0 ......XXXX..............X.X.XX.......... 8 -RA<9> ....X.X...........................X..... 3 -TimeoutB .............XXXXXXXXX.X..X.X........... 12 -cnt/RefCnt<5> ..............XXXXX..................... 5 -ram/RS_FSM_FFd1 .......XXX...............XX.X..X...XXX.. 10 -ram/RAMDIS2 .......XXX.........XXXX..XX.X..XX..XXX.. 15 -ram/RS_FSM_FFd3 .......XXX.........XXXX..XX.X..X...XXX.. 14 -cnt/RefCnt<7> ..............XXXXXXX................... 7 -cnt/RefCnt<6> ..............XXXXXX.................... 6 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 - *********************************** FB8 *********************************** -Number of function block inputs used/remaining: 35/19 -Number of signals used by logic mapping into function block: 35 -Signal Total Imp Exp Unused Loc Pin Pin Pin -Name Pt Pt Pt Pt # Type Use -ram/BACTr 1 0 0 4 FB8_1 (b) (b) -RA<11> 1 0 0 4 FB8_2 63 I/O O -cnt/RefCnt<3> 1 0 0 4 FB8_3 (b) (b) -cnt/RefCnt<2> 1 0 0 4 FB8_4 (b) (b) -nRAS 3 0 0 2 FB8_5 64 I/O O -nRAMLWE 1 0 0 4 FB8_6 65 I/O O -ALE0S 1 0 0 4 FB8_7 (b) (b) -nRAMUWE 1 0 0 4 FB8_8 66 I/O O -iobs/PS_FSM_FFd1 2 0 0 3 FB8_9 67 I/O (b) -fsb/BERR1r 2 0 0 3 FB8_10 (b) (b) -cs/nOverlay1 2 0 0 3 FB8_11 68 I/O (b) -cnt/RefDone 2 0 0 3 FB8_12 70 I/O (b) -$OpTx$FX_DC$360 2 0 0 3 FB8_13 (b) (b) -TimeoutA 3 0 0 2 FB8_14 71 I/O (b) -IOU0 3 0 0 2 FB8_15 72 I/O (b) -IOL0 3 0 0 2 FB8_16 (b) (b) -iobs/IOReady 4 0 0 1 FB8_17 73 I/O (b) -BERR_IOBS 4 0 0 1 FB8_18 (b) (b) - -Signals Used by Logic in Function Block - 1: A_FSB<19> 13: cnt/RefCnt<4> 25: iobs/IOU1 - 2: A_FSB<21> 14: cnt/RefCnt<5> 26: iobs/Once - 3: A_FSB<22> 15: cnt/RefCnt<6> 27: iobs/PS_FSM_FFd1 - 4: A_FSB<23> 16: cnt/RefCnt<7> 28: iobs/PS_FSM_FFd2 - 5: BERR_IOBS 17: cnt/RefDone 29: nADoutLE1 - 6: IOBERR 18: cs/nOverlay0 30: nAS_FSB - 7: RefAck 19: cs/nOverlay1 31: nLDS_FSB - 8: TimeoutA 20: fsb/ASrf 32: nUDS_FSB - 9: cnt/RefCnt<0> 21: fsb/BERR1r 33: nWE_FSB - 10: cnt/RefCnt<1> 22: iobs/IOACTr 34: ram/RAMDIS1 - 11: cnt/RefCnt<2> 23: iobs/IOL1 35: ram/RAMDIS2 - 12: cnt/RefCnt<3> 24: iobs/IOReady - -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -ram/BACTr ...................X.........X.......... 2 -RA<11> X....................................... 1 -cnt/RefCnt<3> ........XXX............................. 3 -cnt/RefCnt<2> ........XX.............................. 2 -nRAS .XXX..X...........X..........X...XX..... 8 -nRAMLWE .............................XX.XXX..... 5 -ALE0S ..........................XX............ 2 -nRAMUWE .............................X.XXXX..... 5 -iobs/PS_FSM_FFd1 .....................X....XX............ 3 -fsb/BERR1r ....X..............XX........X.......... 4 -cs/nOverlay1 .................X.X.........X.......... 3 -cnt/RefDone ......X.XXXXXXXXX....................... 10 -$OpTx$FX_DC$360 ..X...............X..................... 2 -TimeoutA .......XXXXXXXX....X.........X.......... 10 -IOU0 ........................X.XXX..X........ 5 -IOL0 ......................X...XXX.X......... 5 -iobs/IOReady .....X.............X.X.X.X.XXX.......... 8 -BERR_IOBS ....XX.............X.X...X.XXX.......... 8 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 - ******************************* Equations ******************************** - -********** Mapped Logic ********** - - -$OpTx$$OpTx$FX_DC$355_INV$439 <= (nAS_FSB AND NOT fsb/ASrf); - - -$OpTx$FX_DC$360 <= NOT (A_FSB(22) - XOR -$OpTx$FX_DC$360 <= NOT (cs/nOverlay1); - -FDCPE_ALE0M: FDCPE port map (ALE0M,ALE0M_D,CLK2X_IOB,'0','0'); -ALE0M_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND - iobm/IOS_FSM_FFd1) - OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND - NOT iobm/IOS_FSM_FFd2 AND NOT iobm/IOREQr)); - -FDCPE_ALE0S: FDCPE port map (ALE0S,ALE0S_D,CLK_FSB,'0','0'); -ALE0S_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); - -FTCPE_BERR_IOBS: FTCPE port map (BERR_IOBS,BERR_IOBS_T,CLK_FSB,'0','0'); -BERR_IOBS_T <= ((BERR_IOBS AND nAS_FSB AND NOT fsb/ASrf) - OR (iobs/Once AND BERR_IOBS AND NOT iobs/PS_FSM_FFd2 AND - NOT iobs/IOACTr AND NOT IOBERR AND nADoutLE1) - OR (iobs/Once AND NOT BERR_IOBS AND NOT nAS_FSB AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND IOBERR AND nADoutLE1) - OR (iobs/Once AND NOT BERR_IOBS AND NOT iobs/PS_FSM_FFd2 AND - NOT iobs/IOACTr AND IOBERR AND fsb/ASrf AND nADoutLE1)); - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -FDCPE_IOACT: FDCPE port map (IOACT,IOACT_D,CLK2X_IOB,'0','0'); -IOACT_D <= ((NOT iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd2 AND - iobm/IOS_FSM_FFd1 AND CLK_IOB AND iobm/RESrf AND iobm/RESrr) - OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND - iobm/IOS_FSM_FFd1) - OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND - NOT iobm/IOS_FSM_FFd2 AND NOT iobm/IOREQr) - OR (NOT iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd2 AND - iobm/IOS_FSM_FFd1 AND CLK_IOB AND iobm/ETACK) - OR (NOT iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd2 AND - iobm/IOS_FSM_FFd1 AND CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr) - OR (NOT iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd2 AND - iobm/IOS_FSM_FFd1 AND CLK_IOB AND iobm/BERRrf AND iobm/BERRrr)); - -FTCPE_IOBERR: FTCPE port map (IOBERR,IOBERR_T,CLK2X_IOB,'0','0'); -IOBERR_T <= ((nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND - iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND iobm/IOS_FSM_FFd1 AND CLK_IOB AND - IOBERR AND iobm/RESrf AND iobm/RESrr) - OR (nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND - iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND iobm/IOS_FSM_FFd1 AND CLK_IOB AND - IOBERR AND iobm/ETACK) - OR (nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND - iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND iobm/IOS_FSM_FFd1 AND CLK_IOB AND - IOBERR AND iobm/DTACKrf AND iobm/DTACKrr) - OR (nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND - iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND iobm/IOS_FSM_FFd1 AND CLK_IOB AND - IOBERR AND iobm/BERRrf AND iobm/BERRrr) - OR (iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND - NOT iobm/IOS_FSM_FFd2 AND NOT iobm/IOS_FSM_FFd1 AND IOBERR) - OR (NOT nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND - iobm/IOS_FSM_FFd3 AND CLK_IOB AND NOT IOBERR AND iobm/ETACK) - OR (NOT nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND - iobm/IOS_FSM_FFd3 AND CLK_IOB AND NOT IOBERR AND iobm/DTACKrf AND iobm/DTACKrr) - OR (NOT nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND - iobm/IOS_FSM_FFd3 AND CLK_IOB AND NOT IOBERR AND iobm/BERRrf AND iobm/BERRrr) - OR (NOT nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND - iobm/IOS_FSM_FFd3 AND CLK_IOB AND NOT IOBERR AND iobm/RESrf AND iobm/RESrr)); - -FDCPE_IOL0: FDCPE port map (IOL0,IOL0_D,CLK_FSB,'0','0',IOL0_CE); -IOL0_D <= ((NOT nLDS_FSB AND nADoutLE1) - OR (iobs/IOL1 AND NOT nADoutLE1)); -IOL0_CE <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); - -FDCPE_IOREQ: FDCPE port map (IOREQ,IOREQ_D,CLK_FSB,'0','0'); -IOREQ_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND - NOT iobs/PS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND - NOT iobs/PS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND - NOT iobs/PS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND - NOT iobs/PS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB AND - NOT iobs/PS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND - NOT iobs/PS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND - NOT iobs/PS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21) AND - NOT iobs/PS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - cs/nOverlay1 AND NOT iobs/PS_FSM_FFd2 AND nADoutLE1) - OR (NOT iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1) - OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr) - OR (iobs/Once AND NOT iobs/PS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/PS_FSM_FFd2 AND - nADoutLE1) - OR (nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT fsb/ASrf AND - nADoutLE1)); - -FTCPE_IORW0: FTCPE port map (IORW0,IORW0_T,CLK_FSB,'0','0'); -IORW0_T <= ((nROMCS_OBUF.EXP) - OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND - NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND - NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND - NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND - NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) - OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND - NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND - NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND - NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND - NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) - OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - cs/nOverlay1 AND NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (A_FSB(23) AND NOT iobs/Once AND NOT IORW0 AND nWE_FSB AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) - OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND - IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND - NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND - IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND - fsb/ASrf AND nADoutLE1) - OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND - NOT IORW0 AND nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND - NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND - NOT IORW0 AND nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND - fsb/ASrf AND nADoutLE1) - OR (IORW0 AND NOT iobs/IORW1 AND NOT iobs/PS_FSM_FFd2 AND - NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1) - OR (NOT IORW0 AND iobs/IORW1 AND NOT iobs/PS_FSM_FFd2 AND - NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1) - OR (A_FSB(23) AND NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND - NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (A_FSB(23) AND NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) - OR (A_FSB(23) AND NOT iobs/Once AND NOT IORW0 AND nWE_FSB AND - NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)); - -FDCPE_IOU0: FDCPE port map (IOU0,IOU0_D,CLK_FSB,'0','0',IOU0_CE); -IOU0_D <= ((NOT nUDS_FSB AND nADoutLE1) - OR (iobs/IOU1 AND NOT nADoutLE1)); -IOU0_CE <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); - - -RA(0) <= ((A_FSB(10) AND NOT ram/RASEL) - OR (ram/RASEL AND A_FSB(1))); - - -RA(1) <= ((ram/RASEL AND A_FSB(2)) - OR (A_FSB(11) AND NOT ram/RASEL)); - - -RA(2) <= ((ram/RASEL AND A_FSB(3)) - OR (A_FSB(12) AND NOT ram/RASEL)); - - -RA(3) <= ((A_FSB(13) AND NOT ram/RASEL) - OR (ram/RASEL AND A_FSB(4))); - - -RA(4) <= ((A_FSB(14) AND NOT ram/RASEL) - OR (ram/RASEL AND A_FSB(5))); - - -RA(5) <= ((A_FSB(15) AND NOT ram/RASEL) - OR (ram/RASEL AND A_FSB(6))); - - -RA(6) <= ((A_FSB(16) AND NOT ram/RASEL) - OR (ram/RASEL AND A_FSB(7))); - - -RA(7) <= ((A_FSB(8) AND ram/RASEL) - OR (A_FSB(17) AND NOT ram/RASEL)); - - -RA(8) <= ((A_FSB(9) AND ram/RASEL) - OR (A_FSB(18) AND NOT ram/RASEL)); - - -RA(9) <= ((A_FSB(20) AND ram/RASEL) - OR (A_FSB(19) AND NOT ram/RASEL)); - - -RA(10) <= A_FSB(21); - - -RA(11) <= A_FSB(19); - -FDCPE_RefAck: FDCPE port map (RefAck,RefAck_D,CLK_FSB,'0','0'); -RefAck_D <= (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1); - -FTCPE_TimeoutA: FTCPE port map (TimeoutA,TimeoutA_T,CLK_FSB,'0','0'); -TimeoutA_T <= ((TimeoutA AND nAS_FSB AND NOT fsb/ASrf) - OR (NOT TimeoutA AND NOT nAS_FSB AND NOT cnt/RefCnt(0) AND - NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND - NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4)) - OR (NOT TimeoutA AND NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND - NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND - NOT cnt/RefCnt(4) AND fsb/ASrf)); - -FTCPE_TimeoutB: FTCPE port map (TimeoutB,TimeoutB_T,CLK_FSB,'0','0'); -TimeoutB_T <= ((TimeoutB AND nAS_FSB AND NOT fsb/ASrf) - OR (NOT TimeoutB AND cnt/TimeoutBPre AND NOT nAS_FSB AND - NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND - NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7)) - OR (NOT TimeoutB AND cnt/TimeoutBPre AND NOT cnt/RefCnt(0) AND - NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND - NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7) AND fsb/ASrf)); - -FTCPE_cnt/RefCnt0: FTCPE port map (cnt/RefCnt(0),'1',CLK_FSB,'0','0'); - -FTCPE_cnt/RefCnt1: FTCPE port map (cnt/RefCnt(1),cnt/RefCnt(0),CLK_FSB,'0','0'); - -FTCPE_cnt/RefCnt2: FTCPE port map (cnt/RefCnt(2),cnt/RefCnt_T(2),CLK_FSB,'0','0'); -cnt/RefCnt_T(2) <= (cnt/RefCnt(0) AND cnt/RefCnt(1)); - -FTCPE_cnt/RefCnt3: FTCPE port map (cnt/RefCnt(3),cnt/RefCnt_T(3),CLK_FSB,'0','0'); -cnt/RefCnt_T(3) <= (cnt/RefCnt(0) AND cnt/RefCnt(1) AND cnt/RefCnt(2)); - -FTCPE_cnt/RefCnt4: FTCPE port map (cnt/RefCnt(4),cnt/RefCnt_T(4),CLK_FSB,'0','0'); -cnt/RefCnt_T(4) <= (cnt/RefCnt(0) AND cnt/RefCnt(1) AND cnt/RefCnt(2) AND - cnt/RefCnt(3)); - -FTCPE_cnt/RefCnt5: FTCPE port map (cnt/RefCnt(5),cnt/RefCnt_T(5),CLK_FSB,'0','0'); -cnt/RefCnt_T(5) <= (cnt/RefCnt(0) AND cnt/RefCnt(1) AND cnt/RefCnt(2) AND - cnt/RefCnt(3) AND cnt/RefCnt(4)); - -FTCPE_cnt/RefCnt6: FTCPE port map (cnt/RefCnt(6),cnt/RefCnt_T(6),CLK_FSB,'0','0'); -cnt/RefCnt_T(6) <= (cnt/RefCnt(0) AND cnt/RefCnt(5) AND cnt/RefCnt(1) AND - cnt/RefCnt(2) AND cnt/RefCnt(3) AND cnt/RefCnt(4)); - -FTCPE_cnt/RefCnt7: FTCPE port map (cnt/RefCnt(7),cnt/RefCnt_T(7),CLK_FSB,'0','0'); -cnt/RefCnt_T(7) <= (cnt/RefCnt(0) AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND - cnt/RefCnt(1) AND cnt/RefCnt(2) AND cnt/RefCnt(3) AND cnt/RefCnt(4)); - -FDCPE_cnt/RefDone: FDCPE port map (cnt/RefDone,cnt/RefDone_D,CLK_FSB,'0','0'); -cnt/RefDone_D <= ((NOT cnt/RefDone AND NOT RefAck) - OR (NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND - NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND - NOT cnt/RefCnt(7))); - -FTCPE_cnt/TimeoutBPre: FTCPE port map (cnt/TimeoutBPre,cnt/TimeoutBPre_T,CLK_FSB,'0','0'); -cnt/TimeoutBPre_T <= ((cnt/TimeoutBPre AND nAS_FSB AND NOT fsb/ASrf) - OR (NOT cnt/TimeoutBPre AND NOT nAS_FSB AND NOT cnt/RefCnt(0) AND - NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND - NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7)) - OR (NOT cnt/TimeoutBPre AND NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND - NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND - NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7) AND fsb/ASrf)); - -FTCPE_cs/nOverlay0: FTCPE port map (cs/nOverlay0,cs/nOverlay0_T,CLK_FSB,NOT nRES,'0'); -cs/nOverlay0_T <= ((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND - NOT cs/nOverlay0 AND NOT nAS_FSB) - OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND - NOT cs/nOverlay0 AND fsb/ASrf)); - -FDCPE_cs/nOverlay1: FDCPE port map (cs/nOverlay1,cs/nOverlay0,CLK_FSB,'0','0',cs/nOverlay1_CE); -cs/nOverlay1_CE <= (nAS_FSB AND NOT fsb/ASrf); - -FDCPE_fsb/ASrf: FDCPE port map (fsb/ASrf,NOT nAS_FSB,NOT CLK_FSB,'0','0'); - -FDCPE_fsb/BERR0r: FDCPE port map (fsb/BERR0r,fsb/BERR0r_D,CLK_FSB,'0','0'); -fsb/BERR0r_D <= ((NOT TimeoutB AND NOT fsb/BERR0r) - OR (nAS_FSB AND NOT fsb/ASrf) - OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND - NOT fsb/BERR0r)); - -FDCPE_fsb/BERR1r: FDCPE port map (fsb/BERR1r,fsb/BERR1r_D,CLK_FSB,'0','0'); -fsb/BERR1r_D <= ((NOT BERR_IOBS AND NOT fsb/BERR1r) - OR (nAS_FSB AND NOT fsb/ASrf)); - -FDCPE_fsb/Ready0r: FDCPE port map (fsb/Ready0r,fsb/Ready0r_D,CLK_FSB,'0','0'); -fsb/Ready0r_D <= ((nAS_FSB AND NOT fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND - NOT fsb/Ready0r AND NOT ram/RAMReady) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - NOT cs/nOverlay1 AND NOT fsb/Ready0r AND NOT ram/RAMReady)); - -FDCPE_fsb/Ready1r: FDCPE port map (fsb/Ready1r,fsb/Ready1r_D,CLK_FSB,'0','0'); -fsb/Ready1r_D <= ((A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND - NOT nADoutLE1) - OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND - NOT nADoutLE1) - OR (nAS_FSB AND NOT fsb/ASrf) - OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady) - OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND - NOT iobs/IOReady) - OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND - NOT fsb/Ready1r AND NOT iobs/IOReady) - OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND - NOT fsb/Ready1r AND NOT iobs/IOReady)); - -FDCPE_fsb/Ready2r: FDCPE port map (fsb/Ready2r,fsb/Ready2r_D,CLK_FSB,'0','0'); -fsb/Ready2r_D <= ((EXP18_.EXP) - OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND - A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) - OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND - A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) - OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND - A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) - OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND - NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) - OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND - NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) - OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND - NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) - OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND - NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)); - -FDCPE_fsb/VPA: FDCPE port map (fsb/VPA,fsb/VPA_D,CLK_FSB,'0','0'); -fsb/VPA_D <= ((EXP21_.EXP) - OR (NOT A_FSB(22) AND TimeoutB AND fsb/VPA AND - NOT $OpTx$$OpTx$FX_DC$355_INV$439) - OR (A_FSB(21) AND TimeoutB AND fsb/VPA AND - NOT $OpTx$$OpTx$FX_DC$355_INV$439) - OR (A_FSB(23) AND NOT fsb/Ready1r AND fsb/VPA AND - NOT iobs/IOReady AND NOT $OpTx$$OpTx$FX_DC$355_INV$439) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND - NOT fsb/Ready0r AND fsb/VPA AND NOT ram/RAMReady AND - NOT $OpTx$$OpTx$FX_DC$355_INV$439) - OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND - fsb/VPA AND NOT iobs/IOReady AND NOT $OpTx$$OpTx$FX_DC$355_INV$439) - OR (EXP12_.EXP) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - NOT cs/nOverlay1 AND NOT fsb/Ready0r AND fsb/VPA AND NOT ram/RAMReady AND - NOT $OpTx$$OpTx$FX_DC$355_INV$439) - OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND - NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND - NOT $OpTx$$OpTx$FX_DC$355_INV$439) - OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND - NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND - NOT $OpTx$$OpTx$FX_DC$355_INV$439) - OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND - NOT nADoutLE1 AND NOT $OpTx$$OpTx$FX_DC$355_INV$439) - OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND - NOT nADoutLE1 AND NOT $OpTx$$OpTx$FX_DC$355_INV$439) - OR (BERR_IOBS AND fsb/VPA AND - NOT $OpTx$$OpTx$FX_DC$355_INV$439) - OR (fsb/BERR0r AND fsb/VPA AND - NOT $OpTx$$OpTx$FX_DC$355_INV$439) - OR (fsb/BERR1r AND fsb/VPA AND - NOT $OpTx$$OpTx$FX_DC$355_INV$439) - OR (A_FSB(23) AND TimeoutB AND fsb/VPA AND - NOT $OpTx$$OpTx$FX_DC$355_INV$439) - OR (NOT A_FSB(20) AND TimeoutB AND fsb/VPA AND - NOT $OpTx$$OpTx$FX_DC$355_INV$439)); - -FDCPE_iobm/BERRrf: FDCPE port map (iobm/BERRrf,NOT nBERR_IOB,NOT CLK2X_IOB,'0','0'); - -FDCPE_iobm/BERRrr: FDCPE port map (iobm/BERRrr,NOT nBERR_IOB,CLK2X_IOB,'0','0'); - -FDCPE_iobm/DTACKrf: FDCPE port map (iobm/DTACKrf,NOT nDTACK_IOB,NOT CLK2X_IOB,'0','0'); - -FDCPE_iobm/DTACKrr: FDCPE port map (iobm/DTACKrr,NOT nDTACK_IOB,CLK2X_IOB,'0','0'); - -FTCPE_iobm/ES0: FTCPE port map (iobm/ES(0),iobm/ES_T(0),CLK2X_IOB,'0','0'); -iobm/ES_T(0) <= ((iobm/ES(0) AND NOT iobm/Er AND iobm/Er2) - OR (NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND - NOT iobm/ES(3) AND NOT iobm/ES(4) AND iobm/Er) - OR (NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND - NOT iobm/ES(3) AND NOT iobm/ES(4) AND NOT iobm/Er2)); - -FDCPE_iobm/ES1: FDCPE port map (iobm/ES(1),iobm/ES_D(1),CLK2X_IOB,'0','0'); -iobm/ES_D(1) <= ((iobm/ES(0) AND iobm/ES(1)) - OR (NOT iobm/ES(0) AND NOT iobm/ES(1)) - OR (NOT iobm/Er AND iobm/Er2)); - -FDCPE_iobm/ES2: FDCPE port map (iobm/ES(2),iobm/ES_D(2),CLK2X_IOB,'0','0'); -iobm/ES_D(2) <= ((NOT iobm/ES(0) AND NOT iobm/ES(2)) - OR (NOT iobm/ES(1) AND NOT iobm/ES(2)) - OR (NOT iobm/Er AND iobm/Er2) - OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2)) - OR (NOT iobm/ES(2) AND NOT iobm/ES(3) AND iobm/ES(4))); - -FTCPE_iobm/ES3: FTCPE port map (iobm/ES(3),iobm/ES_T(3),CLK2X_IOB,'0','0'); -iobm/ES_T(3) <= ((iobm/ES(3) AND NOT iobm/Er AND iobm/Er2) - OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND iobm/Er) - OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND NOT iobm/Er2)); - -FTCPE_iobm/ES4: FTCPE port map (iobm/ES(4),iobm/ES_T(4),CLK2X_IOB,'0','0'); -iobm/ES_T(4) <= ((iobm/ES(4) AND NOT iobm/Er AND iobm/Er2) - OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND - iobm/ES(3) AND iobm/Er) - OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND - iobm/ES(3) AND NOT iobm/Er2) - OR (iobm/ES(0) AND iobm/ES(1) AND NOT iobm/ES(2) AND - NOT iobm/ES(3) AND iobm/ES(4))); - -FDCPE_iobm/ETACK: FDCPE port map (iobm/ETACK,iobm/ETACK_D,CLK2X_IOB,'0','0'); -iobm/ETACK_D <= (NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND - NOT iobm/ES(3) AND iobm/ES(4)); - -FDCPE_iobm/Er: FDCPE port map (iobm/Er,E_IOB,NOT CLK_IOB,'0','0'); - -FDCPE_iobm/Er2: FDCPE port map (iobm/Er2,iobm/Er,CLK2X_IOB,'0','0'); - -FDCPE_iobm/IOREQr: FDCPE port map (iobm/IOREQr,IOREQ,NOT CLK2X_IOB,'0','0'); - -FDCPE_iobm/IOS_FSM_FFd1: FDCPE port map (iobm/IOS_FSM_FFd1,iobm/IOS_FSM_FFd1_D,CLK2X_IOB,'0','0'); -iobm/IOS_FSM_FFd1_D <= ((iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd2 AND - NOT iobm/IOS_FSM_FFd1) - OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND - NOT iobm/IOS_FSM_FFd2)); - -FDCPE_iobm/IOS_FSM_FFd2: FDCPE port map (iobm/IOS_FSM_FFd2,iobm/IOS_FSM_FFd2_D,CLK2X_IOB,'0','0'); -iobm/IOS_FSM_FFd2_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND - iobm/IOS_FSM_FFd1) - OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd2 AND - NOT iobm/IOS_FSM_FFd1)); - -FDCPE_iobm/IOS_FSM_FFd3: FDCPE port map (iobm/IOS_FSM_FFd3,iobm/IOS_FSM_FFd3_D,CLK2X_IOB,'0','0'); -iobm/IOS_FSM_FFd3_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3) - OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/ETACK) - OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/DTACKrf AND - iobm/DTACKrr) - OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/BERRrf AND - iobm/BERRrr) - OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/RESrf AND - iobm/RESrr)); - -FDCPE_iobm/IOS_FSM_FFd4: FDCPE port map (iobm/IOS_FSM_FFd4,iobm/IOS_FSM_FFd4_D,CLK2X_IOB,'0','0'); -iobm/IOS_FSM_FFd4_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND - iobm/IOS_FSM_FFd1) - OR (iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND - iobm/IOS_FSM_FFd1) - OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND - NOT iobm/IOS_FSM_FFd2 AND CLK_IOB) - OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND - NOT iobm/IOS_FSM_FFd2 AND NOT iobm/IOREQr)); - -FDCPE_iobm/RESrf: FDCPE port map (iobm/RESrf,NOT nRES,NOT CLK2X_IOB,'0','0'); - -FDCPE_iobm/RESrr: FDCPE port map (iobm/RESrr,NOT nRES,CLK2X_IOB,'0','0'); - -FDCPE_iobm/VPArf: FDCPE port map (iobm/VPArf,NOT nVPA_IOB,NOT CLK2X_IOB,'0','0'); - -FDCPE_iobm/VPArr: FDCPE port map (iobm/VPArr,NOT nVPA_IOB,CLK2X_IOB,'0','0'); - -FDCPE_iobs/Clear1: FDCPE port map (iobs/Clear1,iobs/Clear1_D,CLK_FSB,'0','0'); -iobs/Clear1_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1); - -FDCPE_iobs/IOACTr: FDCPE port map (iobs/IOACTr,IOACT,CLK_FSB,'0','0'); - -FDCPE_iobs/IOL1: FDCPE port map (iobs/IOL1,NOT nLDS_FSB,CLK_FSB,'0','0',iobs/Load1); - -FTCPE_iobs/IORW1: FTCPE port map (iobs/IORW1,iobs/IORW1_T,CLK_FSB,'0','0'); -iobs/IORW1_T <= ((iobs/Once) - OR (NOT nADoutLE1) - OR (nOE_OBUF.EXP) - OR (NOT nWE_FSB AND NOT iobs/IORW1) - OR (nAS_FSB AND NOT fsb/ASrf) - OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21)) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19)) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18)) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17)) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16)) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - cs/nOverlay1) - OR (NOT A_FSB(23) AND NOT A_FSB(20)) - OR (nWE_FSB AND iobs/IORW1) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT iobs/IORW1)); - -FTCPE_iobs/IOReady: FTCPE port map (iobs/IOReady,iobs/IOReady_T,CLK_FSB,'0','0'); -iobs/IOReady_T <= ((iobs/IOReady AND nAS_FSB AND NOT fsb/ASrf) - OR (iobs/Once AND iobs/IOReady AND NOT iobs/PS_FSM_FFd2 AND - NOT iobs/IOACTr AND IOBERR AND nADoutLE1) - OR (iobs/Once AND NOT iobs/IOReady AND NOT nAS_FSB AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND NOT IOBERR AND nADoutLE1) - OR (iobs/Once AND NOT iobs/IOReady AND NOT iobs/PS_FSM_FFd2 AND - NOT iobs/IOACTr AND NOT IOBERR AND fsb/ASrf AND nADoutLE1)); - -FDCPE_iobs/IOU1: FDCPE port map (iobs/IOU1,NOT nUDS_FSB,CLK_FSB,'0','0',iobs/Load1); - -FDCPE_iobs/Load1: FDCPE port map (iobs/Load1,iobs/Load1_D,CLK_FSB,'0','0'); -iobs/Load1_D <= ((iobs/Once) - OR (NOT nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21)) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19)) - OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18)) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17)) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16)) - OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21)) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - cs/nOverlay1) - OR (NOT A_FSB(23) AND NOT A_FSB(20)) - OR (nAS_FSB AND NOT fsb/ASrf) - OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)); - -FDCPE_iobs/Once: FDCPE port map (iobs/Once,iobs/Once_D,CLK_FSB,'0','0'); -iobs/Once_D <= ((fsb/Ready2r.EXP) - OR (A_FSB(23) AND NOT iobs/Once AND iobs/PS_FSM_FFd1) - OR (NOT iobs/Once AND iobs/PS_FSM_FFd2 AND NOT nADoutLE1) - OR (NOT iobs/Once AND iobs/PS_FSM_FFd1 AND NOT nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND - NOT iobs/Once) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND NOT iobs/Once) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND NOT iobs/Once) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND NOT iobs/Once) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT iobs/Once AND nWE_FSB) - OR (nAS_FSB AND NOT fsb/ASrf) - OR (A_FSB(23) AND NOT iobs/Once AND iobs/PS_FSM_FFd2) - OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/Once) - OR (A_FSB(22) AND NOT iobs/Once AND iobs/PS_FSM_FFd2) - OR (A_FSB(22) AND NOT iobs/Once AND iobs/PS_FSM_FFd1)); - -FDCPE_iobs/PS_FSM_FFd1: FDCPE port map (iobs/PS_FSM_FFd1,iobs/PS_FSM_FFd1_D,CLK_FSB,'0','0'); -iobs/PS_FSM_FFd1_D <= ((iobs/PS_FSM_FFd2) - OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr)); - -FDCPE_iobs/PS_FSM_FFd2: FDCPE port map (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_D,CLK_FSB,'0','0'); -iobs/PS_FSM_FFd2_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21) AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - cs/nOverlay1 AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1 AND - iobs/IOACTr) - OR (NOT iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1 AND - NOT iobs/IOACTr) - OR (iobs/Once AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND - nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/PS_FSM_FFd2 AND - NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND - NOT fsb/ASrf AND nADoutLE1)); - - -nADoutLE0 <= (NOT ALE0M AND NOT ALE0S); - -FDCPE_nADoutLE1: FDCPE port map (nADoutLE1,nADoutLE1_D,CLK_FSB,'0','0'); -nADoutLE1_D <= ((iobs/Load1) - OR (NOT iobs/Clear1 AND NOT nADoutLE1)); - -FDCPE_nAS_IOB: FDCPE port map (nAS_IOB,nAS_IOB_D,NOT CLK2X_IOB,'0','0'); -nAS_IOB_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND - NOT iobm/IOS_FSM_FFd2) - OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND - iobm/IOS_FSM_FFd1)); - diff --git a/cpld/XC95144/MXSE.tspec b/cpld/XC95144/MXSE.tspec deleted file mode 100644 index e49ebc4..0000000 --- a/cpld/XC95144/MXSE.tspec +++ /dev/null @@ -1,811 +0,0 @@ -AUTO_TS_P2P:FROM:CLK2X_IOB:TO:nVMA_IOB:1 -AUTO_TS_F2P:FROM:nVMA_IOB_OBUF.Q:TO:nVMA_IOB:1 -AUTO_TS_P2P:FROM:CLK_FSB:TO:nDTACK_FSB:1 -AUTO_TS_F2P:FROM:nDTACK_FSB_OBUF.Q:TO:nDTACK_FSB:1 -AUTO_TS_P2P:FROM:CLK2X_IOB:TO:nAS_IOB:1 -AUTO_TS_F2P:FROM:nAS_IOB_OBUF.Q:TO:nAS_IOB:1 -AUTO_TS_P2P:FROM:CLK_FSB:TO:nCAS:1 -AUTO_TS_F2P:FROM:nCAS_OBUF.Q:TO:nCAS:1 -AUTO_TS_P2P:FROM:CLK2X_IOB:TO:nDinLE:1 -AUTO_TS_F2P:FROM:nDinLE_OBUF.Q:TO:nDinLE:1 -AUTO_TS_P2P:FROM:CLK2X_IOB:TO:nDoutOE:1 -AUTO_TS_F2P:FROM:nDoutOE_OBUF.Q:TO:nDoutOE:1 -AUTO_TS_P2P:FROM:CLK2X_IOB:TO:nLDS_IOB:1 -AUTO_TS_F2P:FROM:nLDS_IOB_OBUF.Q:TO:nLDS_IOB:1 -AUTO_TS_P2P:FROM:CLK2X_IOB:TO:nUDS_IOB:1 -AUTO_TS_F2P:FROM:nUDS_IOB_OBUF.Q:TO:nUDS_IOB:1 -AUTO_TS_P2P:FROM:A_FSB<10>:TO:RA<0>:1 -AUTO_TS_P2P:FROM:CLK_FSB:TO:RA<0>:1 -AUTO_TS_P2P:FROM:A_FSB<1>:TO:RA<0>:1 -AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<0>:1 -AUTO_TS_P2P:FROM:A_FSB<11>:TO:RA<1>:1 -AUTO_TS_P2P:FROM:CLK_FSB:TO:RA<1>:1 -AUTO_TS_P2P:FROM:A_FSB<2>:TO:RA<1>:1 -AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<1>:1 -AUTO_TS_P2P:FROM:A_FSB<12>:TO:RA<2>:1 -AUTO_TS_P2P:FROM:CLK_FSB:TO:RA<2>:1 -AUTO_TS_P2P:FROM:A_FSB<3>:TO:RA<2>:1 -AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<2>:1 -AUTO_TS_P2P:FROM:A_FSB<13>:TO:RA<3>:1 -AUTO_TS_P2P:FROM:CLK_FSB:TO:RA<3>:1 -AUTO_TS_P2P:FROM:A_FSB<4>:TO:RA<3>:1 -AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<3>:1 -AUTO_TS_P2P:FROM:A_FSB<14>:TO:RA<4>:1 -AUTO_TS_P2P:FROM:CLK_FSB:TO:RA<4>:1 -AUTO_TS_P2P:FROM:A_FSB<5>:TO:RA<4>:1 -AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<4>:1 -AUTO_TS_P2P:FROM:A_FSB<15>:TO:RA<5>:1 -AUTO_TS_P2P:FROM:CLK_FSB:TO:RA<5>:1 -AUTO_TS_P2P:FROM:A_FSB<6>:TO:RA<5>:1 -AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<5>:1 -AUTO_TS_P2P:FROM:A_FSB<16>:TO:RA<6>:1 -AUTO_TS_P2P:FROM:CLK_FSB:TO:RA<6>:1 -AUTO_TS_P2P:FROM:A_FSB<7>:TO:RA<6>:1 -AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<6>:1 -AUTO_TS_P2P:FROM:A_FSB<8>:TO:RA<7>:1 -AUTO_TS_P2P:FROM:CLK_FSB:TO:RA<7>:1 -AUTO_TS_P2P:FROM:A_FSB<17>:TO:RA<7>:1 -AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<7>:1 -AUTO_TS_P2P:FROM:A_FSB<9>:TO:RA<8>:1 -AUTO_TS_P2P:FROM:CLK_FSB:TO:RA<8>:1 -AUTO_TS_P2P:FROM:A_FSB<18>:TO:RA<8>:1 -AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<8>:1 -AUTO_TS_P2P:FROM:A_FSB<20>:TO:RA<9>:1 -AUTO_TS_P2P:FROM:CLK_FSB:TO:RA<9>:1 -AUTO_TS_P2P:FROM:A_FSB<19>:TO:RA<9>:1 -AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<9>:1 -AUTO_TS_P2P:FROM:nAS_FSB:TO:nBERR_FSB:1 -AUTO_TS_P2P:FROM:A_FSB<23>:TO:nBERR_FSB:1 -AUTO_TS_P2P:FROM:A_FSB<22>:TO:nBERR_FSB:1 -AUTO_TS_P2P:FROM:A_FSB<21>:TO:nBERR_FSB:1 -AUTO_TS_P2P:FROM:A_FSB<20>:TO:nBERR_FSB:1 -AUTO_TS_P2P:FROM:CLK_FSB:TO:nBERR_FSB:1 -AUTO_TS_F2P:FROM:BERR_IOBS.Q:TO:nBERR_FSB:1 -AUTO_TS_F2P:FROM:fsb/BERR0r.Q:TO:nBERR_FSB:1 -AUTO_TS_F2P:FROM:fsb/BERR1r.Q:TO:nBERR_FSB:1 -AUTO_TS_F2P:FROM:TimeoutB.Q:TO:nBERR_FSB:1 -AUTO_TS_P2P:FROM:nAS_FSB:TO:nOE:1 -AUTO_TS_P2P:FROM:nWE_FSB:TO:nOE:1 -AUTO_TS_P2P:FROM:nAS_FSB:TO:nROMWE:1 -AUTO_TS_P2P:FROM:nWE_FSB:TO:nROMWE:1 -AUTO_TS_P2P:FROM:nAS_FSB:TO:nVPA_FSB:1 -AUTO_TS_P2P:FROM:CLK_FSB:TO:nVPA_FSB:1 -AUTO_TS_F2P:FROM:fsb/VPA.Q:TO:nVPA_FSB:1 -AUTO_TS_P2P:FROM:CLK2X_IOB:TO:nADoutLE0:1 -AUTO_TS_P2P:FROM:CLK_FSB:TO:nADoutLE0:1 -AUTO_TS_F2P:FROM:ALE0M.Q:TO:nADoutLE0:1 -AUTO_TS_F2P:FROM:ALE0S.Q:TO:nADoutLE0:1 -AUTO_TS_P2P:FROM:A_FSB<23>:TO:nDinOE:1 -AUTO_TS_P2P:FROM:nAS_FSB:TO:nDinOE:1 -AUTO_TS_P2P:FROM:nWE_FSB:TO:nDinOE:1 -AUTO_TS_P2P:FROM:A_FSB<22>:TO:nDinOE:1 -AUTO_TS_P2P:FROM:A_FSB<21>:TO:nDinOE:1 -AUTO_TS_P2P:FROM:A_FSB<20>:TO:nDinOE:1 -AUTO_TS_P2P:FROM:CLK_FSB:TO:nRAS:1 -AUTO_TS_P2P:FROM:A_FSB<23>:TO:nRAS:1 -AUTO_TS_P2P:FROM:A_FSB<22>:TO:nRAS:1 -AUTO_TS_P2P:FROM:nAS_FSB:TO:nRAS:1 -AUTO_TS_P2P:FROM:A_FSB<21>:TO:nRAS:1 -AUTO_TS_F2P:FROM:RefAck.Q:TO:nRAS:1 -AUTO_TS_F2P:FROM:cs/nOverlay1.Q:TO:nRAS:1 -AUTO_TS_F2P:FROM:ram/RAMDIS2.Q:TO:nRAS:1 -AUTO_TS_F2P:FROM:ram/RAMDIS1.Q:TO:nRAS:1 -AUTO_TS_P2P:FROM:A_FSB<19>:TO:RA<11>:1 -AUTO_TS_P2P:FROM:A_FSB<21>:TO:RA<10>:1 -AUTO_TS_P2P:FROM:CLK_FSB:TO:nADoutLE1:1 -AUTO_TS_F2P:FROM:nADoutLE1_OBUF.Q:TO:nADoutLE1:1 -AUTO_TS_P2P:FROM:nAS_FSB:TO:nRAMLWE:1 -AUTO_TS_P2P:FROM:nWE_FSB:TO:nRAMLWE:1 -AUTO_TS_P2P:FROM:nLDS_FSB:TO:nRAMLWE:1 -AUTO_TS_P2P:FROM:CLK_FSB:TO:nRAMLWE:1 -AUTO_TS_F2P:FROM:ram/RAMDIS2.Q:TO:nRAMLWE:1 -AUTO_TS_F2P:FROM:ram/RAMDIS1.Q:TO:nRAMLWE:1 -AUTO_TS_P2P:FROM:nAS_FSB:TO:nRAMUWE:1 -AUTO_TS_P2P:FROM:nWE_FSB:TO:nRAMUWE:1 -AUTO_TS_P2P:FROM:nUDS_FSB:TO:nRAMUWE:1 -AUTO_TS_P2P:FROM:CLK_FSB:TO:nRAMUWE:1 -AUTO_TS_F2P:FROM:ram/RAMDIS2.Q:TO:nRAMUWE:1 -AUTO_TS_F2P:FROM:ram/RAMDIS1.Q:TO:nRAMUWE:1 -AUTO_TS_P2P:FROM:A_FSB<23>:TO:nROMCS:1 -AUTO_TS_P2P:FROM:A_FSB<20>:TO:nROMCS:1 -AUTO_TS_P2P:FROM:A_FSB<21>:TO:nROMCS:1 -AUTO_TS_P2P:FROM:CLK_FSB:TO:nROMCS:1 -AUTO_TS_P2P:FROM:A_FSB<22>:TO:nROMCS:1 -AUTO_TS_F2P:FROM:cs/nOverlay1.Q:TO:nROMCS:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd4.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd4.Q:TO:iobm/IOS_FSM_FFd4.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/IOS_FSM_FFd4.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/IOS_FSM_FFd4.D:1 -AUTO_TS_F2F:FROM:iobm/IOREQr.Q:TO:iobm/IOS_FSM_FFd4.D:1 -AUTO_TS_P2F:FROM:CLK2X_IOB:TO:iobm/IOS_FSM_FFd4.D:1 -AUTO_TS_P2F:FROM:CLK_IOB:TO:iobm/IOS_FSM_FFd4.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd4.Q:TO:iobm/IOS_FSM_FFd3.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd3.D:1 -AUTO_TS_F2F:FROM:iobm/RESrf.Q:TO:iobm/IOS_FSM_FFd3.D:1 -AUTO_TS_F2F:FROM:iobm/RESrr.Q:TO:iobm/IOS_FSM_FFd3.D:1 -AUTO_TS_F2F:FROM:iobm/ETACK.Q:TO:iobm/IOS_FSM_FFd3.D:1 -AUTO_TS_F2F:FROM:iobm/BERRrf.Q:TO:iobm/IOS_FSM_FFd3.D:1 -AUTO_TS_F2F:FROM:iobm/BERRrr.Q:TO:iobm/IOS_FSM_FFd3.D:1 -AUTO_TS_F2F:FROM:iobm/DTACKrf.Q:TO:iobm/IOS_FSM_FFd3.D:1 -AUTO_TS_F2F:FROM:iobm/DTACKrr.Q:TO:iobm/IOS_FSM_FFd3.D:1 -AUTO_TS_P2F:FROM:CLK_IOB:TO:iobm/IOS_FSM_FFd3.D:1 -AUTO_TS_P2F:FROM:CLK2X_IOB:TO:iobm/IOS_FSM_FFd3.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd4.Q:TO:IOACT.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:IOACT.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:IOACT.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:IOACT.D:1 -AUTO_TS_F2F:FROM:iobm/RESrf.Q:TO:IOACT.D:1 -AUTO_TS_F2F:FROM:iobm/RESrr.Q:TO:IOACT.D:1 -AUTO_TS_F2F:FROM:iobm/IOREQr.Q:TO:IOACT.D:1 -AUTO_TS_F2F:FROM:iobm/ETACK.Q:TO:IOACT.D:1 -AUTO_TS_F2F:FROM:iobm/BERRrf.Q:TO:IOACT.D:1 -AUTO_TS_F2F:FROM:iobm/BERRrr.Q:TO:IOACT.D:1 -AUTO_TS_F2F:FROM:iobm/DTACKrf.Q:TO:IOACT.D:1 -AUTO_TS_F2F:FROM:iobm/DTACKrr.Q:TO:IOACT.D:1 -AUTO_TS_P2F:FROM:CLK2X_IOB:TO:IOACT.D:1 -AUTO_TS_P2F:FROM:CLK_IOB:TO:IOACT.D:1 -AUTO_TS_F2F:FROM:IOBERR.Q:TO:IOBERR.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:IOBERR.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd4.Q:TO:IOBERR.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:IOBERR.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:IOBERR.D:1 -AUTO_TS_F2F:FROM:iobm/ETACK.Q:TO:IOBERR.D:1 -AUTO_TS_F2F:FROM:iobm/BERRrf.Q:TO:IOBERR.D:1 -AUTO_TS_F2F:FROM:iobm/BERRrr.Q:TO:IOBERR.D:1 -AUTO_TS_F2F:FROM:iobm/DTACKrf.Q:TO:IOBERR.D:1 -AUTO_TS_F2F:FROM:iobm/DTACKrr.Q:TO:IOBERR.D:1 -AUTO_TS_F2F:FROM:iobm/RESrf.Q:TO:IOBERR.D:1 -AUTO_TS_F2F:FROM:iobm/RESrr.Q:TO:IOBERR.D:1 -AUTO_TS_P2F:FROM:nBERR_IOB:TO:IOBERR.D:1 -AUTO_TS_P2F:FROM:CLK2X_IOB:TO:IOBERR.D:1 -AUTO_TS_P2F:FROM:CLK_IOB:TO:IOBERR.D:1 -AUTO_TS_F2F:FROM:cs/nOverlay0.Q:TO:cs/nOverlay1.D:1 -AUTO_TS_P2F:FROM:CLK_FSB:TO:cs/nOverlay1.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:cs/nOverlay1.CE:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:cs/nOverlay1.CE:1 -AUTO_TS_P2F:FROM:CLK_FSB:TO:cs/nOverlay1.CE:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/Once.D:1 -AUTO_TS_F2F:FROM:ram/Once.Q:TO:ram/Once.D:1 -AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:ram/Once.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd2.Q:TO:ram/Once.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd1.Q:TO:ram/Once.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd3.Q:TO:ram/Once.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/Once.D:1 -AUTO_TS_P2F:FROM:CLK_FSB:TO:ram/Once.D:1 -AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/Once.D:1 -AUTO_TS_P2F:FROM:A_FSB<22>:TO:ram/Once.D:1 -AUTO_TS_P2F:FROM:A_FSB<21>:TO:ram/Once.D:1 -AUTO_TS_F2F:FROM:cnt/RefDone.Q:TO:cnt/RefDone.D:1 -AUTO_TS_F2F:FROM:RefAck.Q:TO:cnt/RefDone.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefDone.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<5>.Q:TO:cnt/RefDone.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<6>.Q:TO:cnt/RefDone.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefDone.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefDone.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefDone.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefDone.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<7>.Q:TO:cnt/RefDone.D:1 -AUTO_TS_P2F:FROM:CLK_FSB:TO:cnt/RefDone.D:1 -AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Once.D:1 -AUTO_TS_F2F:FROM:iobs/Once.Q:TO:iobs/Once.D:1 -AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Once.D:1 -AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:iobs/Once.D:1 -AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:iobs/Once.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:iobs/Once.D:1 -AUTO_TS_P2F:FROM:A_FSB<23>:TO:iobs/Once.D:1 -AUTO_TS_P2F:FROM:CLK_FSB:TO:iobs/Once.D:1 -AUTO_TS_P2F:FROM:A_FSB<22>:TO:iobs/Once.D:1 -AUTO_TS_P2F:FROM:A_FSB<21>:TO:iobs/Once.D:1 -AUTO_TS_P2F:FROM:A_FSB<14>:TO:iobs/Once.D:1 -AUTO_TS_P2F:FROM:A_FSB<13>:TO:iobs/Once.D:1 -AUTO_TS_P2F:FROM:A_FSB<19>:TO:iobs/Once.D:1 -AUTO_TS_P2F:FROM:A_FSB<18>:TO:iobs/Once.D:1 -AUTO_TS_P2F:FROM:A_FSB<17>:TO:iobs/Once.D:1 -AUTO_TS_P2F:FROM:A_FSB<16>:TO:iobs/Once.D:1 -AUTO_TS_P2F:FROM:nWE_FSB:TO:iobs/Once.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:iobs/Once.D:1 -AUTO_TS_P2F:FROM:A_FSB<20>:TO:iobs/Once.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:BERR_IOBS.D:1 -AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:BERR_IOBS.D:1 -AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:BERR_IOBS.D:1 -AUTO_TS_F2F:FROM:iobs/Once.Q:TO:BERR_IOBS.D:1 -AUTO_TS_F2F:FROM:BERR_IOBS.Q:TO:BERR_IOBS.D:1 -AUTO_TS_F2F:FROM:iobs/IOACTr.Q:TO:BERR_IOBS.D:1 -AUTO_TS_F2F:FROM:IOBERR.Q:TO:BERR_IOBS.D:1 -AUTO_TS_P2F:FROM:CLK_FSB:TO:BERR_IOBS.D:1 -AUTO_TS_P2F:FROM:CLK2X_IOB:TO:BERR_IOBS.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:BERR_IOBS.D:1 -AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:IORW0.D:1 -AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:IORW0.D:1 -AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:IORW0.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:IORW0.D:1 -AUTO_TS_F2F:FROM:iobs/Once.Q:TO:IORW0.D:1 -AUTO_TS_F2F:FROM:IORW0.Q:TO:IORW0.D:1 -AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:IORW0.D:1 -AUTO_TS_F2F:FROM:iobs/IORW1.Q:TO:IORW0.D:1 -AUTO_TS_P2F:FROM:A_FSB<23>:TO:IORW0.D:1 -AUTO_TS_P2F:FROM:CLK_FSB:TO:IORW0.D:1 -AUTO_TS_P2F:FROM:nWE_FSB:TO:IORW0.D:1 -AUTO_TS_P2F:FROM:A_FSB<22>:TO:IORW0.D:1 -AUTO_TS_P2F:FROM:A_FSB<21>:TO:IORW0.D:1 -AUTO_TS_P2F:FROM:A_FSB<20>:TO:IORW0.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:IORW0.D:1 -AUTO_TS_P2F:FROM:A_FSB<14>:TO:IORW0.D:1 -AUTO_TS_P2F:FROM:A_FSB<19>:TO:IORW0.D:1 -AUTO_TS_P2F:FROM:A_FSB<18>:TO:IORW0.D:1 -AUTO_TS_P2F:FROM:A_FSB<17>:TO:IORW0.D:1 -AUTO_TS_P2F:FROM:A_FSB<16>:TO:IORW0.D:1 -AUTO_TS_P2F:FROM:A_FSB<13>:TO:IORW0.D:1 -AUTO_TS_F2F:FROM:cs/nOverlay0.Q:TO:cs/nOverlay0.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:cs/nOverlay0.D:1 -AUTO_TS_P2F:FROM:A_FSB<21>:TO:cs/nOverlay0.D:1 -AUTO_TS_P2F:FROM:A_FSB<23>:TO:cs/nOverlay0.D:1 -AUTO_TS_P2F:FROM:A_FSB<22>:TO:cs/nOverlay0.D:1 -AUTO_TS_P2F:FROM:A_FSB<20>:TO:cs/nOverlay0.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:cs/nOverlay0.D:1 -AUTO_TS_P2F:FROM:CLK_FSB:TO:cs/nOverlay0.D:1 -AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:IOL0.D:1 -AUTO_TS_F2F:FROM:iobs/IOL1.Q:TO:IOL0.D:1 -AUTO_TS_P2F:FROM:CLK_FSB:TO:IOL0.D:1 -AUTO_TS_P2F:FROM:nLDS_FSB:TO:IOL0.D:1 -AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:IOL0.CE:1 -AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:IOL0.CE:1 -AUTO_TS_P2F:FROM:CLK_FSB:TO:IOL0.CE:1 -AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:IOU0.D:1 -AUTO_TS_F2F:FROM:iobs/IOU1.Q:TO:IOU0.D:1 -AUTO_TS_P2F:FROM:CLK_FSB:TO:IOU0.D:1 -AUTO_TS_P2F:FROM:nUDS_FSB:TO:IOU0.D:1 -AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:IOU0.CE:1 -AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:IOU0.CE:1 -AUTO_TS_P2F:FROM:CLK_FSB:TO:IOU0.CE:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:TimeoutA.D:1 -AUTO_TS_F2F:FROM:TimeoutA.Q:TO:TimeoutA.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<0>.Q:TO:TimeoutA.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<5>.Q:TO:TimeoutA.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<6>.Q:TO:TimeoutA.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<1>.Q:TO:TimeoutA.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<2>.Q:TO:TimeoutA.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<3>.Q:TO:TimeoutA.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<4>.Q:TO:TimeoutA.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:TimeoutA.D:1 -AUTO_TS_P2F:FROM:CLK_FSB:TO:TimeoutA.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:TimeoutB.D:1 -AUTO_TS_F2F:FROM:TimeoutB.Q:TO:TimeoutB.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<0>.Q:TO:TimeoutB.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<5>.Q:TO:TimeoutB.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<6>.Q:TO:TimeoutB.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<1>.Q:TO:TimeoutB.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<2>.Q:TO:TimeoutB.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<3>.Q:TO:TimeoutB.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<4>.Q:TO:TimeoutB.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<7>.Q:TO:TimeoutB.D:1 -AUTO_TS_F2F:FROM:cnt/TimeoutBPre.Q:TO:TimeoutB.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:TimeoutB.D:1 -AUTO_TS_P2F:FROM:CLK_FSB:TO:TimeoutB.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:cnt/TimeoutBPre.D:1 -AUTO_TS_F2F:FROM:cnt/TimeoutBPre.Q:TO:cnt/TimeoutBPre.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<0>.Q:TO:cnt/TimeoutBPre.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<5>.Q:TO:cnt/TimeoutBPre.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<6>.Q:TO:cnt/TimeoutBPre.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<1>.Q:TO:cnt/TimeoutBPre.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<2>.Q:TO:cnt/TimeoutBPre.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<3>.Q:TO:cnt/TimeoutBPre.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<4>.Q:TO:cnt/TimeoutBPre.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<7>.Q:TO:cnt/TimeoutBPre.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:cnt/TimeoutBPre.D:1 -AUTO_TS_P2F:FROM:CLK_FSB:TO:cnt/TimeoutBPre.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:fsb/BERR0r.D:1 -AUTO_TS_F2F:FROM:TimeoutB.Q:TO:fsb/BERR0r.D:1 -AUTO_TS_F2F:FROM:fsb/BERR0r.Q:TO:fsb/BERR0r.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:fsb/BERR0r.D:1 -AUTO_TS_P2F:FROM:CLK_FSB:TO:fsb/BERR0r.D:1 -AUTO_TS_P2F:FROM:A_FSB<23>:TO:fsb/BERR0r.D:1 -AUTO_TS_P2F:FROM:A_FSB<22>:TO:fsb/BERR0r.D:1 -AUTO_TS_P2F:FROM:A_FSB<21>:TO:fsb/BERR0r.D:1 -AUTO_TS_P2F:FROM:A_FSB<20>:TO:fsb/BERR0r.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:fsb/BERR1r.D:1 -AUTO_TS_F2F:FROM:BERR_IOBS.Q:TO:fsb/BERR1r.D:1 -AUTO_TS_F2F:FROM:fsb/BERR1r.Q:TO:fsb/BERR1r.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:fsb/BERR1r.D:1 -AUTO_TS_P2F:FROM:CLK_FSB:TO:fsb/BERR1r.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:fsb/Ready0r.D:1 -AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:fsb/Ready0r.D:1 -AUTO_TS_F2F:FROM:fsb/Ready0r.Q:TO:fsb/Ready0r.D:1 -AUTO_TS_F2F:FROM:ram/RAMReady.Q:TO:fsb/Ready0r.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:fsb/Ready0r.D:1 -AUTO_TS_P2F:FROM:CLK_FSB:TO:fsb/Ready0r.D:1 -AUTO_TS_P2F:FROM:A_FSB<23>:TO:fsb/Ready0r.D:1 -AUTO_TS_P2F:FROM:A_FSB<22>:TO:fsb/Ready0r.D:1 -AUTO_TS_P2F:FROM:A_FSB<21>:TO:fsb/Ready0r.D:1 -AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:fsb/Ready1r.D:1 -AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:fsb/Ready1r.D:1 -AUTO_TS_F2F:FROM:fsb/Ready1r.Q:TO:fsb/Ready1r.D:1 -AUTO_TS_F2F:FROM:iobs/IOReady.Q:TO:fsb/Ready1r.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:fsb/Ready1r.D:1 -AUTO_TS_P2F:FROM:A_FSB<13>:TO:fsb/Ready1r.D:1 -AUTO_TS_P2F:FROM:A_FSB<22>:TO:fsb/Ready1r.D:1 -AUTO_TS_P2F:FROM:A_FSB<21>:TO:fsb/Ready1r.D:1 -AUTO_TS_P2F:FROM:A_FSB<20>:TO:fsb/Ready1r.D:1 -AUTO_TS_P2F:FROM:A_FSB<19>:TO:fsb/Ready1r.D:1 -AUTO_TS_P2F:FROM:A_FSB<18>:TO:fsb/Ready1r.D:1 -AUTO_TS_P2F:FROM:A_FSB<17>:TO:fsb/Ready1r.D:1 -AUTO_TS_P2F:FROM:A_FSB<16>:TO:fsb/Ready1r.D:1 -AUTO_TS_P2F:FROM:CLK_FSB:TO:fsb/Ready1r.D:1 -AUTO_TS_P2F:FROM:nWE_FSB:TO:fsb/Ready1r.D:1 -AUTO_TS_P2F:FROM:A_FSB<23>:TO:fsb/Ready1r.D:1 -AUTO_TS_P2F:FROM:A_FSB<14>:TO:fsb/Ready1r.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:fsb/Ready1r.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:fsb/Ready2r.D:1 -AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:fsb/Ready2r.D:1 -AUTO_TS_F2F:FROM:TimeoutA.Q:TO:fsb/Ready2r.D:1 -AUTO_TS_F2F:FROM:fsb/Ready2r.Q:TO:fsb/Ready2r.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:fsb/Ready2r.D:1 -AUTO_TS_P2F:FROM:CLK_FSB:TO:fsb/Ready2r.D:1 -AUTO_TS_P2F:FROM:A_FSB<8>:TO:fsb/Ready2r.D:1 -AUTO_TS_P2F:FROM:A_FSB<15>:TO:fsb/Ready2r.D:1 -AUTO_TS_P2F:FROM:A_FSB<14>:TO:fsb/Ready2r.D:1 -AUTO_TS_P2F:FROM:A_FSB<13>:TO:fsb/Ready2r.D:1 -AUTO_TS_P2F:FROM:A_FSB<12>:TO:fsb/Ready2r.D:1 -AUTO_TS_P2F:FROM:A_FSB<11>:TO:fsb/Ready2r.D:1 -AUTO_TS_P2F:FROM:A_FSB<10>:TO:fsb/Ready2r.D:1 -AUTO_TS_P2F:FROM:A_FSB<23>:TO:fsb/Ready2r.D:1 -AUTO_TS_P2F:FROM:A_FSB<22>:TO:fsb/Ready2r.D:1 -AUTO_TS_P2F:FROM:A_FSB<21>:TO:fsb/Ready2r.D:1 -AUTO_TS_P2F:FROM:A_FSB<20>:TO:fsb/Ready2r.D:1 -AUTO_TS_P2F:FROM:A_FSB<19>:TO:fsb/Ready2r.D:1 -AUTO_TS_P2F:FROM:A_FSB<18>:TO:fsb/Ready2r.D:1 -AUTO_TS_P2F:FROM:A_FSB<17>:TO:fsb/Ready2r.D:1 -AUTO_TS_P2F:FROM:A_FSB<16>:TO:fsb/Ready2r.D:1 -AUTO_TS_P2F:FROM:nWE_FSB:TO:fsb/Ready2r.D:1 -AUTO_TS_P2F:FROM:A_FSB<9>:TO:fsb/Ready2r.D:1 -AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:fsb/VPA.D:1 -AUTO_TS_F2F:FROM:TimeoutA.Q:TO:fsb/VPA.D:1 -AUTO_TS_F2F:FROM:fsb/Ready2r.Q:TO:fsb/VPA.D:1 -AUTO_TS_F2F:FROM:fsb/VPA.Q:TO:fsb/VPA.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:fsb/VPA.D:1 -AUTO_TS_F2F:FROM:BERR_IOBS.Q:TO:fsb/VPA.D:1 -AUTO_TS_F2F:FROM:TimeoutB.Q:TO:fsb/VPA.D:1 -AUTO_TS_F2F:FROM:fsb/BERR0r.Q:TO:fsb/VPA.D:1 -AUTO_TS_F2F:FROM:fsb/BERR1r.Q:TO:fsb/VPA.D:1 -AUTO_TS_F2F:FROM:fsb/Ready1r.Q:TO:fsb/VPA.D:1 -AUTO_TS_F2F:FROM:iobs/IOReady.Q:TO:fsb/VPA.D:1 -AUTO_TS_F2F:FROM:fsb/Ready0r.Q:TO:fsb/VPA.D:1 -AUTO_TS_F2F:FROM:ram/RAMReady.Q:TO:fsb/VPA.D:1 -AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:fsb/VPA.D:1 -AUTO_TS_P2F:FROM:A_FSB<9>:TO:fsb/VPA.D:1 -AUTO_TS_P2F:FROM:A_FSB<15>:TO:fsb/VPA.D:1 -AUTO_TS_P2F:FROM:A_FSB<14>:TO:fsb/VPA.D:1 -AUTO_TS_P2F:FROM:A_FSB<13>:TO:fsb/VPA.D:1 -AUTO_TS_P2F:FROM:A_FSB<12>:TO:fsb/VPA.D:1 -AUTO_TS_P2F:FROM:A_FSB<11>:TO:fsb/VPA.D:1 -AUTO_TS_P2F:FROM:A_FSB<10>:TO:fsb/VPA.D:1 -AUTO_TS_P2F:FROM:A_FSB<23>:TO:fsb/VPA.D:1 -AUTO_TS_P2F:FROM:A_FSB<22>:TO:fsb/VPA.D:1 -AUTO_TS_P2F:FROM:A_FSB<21>:TO:fsb/VPA.D:1 -AUTO_TS_P2F:FROM:A_FSB<20>:TO:fsb/VPA.D:1 -AUTO_TS_P2F:FROM:A_FSB<19>:TO:fsb/VPA.D:1 -AUTO_TS_P2F:FROM:A_FSB<18>:TO:fsb/VPA.D:1 -AUTO_TS_P2F:FROM:A_FSB<17>:TO:fsb/VPA.D:1 -AUTO_TS_P2F:FROM:A_FSB<16>:TO:fsb/VPA.D:1 -AUTO_TS_P2F:FROM:CLK_FSB:TO:fsb/VPA.D:1 -AUTO_TS_P2F:FROM:nWE_FSB:TO:fsb/VPA.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:fsb/VPA.D:1 -AUTO_TS_P2F:FROM:A_FSB<8>:TO:fsb/VPA.D:1 -AUTO_TS_F2F:FROM:iobs/Load1.Q:TO:iobs/IOL1.CE:1 -AUTO_TS_P2F:FROM:CLK_FSB:TO:iobs/IOL1.CE:1 -AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:iobs/IORW1.D:1 -AUTO_TS_F2F:FROM:iobs/Once.Q:TO:iobs/IORW1.D:1 -AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:iobs/IORW1.D:1 -AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/IORW1.D:1 -AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/IORW1.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:iobs/IORW1.D:1 -AUTO_TS_F2F:FROM:iobs/IORW1.Q:TO:iobs/IORW1.D:1 -AUTO_TS_P2F:FROM:CLK_FSB:TO:iobs/IORW1.D:1 -AUTO_TS_P2F:FROM:A_FSB<23>:TO:iobs/IORW1.D:1 -AUTO_TS_P2F:FROM:A_FSB<21>:TO:iobs/IORW1.D:1 -AUTO_TS_P2F:FROM:A_FSB<18>:TO:iobs/IORW1.D:1 -AUTO_TS_P2F:FROM:A_FSB<14>:TO:iobs/IORW1.D:1 -AUTO_TS_P2F:FROM:A_FSB<13>:TO:iobs/IORW1.D:1 -AUTO_TS_P2F:FROM:A_FSB<22>:TO:iobs/IORW1.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:iobs/IORW1.D:1 -AUTO_TS_P2F:FROM:nWE_FSB:TO:iobs/IORW1.D:1 -AUTO_TS_P2F:FROM:A_FSB<19>:TO:iobs/IORW1.D:1 -AUTO_TS_P2F:FROM:A_FSB<17>:TO:iobs/IORW1.D:1 -AUTO_TS_P2F:FROM:A_FSB<16>:TO:iobs/IORW1.D:1 -AUTO_TS_P2F:FROM:A_FSB<20>:TO:iobs/IORW1.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:iobs/IOReady.D:1 -AUTO_TS_F2F:FROM:iobs/IOReady.Q:TO:iobs/IOReady.D:1 -AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/IOReady.D:1 -AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:iobs/IOReady.D:1 -AUTO_TS_F2F:FROM:iobs/Once.Q:TO:iobs/IOReady.D:1 -AUTO_TS_F2F:FROM:iobs/IOACTr.Q:TO:iobs/IOReady.D:1 -AUTO_TS_F2F:FROM:IOBERR.Q:TO:iobs/IOReady.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:iobs/IOReady.D:1 -AUTO_TS_P2F:FROM:CLK_FSB:TO:iobs/IOReady.D:1 -AUTO_TS_P2F:FROM:CLK2X_IOB:TO:iobs/IOReady.D:1 -AUTO_TS_F2F:FROM:iobs/Load1.Q:TO:iobs/IOU1.CE:1 -AUTO_TS_P2F:FROM:CLK_FSB:TO:iobs/IOU1.CE:1 -AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:ram/RAMDIS2.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/RAMDIS2.D:1 -AUTO_TS_F2F:FROM:ram/Once.Q:TO:ram/RAMDIS2.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMDIS2.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMDIS2.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMDIS2.D:1 -AUTO_TS_F2F:FROM:cnt/RefDone.Q:TO:ram/RAMDIS2.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<5>.Q:TO:ram/RAMDIS2.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<6>.Q:TO:ram/RAMDIS2.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<7>.Q:TO:ram/RAMDIS2.D:1 -AUTO_TS_F2F:FROM:ram/RAMDIS2.Q:TO:ram/RAMDIS2.D:1 -AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/RAMDIS2.D:1 -AUTO_TS_P2F:FROM:A_FSB<22>:TO:ram/RAMDIS2.D:1 -AUTO_TS_P2F:FROM:CLK_FSB:TO:ram/RAMDIS2.D:1 -AUTO_TS_P2F:FROM:A_FSB<21>:TO:ram/RAMDIS2.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/RAMDIS2.D:1 -AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_F2F:FROM:fsb/Ready1r.Q:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_F2F:FROM:iobs/IOReady.Q:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_F2F:FROM:nDTACK_FSB_OBUF.Q:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_F2F:FROM:TimeoutA.Q:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_F2F:FROM:fsb/Ready2r.Q:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_F2F:FROM:TimeoutB.Q:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_F2F:FROM:BERR_IOBS.Q:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_F2F:FROM:fsb/BERR0r.Q:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_F2F:FROM:fsb/BERR1r.Q:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_F2F:FROM:fsb/Ready0r.Q:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_F2F:FROM:ram/RAMReady.Q:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_P2F:FROM:A_FSB<13>:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_P2F:FROM:A_FSB<22>:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_P2F:FROM:A_FSB<21>:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_P2F:FROM:A_FSB<20>:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_P2F:FROM:A_FSB<19>:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_P2F:FROM:A_FSB<18>:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_P2F:FROM:A_FSB<17>:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_P2F:FROM:A_FSB<16>:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_P2F:FROM:CLK_FSB:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_P2F:FROM:nWE_FSB:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_P2F:FROM:A_FSB<8>:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_P2F:FROM:A_FSB<15>:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_P2F:FROM:A_FSB<14>:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_P2F:FROM:A_FSB<12>:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_P2F:FROM:A_FSB<11>:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_P2F:FROM:A_FSB<10>:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_P2F:FROM:A_FSB<23>:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_P2F:FROM:A_FSB<9>:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:ram/RASEL.D:1 -AUTO_TS_F2F:FROM:ram/Once.Q:TO:ram/RASEL.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RASEL.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RASEL.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/RASEL.D:1 -AUTO_TS_F2F:FROM:cnt/RefDone.Q:TO:ram/RASEL.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<5>.Q:TO:ram/RASEL.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<6>.Q:TO:ram/RASEL.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<7>.Q:TO:ram/RASEL.D:1 -AUTO_TS_F2F:FROM:ram/BACTr.Q:TO:ram/RASEL.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RASEL.D:1 -AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/RASEL.D:1 -AUTO_TS_P2F:FROM:A_FSB<22>:TO:ram/RASEL.D:1 -AUTO_TS_P2F:FROM:A_FSB<21>:TO:ram/RASEL.D:1 -AUTO_TS_P2F:FROM:CLK_FSB:TO:ram/RASEL.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/RASEL.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd2.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd2.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd2.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<5>.Q:TO:ram/RS_FSM_FFd2.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<6>.Q:TO:ram/RS_FSM_FFd2.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<7>.Q:TO:ram/RS_FSM_FFd2.D:1 -AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd2.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd2.D:1 -AUTO_TS_F2F:FROM:cnt/RefDone.Q:TO:ram/RS_FSM_FFd2.D:1 -AUTO_TS_F2F:FROM:ram/BACTr.Q:TO:ram/RS_FSM_FFd2.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/RS_FSM_FFd2.D:1 -AUTO_TS_P2F:FROM:CLK_FSB:TO:ram/RS_FSM_FFd2.D:1 -AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/RS_FSM_FFd2.D:1 -AUTO_TS_P2F:FROM:A_FSB<22>:TO:ram/RS_FSM_FFd2.D:1 -AUTO_TS_P2F:FROM:A_FSB<21>:TO:ram/RS_FSM_FFd2.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd1.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd1.D:1 -AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd1.D:1 -AUTO_TS_F2F:FROM:ram/Once.Q:TO:ram/RS_FSM_FFd1.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd1.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd1.D:1 -AUTO_TS_P2F:FROM:CLK_FSB:TO:ram/RS_FSM_FFd1.D:1 -AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/RS_FSM_FFd1.D:1 -AUTO_TS_P2F:FROM:A_FSB<22>:TO:ram/RS_FSM_FFd1.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/RS_FSM_FFd1.D:1 -AUTO_TS_P2F:FROM:A_FSB<21>:TO:ram/RS_FSM_FFd1.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd3.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd3.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd3.D:1 -AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd3.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd3.D:1 -AUTO_TS_F2F:FROM:cnt/RefDone.Q:TO:ram/RS_FSM_FFd3.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<5>.Q:TO:ram/RS_FSM_FFd3.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<6>.Q:TO:ram/RS_FSM_FFd3.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<7>.Q:TO:ram/RS_FSM_FFd3.D:1 -AUTO_TS_F2F:FROM:ram/Once.Q:TO:ram/RS_FSM_FFd3.D:1 -AUTO_TS_P2F:FROM:A_FSB<22>:TO:ram/RS_FSM_FFd3.D:1 -AUTO_TS_P2F:FROM:A_FSB<21>:TO:ram/RS_FSM_FFd3.D:1 -AUTO_TS_P2F:FROM:CLK_FSB:TO:ram/RS_FSM_FFd3.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/RS_FSM_FFd3.D:1 -AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/RS_FSM_FFd3.D:1 -AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/PS_FSM_FFd2.D:1 -AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/PS_FSM_FFd2.D:1 -AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:iobs/PS_FSM_FFd2.D:1 -AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:iobs/PS_FSM_FFd2.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:iobs/PS_FSM_FFd2.D:1 -AUTO_TS_F2F:FROM:iobs/IOACTr.Q:TO:iobs/PS_FSM_FFd2.D:1 -AUTO_TS_F2F:FROM:iobs/Once.Q:TO:iobs/PS_FSM_FFd2.D:1 -AUTO_TS_P2F:FROM:A_FSB<23>:TO:iobs/PS_FSM_FFd2.D:1 -AUTO_TS_P2F:FROM:A_FSB<21>:TO:iobs/PS_FSM_FFd2.D:1 -AUTO_TS_P2F:FROM:A_FSB<18>:TO:iobs/PS_FSM_FFd2.D:1 -AUTO_TS_P2F:FROM:CLK_FSB:TO:iobs/PS_FSM_FFd2.D:1 -AUTO_TS_P2F:FROM:A_FSB<17>:TO:iobs/PS_FSM_FFd2.D:1 -AUTO_TS_P2F:FROM:A_FSB<16>:TO:iobs/PS_FSM_FFd2.D:1 -AUTO_TS_P2F:FROM:A_FSB<14>:TO:iobs/PS_FSM_FFd2.D:1 -AUTO_TS_P2F:FROM:A_FSB<13>:TO:iobs/PS_FSM_FFd2.D:1 -AUTO_TS_P2F:FROM:A_FSB<22>:TO:iobs/PS_FSM_FFd2.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:iobs/PS_FSM_FFd2.D:1 -AUTO_TS_P2F:FROM:A_FSB<19>:TO:iobs/PS_FSM_FFd2.D:1 -AUTO_TS_P2F:FROM:nWE_FSB:TO:iobs/PS_FSM_FFd2.D:1 -AUTO_TS_P2F:FROM:A_FSB<20>:TO:iobs/PS_FSM_FFd2.D:1 -AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/PS_FSM_FFd1.D:1 -AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/PS_FSM_FFd1.D:1 -AUTO_TS_F2F:FROM:iobs/IOACTr.Q:TO:iobs/PS_FSM_FFd1.D:1 -AUTO_TS_P2F:FROM:CLK_FSB:TO:iobs/PS_FSM_FFd1.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<5>.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<5>.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<5>.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<5>.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<5>.D:1 -AUTO_TS_P2F:FROM:CLK_FSB:TO:cnt/RefCnt<5>.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<6>.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<5>.Q:TO:cnt/RefCnt<6>.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<6>.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<6>.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<6>.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<6>.D:1 -AUTO_TS_P2F:FROM:CLK_FSB:TO:cnt/RefCnt<6>.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<1>.D:1 -AUTO_TS_P2F:FROM:CLK_FSB:TO:cnt/RefCnt<1>.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<2>.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<2>.D:1 -AUTO_TS_P2F:FROM:CLK_FSB:TO:cnt/RefCnt<2>.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<3>.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<3>.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<3>.D:1 -AUTO_TS_P2F:FROM:CLK_FSB:TO:cnt/RefCnt<3>.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<4>.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<4>.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<4>.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<4>.D:1 -AUTO_TS_P2F:FROM:CLK_FSB:TO:cnt/RefCnt<4>.D:1 -AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:iobs/Load1.D:1 -AUTO_TS_F2F:FROM:iobs/Once.Q:TO:iobs/Load1.D:1 -AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:iobs/Load1.D:1 -AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Load1.D:1 -AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Load1.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:iobs/Load1.D:1 -AUTO_TS_P2F:FROM:CLK_FSB:TO:iobs/Load1.D:1 -AUTO_TS_P2F:FROM:A_FSB<23>:TO:iobs/Load1.D:1 -AUTO_TS_P2F:FROM:A_FSB<14>:TO:iobs/Load1.D:1 -AUTO_TS_P2F:FROM:A_FSB<13>:TO:iobs/Load1.D:1 -AUTO_TS_P2F:FROM:A_FSB<21>:TO:iobs/Load1.D:1 -AUTO_TS_P2F:FROM:A_FSB<22>:TO:iobs/Load1.D:1 -AUTO_TS_P2F:FROM:A_FSB<19>:TO:iobs/Load1.D:1 -AUTO_TS_P2F:FROM:A_FSB<16>:TO:iobs/Load1.D:1 -AUTO_TS_P2F:FROM:nWE_FSB:TO:iobs/Load1.D:1 -AUTO_TS_P2F:FROM:A_FSB<18>:TO:iobs/Load1.D:1 -AUTO_TS_P2F:FROM:A_FSB<17>:TO:iobs/Load1.D:1 -AUTO_TS_P2F:FROM:A_FSB<20>:TO:iobs/Load1.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:iobs/Load1.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/BACTr.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/BACTr.D:1 -AUTO_TS_P2F:FROM:CLK_FSB:TO:ram/BACTr.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<7>.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<5>.Q:TO:cnt/RefCnt<7>.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<6>.Q:TO:cnt/RefCnt<7>.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<7>.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<7>.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<7>.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<7>.D:1 -AUTO_TS_P2F:FROM:CLK_FSB:TO:cnt/RefCnt<7>.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd2.Q:TO:RefAck.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd1.Q:TO:RefAck.D:1 -AUTO_TS_P2F:FROM:CLK_FSB:TO:RefAck.D:1 -AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:ALE0S.D:1 -AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:ALE0S.D:1 -AUTO_TS_P2F:FROM:CLK_FSB:TO:ALE0S.D:1 -AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:IOREQ.D:1 -AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:IOREQ.D:1 -AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:IOREQ.D:1 -AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:IOREQ.D:1 -AUTO_TS_F2F:FROM:iobs/IOACTr.Q:TO:IOREQ.D:1 -AUTO_TS_F2F:FROM:iobs/Once.Q:TO:IOREQ.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:IOREQ.D:1 -AUTO_TS_P2F:FROM:A_FSB<23>:TO:IOREQ.D:1 -AUTO_TS_P2F:FROM:A_FSB<22>:TO:IOREQ.D:1 -AUTO_TS_P2F:FROM:A_FSB<21>:TO:IOREQ.D:1 -AUTO_TS_P2F:FROM:CLK_FSB:TO:IOREQ.D:1 -AUTO_TS_P2F:FROM:A_FSB<19>:TO:IOREQ.D:1 -AUTO_TS_P2F:FROM:A_FSB<16>:TO:IOREQ.D:1 -AUTO_TS_P2F:FROM:nWE_FSB:TO:IOREQ.D:1 -AUTO_TS_P2F:FROM:A_FSB<18>:TO:IOREQ.D:1 -AUTO_TS_P2F:FROM:A_FSB<17>:TO:IOREQ.D:1 -AUTO_TS_P2F:FROM:A_FSB<14>:TO:IOREQ.D:1 -AUTO_TS_P2F:FROM:A_FSB<13>:TO:IOREQ.D:1 -AUTO_TS_P2F:FROM:A_FSB<20>:TO:IOREQ.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:IOREQ.D:1 -AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Clear1.D:1 -AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Clear1.D:1 -AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:iobs/Clear1.D:1 -AUTO_TS_P2F:FROM:CLK_FSB:TO:iobs/Clear1.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMDIS1.D:1 -AUTO_TS_F2F:FROM:cnt/RefDone.Q:TO:ram/RAMDIS1.D:1 -AUTO_TS_F2F:FROM:ram/BACTr.Q:TO:ram/RAMDIS1.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/RAMDIS1.D:1 -AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:ram/RAMDIS1.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<5>.Q:TO:ram/RAMDIS1.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<6>.Q:TO:ram/RAMDIS1.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<7>.Q:TO:ram/RAMDIS1.D:1 -AUTO_TS_F2F:FROM:ram/Once.Q:TO:ram/RAMDIS1.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMDIS1.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMDIS1.D:1 -AUTO_TS_P2F:FROM:A_FSB<22>:TO:ram/RAMDIS1.D:1 -AUTO_TS_P2F:FROM:A_FSB<21>:TO:ram/RAMDIS1.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/RAMDIS1.D:1 -AUTO_TS_P2F:FROM:CLK_FSB:TO:ram/RAMDIS1.D:1 -AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/RAMDIS1.D:1 -AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:ram/RAMReady.D:1 -AUTO_TS_F2F:FROM:ram/Once.Q:TO:ram/RAMReady.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMReady.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/RAMReady.D:1 -AUTO_TS_F2F:FROM:cnt/RefDone.Q:TO:ram/RAMReady.D:1 -AUTO_TS_F2F:FROM:ram/BACTr.Q:TO:ram/RAMReady.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<5>.Q:TO:ram/RAMReady.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<6>.Q:TO:ram/RAMReady.D:1 -AUTO_TS_F2F:FROM:cnt/RefCnt<7>.Q:TO:ram/RAMReady.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMReady.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMReady.D:1 -AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/RAMReady.D:1 -AUTO_TS_P2F:FROM:A_FSB<22>:TO:ram/RAMReady.D:1 -AUTO_TS_P2F:FROM:CLK_FSB:TO:ram/RAMReady.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/RAMReady.D:1 -AUTO_TS_P2F:FROM:A_FSB<21>:TO:ram/RAMReady.D:1 -AUTO_TS_F2F:FROM:ram/RASEL.Q:TO:nCAS_OBUF.D:1 -AUTO_TS_P2F:FROM:CLK_FSB:TO:nCAS_OBUF.D:1 -AUTO_TS_F2F:FROM:iobs/Load1.Q:TO:nADoutLE1_OBUF.D:1 -AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:nADoutLE1_OBUF.D:1 -AUTO_TS_F2F:FROM:iobs/Clear1.Q:TO:nADoutLE1_OBUF.D:1 -AUTO_TS_P2F:FROM:CLK_FSB:TO:nADoutLE1_OBUF.D:1 -AUTO_TS_F2F:FROM:nVMA_IOB_OBUF.Q:TO:nVMA_IOB_OBUF.D:1 -AUTO_TS_F2F:FROM:iobm/ES<0>.Q:TO:nVMA_IOB_OBUF.D:1 -AUTO_TS_F2F:FROM:iobm/ES<1>.Q:TO:nVMA_IOB_OBUF.D:1 -AUTO_TS_F2F:FROM:iobm/ES<2>.Q:TO:nVMA_IOB_OBUF.D:1 -AUTO_TS_F2F:FROM:iobm/ES<3>.Q:TO:nVMA_IOB_OBUF.D:1 -AUTO_TS_F2F:FROM:iobm/ES<4>.Q:TO:nVMA_IOB_OBUF.D:1 -AUTO_TS_F2F:FROM:IOACT.Q:TO:nVMA_IOB_OBUF.D:1 -AUTO_TS_F2F:FROM:iobm/VPArf.Q:TO:nVMA_IOB_OBUF.D:1 -AUTO_TS_F2F:FROM:iobm/VPArr.Q:TO:nVMA_IOB_OBUF.D:1 -AUTO_TS_P2F:FROM:CLK2X_IOB:TO:nVMA_IOB_OBUF.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd2.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/IOS_FSM_FFd2.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/IOS_FSM_FFd2.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd4.Q:TO:iobm/IOS_FSM_FFd2.D:1 -AUTO_TS_P2F:FROM:CLK2X_IOB:TO:iobm/IOS_FSM_FFd2.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd1.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/IOS_FSM_FFd1.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd4.Q:TO:iobm/IOS_FSM_FFd1.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/IOS_FSM_FFd1.D:1 -AUTO_TS_P2F:FROM:CLK2X_IOB:TO:iobm/IOS_FSM_FFd1.D:1 -AUTO_TS_F2F:FROM:iobm/ES<0>.Q:TO:iobm/ES<0>.D:1 -AUTO_TS_F2F:FROM:iobm/Er2.Q:TO:iobm/ES<0>.D:1 -AUTO_TS_F2F:FROM:iobm/ES<1>.Q:TO:iobm/ES<0>.D:1 -AUTO_TS_F2F:FROM:iobm/ES<2>.Q:TO:iobm/ES<0>.D:1 -AUTO_TS_F2F:FROM:iobm/ES<3>.Q:TO:iobm/ES<0>.D:1 -AUTO_TS_F2F:FROM:iobm/ES<4>.Q:TO:iobm/ES<0>.D:1 -AUTO_TS_F2F:FROM:iobm/Er.Q:TO:iobm/ES<0>.D:1 -AUTO_TS_P2F:FROM:CLK2X_IOB:TO:iobm/ES<0>.D:1 -AUTO_TS_P2F:FROM:CLK_IOB:TO:iobm/ES<0>.D:1 -AUTO_TS_F2F:FROM:iobm/ES<0>.Q:TO:iobm/ES<1>.D:1 -AUTO_TS_F2F:FROM:iobm/ES<1>.Q:TO:iobm/ES<1>.D:1 -AUTO_TS_F2F:FROM:iobm/Er2.Q:TO:iobm/ES<1>.D:1 -AUTO_TS_F2F:FROM:iobm/Er.Q:TO:iobm/ES<1>.D:1 -AUTO_TS_P2F:FROM:CLK2X_IOB:TO:iobm/ES<1>.D:1 -AUTO_TS_P2F:FROM:CLK_IOB:TO:iobm/ES<1>.D:1 -AUTO_TS_F2F:FROM:iobm/ES<0>.Q:TO:iobm/ES<2>.D:1 -AUTO_TS_F2F:FROM:iobm/ES<2>.Q:TO:iobm/ES<2>.D:1 -AUTO_TS_F2F:FROM:iobm/ES<1>.Q:TO:iobm/ES<2>.D:1 -AUTO_TS_F2F:FROM:iobm/Er2.Q:TO:iobm/ES<2>.D:1 -AUTO_TS_F2F:FROM:iobm/ES<3>.Q:TO:iobm/ES<2>.D:1 -AUTO_TS_F2F:FROM:iobm/ES<4>.Q:TO:iobm/ES<2>.D:1 -AUTO_TS_F2F:FROM:iobm/Er.Q:TO:iobm/ES<2>.D:1 -AUTO_TS_P2F:FROM:CLK2X_IOB:TO:iobm/ES<2>.D:1 -AUTO_TS_P2F:FROM:CLK_IOB:TO:iobm/ES<2>.D:1 -AUTO_TS_F2F:FROM:iobm/ES<3>.Q:TO:iobm/ES<3>.D:1 -AUTO_TS_F2F:FROM:iobm/Er2.Q:TO:iobm/ES<3>.D:1 -AUTO_TS_F2F:FROM:iobm/ES<0>.Q:TO:iobm/ES<3>.D:1 -AUTO_TS_F2F:FROM:iobm/ES<1>.Q:TO:iobm/ES<3>.D:1 -AUTO_TS_F2F:FROM:iobm/ES<2>.Q:TO:iobm/ES<3>.D:1 -AUTO_TS_F2F:FROM:iobm/Er.Q:TO:iobm/ES<3>.D:1 -AUTO_TS_P2F:FROM:CLK2X_IOB:TO:iobm/ES<3>.D:1 -AUTO_TS_P2F:FROM:CLK_IOB:TO:iobm/ES<3>.D:1 -AUTO_TS_F2F:FROM:iobm/ES<4>.Q:TO:iobm/ES<4>.D:1 -AUTO_TS_F2F:FROM:iobm/Er2.Q:TO:iobm/ES<4>.D:1 -AUTO_TS_F2F:FROM:iobm/ES<0>.Q:TO:iobm/ES<4>.D:1 -AUTO_TS_F2F:FROM:iobm/ES<1>.Q:TO:iobm/ES<4>.D:1 -AUTO_TS_F2F:FROM:iobm/ES<2>.Q:TO:iobm/ES<4>.D:1 -AUTO_TS_F2F:FROM:iobm/ES<3>.Q:TO:iobm/ES<4>.D:1 -AUTO_TS_F2F:FROM:iobm/Er.Q:TO:iobm/ES<4>.D:1 -AUTO_TS_P2F:FROM:CLK2X_IOB:TO:iobm/ES<4>.D:1 -AUTO_TS_P2F:FROM:CLK_IOB:TO:iobm/ES<4>.D:1 -AUTO_TS_F2F:FROM:nVMA_IOB_OBUF.Q:TO:iobm/ETACK.D:1 -AUTO_TS_F2F:FROM:iobm/ES<0>.Q:TO:iobm/ETACK.D:1 -AUTO_TS_F2F:FROM:iobm/ES<1>.Q:TO:iobm/ETACK.D:1 -AUTO_TS_F2F:FROM:iobm/ES<2>.Q:TO:iobm/ETACK.D:1 -AUTO_TS_F2F:FROM:iobm/ES<3>.Q:TO:iobm/ETACK.D:1 -AUTO_TS_F2F:FROM:iobm/ES<4>.Q:TO:iobm/ETACK.D:1 -AUTO_TS_P2F:FROM:CLK2X_IOB:TO:iobm/ETACK.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:ALE0M.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:ALE0M.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd4.Q:TO:ALE0M.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:ALE0M.D:1 -AUTO_TS_F2F:FROM:iobm/IOREQr.Q:TO:ALE0M.D:1 -AUTO_TS_P2F:FROM:CLK2X_IOB:TO:ALE0M.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:nAS_IOB_OBUF.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:nAS_IOB_OBUF.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd4.Q:TO:nAS_IOB_OBUF.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:nAS_IOB_OBUF.D:1 -AUTO_TS_P2F:FROM:CLK2X_IOB:TO:nAS_IOB_OBUF.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:nDinLE_OBUF.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd4.Q:TO:nDinLE_OBUF.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:nDinLE_OBUF.D:1 -AUTO_TS_P2F:FROM:CLK2X_IOB:TO:nDinLE_OBUF.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:nDoutOE_OBUF.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:nDoutOE_OBUF.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd4.Q:TO:nDoutOE_OBUF.D:1 -AUTO_TS_F2F:FROM:IORW0.Q:TO:nDoutOE_OBUF.D:1 -AUTO_TS_P2F:FROM:CLK_FSB:TO:nDoutOE_OBUF.D:1 -AUTO_TS_P2F:FROM:CLK2X_IOB:TO:nDoutOE_OBUF.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:nLDS_IOB_OBUF.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:nLDS_IOB_OBUF.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd4.Q:TO:nLDS_IOB_OBUF.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:nLDS_IOB_OBUF.D:1 -AUTO_TS_F2F:FROM:IOL0.Q:TO:nLDS_IOB_OBUF.D:1 -AUTO_TS_F2F:FROM:IORW0.Q:TO:nLDS_IOB_OBUF.D:1 -AUTO_TS_P2F:FROM:CLK_FSB:TO:nLDS_IOB_OBUF.D:1 -AUTO_TS_P2F:FROM:CLK2X_IOB:TO:nLDS_IOB_OBUF.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:nUDS_IOB_OBUF.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:nUDS_IOB_OBUF.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd4.Q:TO:nUDS_IOB_OBUF.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:nUDS_IOB_OBUF.D:1 -AUTO_TS_F2F:FROM:IOU0.Q:TO:nUDS_IOB_OBUF.D:1 -AUTO_TS_F2F:FROM:IORW0.Q:TO:nUDS_IOB_OBUF.D:1 -AUTO_TS_P2F:FROM:CLK_FSB:TO:nUDS_IOB_OBUF.D:1 -AUTO_TS_P2F:FROM:CLK2X_IOB:TO:nUDS_IOB_OBUF.D:1 -AUTO_TS_F2F:FROM:IOACT.Q:TO:iobs/IOACTr.D:1 -AUTO_TS_P2F:FROM:CLK2X_IOB:TO:iobs/IOACTr.D:1 -AUTO_TS_F2F:FROM:IOREQ.Q:TO:iobm/IOREQr.D:1 -AUTO_TS_P2F:FROM:CLK_FSB:TO:iobm/IOREQr.D:1 -AUTO_TS_F2F:FROM:iobm/Er.Q:TO:iobm/Er2.D:1 -AUTO_TS_P2F:FROM:CLK_IOB:TO:iobm/Er2.D:1 -AUTO_TS_P2F:FROM:CLK2X_IOB:TO:FCLKIO_2:1 -AUTO_TS_P2F:FROM:CLK_FSB:TO:FCLKIO_0:1 -AUTO_TS_P2F:FROM:nRES:TO:FSR-IO_5:1 -AUTO_TS_P2F:FROM:nLDS_FSB:TO:iobs/IOL1.D:1 -AUTO_TS_P2F:FROM:nUDS_FSB:TO:iobs/IOU1.D:1 -AUTO_TS_P2F:FROM:E_IOB:TO:iobm/Er.D:1 -AUTO_TS_P2F:FROM:CLK_IOB:TO:FCLK-IO_4:1 -AUTO_TS_P2F:FROM:CLK2X_IOB:TO:FCLK-IO_3:1 -AUTO_TS_P2F:FROM:nDTACK_IOB:TO:iobm/DTACKrf.D:1 -AUTO_TS_P2F:FROM:nDTACK_IOB:TO:iobm/DTACKrr.D:1 -AUTO_TS_P2F:FROM:nVPA_IOB:TO:iobm/VPArf.D:1 -AUTO_TS_P2F:FROM:nVPA_IOB:TO:iobm/VPArr.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:fsb/ASrf.D:1 -AUTO_TS_P2F:FROM:CLK_FSB:TO:FCLK-IO_1:1 -AUTO_TS_P2F:FROM:nBERR_IOB:TO:iobm/BERRrf.D:1 -AUTO_TS_P2F:FROM:nBERR_IOB:TO:iobm/BERRrr.D:1 -AUTO_TS_P2F:FROM:nRES:TO:iobm/RESrf.D:1 -AUTO_TS_P2F:FROM:nRES:TO:iobm/RESrr.D:1 diff --git a/cpld/XC95144/MXSE.ucf b/cpld/XC95144/MXSE.ucf deleted file mode 100644 index 75cb5dd..0000000 --- a/cpld/XC95144/MXSE.ucf +++ /dev/null @@ -1,76 +0,0 @@ -#PACE: Start of Constraints generated by PACE - -#PACE: Start of PACE I/O Pin Assignments -NET "A_FSB[10]" LOC = "P8" ; -NET "A_FSB[11]" LOC = "P9" ; -NET "A_FSB[12]" LOC = "P10" ; -NET "A_FSB[13]" LOC = "P11" ; -NET "A_FSB[14]" LOC = "P12" ; -NET "A_FSB[15]" LOC = "P13" ; -NET "A_FSB[16]" LOC = "P14" ; -NET "A_FSB[17]" LOC = "P15" ; -NET "A_FSB[18]" LOC = "P16" ; -NET "A_FSB[19]" LOC = "P17" ; -NET "A_FSB[1]" LOC = "P94" ; -NET "A_FSB[20]" LOC = "P18" ; -NET "A_FSB[21]" LOC = "P19" ; -NET "A_FSB[22]" LOC = "P20" ; -NET "A_FSB[23]" LOC = "P24" ; -NET "A_FSB[2]" LOC = "P95" ; -NET "A_FSB[3]" LOC = "P96" ; -NET "A_FSB[4]" LOC = "P97" ; -NET "A_FSB[5]" LOC = "P2" ; -NET "A_FSB[6]" LOC = "P3" ; -NET "A_FSB[7]" LOC = "P4" ; -NET "A_FSB[8]" LOC = "P6" ; -NET "A_FSB[9]" LOC = "P7" ; -NET "CLK2X_IOB" LOC = "P22" ; -NET "CLK_FSB" LOC = "P27" ; -NET "CLK_IOB" LOC = "P23" ; -NET "E_IOB" LOC = "P25" ; -NET "nADoutLE0" LOC = "P85" ; -NET "nADoutLE1" LOC = "P82" ; -NET "nAoutOE" LOC = "P87" ; -NET "nAS_FSB" LOC = "P32" ; -NET "nAS_IOB" LOC = "P81" ; -NET "nBERR_FSB" LOC = "P92" ; -NET "nBERR_IOB" LOC = "P76" ; -NET "nCAS" LOC = "P36" ; -NET "nDinLE" LOC = "P86" ; -NET "nDinOE" LOC = "P90" ; -NET "nDoutOE" LOC = "P89" ; -NET "nDTACK_FSB" LOC = "P28" ; -NET "nDTACK_IOB" LOC = "P78" ; -NET "nLDS_FSB" LOC = "P30" ; -NET "nLDS_IOB" LOC = "P79" ; -NET "nOE" LOC = "P37" ; -NET "nRAMLWE" LOC = "P65" ; -NET "nRAMUWE" LOC = "P66" ; -NET "nRAS" LOC = "P64" ; -NET "nRES" LOC = "P91" ; -NET "nROMCS" LOC = "P35" ; -NET "nROMWE" LOC = "P34" ; -NET "nUDS_FSB" LOC = "P33" ; -NET "nUDS_IOB" LOC = "P80" ; -NET "nVMA_IOB" LOC = "P74" ; -NET "nVPA_FSB" LOC = "P93" ; -NET "nVPA_IOB" LOC = "P77" ; -NET "nWE_FSB" LOC = "P29" ; -NET "RA[0]" LOC = "P53" ; -NET "RA[10]" LOC = "P55" ; -NET "RA[11]" LOC = "P63" ; -NET "RA[1]" LOC = "P50" ; -NET "RA[2]" LOC = "P43" ; -NET "RA[3]" LOC = "P41" ; -NET "RA[4]" LOC = "P40" ; -NET "RA[5]" LOC = "P42" ; -NET "RA[6]" LOC = "P46" ; -NET "RA[7]" LOC = "P52" ; -NET "RA[8]" LOC = "P54" ; -NET "RA[9]" LOC = "P56" ; - -#PACE: Start of PACE Area Constraints - -#PACE: Start of PACE Prohibit Constraints - -#PACE: End of Constraints generated by PACE diff --git a/cpld/XC95144/MXSE.vm6 b/cpld/XC95144/MXSE.vm6 deleted file mode 100644 index 002b21b..0000000 --- a/cpld/XC95144/MXSE.vm6 +++ /dev/null @@ -1,8003 +0,0 @@ -NDS Database: version P.20131013 - -NDS_INFO | xc9500xl | 95144XL100 | XC95144XL-10-TQ100 - -DEVICE | 95144XL | 95144XL100 | - -NETWORK | MXSE | 0 | 0 | 16391 - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_9_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<9> | 9534 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_9_IBUF | 9355 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_8_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<8> | 9535 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_8_IBUF | 9356 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_15_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<15> | 9536 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_15_IBUF | 9357 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_14_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<14> | 9537 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_13_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<13> | 9538 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_12_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<12> | 9539 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_12_IBUF | 9360 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_11_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<11> | 9540 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_11_IBUF | 9361 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_10_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<10> | 9541 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_10_IBUF | 9362 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_23_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<23> | 9542 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_22_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<22> | 9543 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_21_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<21> | 9544 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_20_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<20> | 9545 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_19_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<19> | 9546 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_18_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<18> | 9547 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_17_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<17> | 9548 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_16_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<16> | 9549 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | nBERR_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nBERR_IOB | 9550 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nBERR_IOB_IBUF | 9371 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | CLK2X_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 2 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | CLK2X_IOB | 9551 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 3 | 5 | II_FCLK -NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV -NODE | FCLK-IO_3 | 9373 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | nVMA_IOB_OBUF | MXSE_COPY_0_COPY_0 | 2155877376 | 14 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS.EXP | 10018 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.EXP | BERR_IOBS | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nVMA_IOB_OBUF$Q | 9374 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nVMA_IOB_OBUF | 9375 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nVMA_IOB_OBUF.EXP | 10017 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.EXP | nVMA_IOB_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | nVMA_IOB_OBUF.SI | nVMA_IOB_OBUF | 0 | 13 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS.EXP | 10018 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.EXP | BERR_IOBS | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nVMA_IOB_OBUF.D1 | 9570 | ? | 0 | 4096 | nVMA_IOB_OBUF | NULL | NULL | nVMA_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nVMA_IOB_OBUF.D2 | 9571 | ? | 0 | 4096 | nVMA_IOB_OBUF | NULL | NULL | nVMA_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | BERR_IOBS.EXP -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nVMA_IOB_OBUF.EXP | 10002 | ? | 0 | 0 | nVMA_IOB_OBUF | NULL | NULL | nVMA_IOB_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 7 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 - -SRFF_INSTANCE | nVMA_IOB_OBUF.REG | nVMA_IOB_OBUF | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nVMA_IOB_OBUF.D | 9569 | ? | 0 | 0 | nVMA_IOB_OBUF | NULL | NULL | nVMA_IOB_OBUF.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nVMA_IOB_OBUF.Q | 9572 | ? | 0 | 0 | nVMA_IOB_OBUF | NULL | NULL | nVMA_IOB_OBUF.REG | 0 | 8 | SRFF_Q - -INPUT_INSTANCE | 0 | 0 | NULL | CLK_FSB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 2 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | CLK_FSB | 9552 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 3 | 5 | II_FCLK -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV -NODE | FCLK-IO_1 | 9377 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV - -INPUT_INSTANCE | 0 | 0 | NULL | nAS_FSB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nAS_FSB | 9557 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | PrldLow+OptxMapped | cs/nOverlay1 | MXSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay0 | 9387 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cs/nOverlay1.SI | cs/nOverlay1 | 0 | 3 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay0 | 9387 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cs/nOverlay1.D1 | 9574 | ? | 0 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cs/nOverlay1.D2 | 9575 | ? | 0 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | cs/nOverlay0 -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cs/nOverlay1.CE | 9576 | ? | 0 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf - -SRFF_INSTANCE | cs/nOverlay1.REG | cs/nOverlay1 | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cs/nOverlay1.D | 9573 | ? | 0 | 0 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cs/nOverlay1.CE | 9576 | ? | 0 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cs/nOverlay1.Q | 9577 | ? | 0 | 0 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | ram/Once | MXSE_COPY_0_COPY_0 | 2155877376 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9379 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/Once | 9379 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ram/Once.SI | ram/Once | 0 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9379 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/Once.D1 | 9579 | ? | 0 | 4096 | ram/Once | NULL | NULL | ram/Once.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/Once.D2 | 9580 | ? | 0 | 4096 | ram/Once | NULL | NULL | ram/Once.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | ram/Once | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf -SPPTERM | 9 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 9 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | ram/Once.REG | ram/Once | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/Once.D | 9578 | ? | 0 | 0 | ram/Once | NULL | NULL | ram/Once.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/Once.Q | 9581 | ? | 0 | 0 | ram/Once | NULL | NULL | ram/Once.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | cnt/RefDone | MXSE_COPY_0_COPY_0 | 2155873536 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefAck | 9442 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9430 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9431 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/RefDone.SI | cnt/RefDone | 0 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefAck | 9442 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9430 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9431 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefDone.D1 | 9583 | ? | 0 | 4096 | cnt/RefDone | NULL | NULL | cnt/RefDone.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefDone.D2 | 9584 | ? | 0 | 4096 | cnt/RefDone | NULL | NULL | cnt/RefDone.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | cnt/RefDone | IV_FALSE | RefAck -SPPTERM | 8 | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_FALSE | cnt/RefCnt<7> - -SRFF_INSTANCE | cnt/RefDone.REG | cnt/RefDone | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefDone.D | 9582 | ? | 0 | 0 | cnt/RefDone | NULL | NULL | cnt/RefDone.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefDone.Q | 9585 | ? | 0 | 0 | cnt/RefDone | NULL | NULL | cnt/RefDone.REG | 0 | 8 | SRFF_Q - -INPUT_INSTANCE | 0 | 0 | NULL | nWE_FSB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nWE_FSB | 9553 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/Once | MXSE_COPY_0_COPY_0 | 2155873536 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP33_.EXP | 10089 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP33_.EXP | EXP33_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP34_.EXP | 10090 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP34_.EXP | EXP34_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobs/Once.SI | iobs/Once | 0 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP33_.EXP | 10089 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP33_.EXP | EXP33_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP34_.EXP | 10090 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP34_.EXP | EXP34_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/Once.D1 | 9587 | ? | 0 | 4096 | iobs/Once | NULL | NULL | iobs/Once.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/Once.D2 | 9588 | ? | 0 | 4096 | iobs/Once | NULL | NULL | iobs/Once.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP33_.EXP -SPPTERM | 1 | IV_TRUE | EXP34_.EXP -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd2 -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/Once -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd2 -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd1 - -SRFF_INSTANCE | iobs/Once.REG | iobs/Once | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/Once.D | 9586 | ? | 0 | 0 | iobs/Once | NULL | NULL | iobs/Once.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/Once.Q | 9589 | ? | 0 | 0 | iobs/Once | NULL | NULL | iobs/Once.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | BERR_IOBS | MXSE_COPY_0_COPY_0 | 2155877376 | 19 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9382 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9427 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9435 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOB_OBUF | 9375 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9418 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9419 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9420 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9422 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9423 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 9434 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/VPArf | 9449 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/VPArr | 9450 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r.EXP | 10019 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.EXP | fsb/BERR0r | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | BERR_IOBS | 9382 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | BERR_IOBS.EXP | 10018 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.EXP | BERR_IOBS | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | BERR_IOBS.SI | BERR_IOBS | 0 | 18 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9382 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9427 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9435 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOB_OBUF | 9375 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9418 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9419 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9420 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9422 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9423 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 9434 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/VPArf | 9449 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/VPArr | 9450 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r.EXP | 10019 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.EXP | fsb/BERR0r | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | BERR_IOBS.D1 | 9591 | ? | 0 | 4096 | BERR_IOBS | NULL | NULL | BERR_IOBS.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | BERR_IOBS.D2 | 9592 | ? | 0 | 4096 | BERR_IOBS | NULL | NULL | BERR_IOBS.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | fsb/BERR0r.EXP -SPPTERM | 3 | IV_TRUE | BERR_IOBS | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 6 | IV_TRUE | iobs/Once | IV_TRUE | BERR_IOBS | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_FALSE | IOBERR | IV_TRUE | ALE1 -SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | BERR_IOBS | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | IOBERR | IV_TRUE | ALE1 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | BERR_IOBS.EXP | 10003 | ? | 0 | 0 | BERR_IOBS | NULL | NULL | BERR_IOBS.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_FALSE | nVMA_IOB_OBUF | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> -SPPTERM | 9 | IV_TRUE | nVMA_IOB_OBUF | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> | IV_TRUE | IOACT | IV_TRUE | iobm/VPArf | IV_TRUE | iobm/VPArr - -SRFF_INSTANCE | BERR_IOBS.REG | BERR_IOBS | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | BERR_IOBS.D | 9590 | ? | 0 | 0 | BERR_IOBS | NULL | NULL | BERR_IOBS.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | BERR_IOBS.Q | 9593 | ? | 0 | 0 | BERR_IOBS | NULL | NULL | BERR_IOBS.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | IORW0 | MXSE_COPY_0_COPY_0 | 2155877376 | 13 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9383 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9401 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay0.EXP | 10021 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.EXP | cs/nOverlay0 | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP14_.EXP | 10022 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IORW0 | 9383 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | IORW0.SI | IORW0 | 0 | 12 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9383 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9401 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay0.EXP | 10021 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.EXP | cs/nOverlay0 | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP14_.EXP | 10022 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IORW0.D1 | 9595 | ? | 0 | 4096 | IORW0 | NULL | NULL | IORW0.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IORW0.D2 | 9596 | ? | 0 | 4096 | IORW0 | NULL | NULL | IORW0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | cs/nOverlay0.EXP -SPPTERM | 1 | IV_TRUE | EXP14_.EXP -SPPTERM | 5 | IV_TRUE | IORW0 | IV_FALSE | iobs/IORW1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | ALE1 -SPPTERM | 5 | IV_FALSE | IORW0 | IV_TRUE | iobs/IORW1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | ALE1 -SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | IORW0 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 - -SRFF_INSTANCE | IORW0.REG | IORW0 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IORW0.D | 9594 | ? | 0 | 0 | IORW0 | NULL | NULL | IORW0.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IORW0.Q | 9597 | ? | 0 | 0 | IORW0 | NULL | NULL | IORW0.REG | 0 | 8 | SRFF_Q - -INPUT_INSTANCE | 0 | 0 | NULL | nRES_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 2 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nRES | 9554 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nRES_IBUF | 9385 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 9 | 5 | II_FSRINV -NODE | FSR-IO_5 | 9386 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 9 | 5 | II_FSRINV - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cs/nOverlay0 | MXSE_COPY_0_COPY_0 | 2155877376 | 15 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9383 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FSR-IO_5 | 9386 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 9 | 5 | II_FSRINV -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF$BUF0.EXP | 10020 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.EXP | A_FSB_21_IBUF$BUF0 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cs/nOverlay0 | 9387 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cs/nOverlay0.EXP | 10021 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.EXP | cs/nOverlay0 | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | cs/nOverlay0.SI | cs/nOverlay0 | 0 | 13 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9383 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF$BUF0.EXP | 10020 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.EXP | A_FSB_21_IBUF$BUF0 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cs/nOverlay0.D1 | 9599 | ? | 0 | 4096 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cs/nOverlay0.D2 | 9600 | ? | 0 | 6144 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | A_FSB_21_IBUF$BUF0.EXP -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cs/nOverlay0.EXP | 10006 | ? | 0 | 0 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | IORW0 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 10 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 10 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 10 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_FALSE | IORW0 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 10 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_FALSE | IORW0 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 - -SRFF_INSTANCE | cs/nOverlay0.REG | cs/nOverlay0 | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cs/nOverlay0.D | 9598 | ? | 0 | 0 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 3 | 8 | SRFF_R -NODE | FSR-IO_5 | 9386 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 9 | 5 | II_FSRINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cs/nOverlay0.Q | 9601 | ? | 0 | 0 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.REG | 0 | 8 | SRFF_Q - -INPUT_INSTANCE | 0 | 0 | NULL | nLDS_FSB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nLDS_FSB | 9555 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nLDS_FSB_IBUF | 9399 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | PrldLow+OptxMapped | IOL0 | MXSE_COPY_0_COPY_0 | 2155873280 | 6 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOL1 | 9400 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9399 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOL0 | 9388 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | IOL0.SI | IOL0 | 0 | 5 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOL1 | 9400 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9399 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOL0.D1 | 9603 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOL0.D2 | 9604 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | nLDS_FSB_IBUF | IV_TRUE | ALE1 -SPPTERM | 2 | IV_TRUE | iobs/IOL1 | IV_FALSE | ALE1 -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | IOL0.CE | 9605 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 - -SRFF_INSTANCE | IOL0.REG | IOL0 | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOL0.D | 9602 | ? | 0 | 0 | IOL0 | NULL | NULL | IOL0.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | IOL0.CE | 9605 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOL0.Q | 9606 | ? | 0 | 0 | IOL0 | NULL | NULL | IOL0.REG | 0 | 8 | SRFF_Q - -INPUT_INSTANCE | 0 | 0 | NULL | nUDS_FSB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nUDS_FSB | 9556 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nUDS_FSB_IBUF | 9403 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | PrldLow+OptxMapped | IOU0 | MXSE_COPY_0_COPY_0 | 2155873280 | 6 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOU1 | 9404 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9403 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOU0 | 9389 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | IOU0.SI | IOU0 | 0 | 5 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOU1 | 9404 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9403 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOU0.D1 | 9608 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOU0.D2 | 9609 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | nUDS_FSB_IBUF | IV_TRUE | ALE1 -SPPTERM | 2 | IV_TRUE | iobs/IOU1 | IV_FALSE | ALE1 -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | IOU0.CE | 9610 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 - -SRFF_INSTANCE | IOU0.REG | IOU0 | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOU0.D | 9607 | ? | 0 | 0 | IOU0 | NULL | NULL | IOU0.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | IOU0.CE | 9610 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOU0.Q | 9611 | ? | 0 | 0 | IOU0 | NULL | NULL | IOU0.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | TimeoutA | MXSE_COPY_0_COPY_0 | 2155877376 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9390 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9430 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9431 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | TimeoutA | 9390 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | TimeoutA.SI | TimeoutA | 0 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9390 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9430 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9431 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | TimeoutA.D1 | 9613 | ? | 0 | 4096 | TimeoutA | NULL | NULL | TimeoutA.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | TimeoutA.D2 | 9614 | ? | 0 | 4096 | TimeoutA | NULL | NULL | TimeoutA.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | TimeoutA | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 9 | IV_FALSE | TimeoutA | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> -SPPTERM | 9 | IV_FALSE | TimeoutA | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | TimeoutA.REG | TimeoutA | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | TimeoutA.D | 9612 | ? | 0 | 0 | TimeoutA | NULL | NULL | TimeoutA.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | TimeoutA.Q | 9615 | ? | 0 | 0 | TimeoutA | NULL | NULL | TimeoutA.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | TimeoutB | MXSE_COPY_0_COPY_0 | 2155877376 | 13 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9391 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimeoutBPre | 9392 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.Q | cnt/TimeoutBPre | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9430 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9431 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | TimeoutB | 9391 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | TimeoutB.SI | TimeoutB | 0 | 12 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9391 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimeoutBPre | 9392 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.Q | cnt/TimeoutBPre | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9430 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9431 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | TimeoutB.D1 | 9617 | ? | 0 | 4096 | TimeoutB | NULL | NULL | TimeoutB.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | TimeoutB.D2 | 9618 | ? | 0 | 4096 | TimeoutB | NULL | NULL | TimeoutB.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | TimeoutB | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 11 | IV_FALSE | TimeoutB | IV_TRUE | cnt/TimeoutBPre | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_FALSE | cnt/RefCnt<7> -SPPTERM | 11 | IV_FALSE | TimeoutB | IV_TRUE | cnt/TimeoutBPre | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_FALSE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | TimeoutB.REG | TimeoutB | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | TimeoutB.D | 9616 | ? | 0 | 0 | TimeoutB | NULL | NULL | TimeoutB.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | TimeoutB.Q | 9619 | ? | 0 | 0 | TimeoutB | NULL | NULL | TimeoutB.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/TimeoutBPre | MXSE_COPY_0_COPY_0 | 2155877376 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimeoutBPre | 9392 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.Q | cnt/TimeoutBPre | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9430 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9431 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/TimeoutBPre | 9392 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.Q | cnt/TimeoutBPre | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/TimeoutBPre.SI | cnt/TimeoutBPre | 0 | 11 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimeoutBPre | 9392 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.Q | cnt/TimeoutBPre | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9430 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9431 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/TimeoutBPre.D1 | 9621 | ? | 0 | 4096 | cnt/TimeoutBPre | NULL | NULL | cnt/TimeoutBPre.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/TimeoutBPre.D2 | 9622 | ? | 0 | 4096 | cnt/TimeoutBPre | NULL | NULL | cnt/TimeoutBPre.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | cnt/TimeoutBPre | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 10 | IV_FALSE | cnt/TimeoutBPre | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_FALSE | cnt/RefCnt<7> -SPPTERM | 10 | IV_FALSE | cnt/TimeoutBPre | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_FALSE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | cnt/TimeoutBPre.REG | cnt/TimeoutBPre | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/TimeoutBPre.D | 9620 | ? | 0 | 0 | cnt/TimeoutBPre | NULL | NULL | cnt/TimeoutBPre.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/TimeoutBPre.Q | 9623 | ? | 0 | 0 | cnt/TimeoutBPre | NULL | NULL | cnt/TimeoutBPre.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/BERR0r | MXSE_COPY_0_COPY_0 | 2155873536 | 15 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9393 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9391 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9382 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9427 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9435 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/BERR0r | 9393 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | fsb/BERR0r.EXP | 10019 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.EXP | fsb/BERR0r | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | fsb/BERR0r.SI | fsb/BERR0r | 0 | 14 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9393 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9391 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9382 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9427 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9435 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/BERR0r.D1 | 9625 | ? | 0 | 4096 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/BERR0r.D2 | 9626 | ? | 0 | 4096 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | TimeoutB | IV_FALSE | fsb/BERR0r -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/BERR0r -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | fsb/BERR0r.EXP | 10004 | ? | 0 | 0 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | BERR_IOBS | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | IOBERR | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 - -SRFF_INSTANCE | fsb/BERR0r.REG | fsb/BERR0r | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/BERR0r.D | 9624 | ? | 0 | 0 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/BERR0r.Q | 9627 | ? | 0 | 0 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/BERR1r | MXSE_COPY_0_COPY_0 | 2155873536 | 5 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9382 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9394 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/BERR1r | 9394 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | fsb/BERR1r.SI | fsb/BERR1r | 0 | 4 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9382 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9394 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/BERR1r.D1 | 9629 | ? | 0 | 4096 | fsb/BERR1r | NULL | NULL | fsb/BERR1r.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/BERR1r.D2 | 9630 | ? | 0 | 4096 | fsb/BERR1r | NULL | NULL | fsb/BERR1r.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR1r -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf - -SRFF_INSTANCE | fsb/BERR1r.REG | fsb/BERR1r | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/BERR1r.D | 9628 | ? | 0 | 0 | fsb/BERR1r | NULL | NULL | fsb/BERR1r.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/BERR1r.Q | 9631 | ? | 0 | 0 | fsb/BERR1r | NULL | NULL | fsb/BERR1r.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/Ready0r | MXSE_COPY_0_COPY_0 | 2155873536 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9395 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9461 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/Ready0r | 9395 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | fsb/Ready0r.SI | fsb/Ready0r | 0 | 8 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9395 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9461 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/Ready0r.D1 | 9633 | ? | 0 | 4096 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/Ready0r.D2 | 9634 | ? | 0 | 4096 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_FALSE | ram/RAMReady -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_FALSE | ram/RAMReady - -SRFF_INSTANCE | fsb/Ready0r.REG | fsb/Ready0r | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/Ready0r.D | 9632 | ? | 0 | 0 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/Ready0r.Q | 9635 | ? | 0 | 0 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/Ready1r | MXSE_COPY_0_COPY_0 | 2155873536 | 23 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9356 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9357 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9360 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9361 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9362 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9390 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9397 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP32_.EXP | 10087 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP32_.EXP | EXP32_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/Ready1r | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | fsb/Ready1r.EXP | 10086 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.EXP | fsb/Ready1r | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | fsb/Ready1r.SI | fsb/Ready1r | 0 | 22 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9356 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9357 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9360 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9361 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9362 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9390 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9397 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP32_.EXP | 10087 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP32_.EXP | EXP32_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/Ready1r.D1 | 9637 | ? | 0 | 4096 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/Ready1r.D2 | 9638 | ? | 0 | 4096 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP32_.EXP -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | fsb/Ready1r.EXP | 10072 | ? | 0 | 0 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r -SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r -SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r - -SRFF_INSTANCE | fsb/Ready1r.REG | fsb/Ready1r | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/Ready1r.D | 9636 | ? | 0 | 0 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/Ready1r.Q | 9639 | ? | 0 | 0 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/Ready2r | MXSE_COPY_0_COPY_0 | 2155873536 | 22 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9355 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9357 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9360 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9361 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9362 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9390 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9397 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9356 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r.EXP | 10086 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.EXP | fsb/Ready1r | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/Ready2r | 9397 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | fsb/Ready2r.SI | fsb/Ready2r | 0 | 21 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9355 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9357 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9360 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9361 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9362 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9390 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9397 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9356 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r.EXP | 10086 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.EXP | fsb/Ready1r | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/Ready2r.D1 | 9641 | ? | 0 | 4096 | fsb/Ready2r | NULL | NULL | fsb/Ready2r.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/Ready2r.D2 | 9642 | ? | 0 | 4096 | fsb/Ready2r | NULL | NULL | fsb/Ready2r.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | fsb/Ready1r.EXP -SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r -SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r -SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r -SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r -SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r - -SRFF_INSTANCE | fsb/Ready2r.REG | fsb/Ready2r | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/Ready2r.D | 9640 | ? | 0 | 0 | fsb/Ready2r | NULL | NULL | fsb/Ready2r.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/Ready2r.Q | 9643 | ? | 0 | 0 | fsb/Ready2r | NULL | NULL | fsb/Ready2r.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | fsb/VPA | MXSE_COPY_0_COPY_0 | 2155873280 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9382 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9398 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM | 9532 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$355_INV$439.Q | $OpTx$$OpTx$FX_DC$355_INV$439 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9393 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9394 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9391 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP26_.EXP | 10080 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP37_.EXP | 10093 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP37_.EXP | EXP37_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/VPA | 9398 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | fsb/VPA.SI | fsb/VPA | 0 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9382 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9398 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM | 9532 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$355_INV$439.Q | $OpTx$$OpTx$FX_DC$355_INV$439 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9393 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9394 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9391 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP26_.EXP | 10080 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP37_.EXP | 10093 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP37_.EXP | EXP37_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/VPA.D1 | 9645 | ? | 0 | 4096 | fsb/VPA | NULL | NULL | fsb/VPA.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/VPA.D2 | 9646 | ? | 0 | 4096 | fsb/VPA | NULL | NULL | fsb/VPA.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP26_.EXP -SPPTERM | 1 | IV_TRUE | EXP37_.EXP -SPPTERM | 3 | IV_TRUE | BERR_IOBS | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM -SPPTERM | 3 | IV_TRUE | fsb/BERR0r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM -SPPTERM | 3 | IV_TRUE | fsb/BERR1r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM -SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | TimeoutB | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM -SPPTERM | 4 | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | TimeoutB | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM - -SRFF_INSTANCE | fsb/VPA.REG | fsb/VPA | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/VPA.D | 9644 | ? | 0 | 0 | fsb/VPA | NULL | NULL | fsb/VPA.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/VPA.Q | 9647 | ? | 0 | 0 | fsb/VPA | NULL | NULL | fsb/VPA.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOL1 | MXSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9399 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9432 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOL1 | 9400 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobs/IOL1.SI | iobs/IOL1 | 0 | 2 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9399 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9432 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOL1.D1 | 9649 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOL1.D2 | 9650 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nLDS_FSB_IBUF -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | iobs/IOL1.CE | 9651 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE -SPPTERM | 1 | IV_TRUE | iobs/Load1 - -SRFF_INSTANCE | iobs/IOL1.REG | iobs/IOL1 | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOL1.D | 9648 | ? | 0 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | iobs/IOL1.CE | 9651 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE -SPPTERM | 1 | IV_TRUE | iobs/Load1 -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOL1.Q | 9652 | ? | 0 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | iobs/IORW1 | MXSE_COPY_0_COPY_0 | 2155877632 | 10 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9401 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP11_.EXP | 10011 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDinOE_OBUF.EXP | 10012 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.EXP | nDinOE_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IORW1 | 9401 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobs/IORW1.SI | iobs/IORW1 | 0 | 9 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9401 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP11_.EXP | 10011 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDinOE_OBUF.EXP | 10012 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.EXP | nDinOE_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IORW1.D1 | 9654 | ? | 0 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IORW1.D2 | 9655 | ? | 0 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobs/Once -SPPTERM | 1 | IV_FALSE | ALE1 -SPPTERM | 1 | IV_TRUE | EXP11_.EXP -SPPTERM | 1 | IV_TRUE | nDinOE_OBUF.EXP -SPPTERM | 2 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF -SPPTERM | 2 | IV_TRUE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/IORW1 - -SRFF_INSTANCE | iobs/IORW1.REG | iobs/IORW1 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IORW1.D | 9653 | ? | 0 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IORW1.Q | 9656 | ? | 0 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/IOReady | MXSE_COPY_0_COPY_0 | 2155877376 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9402 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9427 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9435 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOReady | 9402 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobs/IOReady.SI | iobs/IOReady | 0 | 8 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9402 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9427 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9435 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOReady.D1 | 9658 | ? | 0 | 4096 | iobs/IOReady | NULL | NULL | iobs/IOReady.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOReady.D2 | 9659 | ? | 0 | 4096 | iobs/IOReady | NULL | NULL | iobs/IOReady.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | iobs/IOReady | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 6 | IV_TRUE | iobs/Once | IV_TRUE | iobs/IOReady | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | IOBERR | IV_TRUE | ALE1 -SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_FALSE | IOBERR | IV_TRUE | ALE1 -SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | iobs/IOReady | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_FALSE | IOBERR | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 - -SRFF_INSTANCE | iobs/IOReady.REG | iobs/IOReady | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOReady.D | 9657 | ? | 0 | 0 | iobs/IOReady | NULL | NULL | iobs/IOReady.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOReady.Q | 9660 | ? | 0 | 0 | iobs/IOReady | NULL | NULL | iobs/IOReady.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOU1 | MXSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9403 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9432 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOU1 | 9404 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobs/IOU1.SI | iobs/IOU1 | 0 | 2 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9403 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9432 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOU1.D1 | 9662 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOU1.D2 | 9663 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nUDS_FSB_IBUF -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | iobs/IOU1.CE | 9664 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE -SPPTERM | 1 | IV_TRUE | iobs/Load1 - -SRFF_INSTANCE | iobs/IOU1.REG | iobs/IOU1 | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOU1.D | 9661 | ? | 0 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | iobs/IOU1.CE | 9664 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE -SPPTERM | 1 | IV_TRUE | iobs/Load1 -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOU1.Q | 9665 | ? | 0 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | ram/RAMDIS2 | MXSE_COPY_0_COPY_0 | 2155877376 | 19 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2 | 9405 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9379 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP20_.EXP | 10043 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RAMDIS2 | 9405 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | ram/RAMDIS2.EXP | 10044 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.EXP | ram/RAMDIS2 | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | ram/RAMDIS2.SI | ram/RAMDIS2 | 0 | 18 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2 | 9405 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9379 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP20_.EXP | 10043 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RAMDIS2.D1 | 9667 | ? | 0 | 4096 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RAMDIS2.D2 | 9668 | ? | 0 | 4096 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP20_.EXP -SPPTERM | 3 | IV_TRUE | ram/RAMDIS2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 10 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 10 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf -SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | ram/RAMDIS2.EXP | 10030 | ? | 0 | 0 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 4 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF - -SRFF_INSTANCE | ram/RAMDIS2.REG | ram/RAMDIS2 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RAMDIS2.D | 9666 | ? | 0 | 0 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RAMDIS2.Q | 9669 | ? | 0 | 0 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | nDTACK_FSB_OBUF | MXSE_COPY_0_COPY_0 | 2155873280 | 11 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9382 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9393 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9394 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9391 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP29_.EXP | 10083 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP29_.EXP | EXP29_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP30_.EXP | 10084 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP30_.EXP | EXP30_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDTACK_FSB_OBUF | 9407 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nDTACK_FSB_OBUF.UIM | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | nDTACK_FSB_OBUF.SI | nDTACK_FSB_OBUF | 0 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9382 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9393 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9394 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9391 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP29_.EXP | 10083 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP29_.EXP | EXP29_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP30_.EXP | 10084 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP30_.EXP | EXP30_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDTACK_FSB_OBUF.D1 | 9671 | ? | 0 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDTACK_FSB_OBUF.D2 | 9672 | ? | 0 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP29_.EXP -SPPTERM | 1 | IV_TRUE | EXP30_.EXP -SPPTERM | 2 | IV_TRUE | BERR_IOBS | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 2 | IV_TRUE | fsb/BERR0r | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 2 | IV_TRUE | fsb/BERR1r | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 3 | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | TimeoutB | IV_TRUE | nDTACK_FSB_OBUF.UIM - -SRFF_INSTANCE | nDTACK_FSB_OBUF.REG | nDTACK_FSB_OBUF | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDTACK_FSB_OBUF.D | 9670 | ? | 0 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDTACK_FSB_OBUF.Q | 9673 | ? | 0 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RASEL | MXSE_COPY_0_COPY_0 | 2155873280 | 14 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP18_.EXP | 10040 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAS_OBUF.EXP | 10041 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ram/RASEL.SI | ram/RASEL | 0 | 13 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP18_.EXP | 10040 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAS_OBUF.EXP | 10041 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RASEL.D1 | 9675 | ? | 0 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RASEL.D2 | 9676 | ? | 0 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP18_.EXP -SPPTERM | 1 | IV_TRUE | nRAS_OBUF.EXP -SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd3 -SPPTERM | 3 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr -SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 7 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> - -SRFF_INSTANCE | ram/RASEL.REG | ram/RASEL | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RASEL.D | 9674 | ? | 0 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RASEL.Q | 9677 | ? | 0 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | ram/RS_FSM_FFd2 | MXSE_COPY_0_COPY_0 | 2155877632 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Clear1.EXP | 10064 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefAck.EXP | 10065 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.EXP | RefAck | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ram/RS_FSM_FFd2.SI | ram/RS_FSM_FFd2 | 0 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Clear1.EXP | 10064 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefAck.EXP | 10065 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.EXP | RefAck | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd2.D1 | 9679 | ? | 0 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd2.D2 | 9680 | ? | 0 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobs/Clear1.EXP -SPPTERM | 1 | IV_TRUE | RefAck.EXP -SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 3 | IV_TRUE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<5> | IV_TRUE | ram/BACTr -SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<6> | IV_TRUE | ram/BACTr -SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/BACTr | IV_FALSE | cnt/RefCnt<7> - -SRFF_INSTANCE | ram/RS_FSM_FFd2.REG | ram/RS_FSM_FFd2 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd2.D | 9678 | ? | 0 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd2.Q | 9681 | ? | 0 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.REG | 0 | 8 | SRFF_Q - -INPUT_INSTANCE | 0 | 0 | NULL | CLK_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 2 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | CLK_IOB | 9559 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | CLK_IOB_IBUF | 9438 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV -NODE | FCLK-IO_4 | 9439 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 7 | 5 | II_FCLKINV - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/IOS_FSM_FFd4 | MXSE_COPY_0_COPY_0 | 2155873536 | 7 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9441 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9438 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/IOS_FSM_FFd4.SI | iobm/IOS_FSM_FFd4 | 0 | 6 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9441 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9438 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd4.D1 | 9683 | ? | 0 | 4096 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd4.D2 | 9684 | ? | 0 | 4096 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 -SPPTERM | 3 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOS_FSM_FFd1 -SPPTERM | 4 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_TRUE | CLK_IOB_IBUF -SPPTERM | 4 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOREQr - -SRFF_INSTANCE | iobm/IOS_FSM_FFd4.REG | iobm/IOS_FSM_FFd4 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd4.D | 9682 | ? | 0 | 0 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd4.Q | 9685 | ? | 0 | 0 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | ram/RS_FSM_FFd1 | MXSE_COPY_0_COPY_0 | 2155877376 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9379 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ram/RS_FSM_FFd1.SI | ram/RS_FSM_FFd1 | 0 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9379 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd1.D1 | 9687 | ? | 0 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd1.D2 | 9688 | ? | 0 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd3 -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf -SPPTERM | 9 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 9 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | ram/RS_FSM_FFd1.REG | ram/RS_FSM_FFd1 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd1.D | 9686 | ? | 0 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd1.Q | 9689 | ? | 0 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | ram/RS_FSM_FFd3 | MXSE_COPY_0_COPY_0 | 2155877632 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9379 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0S.EXP | 10062 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0S.EXP | ALE0S | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1.EXP | 10063 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.EXP | iobs/PS_FSM_FFd1 | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ram/RS_FSM_FFd3.SI | ram/RS_FSM_FFd3 | 0 | 11 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9379 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0S.EXP | 10062 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0S.EXP | ALE0S | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1.EXP | 10063 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.EXP | iobs/PS_FSM_FFd1 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd3.D1 | 9691 | ? | 0 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd3.D2 | 9692 | ? | 0 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | ALE0S.EXP -SPPTERM | 1 | IV_TRUE | iobs/PS_FSM_FFd1.EXP -SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 5 | IV_TRUE | ram/Once | IV_TRUE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 5 | IV_TRUE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<5> -SPPTERM | 5 | IV_TRUE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<6> -SPPTERM | 5 | IV_TRUE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<7> - -SRFF_INSTANCE | ram/RS_FSM_FFd3.REG | ram/RS_FSM_FFd3 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd3.D | 9690 | ? | 0 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd3.Q | 9693 | ? | 0 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/IOS_FSM_FFd3 | MXSE_COPY_0_COPY_0 | 2155873536 | 14 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9438 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9446 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9455 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9456 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9444 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9445 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9457 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9458 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nCAS_OBUF.EXP | 10056 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.EXP | nCAS_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobm/IOS_FSM_FFd3.EXP | 10057 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.EXP | iobm/IOS_FSM_FFd3 | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | iobm/IOS_FSM_FFd3.SI | iobm/IOS_FSM_FFd3 | 0 | 13 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9438 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9446 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9455 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9456 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9444 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9445 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9457 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9458 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nCAS_OBUF.EXP | 10056 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.EXP | nCAS_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd3.D1 | 9695 | ? | 0 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd3.D2 | 9696 | ? | 0 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nCAS_OBUF.EXP -SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 -SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/ETACK -SPPTERM | 4 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr -SPPTERM | 4 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobm/IOS_FSM_FFd3.EXP | 10054 | ? | 0 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr - -SRFF_INSTANCE | iobm/IOS_FSM_FFd3.REG | iobm/IOS_FSM_FFd3 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd3.D | 9694 | ? | 0 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd3.Q | 9697 | ? | 0 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/IOS_FSM_FFd2 | MXSE_COPY_0_COPY_0 | 2155873536 | 5 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/IOS_FSM_FFd2.SI | iobm/IOS_FSM_FFd2 | 0 | 4 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd2.D1 | 9699 | ? | 0 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd2.D2 | 9700 | ? | 0 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 -SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOS_FSM_FFd1 - -SRFF_INSTANCE | iobm/IOS_FSM_FFd2.REG | iobm/IOS_FSM_FFd2 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd2.D | 9698 | ? | 0 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd2.Q | 9701 | ? | 0 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/IOS_FSM_FFd1 | MXSE_COPY_0_COPY_0 | 2155873536 | 5 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/IOS_FSM_FFd1.SI | iobm/IOS_FSM_FFd1 | 0 | 4 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd1.D1 | 9703 | ? | 0 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd1.D2 | 9704 | ? | 0 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOS_FSM_FFd1 -SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 - -SRFF_INSTANCE | iobm/IOS_FSM_FFd1.REG | iobm/IOS_FSM_FFd1 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd1.D | 9702 | ? | 0 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd1.Q | 9705 | ? | 0 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/PS_FSM_FFd2 | MXSE_COPY_0_COPY_0 | 2155873536 | 11 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9427 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP13_.EXP | 10016 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobs/PS_FSM_FFd2.EXP | 10015 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.EXP | iobs/PS_FSM_FFd2 | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | iobs/PS_FSM_FFd2.SI | iobs/PS_FSM_FFd2 | 0 | 10 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9427 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP13_.EXP | 10016 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/PS_FSM_FFd2.D1 | 9707 | ? | 0 | 4096 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/PS_FSM_FFd2.D2 | 9708 | ? | 0 | 4096 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP13_.EXP -SPPTERM | 3 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/IOACTr -SPPTERM | 3 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_FALSE | iobs/IOACTr -SPPTERM | 4 | IV_TRUE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobs/PS_FSM_FFd2.EXP | 10000 | ? | 0 | 0 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay1 - -SRFF_INSTANCE | iobs/PS_FSM_FFd2.REG | iobs/PS_FSM_FFd2 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/PS_FSM_FFd2.D | 9706 | ? | 0 | 0 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/PS_FSM_FFd2.Q | 9709 | ? | 0 | 0 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | iobm/ES<0> | MXSE_COPY_0_COPY_0 | 2155877632 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9418 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9440 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9447 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9419 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9420 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9422 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9423 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<0> | 9418 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/ES<0>.SI | iobm/ES<0> | 0 | 7 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9418 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9440 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9447 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9419 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9420 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9422 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9423 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<0>.D1 | 9711 | ? | 0 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<0>.D2 | 9712 | ? | 0 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | iobm/ES<0> | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 -SPPTERM | 6 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> | IV_TRUE | iobm/Er -SPPTERM | 6 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> | IV_FALSE | iobm/Er2 - -SRFF_INSTANCE | iobm/ES<0>.REG | iobm/ES<0> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<0>.D | 9710 | ? | 0 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<0>.Q | 9713 | ? | 0 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/ES<1> | MXSE_COPY_0_COPY_0 | 2155873536 | 5 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9418 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9419 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9440 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9447 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<1> | 9419 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/ES<1>.SI | iobm/ES<1> | 0 | 4 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9418 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9419 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9440 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9447 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<1>.D1 | 9715 | ? | 0 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<1>.D2 | 9716 | ? | 0 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> -SPPTERM | 2 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> -SPPTERM | 2 | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 - -SRFF_INSTANCE | iobm/ES<1>.REG | iobm/ES<1> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<1>.D | 9714 | ? | 0 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<1>.Q | 9717 | ? | 0 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/ES<2> | MXSE_COPY_0_COPY_0 | 2155873536 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9418 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9420 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9419 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9440 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9447 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9422 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9423 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<2> | 9420 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/ES<2>.SI | iobm/ES<2> | 0 | 7 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9418 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9420 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9419 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9440 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9447 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9422 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9423 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<2>.D1 | 9719 | ? | 0 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<2>.D2 | 9720 | ? | 0 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<2> -SPPTERM | 2 | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> -SPPTERM | 2 | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 -SPPTERM | 3 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> -SPPTERM | 3 | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_TRUE | iobm/ES<4> - -SRFF_INSTANCE | iobm/ES<2>.REG | iobm/ES<2> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<2>.D | 9718 | ? | 0 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<2>.Q | 9721 | ? | 0 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/PS_FSM_FFd1 | MXSE_COPY_0_COPY_0 | 2155873280 | 13 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9427 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobs/PS_FSM_FFd1.EXP | 10063 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.EXP | iobs/PS_FSM_FFd1 | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | iobs/PS_FSM_FFd1.SI | iobs/PS_FSM_FFd1 | 0 | 12 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9427 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/PS_FSM_FFd1.D1 | 9723 | ? | 0 | 4096 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/PS_FSM_FFd1.D2 | 9724 | ? | 0 | 4096 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobs/PS_FSM_FFd2 -SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/IOACTr -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobs/PS_FSM_FFd1.EXP | 10059 | ? | 0 | 0 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | iobs/PS_FSM_FFd1.REG | iobs/PS_FSM_FFd1 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/PS_FSM_FFd1.D | 9722 | ? | 0 | 0 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/PS_FSM_FFd1.Q | 9725 | ? | 0 | 0 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobm/ES<3> | MXSE_COPY_0_COPY_0 | 2155877376 | 7 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9422 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9440 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9447 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9418 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9419 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9420 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<3> | 9422 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/ES<3>.SI | iobm/ES<3> | 0 | 6 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9422 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9440 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9447 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9418 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9419 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9420 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<3>.D1 | 9727 | ? | 0 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<3>.D2 | 9728 | ? | 0 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | iobm/ES<3> | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 -SPPTERM | 4 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/Er -SPPTERM | 4 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_FALSE | iobm/Er2 - -SRFF_INSTANCE | iobm/ES<3>.REG | iobm/ES<3> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<3>.D | 9726 | ? | 0 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<3>.Q | 9729 | ? | 0 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobm/ES<4> | MXSE_COPY_0_COPY_0 | 2155877376 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9423 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9440 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9447 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9418 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9419 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9420 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9422 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<4> | 9423 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/ES<4>.SI | iobm/ES<4> | 0 | 7 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9423 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9440 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9447 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9418 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9419 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9420 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9422 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<4>.D1 | 9731 | ? | 0 | 4096 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<4>.D2 | 9732 | ? | 0 | 4096 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | iobm/ES<4> | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 -SPPTERM | 5 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/ES<3> | IV_TRUE | iobm/Er -SPPTERM | 5 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/ES<3> | IV_FALSE | iobm/Er2 -SPPTERM | 5 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_TRUE | iobm/ES<4> - -SRFF_INSTANCE | iobm/ES<4>.REG | iobm/ES<4> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<4>.D | 9730 | ? | 0 | 0 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<4>.Q | 9733 | ? | 0 | 0 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<0> | MXSE_COPY_0_COPY_0 | 2155877376 | 1 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/RefCnt<0>.SI | cnt/RefCnt<0> | 0 | 0 | 2 -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefCnt<0>.D1 | 9735 | ? | 0 | 4096 | cnt/RefCnt<0> | NULL | NULL | cnt/RefCnt<0>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefCnt<0>.D2 | 9736 | ? | 0 | 4096 | cnt/RefCnt<0> | NULL | NULL | cnt/RefCnt<0>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 0 | IV_DC - -SRFF_INSTANCE | cnt/RefCnt<0>.REG | cnt/RefCnt<0> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefCnt<0>.D | 9734 | ? | 0 | 0 | cnt/RefCnt<0> | NULL | NULL | cnt/RefCnt<0>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefCnt<0>.Q | 9737 | ? | 0 | 0 | cnt/RefCnt<0> | NULL | NULL | cnt/RefCnt<0>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<5> | MXSE_COPY_0_COPY_0 | 2155877376 | 6 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9430 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9431 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/RefCnt<5>.SI | cnt/RefCnt<5> | 0 | 5 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9430 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9431 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefCnt<5>.D1 | 9739 | ? | 0 | 0 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefCnt<5>.D2 | 9740 | ? | 0 | 4096 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 5 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<2> | IV_TRUE | cnt/RefCnt<3> | IV_TRUE | cnt/RefCnt<4> - -SRFF_INSTANCE | cnt/RefCnt<5>.REG | cnt/RefCnt<5> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefCnt<5>.D | 9738 | ? | 0 | 0 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefCnt<5>.Q | 9741 | ? | 0 | 0 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<6> | MXSE_COPY_0_COPY_0 | 2155877376 | 7 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9430 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9431 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/RefCnt<6>.SI | cnt/RefCnt<6> | 0 | 6 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9430 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9431 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefCnt<6>.D1 | 9743 | ? | 0 | 0 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefCnt<6>.D2 | 9744 | ? | 0 | 4096 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 6 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<2> | IV_TRUE | cnt/RefCnt<3> | IV_TRUE | cnt/RefCnt<4> - -SRFF_INSTANCE | cnt/RefCnt<6>.REG | cnt/RefCnt<6> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefCnt<6>.D | 9742 | ? | 0 | 0 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefCnt<6>.Q | 9745 | ? | 0 | 0 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOACTr | MXSE_COPY_0_COPY_0 | 2155873280 | 16 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 9434 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9371 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9435 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9438 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9446 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9444 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9445 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9455 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9456 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9457 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9458 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOACTr | 9427 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobs/IOACTr.EXP | 10055 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.EXP | iobs/IOACTr | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | iobs/IOACTr.SI | iobs/IOACTr | 0 | 15 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 9434 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9371 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9435 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9438 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9446 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9444 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9445 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9455 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9456 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9457 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9458 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOACTr.D1 | 9747 | ? | 0 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOACTr.D2 | 9748 | ? | 0 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | IOACT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobs/IOACTr.EXP | 10052 | ? | 0 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 8 | IV_TRUE | nBERR_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | IOBERR | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/ETACK -SPPTERM | 9 | IV_TRUE | nBERR_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | IOBERR | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr -SPPTERM | 9 | IV_TRUE | nBERR_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | IOBERR | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr -SPPTERM | 9 | IV_TRUE | nBERR_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | IOBERR | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr - -SRFF_INSTANCE | iobs/IOACTr.REG | iobs/IOACTr | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOACTr.D | 9746 | ? | 0 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOACTr.Q | 9749 | ? | 0 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<1> | MXSE_COPY_0_COPY_0 | 2155877376 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefCnt<1> | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/RefCnt<1>.SI | cnt/RefCnt<1> | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefCnt<1>.D1 | 9751 | ? | 0 | 0 | cnt/RefCnt<1> | NULL | NULL | cnt/RefCnt<1>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefCnt<1>.D2 | 9752 | ? | 0 | 4096 | cnt/RefCnt<1> | NULL | NULL | cnt/RefCnt<1>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | cnt/RefCnt<0> - -SRFF_INSTANCE | cnt/RefCnt<1>.REG | cnt/RefCnt<1> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefCnt<1>.D | 9750 | ? | 0 | 0 | cnt/RefCnt<1> | NULL | NULL | cnt/RefCnt<1>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefCnt<1>.Q | 9753 | ? | 0 | 0 | cnt/RefCnt<1> | NULL | NULL | cnt/RefCnt<1>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<2> | MXSE_COPY_0_COPY_0 | 2155877376 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefCnt<2> | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/RefCnt<2>.SI | cnt/RefCnt<2> | 0 | 2 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefCnt<2>.D1 | 9755 | ? | 0 | 0 | cnt/RefCnt<2> | NULL | NULL | cnt/RefCnt<2>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefCnt<2>.D2 | 9756 | ? | 0 | 4096 | cnt/RefCnt<2> | NULL | NULL | cnt/RefCnt<2>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<1> - -SRFF_INSTANCE | cnt/RefCnt<2>.REG | cnt/RefCnt<2> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefCnt<2>.D | 9754 | ? | 0 | 0 | cnt/RefCnt<2> | NULL | NULL | cnt/RefCnt<2>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefCnt<2>.Q | 9757 | ? | 0 | 0 | cnt/RefCnt<2> | NULL | NULL | cnt/RefCnt<2>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<3> | MXSE_COPY_0_COPY_0 | 2155877376 | 4 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefCnt<3> | 9430 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/RefCnt<3>.SI | cnt/RefCnt<3> | 0 | 3 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefCnt<3>.D1 | 9759 | ? | 0 | 0 | cnt/RefCnt<3> | NULL | NULL | cnt/RefCnt<3>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefCnt<3>.D2 | 9760 | ? | 0 | 4096 | cnt/RefCnt<3> | NULL | NULL | cnt/RefCnt<3>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<2> - -SRFF_INSTANCE | cnt/RefCnt<3>.REG | cnt/RefCnt<3> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefCnt<3>.D | 9758 | ? | 0 | 0 | cnt/RefCnt<3> | NULL | NULL | cnt/RefCnt<3>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefCnt<3>.Q | 9761 | ? | 0 | 0 | cnt/RefCnt<3> | NULL | NULL | cnt/RefCnt<3>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<4> | MXSE_COPY_0_COPY_0 | 2155877376 | 5 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9430 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefCnt<4> | 9431 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/RefCnt<4>.SI | cnt/RefCnt<4> | 0 | 4 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9430 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefCnt<4>.D1 | 9763 | ? | 0 | 0 | cnt/RefCnt<4> | NULL | NULL | cnt/RefCnt<4>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefCnt<4>.D2 | 9764 | ? | 0 | 4096 | cnt/RefCnt<4> | NULL | NULL | cnt/RefCnt<4>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<2> | IV_TRUE | cnt/RefCnt<3> - -SRFF_INSTANCE | cnt/RefCnt<4>.REG | cnt/RefCnt<4> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefCnt<4>.D | 9762 | ? | 0 | 0 | cnt/RefCnt<4> | NULL | NULL | cnt/RefCnt<4>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefCnt<4>.Q | 9765 | ? | 0 | 0 | cnt/RefCnt<4> | NULL | NULL | cnt/RefCnt<4>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/Load1 | MXSE_COPY_0_COPY_0 | 2155873536 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP21_.EXP | 10045 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_0_OBUF.EXP | 10046 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.EXP | RA_0_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/Load1 | 9432 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobs/Load1.SI | iobs/Load1 | 0 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP21_.EXP | 10045 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_0_OBUF.EXP | 10046 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.EXP | RA_0_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/Load1.D1 | 9767 | ? | 0 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/Load1.D2 | 9768 | ? | 0 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobs/Once -SPPTERM | 1 | IV_FALSE | ALE1 -SPPTERM | 1 | IV_TRUE | EXP21_.EXP -SPPTERM | 1 | IV_TRUE | RA_0_OBUF.EXP -SPPTERM | 2 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 2 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 - -SRFF_INSTANCE | iobs/Load1.REG | iobs/Load1 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/Load1.D | 9766 | ? | 0 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/Load1.Q | 9769 | ? | 0 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/BACTr | MXSE_COPY_0_COPY_0 | 2155873536 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/BACTr | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ram/BACTr.SI | ram/BACTr | 0 | 2 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/BACTr.D1 | 9771 | ? | 0 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/BACTr.D2 | 9772 | ? | 0 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf - -SRFF_INSTANCE | ram/BACTr.REG | ram/BACTr | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/BACTr.D | 9770 | ? | 0 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/BACTr.Q | 9773 | ? | 0 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | IOACT | MXSE_COPY_0_COPY_0 | 2155873536 | 13 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9441 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9438 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9446 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9455 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9456 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9444 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9445 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3.EXP | 10057 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.EXP | iobm/IOS_FSM_FFd3 | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOACT | 9434 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | IOACT.SI | IOACT | 0 | 12 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9441 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9438 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9446 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9455 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9456 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9444 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9445 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3.EXP | 10057 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.EXP | iobm/IOS_FSM_FFd3 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOACT.D1 | 9775 | ? | 0 | 4096 | IOACT | NULL | NULL | IOACT.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOACT.D2 | 9776 | ? | 0 | 4096 | IOACT | NULL | NULL | IOACT.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd3.EXP -SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 -SPPTERM | 4 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOREQr -SPPTERM | 5 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/ETACK -SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr -SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr - -SRFF_INSTANCE | IOACT.REG | IOACT | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOACT.D | 9774 | ? | 0 | 0 | IOACT | NULL | NULL | IOACT.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOACT.Q | 9777 | ? | 0 | 0 | IOACT | NULL | NULL | IOACT.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | IOBERR | MXSE_COPY_0_COPY_0 | 2155877376 | 16 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9435 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9371 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9438 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9446 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9455 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9456 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9444 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9445 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9457 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9458 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr.EXP | 10055 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.EXP | iobs/IOACTr | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOBERR | 9435 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | IOBERR.SI | IOBERR | 0 | 15 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9435 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9371 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9438 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9446 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9455 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9456 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9444 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9445 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9457 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9458 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr.EXP | 10055 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.EXP | iobs/IOACTr | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOBERR.D1 | 9779 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOBERR.D2 | 9780 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobs/IOACTr.EXP -SPPTERM | 5 | IV_TRUE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_TRUE | IOBERR -SPPTERM | 6 | IV_FALSE | nBERR_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | IOBERR | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/ETACK -SPPTERM | 7 | IV_FALSE | nBERR_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | IOBERR | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr -SPPTERM | 7 | IV_FALSE | nBERR_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | IOBERR | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr -SPPTERM | 7 | IV_FALSE | nBERR_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | IOBERR | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr - -SRFF_INSTANCE | IOBERR.REG | IOBERR | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOBERR.D | 9778 | ? | 0 | 0 | IOBERR | NULL | NULL | IOBERR.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOBERR.Q | 9781 | ? | 0 | 0 | IOBERR | NULL | NULL | IOBERR.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<7> | MXSE_COPY_0_COPY_0 | 2155877376 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9430 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9431 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/RefCnt<7>.SI | cnt/RefCnt<7> | 0 | 7 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9430 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9431 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefCnt<7>.D1 | 9783 | ? | 0 | 0 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefCnt<7>.D2 | 9784 | ? | 0 | 4096 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 7 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<2> | IV_TRUE | cnt/RefCnt<3> | IV_TRUE | cnt/RefCnt<4> - -SRFF_INSTANCE | cnt/RefCnt<7>.REG | cnt/RefCnt<7> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefCnt<7>.D | 9782 | ? | 0 | 0 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefCnt<7>.Q | 9785 | ? | 0 | 0 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.REG | 0 | 8 | SRFF_Q - -INPUT_INSTANCE | 0 | 0 | NULL | E_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | E_IOB | 9558 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | E_IOB_IBUF | 9437 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | E_IOB_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/Er | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IOB_IBUF | 9437 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | E_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK-IO_4 | 9439 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/Er | 9440 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/Er.SI | iobm/Er | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IOB_IBUF | 9437 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | E_IOB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/Er.D1 | 9787 | ? | 0 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/Er.D2 | 9788 | ? | 0 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | E_IOB_IBUF - -SRFF_INSTANCE | iobm/Er.REG | iobm/Er | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/Er.D | 9786 | ? | 0 | 0 | iobm/Er | NULL | NULL | iobm/Er.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK-IO_4 | 9439 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/Er.Q | 9789 | ? | 0 | 0 | iobm/Er | NULL | NULL | iobm/Er.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/IOREQr | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOREQ | 9453 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOREQ.Q | IOREQ | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK-IO_3 | 9373 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOREQr | 9441 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/IOREQr.SI | iobm/IOREQr | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOREQ | 9453 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOREQ.Q | IOREQ | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOREQr.D1 | 9791 | ? | 0 | 4096 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOREQr.D2 | 9792 | ? | 0 | 4096 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | IOREQ - -SRFF_INSTANCE | iobm/IOREQr.REG | iobm/IOREQr | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOREQr.D | 9790 | ? | 0 | 0 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK-IO_3 | 9373 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOREQr.Q | 9793 | ? | 0 | 0 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | RefAck | MXSE_COPY_0_COPY_0 | 2155873280 | 14 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | RefAck | 9442 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RefAck.EXP | 10065 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.EXP | RefAck | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | RefAck.SI | RefAck | 0 | 13 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RefAck.D1 | 9795 | ? | 0 | 4096 | RefAck | NULL | NULL | RefAck.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RefAck.D2 | 9796 | ? | 0 | 4096 | RefAck | NULL | NULL | RefAck.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RefAck.EXP | 10061 | ? | 0 | 0 | RefAck | NULL | NULL | RefAck.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf -SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf - -SRFF_INSTANCE | RefAck.REG | RefAck | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RefAck.D | 9794 | ? | 0 | 0 | RefAck | NULL | NULL | RefAck.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RefAck.Q | 9797 | ? | 0 | 0 | RefAck | NULL | NULL | RefAck.REG | 0 | 8 | SRFF_Q - -INPUT_INSTANCE | 0 | 0 | NULL | nDTACK_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nDTACK_IOB | 9560 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nDTACK_IOB_IBUF | 9443 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/DTACKrf | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_IOB_IBUF | 9443 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK-IO_3 | 9373 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/DTACKrf | 9444 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/DTACKrf.SI | iobm/DTACKrf | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_IOB_IBUF | 9443 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/DTACKrf.D1 | 9799 | ? | 0 | 4096 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/DTACKrf.D2 | 9800 | ? | 0 | 4096 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nDTACK_IOB_IBUF - -SRFF_INSTANCE | iobm/DTACKrf.REG | iobm/DTACKrf | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/DTACKrf.D | 9798 | ? | 0 | 0 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK-IO_3 | 9373 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/DTACKrf.Q | 9801 | ? | 0 | 0 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/DTACKrr | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_IOB_IBUF | 9443 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/DTACKrr | 9445 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/DTACKrr.SI | iobm/DTACKrr | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_IOB_IBUF | 9443 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/DTACKrr.D1 | 9803 | ? | 0 | 4096 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/DTACKrr.D2 | 9804 | ? | 0 | 4096 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nDTACK_IOB_IBUF - -SRFF_INSTANCE | iobm/DTACKrr.REG | iobm/DTACKrr | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/DTACKrr.D | 9802 | ? | 0 | 0 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/DTACKrr.Q | 9805 | ? | 0 | 0 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/ETACK | MXSE_COPY_0_COPY_0 | 2155873280 | 7 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOB_OBUF | 9375 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9418 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9419 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9420 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9422 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9423 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ETACK | 9446 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/ETACK.SI | iobm/ETACK | 0 | 6 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOB_OBUF | 9375 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9418 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9419 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9420 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9422 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9423 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ETACK.D1 | 9807 | ? | 0 | 4096 | iobm/ETACK | NULL | NULL | iobm/ETACK.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ETACK.D2 | 9808 | ? | 0 | 4096 | iobm/ETACK | NULL | NULL | iobm/ETACK.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 6 | IV_FALSE | nVMA_IOB_OBUF | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_TRUE | iobm/ES<4> - -SRFF_INSTANCE | iobm/ETACK.REG | iobm/ETACK | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ETACK.D | 9806 | ? | 0 | 0 | iobm/ETACK | NULL | NULL | iobm/ETACK.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ETACK.Q | 9809 | ? | 0 | 0 | iobm/ETACK | NULL | NULL | iobm/ETACK.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/Er2 | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9440 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/Er2 | 9447 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/Er2.SI | iobm/Er2 | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9440 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/Er2.D1 | 9811 | ? | 0 | 4096 | iobm/Er2 | NULL | NULL | iobm/Er2.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/Er2.D2 | 9812 | ? | 0 | 4096 | iobm/Er2 | NULL | NULL | iobm/Er2.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobm/Er - -SRFF_INSTANCE | iobm/Er2.REG | iobm/Er2 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/Er2.D | 9810 | ? | 0 | 0 | iobm/Er2 | NULL | NULL | iobm/Er2.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/Er2.Q | 9813 | ? | 0 | 0 | iobm/Er2 | NULL | NULL | iobm/Er2.REG | 0 | 8 | SRFF_Q - -INPUT_INSTANCE | 0 | 0 | NULL | nVPA_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nVPA_IOB | 9561 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nVPA_IOB_IBUF | 9448 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/VPArf | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_IOB_IBUF | 9448 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK-IO_3 | 9373 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/VPArf | 9449 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/VPArf.SI | iobm/VPArf | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_IOB_IBUF | 9448 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/VPArf.D1 | 9815 | ? | 0 | 4096 | iobm/VPArf | NULL | NULL | iobm/VPArf.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/VPArf.D2 | 9816 | ? | 0 | 4096 | iobm/VPArf | NULL | NULL | iobm/VPArf.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nVPA_IOB_IBUF - -SRFF_INSTANCE | iobm/VPArf.REG | iobm/VPArf | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/VPArf.D | 9814 | ? | 0 | 0 | iobm/VPArf | NULL | NULL | iobm/VPArf.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK-IO_3 | 9373 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/VPArf.Q | 9817 | ? | 0 | 0 | iobm/VPArf | NULL | NULL | iobm/VPArf.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/VPArr | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_IOB_IBUF | 9448 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/VPArr | 9450 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/VPArr.SI | iobm/VPArr | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_IOB_IBUF | 9448 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/VPArr.D1 | 9819 | ? | 0 | 4096 | iobm/VPArr | NULL | NULL | iobm/VPArr.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/VPArr.D2 | 9820 | ? | 0 | 4096 | iobm/VPArr | NULL | NULL | iobm/VPArr.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nVPA_IOB_IBUF - -SRFF_INSTANCE | iobm/VPArr.REG | iobm/VPArr | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/VPArr.D | 9818 | ? | 0 | 0 | iobm/VPArr | NULL | NULL | iobm/VPArr.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/VPArr.Q | 9821 | ? | 0 | 0 | iobm/VPArr | NULL | NULL | iobm/VPArr.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ALE0M | MXSE_COPY_0_COPY_0 | 2155873536 | 6 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9441 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ALE0M | 9451 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ALE0M.SI | ALE0M | 0 | 5 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9441 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ALE0M.D1 | 9823 | ? | 0 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ALE0M.D2 | 9824 | ? | 0 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 -SPPTERM | 4 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOREQr - -SRFF_INSTANCE | ALE0M.REG | ALE0M | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ALE0M.D | 9822 | ? | 0 | 0 | ALE0M | NULL | NULL | ALE0M.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ALE0M.Q | 9825 | ? | 0 | 0 | ALE0M | NULL | NULL | ALE0M.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | ALE0S | MXSE_COPY_0_COPY_0 | 2155873280 | 11 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ALE0S | 9452 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | ALE0S.EXP | 10062 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0S.EXP | ALE0S | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | ALE0S.SI | ALE0S | 0 | 10 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ALE0S.D1 | 9827 | ? | 0 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ALE0S.D2 | 9828 | ? | 0 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | ALE0S.EXP | 10058 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 5 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | fsb/ASrf - -SRFF_INSTANCE | ALE0S.REG | ALE0S | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ALE0S.D | 9826 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ALE0S.Q | 9829 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | IOREQ | MXSE_COPY_0_COPY_0 | 2155873536 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9427 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP12_.EXP | 10013 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nROMCS_OBUF.EXP | 10014 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOREQ | 9453 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOREQ.Q | IOREQ | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | IOREQ.SI | IOREQ | 0 | 11 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9427 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP12_.EXP | 10013 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nROMCS_OBUF.EXP | 10014 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOREQ.D1 | 9831 | ? | 0 | 4096 | IOREQ | NULL | NULL | IOREQ.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOREQ.D2 | 9832 | ? | 0 | 4096 | IOREQ | NULL | NULL | IOREQ.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP12_.EXP -SPPTERM | 1 | IV_TRUE | nROMCS_OBUF.EXP -SPPTERM | 2 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/PS_FSM_FFd1 -SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/IOACTr -SPPTERM | 3 | IV_TRUE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 - -SRFF_INSTANCE | IOREQ.REG | IOREQ | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOREQ.D | 9830 | ? | 0 | 0 | IOREQ | NULL | NULL | IOREQ.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOREQ.Q | 9833 | ? | 0 | 0 | IOREQ | NULL | NULL | IOREQ.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | fsb/ASrf | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK-IO_1 | 9377 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | fsb/ASrf.SI | fsb/ASrf | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/ASrf.D1 | 9835 | ? | 0 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/ASrf.D2 | 9836 | ? | 0 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nAS_FSB_IBUF - -SRFF_INSTANCE | fsb/ASrf.REG | fsb/ASrf | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/ASrf.D | 9834 | ? | 0 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK-IO_1 | 9377 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/ASrf.Q | 9837 | ? | 0 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/BERRrf | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9371 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK-IO_3 | 9373 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/BERRrf | 9455 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/BERRrf.SI | iobm/BERRrf | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9371 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/BERRrf.D1 | 9839 | ? | 0 | 4096 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/BERRrf.D2 | 9840 | ? | 0 | 4096 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nBERR_IOB_IBUF - -SRFF_INSTANCE | iobm/BERRrf.REG | iobm/BERRrf | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/BERRrf.D | 9838 | ? | 0 | 0 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK-IO_3 | 9373 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/BERRrf.Q | 9841 | ? | 0 | 0 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/BERRrr | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9371 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/BERRrr | 9456 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/BERRrr.SI | iobm/BERRrr | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9371 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/BERRrr.D1 | 9843 | ? | 0 | 4096 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/BERRrr.D2 | 9844 | ? | 0 | 4096 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nBERR_IOB_IBUF - -SRFF_INSTANCE | iobm/BERRrr.REG | iobm/BERRrr | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/BERRrr.D | 9842 | ? | 0 | 0 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/BERRrr.Q | 9845 | ? | 0 | 0 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/RESrf | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRES_IBUF | 9385 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK-IO_3 | 9373 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/RESrf | 9457 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/RESrf.SI | iobm/RESrf | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRES_IBUF | 9385 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/RESrf.D1 | 9847 | ? | 0 | 4096 | iobm/RESrf | NULL | NULL | iobm/RESrf.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/RESrf.D2 | 9848 | ? | 0 | 4096 | iobm/RESrf | NULL | NULL | iobm/RESrf.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nRES_IBUF - -SRFF_INSTANCE | iobm/RESrf.REG | iobm/RESrf | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/RESrf.D | 9846 | ? | 0 | 0 | iobm/RESrf | NULL | NULL | iobm/RESrf.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK-IO_3 | 9373 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/RESrf.Q | 9849 | ? | 0 | 0 | iobm/RESrf | NULL | NULL | iobm/RESrf.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/RESrr | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRES_IBUF | 9385 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/RESrr | 9458 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/RESrr.SI | iobm/RESrr | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRES_IBUF | 9385 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/RESrr.D1 | 9851 | ? | 0 | 4096 | iobm/RESrr | NULL | NULL | iobm/RESrr.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/RESrr.D2 | 9852 | ? | 0 | 4096 | iobm/RESrr | NULL | NULL | iobm/RESrr.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nRES_IBUF - -SRFF_INSTANCE | iobm/RESrr.REG | iobm/RESrr | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/RESrr.D | 9850 | ? | 0 | 0 | iobm/RESrr | NULL | NULL | iobm/RESrr.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/RESrr.Q | 9853 | ? | 0 | 0 | iobm/RESrr | NULL | NULL | iobm/RESrr.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/Clear1 | MXSE_COPY_0_COPY_0 | 2155873280 | 14 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/Clear1 | 9459 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobs/Clear1.EXP | 10064 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | iobs/Clear1.SI | iobs/Clear1 | 0 | 13 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/Clear1.D1 | 9855 | ? | 0 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/Clear1.D2 | 9856 | ? | 0 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | ALE1 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobs/Clear1.EXP | 10060 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | fsb/ASrf -SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | fsb/ASrf -SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 - -SRFF_INSTANCE | iobs/Clear1.REG | iobs/Clear1 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/Clear1.D | 9854 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/Clear1.Q | 9857 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RAMDIS1 | MXSE_COPY_0_COPY_0 | 2155873280 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP24_.EXP | 10050 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP25_.EXP | 10051 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RAMDIS1 | 9460 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ram/RAMDIS1.SI | ram/RAMDIS1 | 0 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP24_.EXP | 10050 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP25_.EXP | 10051 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RAMDIS1.D1 | 9859 | ? | 0 | 4096 | ram/RAMDIS1 | NULL | NULL | ram/RAMDIS1.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RAMDIS1.D2 | 9860 | ? | 0 | 4096 | ram/RAMDIS1 | NULL | NULL | ram/RAMDIS1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP24_.EXP -SPPTERM | 1 | IV_TRUE | EXP25_.EXP -SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 -SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 -SPPTERM | 3 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr -SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | ram/RAMDIS1.REG | ram/RAMDIS1 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RAMDIS1.D | 9858 | ? | 0 | 0 | ram/RAMDIS1 | NULL | NULL | ram/RAMDIS1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RAMDIS1.Q | 9861 | ? | 0 | 0 | ram/RAMDIS1 | NULL | NULL | ram/RAMDIS1.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/RAMReady | MXSE_COPY_0_COPY_0 | 2155873536 | 13 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9379 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP22_.EXP | 10047 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP23_.EXP | 10048 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RAMReady | 9461 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ram/RAMReady.SI | ram/RAMReady | 0 | 12 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9379 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP22_.EXP | 10047 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP23_.EXP | 10048 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RAMReady.D1 | 9863 | ? | 0 | 4096 | ram/RAMReady | NULL | NULL | ram/RAMReady.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RAMReady.D2 | 9864 | ? | 0 | 4096 | ram/RAMReady | NULL | NULL | ram/RAMReady.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP22_.EXP -SPPTERM | 1 | IV_TRUE | EXP23_.EXP -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr -SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr -SPPTERM | 6 | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr -SPPTERM | 6 | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 - -SRFF_INSTANCE | ram/RAMReady.REG | ram/RAMReady | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RAMReady.D | 9862 | ? | 0 | 0 | ram/RAMReady | NULL | NULL | ram/RAMReady.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RAMReady.Q | 9865 | ? | 0 | 0 | ram/RAMReady | NULL | NULL | ram/RAMReady.REG | 0 | 8 | SRFF_Q - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_1_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<1> | 9562 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_1_IBUF | 9462 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_2_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<2> | 9563 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_2_IBUF | 9463 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_3_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<3> | 9564 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_3_IBUF | 9464 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_4_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<4> | 9565 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_4_IBUF | 9465 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_5_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<5> | 9566 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_5_IBUF | 9466 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_6_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<6> | 9567 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_6_IBUF | 9467 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_7_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<7> | 9568 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_7_IBUF | 9468 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nAS_IOB_OBUF | MXSE_COPY_0_COPY_0 | 2424308736 | 5 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK-IO_3 | 9373 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nAS_IOB_OBUF | 9469 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAS_IOB_OBUF.Q | nAS_IOB_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nAS_IOB_OBUF.SI | nAS_IOB_OBUF | 0 | 4 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nAS_IOB_OBUF.D1 | 9867 | ? | 0 | 4096 | nAS_IOB_OBUF | NULL | NULL | nAS_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nAS_IOB_OBUF.D2 | 9868 | ? | 0 | 4096 | nAS_IOB_OBUF | NULL | NULL | nAS_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 -SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 - -SRFF_INSTANCE | nAS_IOB_OBUF.REG | nAS_IOB_OBUF | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nAS_IOB_OBUF.D | 9866 | ? | 0 | 0 | nAS_IOB_OBUF | NULL | NULL | nAS_IOB_OBUF.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK-IO_3 | 9373 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nAS_IOB_OBUF.Q | 9869 | ? | 0 | 0 | nAS_IOB_OBUF | NULL | NULL | nAS_IOB_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nCAS_OBUF | MXSE_COPY_0_COPY_0 | 2424308736 | 6 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK-IO_1 | 9377 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9438 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9457 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9458 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nCAS_OBUF | 9470 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nCAS_OBUF.EXP | 10056 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.EXP | nCAS_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | nCAS_OBUF.SI | nCAS_OBUF | 0 | 5 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9438 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9457 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9458 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nCAS_OBUF.D1 | 9871 | ? | 0 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nCAS_OBUF.D2 | 9872 | ? | 0 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | ram/RASEL -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nCAS_OBUF.EXP | 10053 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 4 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr - -SRFF_INSTANCE | nCAS_OBUF.REG | nCAS_OBUF | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nCAS_OBUF.D | 9870 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK-IO_1 | 9377 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nCAS_OBUF.Q | 9873 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nDinLE_OBUF | MXSE_COPY_0_COPY_0 | 2424308736 | 4 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK-IO_3 | 9373 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDinLE_OBUF | 9471 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nDinLE_OBUF.SI | nDinLE_OBUF | 0 | 3 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDinLE_OBUF.D1 | 9875 | ? | 0 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDinLE_OBUF.D2 | 9876 | ? | 0 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd4 | IV_TRUE | iobm/IOS_FSM_FFd1 -SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | iobm/IOS_FSM_FFd3 - -SRFF_INSTANCE | nDinLE_OBUF.REG | nDinLE_OBUF | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDinLE_OBUF.D | 9874 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK-IO_3 | 9373 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDinLE_OBUF.Q | 9877 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | nDoutOE_OBUF | MXSE_COPY_0_COPY_0 | 2155873280 | 5 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9383 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDoutOE_OBUF | 9472 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nDoutOE_OBUF.SI | nDoutOE_OBUF | 0 | 4 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9383 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDoutOE_OBUF.D1 | 9879 | ? | 0 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDoutOE_OBUF.D2 | 9880 | ? | 0 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | IORW0 -SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 - -SRFF_INSTANCE | nDoutOE_OBUF.REG | nDoutOE_OBUF | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDoutOE_OBUF.D | 9878 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDoutOE_OBUF.Q | 9881 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nLDS_IOB_OBUF | MXSE_COPY_0_COPY_0 | 2424308736 | 7 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 9388 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9383 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK-IO_3 | 9373 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nLDS_IOB_OBUF | 9473 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nLDS_IOB_OBUF.Q | nLDS_IOB_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nLDS_IOB_OBUF.SI | nLDS_IOB_OBUF | 0 | 6 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 9388 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9383 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nLDS_IOB_OBUF.D1 | 9883 | ? | 0 | 4096 | nLDS_IOB_OBUF | NULL | NULL | nLDS_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nLDS_IOB_OBUF.D2 | 9884 | ? | 0 | 4096 | nLDS_IOB_OBUF | NULL | NULL | nLDS_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | IOL0 -SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 -SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 -SPPTERM | 4 | IV_TRUE | IORW0 | IV_TRUE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOS_FSM_FFd1 - -SRFF_INSTANCE | nLDS_IOB_OBUF.REG | nLDS_IOB_OBUF | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nLDS_IOB_OBUF.D | 9882 | ? | 0 | 0 | nLDS_IOB_OBUF | NULL | NULL | nLDS_IOB_OBUF.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK-IO_3 | 9373 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nLDS_IOB_OBUF.Q | 9885 | ? | 0 | 0 | nLDS_IOB_OBUF | NULL | NULL | nLDS_IOB_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nUDS_IOB_OBUF | MXSE_COPY_0_COPY_0 | 2424308736 | 7 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 9389 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9383 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK-IO_3 | 9373 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nUDS_IOB_OBUF | 9474 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nUDS_IOB_OBUF.Q | nUDS_IOB_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nUDS_IOB_OBUF.SI | nUDS_IOB_OBUF | 0 | 6 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 9389 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9383 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nUDS_IOB_OBUF.D1 | 9887 | ? | 0 | 4096 | nUDS_IOB_OBUF | NULL | NULL | nUDS_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nUDS_IOB_OBUF.D2 | 9888 | ? | 0 | 4096 | nUDS_IOB_OBUF | NULL | NULL | nUDS_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | IOU0 -SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 -SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 -SPPTERM | 4 | IV_TRUE | IORW0 | IV_TRUE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOS_FSM_FFd1 - -SRFF_INSTANCE | nUDS_IOB_OBUF.REG | nUDS_IOB_OBUF | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nUDS_IOB_OBUF.D | 9886 | ? | 0 | 0 | nUDS_IOB_OBUF | NULL | NULL | nUDS_IOB_OBUF.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK-IO_3 | 9373 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nUDS_IOB_OBUF.Q | 9889 | ? | 0 | 0 | nUDS_IOB_OBUF | NULL | NULL | nUDS_IOB_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_0_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 9 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9362 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_1_IBUF | 9462 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_0_OBUF | 9475 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_0_OBUF.EXP | 10046 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.EXP | RA_0_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | RA_0_OBUF.SI | RA_0_OBUF | 0 | 9 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9362 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_1_IBUF | 9462 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_0_OBUF.D1 | 9891 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_0_OBUF.D2 | 9892 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_1_IBUF -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_0_OBUF.EXP | 10032 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 - -SRFF_INSTANCE | RA_0_OBUF.REG | RA_0_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_0_OBUF.D | 9890 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_0_OBUF.Q | 9893 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_1_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9361 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_2_IBUF | 9463 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_1_OBUF | 9476 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | RA_1_OBUF.SI | RA_1_OBUF | 0 | 3 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9361 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_2_IBUF | 9463 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_1_OBUF.D1 | 9895 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_1_OBUF.D2 | 9896 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_11_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_2_IBUF - -SRFF_INSTANCE | RA_1_OBUF.REG | RA_1_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_1_OBUF.D | 9894 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_1_OBUF.Q | 9897 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_2_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9360 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_3_IBUF | 9464 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_2_OBUF | 9477 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | RA_2_OBUF.SI | RA_2_OBUF | 0 | 3 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9360 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_3_IBUF | 9464 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_2_OBUF.D1 | 9899 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_2_OBUF.D2 | 9900 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_12_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_3_IBUF - -SRFF_INSTANCE | RA_2_OBUF.REG | RA_2_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_2_OBUF.D | 9898 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_2_OBUF.Q | 9901 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_3_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_4_IBUF | 9465 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_3_OBUF | 9478 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.Q | RA_3_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | RA_3_OBUF.SI | RA_3_OBUF | 0 | 3 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_4_IBUF | 9465 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_3_OBUF.D1 | 9903 | ? | 0 | 4096 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_3_OBUF.D2 | 9904 | ? | 0 | 4096 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_4_IBUF - -SRFF_INSTANCE | RA_3_OBUF.REG | RA_3_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_3_OBUF.D | 9902 | ? | 0 | 0 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_3_OBUF.Q | 9905 | ? | 0 | 0 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_4_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_5_IBUF | 9466 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_4_OBUF | 9479 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | RA_4_OBUF.SI | RA_4_OBUF | 0 | 3 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_5_IBUF | 9466 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_4_OBUF.D1 | 9907 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_4_OBUF.D2 | 9908 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_5_IBUF - -SRFF_INSTANCE | RA_4_OBUF.REG | RA_4_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_4_OBUF.D | 9906 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_4_OBUF.Q | 9909 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_5_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9357 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_6_IBUF | 9467 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_5_OBUF | 9480 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | RA_5_OBUF.SI | RA_5_OBUF | 0 | 3 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9357 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_6_IBUF | 9467 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_5_OBUF.D1 | 9911 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_5_OBUF.D2 | 9912 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_6_IBUF - -SRFF_INSTANCE | RA_5_OBUF.REG | RA_5_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_5_OBUF.D | 9910 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_5_OBUF.Q | 9913 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_6_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_7_IBUF | 9468 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_6_OBUF | 9481 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | RA_6_OBUF.SI | RA_6_OBUF | 0 | 3 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_7_IBUF | 9468 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_6_OBUF.D1 | 9915 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_6_OBUF.D2 | 9916 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_7_IBUF - -SRFF_INSTANCE | RA_6_OBUF.REG | RA_6_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_6_OBUF.D | 9914 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_6_OBUF.Q | 9917 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_7_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9356 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_7_OBUF | 9482 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | RA_7_OBUF.SI | RA_7_OBUF | 0 | 3 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9356 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_7_OBUF.D1 | 9919 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_7_OBUF.D2 | 9920 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | ram/RASEL -SPPTERM | 2 | IV_TRUE | A_FSB_17_IBUF | IV_FALSE | ram/RASEL - -SRFF_INSTANCE | RA_7_OBUF.REG | RA_7_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_7_OBUF.D | 9918 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_7_OBUF.Q | 9921 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_8_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9355 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_8_OBUF | 9483 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | RA_8_OBUF.SI | RA_8_OBUF | 0 | 3 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9355 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_8_OBUF.D1 | 9923 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_8_OBUF.D2 | 9924 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | ram/RASEL -SPPTERM | 2 | IV_TRUE | A_FSB_18_IBUF | IV_FALSE | ram/RASEL - -SRFF_INSTANCE | RA_8_OBUF.REG | RA_8_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_8_OBUF.D | 9922 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_8_OBUF.Q | 9925 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_9_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_9_OBUF | 9484 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | RA_9_OBUF.SI | RA_9_OBUF | 0 | 3 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_9_OBUF.D1 | 9927 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_9_OBUF.D2 | 9928 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | ram/RASEL -SPPTERM | 2 | IV_TRUE | A_FSB_19_IBUF | IV_FALSE | ram/RASEL - -SRFF_INSTANCE | RA_9_OBUF.REG | RA_9_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_9_OBUF.D | 9926 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_9_OBUF.Q | 9929 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | nBERR_FSB_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 13 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9382 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9391 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9393 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9394 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP10_.EXP | 10009 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nBERR_FSB_OBUF | 9485 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nBERR_FSB_OBUF.EXP | 10010 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | nBERR_FSB_OBUF.SI | nBERR_FSB_OBUF | 0 | 13 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9382 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9391 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9393 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9394 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP10_.EXP | 10009 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nBERR_FSB_OBUF.D1 | 9931 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nBERR_FSB_OBUF.D2 | 9932 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nAS_FSB_IBUF -SPPTERM | 1 | IV_TRUE | EXP10_.EXP -SPPTERM | 4 | IV_FALSE | BERR_IOBS | IV_FALSE | TimeoutB | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nBERR_FSB_OBUF.EXP | 9995 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF -SPPTERM | 4 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 - -SRFF_INSTANCE | nBERR_FSB_OBUF.REG | nBERR_FSB_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nBERR_FSB_OBUF.D | 9930 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nBERR_FSB_OBUF.Q | 9933 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+OptxMapped | nOE_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nOE_OBUF | 9486 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nOE_OBUF.SI | nOE_OBUF | 0 | 2 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nOE_OBUF.D1 | 9935 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nOE_OBUF.D2 | 9936 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF - -SRFF_INSTANCE | nOE_OBUF.REG | nOE_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nOE_OBUF.D | 9934 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nOE_OBUF.Q | 9937 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+OptxMapped | nROMWE_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nROMWE_OBUF | 9487 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nROMWE_OBUF.SI | nROMWE_OBUF | 0 | 2 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nROMWE_OBUF.D1 | 9939 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nROMWE_OBUF.D2 | 9940 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF - -SRFF_INSTANCE | nROMWE_OBUF.REG | nROMWE_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nROMWE_OBUF.D | 9938 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nROMWE_OBUF.Q | 9941 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+OptxMapped | nVPA_FSB_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9398 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nVPA_FSB_OBUF | 9488 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nVPA_FSB_OBUF.SI | nVPA_FSB_OBUF | 0 | 2 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9398 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nVPA_FSB_OBUF.D1 | 9943 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nVPA_FSB_OBUF.D2 | 9944 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF - -SRFF_INSTANCE | nVPA_FSB_OBUF.REG | nVPA_FSB_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nVPA_FSB_OBUF.D | 9942 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nVPA_FSB_OBUF.Q | 9945 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | nADoutLE0_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0M | 9451 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0S | 9452 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nADoutLE0_OBUF | 9489 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nADoutLE0_OBUF.SI | nADoutLE0_OBUF | 0 | 2 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0M | 9451 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0S | 9452 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nADoutLE0_OBUF.D1 | 9947 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nADoutLE0_OBUF.D2 | 9948 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | ALE0M | IV_FALSE | ALE0S - -SRFF_INSTANCE | nADoutLE0_OBUF.REG | nADoutLE0_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nADoutLE0_OBUF.D | 9946 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nADoutLE0_OBUF.Q | 9949 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | nDinOE_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 9 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDinOE_OBUF | 9490 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nDinOE_OBUF.EXP | 10012 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.EXP | nDinOE_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | nDinOE_OBUF.SI | nDinOE_OBUF | 0 | 9 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDinOE_OBUF.D1 | 9951 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDinOE_OBUF.D2 | 9952 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF -SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nDinOE_OBUF.EXP | 9997 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF - -SRFF_INSTANCE | nDinOE_OBUF.REG | nDinOE_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDinOE_OBUF.D | 9950 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDinOE_OBUF.Q | 9953 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+OptxMapped | nRAS_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 13 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP19_.EXP | 10042 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nRAS_OBUF | 9491 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nRAS_OBUF.EXP | 10041 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | nRAS_OBUF.SI | nRAS_OBUF | 0 | 13 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP19_.EXP | 10042 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRAS_OBUF.D1 | 9955 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRAS_OBUF.D2 | 9956 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP19_.EXP -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nRAS_OBUF.EXP | 10027 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 7 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr -SPPTERM | 7 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf -SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf - -SRFF_INSTANCE | nRAS_OBUF.REG | nRAS_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRAS_OBUF.D | 9954 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRAS_OBUF.Q | 9957 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | A_FSB_19_IBUF$BUF0 | MXSE_COPY_0_COPY_0 | 2155872256 | 5 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | A_FSB_19_IBUF$BUF0 | 9492 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.Q | A_FSB_19_IBUF$BUF0 | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | A_FSB_19_IBUF$BUF0.EXP | 10049 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.EXP | A_FSB_19_IBUF$BUF0 | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | A_FSB_19_IBUF$BUF0.SI | A_FSB_19_IBUF$BUF0 | 0 | 5 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | A_FSB_19_IBUF$BUF0.D1 | 9959 | ? | 0 | 4096 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | A_FSB_19_IBUF$BUF0.D2 | 9960 | ? | 0 | 4096 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | A_FSB_19_IBUF -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | A_FSB_19_IBUF$BUF0.EXP | 10035 | ? | 0 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 4 | IV_FALSE | cnt/RefDone | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> - -SRFF_INSTANCE | A_FSB_19_IBUF$BUF0.REG | A_FSB_19_IBUF$BUF0 | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | A_FSB_19_IBUF$BUF0.D | 9958 | ? | 0 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | A_FSB_19_IBUF$BUF0.Q | 9961 | ? | 0 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | A_FSB_21_IBUF$BUF0 | MXSE_COPY_0_COPY_0 | 2155872256 | 7 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay0 | 9387 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | A_FSB_21_IBUF$BUF0 | 9493 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.Q | A_FSB_21_IBUF$BUF0 | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | A_FSB_21_IBUF$BUF0.EXP | 10020 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.EXP | A_FSB_21_IBUF$BUF0 | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | A_FSB_21_IBUF$BUF0.SI | A_FSB_21_IBUF$BUF0 | 0 | 7 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay0 | 9387 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | A_FSB_21_IBUF$BUF0.D1 | 9963 | ? | 0 | 4096 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | A_FSB_21_IBUF$BUF0.D2 | 9964 | ? | 0 | 4096 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | A_FSB_21_IBUF -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | A_FSB_21_IBUF$BUF0.EXP | 10005 | ? | 0 | 0 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay0 | IV_FALSE | nAS_FSB_IBUF -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay0 | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | A_FSB_21_IBUF$BUF0.REG | A_FSB_21_IBUF$BUF0 | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | A_FSB_21_IBUF$BUF0.D | 9962 | ? | 0 | 0 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | A_FSB_21_IBUF$BUF0.Q | 9965 | ? | 0 | 0 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | nADoutLE1_OBUF | MXSE_COPY_0_COPY_0 | 2155873024 | 4 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Clear1 | 9459 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9432 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nADoutLE1_OBUF | 9494 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | nADoutLE1_OBUF.SI | nADoutLE1_OBUF | 0 | 3 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Clear1 | 9459 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9432 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nADoutLE1_OBUF.D1 | 9967 | ? | 0 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nADoutLE1_OBUF.D2 | 9968 | ? | 0 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobs/Load1 -SPPTERM | 2 | IV_FALSE | iobs/Clear1 | IV_FALSE | ALE1 - -SRFF_INSTANCE | nADoutLE1_OBUF.REG | nADoutLE1_OBUF | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nADoutLE1_OBUF.D | 9966 | ? | 0 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nADoutLE1_OBUF.Q | 9969 | ? | 0 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+OptxMapped | nRAMLWE_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 5 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9399 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2 | 9405 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS1 | 9460 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nRAMLWE_OBUF | 9496 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nRAMLWE_OBUF.SI | nRAMLWE_OBUF | 0 | 5 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9399 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2 | 9405 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS1 | 9460 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRAMLWE_OBUF.D1 | 9971 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRAMLWE_OBUF.D2 | 9972 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 5 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nLDS_FSB_IBUF | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMDIS1 - -SRFF_INSTANCE | nRAMLWE_OBUF.REG | nRAMLWE_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRAMLWE_OBUF.D | 9970 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRAMLWE_OBUF.Q | 9973 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+OptxMapped | nRAMUWE_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 5 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9403 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2 | 9405 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS1 | 9460 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nRAMUWE_OBUF | 9497 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nRAMUWE_OBUF.SI | nRAMUWE_OBUF | 0 | 5 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9403 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2 | 9405 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS1 | 9460 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRAMUWE_OBUF.D1 | 9975 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRAMUWE_OBUF.D2 | 9976 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 5 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nUDS_FSB_IBUF | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMDIS1 - -SRFF_INSTANCE | nRAMUWE_OBUF.REG | nRAMUWE_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRAMUWE_OBUF.D | 9974 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRAMUWE_OBUF.Q | 9977 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+OptxMapped | nROMCS_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 12 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2.EXP | 10015 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.EXP | iobs/PS_FSM_FFd2 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nROMCS_OBUF | 9498 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nROMCS_OBUF.EXP | 10014 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | nROMCS_OBUF.SI | nROMCS_OBUF | 0 | 12 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2.EXP | 10015 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.EXP | iobs/PS_FSM_FFd2 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nROMCS_OBUF.D1 | 9979 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nROMCS_OBUF.D2 | 9980 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobs/PS_FSM_FFd2.EXP -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nROMCS_OBUF.EXP | 9999 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 - -SRFF_INSTANCE | nROMCS_OBUF.REG | nROMCS_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nROMCS_OBUF.D | 9978 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nROMCS_OBUF.Q | 9981 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | FbkInv+Merge+OptxMapped | nAoutOE_OBUF | MXSE_COPY_0_COPY_0 | 2155907072 | 13 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9402 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nAoutOE_OBUF$Q | 9499 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nAoutOE_OBUF.EXP | 10088 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.EXP | nAoutOE_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | nAoutOE_OBUF.SI | nAoutOE_OBUF | 0 | 13 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9402 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nAoutOE_OBUF.D1 | 9983 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nAoutOE_OBUF.D2 | 9984 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nAoutOE_OBUF.EXP | 10074 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 13 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_FALSE | ALE1 - -SRFF_INSTANCE | nAoutOE_OBUF.REG | nAoutOE_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nAoutOE_OBUF.D | 9982 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nAoutOE_OBUF.Q | 9985 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.REG | 0 | 8 | SRFF_Q - -OUTPUT_INSTANCE | 0 | nVMA_IOB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nVMA_IOB_OBUF$Q | 9374 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nVMA_IOB | 9500 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVMA_IOB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nDTACK_FSB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDTACK_FSB_OBUF | 9407 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDTACK_FSB | 9501 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_FSB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nAS_IOB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nAS_IOB_OBUF | 9469 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAS_IOB_OBUF.Q | nAS_IOB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nAS_IOB | 9502 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_IOB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nCAS | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nCAS_OBUF | 9470 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nCAS | 9503 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nCAS | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nDinLE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDinLE_OBUF | 9471 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDinLE | 9504 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDinLE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nDoutOE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDoutOE_OBUF | 9472 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDoutOE | 9505 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDoutOE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nLDS_IOB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nLDS_IOB_OBUF | 9473 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nLDS_IOB_OBUF.Q | nLDS_IOB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nLDS_IOB | 9506 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_IOB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nUDS_IOB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nUDS_IOB_OBUF | 9474 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nUDS_IOB_OBUF.Q | nUDS_IOB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nUDS_IOB | 9507 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_IOB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<0> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_0_OBUF | 9475 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<0> | 9508 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<0> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<1> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_1_OBUF | 9476 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<1> | 9509 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<1> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<2> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_2_OBUF | 9477 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<2> | 9510 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<2> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<3> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_3_OBUF | 9478 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.Q | RA_3_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<3> | 9511 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<3> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<4> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_4_OBUF | 9479 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<4> | 9512 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<4> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<5> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_5_OBUF | 9480 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<5> | 9513 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<5> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<6> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_6_OBUF | 9481 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<6> | 9514 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<6> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<7> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_7_OBUF | 9482 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<7> | 9515 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<7> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<8> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_8_OBUF | 9483 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<8> | 9516 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<8> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<9> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_9_OBUF | 9484 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<9> | 9517 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<9> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nBERR_FSB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nBERR_FSB_OBUF | 9485 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nBERR_FSB | 9518 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_FSB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nOE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nOE_OBUF | 9486 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nOE | 9519 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nOE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nROMWE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nROMWE_OBUF | 9487 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nROMWE | 9520 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nROMWE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nVPA_FSB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nVPA_FSB_OBUF | 9488 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nVPA_FSB | 9521 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_FSB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nADoutLE0 | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nADoutLE0_OBUF | 9489 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nADoutLE0 | 9522 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE0 | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nDinOE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDinOE_OBUF | 9490 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDinOE | 9523 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDinOE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nRAS | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nRAS_OBUF | 9491 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRAS | 9524 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRAS | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<11> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | A_FSB_19_IBUF$BUF0 | 9492 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.Q | A_FSB_19_IBUF$BUF0 | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<11> | 9525 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<11> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<10> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | A_FSB_21_IBUF$BUF0 | 9493 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.Q | A_FSB_21_IBUF$BUF0 | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<10> | 9526 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<10> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nADoutLE1 | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nADoutLE1_OBUF | 9494 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nADoutLE1 | 9527 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE1 | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nRAMLWE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nRAMLWE_OBUF | 9496 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRAMLWE | 9528 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRAMLWE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nRAMUWE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nRAMUWE_OBUF | 9497 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRAMUWE | 9529 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRAMUWE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nROMCS | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nROMCS_OBUF | 9498 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nROMCS | 9530 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nROMCS | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nAoutOE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nAoutOE_OBUF$Q | 9499 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nAoutOE | 9531 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAoutOE | 0 | 6 | OI_OUT - -MACROCELL_INSTANCE | SoftPfbk | $OpTx$$OpTx$FX_DC$355_INV$439 | MXSE_COPY_0_COPY_0 | 2181038080 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM | 9532 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$355_INV$439.Q | $OpTx$$OpTx$FX_DC$355_INV$439 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | $OpTx$$OpTx$FX_DC$355_INV$439.SI | $OpTx$$OpTx$FX_DC$355_INV$439 | 0 | 2 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | $OpTx$$OpTx$FX_DC$355_INV$439.D1 | 9987 | ? | 0 | 4096 | $OpTx$$OpTx$FX_DC$355_INV$439 | NULL | NULL | $OpTx$$OpTx$FX_DC$355_INV$439.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | $OpTx$$OpTx$FX_DC$355_INV$439.D2 | 9988 | ? | 0 | 4096 | $OpTx$$OpTx$FX_DC$355_INV$439 | NULL | NULL | $OpTx$$OpTx$FX_DC$355_INV$439.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf - -SRFF_INSTANCE | $OpTx$$OpTx$FX_DC$355_INV$439.REG | $OpTx$$OpTx$FX_DC$355_INV$439 | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | $OpTx$$OpTx$FX_DC$355_INV$439.D | 9986 | ? | 0 | 0 | $OpTx$$OpTx$FX_DC$355_INV$439 | NULL | NULL | $OpTx$$OpTx$FX_DC$355_INV$439.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | $OpTx$$OpTx$FX_DC$355_INV$439.Q | 9989 | ? | 0 | 0 | $OpTx$$OpTx$FX_DC$355_INV$439 | NULL | NULL | $OpTx$$OpTx$FX_DC$355_INV$439.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+SoftPfbk | $OpTx$FX_DC$360 | MXSE_COPY_0_COPY_0 | 2181038336 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | $OpTx$FX_DC$360.UIM | 9533 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$360.Q | $OpTx$FX_DC$360 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | $OpTx$FX_DC$360.SI | $OpTx$FX_DC$360 | 0 | 2 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | $OpTx$FX_DC$360.D1 | 9991 | ? | 0 | 4096 | $OpTx$FX_DC$360 | NULL | NULL | $OpTx$FX_DC$360.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 1 | IV_TRUE | A_FSB_22_IBUF -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | $OpTx$FX_DC$360.D2 | 9992 | ? | 0 | 4096 | $OpTx$FX_DC$360 | NULL | NULL | $OpTx$FX_DC$360.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | cs/nOverlay1 - -SRFF_INSTANCE | $OpTx$FX_DC$360.REG | $OpTx$FX_DC$360 | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | $OpTx$FX_DC$360.D | 9990 | ? | 0 | 0 | $OpTx$FX_DC$360 | NULL | NULL | $OpTx$FX_DC$360.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | $OpTx$FX_DC$360.Q | 9993 | ? | 0 | 0 | $OpTx$FX_DC$360 | NULL | NULL | $OpTx$FX_DC$360.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | NULL | EXP10_ | MXSE_COPY_0_COPY_0 | 2147483648 | 7 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9382 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9393 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9394 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP10_.EXP | 10009 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP10_.SI | EXP10_ | 0 | 7 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9382 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9393 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9394 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP10_.EXP | 9994 | ? | 0 | 0 | EXP10_ | NULL | NULL | EXP10_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r - -MACROCELL_INSTANCE | NULL | EXP11_ | MXSE_COPY_0_COPY_0 | 2147483648 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9401 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_FSB_OBUF.EXP | 10010 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP11_.EXP | 10011 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP11_.SI | EXP11_ | 0 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9401 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_FSB_OBUF.EXP | 10010 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP11_.EXP | 9996 | ? | 0 | 0 | EXP11_ | NULL | NULL | EXP11_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | nBERR_FSB_OBUF.EXP -SPPTERM | 2 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/IORW1 -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 2 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 - -MACROCELL_INSTANCE | NULL | EXP12_ | MXSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP12_.EXP | 10013 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP12_.SI | EXP12_ | 0 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP12_.EXP | 9998 | ? | 0 | 0 | EXP12_ | NULL | NULL | EXP12_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 - -MACROCELL_INSTANCE | NULL | EXP13_ | MXSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOB_OBUF.EXP | 10017 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.EXP | nVMA_IOB_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP13_.EXP | 10016 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP13_.SI | EXP13_ | 0 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOB_OBUF.EXP | 10017 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.EXP | nVMA_IOB_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP13_.EXP | 10001 | ? | 0 | 0 | EXP13_ | NULL | NULL | EXP13_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | nVMA_IOB_OBUF.EXP -SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 - -MACROCELL_INSTANCE | NULL | EXP14_ | MXSE_COPY_0_COPY_0 | 2147483648 | 19 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9383 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP15_.EXP | 10023 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP14_.EXP | 10022 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP14_.SI | EXP14_ | 0 | 19 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9383 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP15_.EXP | 10023 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP14_.EXP | 10007 | ? | 0 | 0 | EXP14_ | NULL | NULL | EXP14_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | EXP15_.EXP -SPPTERM | 15 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 15 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 15 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 15 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 16 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 - -MACROCELL_INSTANCE | NULL | EXP15_ | MXSE_COPY_0_COPY_0 | 2147483648 | 18 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9383 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP15_.EXP | 10023 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP15_.SI | EXP15_ | 0 | 18 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9383 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP15_.EXP | 10008 | ? | 0 | 0 | EXP15_ | NULL | NULL | EXP15_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 16 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 16 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 16 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 - -MACROCELL_INSTANCE | NULL | EXP16_ | MXSE_COPY_0_COPY_0 | 2147483648 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP16_.EXP | 10038 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP16_.SI | EXP16_ | 0 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP16_.EXP | 10024 | ? | 0 | 0 | EXP16_ | NULL | NULL | EXP16_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 7 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> - -MACROCELL_INSTANCE | NULL | EXP17_ | MXSE_COPY_0_COPY_0 | 2147483648 | 13 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9379 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP17_.EXP | 10039 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP17_.SI | EXP17_ | 0 | 13 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9379 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP17_.EXP | 10025 | ? | 0 | 0 | EXP17_ | NULL | NULL | EXP17_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 8 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> - -MACROCELL_INSTANCE | NULL | EXP18_ | MXSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9379 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP17_.EXP | 10039 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP18_.EXP | 10040 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP18_.SI | EXP18_ | 0 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9379 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP17_.EXP | 10039 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP18_.EXP | 10026 | ? | 0 | 0 | EXP18_ | NULL | NULL | EXP18_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | EXP17_.EXP -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr - -MACROCELL_INSTANCE | NULL | EXP19_ | MXSE_COPY_0_COPY_0 | 2147483648 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefAck | 9442 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2 | 9405 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS1 | 9460 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP19_.EXP | 10042 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP19_.SI | EXP19_ | 0 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefAck | 9442 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2 | 9405 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS1 | 9460 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP19_.EXP | 10028 | ? | 0 | 0 | EXP19_ | NULL | NULL | EXP19_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | RefAck -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMDIS1 -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMDIS1 - -MACROCELL_INSTANCE | NULL | EXP20_ | MXSE_COPY_0_COPY_0 | 2147483648 | 15 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9379 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2 | 9405 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP20_.EXP | 10043 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP20_.SI | EXP20_ | 0 | 15 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9379 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2 | 9405 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP20_.EXP | 10029 | ? | 0 | 0 | EXP20_ | NULL | NULL | EXP20_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf -SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf - -MACROCELL_INSTANCE | NULL | EXP21_ | MXSE_COPY_0_COPY_0 | 2147483648 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2.EXP | 10044 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.EXP | ram/RAMDIS2 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP21_.EXP | 10045 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP21_.SI | EXP21_ | 0 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2.EXP | 10044 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.EXP | ram/RAMDIS2 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP21_.EXP | 10031 | ? | 0 | 0 | EXP21_ | NULL | NULL | EXP21_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | ram/RAMDIS2.EXP -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF - -MACROCELL_INSTANCE | NULL | EXP22_ | MXSE_COPY_0_COPY_0 | 2147483648 | 10 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9379 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP22_.EXP | 10047 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP22_.SI | EXP22_ | 0 | 10 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9379 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP22_.EXP | 10033 | ? | 0 | 0 | EXP22_ | NULL | NULL | EXP22_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf - -MACROCELL_INSTANCE | NULL | EXP23_ | MXSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF$BUF0.EXP | 10049 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.EXP | A_FSB_19_IBUF$BUF0 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP23_.EXP | 10048 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP23_.SI | EXP23_ | 0 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF$BUF0.EXP | 10049 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.EXP | A_FSB_19_IBUF$BUF0 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP23_.EXP | 10034 | ? | 0 | 0 | EXP23_ | NULL | NULL | EXP23_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | A_FSB_19_IBUF$BUF0.EXP -SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 -SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 -SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr -SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf - -MACROCELL_INSTANCE | NULL | EXP24_ | MXSE_COPY_0_COPY_0 | 2147483648 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP24_.EXP | 10050 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP24_.SI | EXP24_ | 0 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP24_.EXP | 10036 | ? | 0 | 0 | EXP24_ | NULL | NULL | EXP24_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr - -MACROCELL_INSTANCE | NULL | EXP25_ | MXSE_COPY_0_COPY_0 | 2147483648 | 15 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9379 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP16_.EXP | 10038 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP25_.EXP | 10051 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP25_.SI | EXP25_ | 0 | 15 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9379 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP16_.EXP | 10038 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP25_.EXP | 10037 | ? | 0 | 0 | EXP25_ | NULL | NULL | EXP25_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | EXP16_.EXP -SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 6 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 6 | IV_FALSE | cnt/RefDone | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 7 | IV_FALSE | cnt/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf - -MACROCELL_INSTANCE | NULL | EXP26_ | MXSE_COPY_0_COPY_0 | 2147483648 | 20 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9395 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9398 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9461 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM | 9532 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$355_INV$439.Q | $OpTx$$OpTx$FX_DC$355_INV$439 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9402 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP27_.EXP | 10081 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP27_.EXP | EXP27_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP26_.EXP | 10080 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP26_.SI | EXP26_ | 0 | 20 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9395 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9398 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9461 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM | 9532 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$355_INV$439.Q | $OpTx$$OpTx$FX_DC$355_INV$439 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9402 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP27_.EXP | 10081 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP27_.EXP | EXP27_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP26_.EXP | 10066 | ? | 0 | 0 | EXP26_ | NULL | NULL | EXP26_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | EXP27_.EXP -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | fsb/VPA | IV_FALSE | ram/RAMReady | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM -SPPTERM | 13 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM -SPPTERM | 13 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM -SPPTERM | 15 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | ALE1 | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM -SPPTERM | 15 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | ALE1 | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM - -MACROCELL_INSTANCE | NULL | EXP27_ | MXSE_COPY_0_COPY_0 | 2147483648 | 28 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9355 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9357 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9360 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9361 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9362 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9390 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9397 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9398 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM | 9532 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$355_INV$439.Q | $OpTx$$OpTx$FX_DC$355_INV$439 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9356 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9382 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9391 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9393 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9394 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9402 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP27_.EXP | 10081 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP27_.EXP | EXP27_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP27_.SI | EXP27_ | 0 | 28 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9355 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9357 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9360 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9361 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9362 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9390 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9397 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9398 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM | 9532 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$355_INV$439.Q | $OpTx$$OpTx$FX_DC$355_INV$439 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9356 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9382 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9391 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9393 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9394 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9402 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP27_.EXP | 10067 | ? | 0 | 0 | EXP27_ | NULL | NULL | EXP27_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 21 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM -SPPTERM | 21 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM -SPPTERM | 21 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM -SPPTERM | 22 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | TimeoutB | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_TRUE | fsb/Ready1r | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM -SPPTERM | 22 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | TimeoutB | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_TRUE | iobs/IOReady | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM - -MACROCELL_INSTANCE | NULL | EXP28_ | MXSE_COPY_0_COPY_0 | 2147483648 | 24 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9402 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9356 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9357 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9360 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9361 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9362 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9390 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9397 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$360.UIM | 9533 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$360.Q | $OpTx$FX_DC$360 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP28_.EXP | 10082 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP28_.EXP | EXP28_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP28_.SI | EXP28_ | 0 | 24 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9402 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9356 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9357 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9360 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9361 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9362 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9390 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9397 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$360.UIM | 9533 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$360.Q | $OpTx$FX_DC$360 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP28_.EXP | 10068 | ? | 0 | 0 | EXP28_ | NULL | NULL | EXP28_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 14 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | ALE1 -SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | $OpTx$FX_DC$360.UIM - -MACROCELL_INSTANCE | NULL | EXP29_ | MXSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9391 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9402 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP28_.EXP | 10082 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP28_.EXP | EXP28_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP29_.EXP | 10083 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP29_.EXP | EXP29_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP29_.SI | EXP29_ | 0 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9391 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9402 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP28_.EXP | 10082 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP28_.EXP | EXP28_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP29_.EXP | 10069 | ? | 0 | 0 | EXP29_ | NULL | NULL | EXP29_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | EXP28_.EXP -SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | TimeoutB | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 3 | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | TimeoutB | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 3 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | TimeoutB | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM - -MACROCELL_INSTANCE | NULL | EXP30_ | MXSE_COPY_0_COPY_0 | 2147483648 | 19 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9395 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9461 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9402 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP31_.EXP | 10085 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP31_.EXP | EXP31_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP30_.EXP | 10084 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP30_.EXP | EXP30_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP30_.SI | EXP30_ | 0 | 19 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9395 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9461 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9402 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP31_.EXP | 10085 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP31_.EXP | EXP31_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP30_.EXP | 10070 | ? | 0 | 0 | EXP30_ | NULL | NULL | EXP30_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | EXP31_.EXP -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | ram/RAMReady -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | ram/RAMReady -SPPTERM | 12 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 12 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 14 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | ALE1 - -MACROCELL_INSTANCE | NULL | EXP31_ | MXSE_COPY_0_COPY_0 | 2147483648 | 27 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9355 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9357 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9360 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9361 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9362 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9390 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9397 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$360.UIM | 9533 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$360.Q | $OpTx$FX_DC$360 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9356 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9382 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9391 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9393 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9394 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9402 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP31_.EXP | 10085 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP31_.EXP | EXP31_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP31_.SI | EXP31_ | 0 | 27 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9355 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9357 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9360 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9361 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9362 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9390 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9397 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$360.UIM | 9533 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$360.Q | $OpTx$FX_DC$360 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9356 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9382 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9391 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9393 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9394 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9402 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP31_.EXP | 10071 | ? | 0 | 0 | EXP31_ | NULL | NULL | EXP31_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | $OpTx$FX_DC$360.UIM -SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | $OpTx$FX_DC$360.UIM -SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | $OpTx$FX_DC$360.UIM -SPPTERM | 21 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | TimeoutB | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_TRUE | fsb/Ready1r -SPPTERM | 21 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | TimeoutB | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_TRUE | iobs/IOReady - -MACROCELL_INSTANCE | NULL | EXP32_ | MXSE_COPY_0_COPY_0 | 2147483648 | 16 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9402 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAoutOE_OBUF.EXP | 10088 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.EXP | nAoutOE_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP32_.EXP | 10087 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP32_.EXP | EXP32_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP32_.SI | EXP32_ | 0 | 16 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9402 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAoutOE_OBUF.EXP | 10088 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.EXP | nAoutOE_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP32_.EXP | 10073 | ? | 0 | 0 | EXP32_ | NULL | NULL | EXP32_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | nAoutOE_OBUF.EXP -SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady -SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady -SPPTERM | 11 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady -SPPTERM | 11 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady -SPPTERM | 13 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_FALSE | ALE1 - -MACROCELL_INSTANCE | NULL | EXP33_ | MXSE_COPY_0_COPY_0 | 2147483648 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP33_.EXP | 10089 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP33_.EXP | EXP33_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP33_.SI | EXP33_ | 0 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP33_.EXP | 10075 | ? | 0 | 0 | EXP33_ | NULL | NULL | EXP33_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd1 -SPPTERM | 3 | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | ALE1 -SPPTERM | 3 | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd1 | IV_FALSE | ALE1 -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/Once -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once - -MACROCELL_INSTANCE | NULL | EXP34_ | MXSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP35_.EXP | 10091 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP35_.EXP | EXP35_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP34_.EXP | 10090 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP34_.EXP | EXP34_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP34_.SI | EXP34_ | 0 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP35_.EXP | 10091 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP35_.EXP | EXP35_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP34_.EXP | 10076 | ? | 0 | 0 | EXP34_ | NULL | NULL | EXP34_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | EXP35_.EXP -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/Once -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/Once -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/Once -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/Once -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_TRUE | nWE_FSB_IBUF - -MACROCELL_INSTANCE | NULL | EXP35_ | MXSE_COPY_0_COPY_0 | 2147483648 | 7 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP35_.EXP | 10091 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP35_.EXP | EXP35_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP35_.SI | EXP35_ | 0 | 7 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP35_.EXP | 10077 | ? | 0 | 0 | EXP35_ | NULL | NULL | EXP35_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Once -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once - -MACROCELL_INSTANCE | NULL | EXP36_ | MXSE_COPY_0_COPY_0 | 2147483648 | 22 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9355 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9357 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9360 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9361 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9362 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9390 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9397 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9398 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM | 9532 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$355_INV$439.Q | $OpTx$$OpTx$FX_DC$355_INV$439 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9356 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP36_.EXP | 10092 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP36_.EXP | EXP36_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP36_.SI | EXP36_ | 0 | 22 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9355 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9357 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9360 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9361 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9362 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9390 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9397 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9398 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM | 9532 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$355_INV$439.Q | $OpTx$$OpTx$FX_DC$355_INV$439 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9356 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP36_.EXP | 10078 | ? | 0 | 0 | EXP36_ | NULL | NULL | EXP36_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 21 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM -SPPTERM | 21 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM -SPPTERM | 21 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM -SPPTERM | 21 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM -SPPTERM | 21 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM - -MACROCELL_INSTANCE | NULL | EXP37_ | MXSE_COPY_0_COPY_0 | 2147483648 | 13 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9391 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9398 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM | 9532 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$355_INV$439.Q | $OpTx$$OpTx$FX_DC$355_INV$439 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9402 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9395 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9461 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP36_.EXP | 10092 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP36_.EXP | EXP36_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP37_.EXP | 10093 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP37_.EXP | EXP37_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP37_.SI | EXP37_ | 0 | 13 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9391 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9398 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM | 9532 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$355_INV$439.Q | $OpTx$$OpTx$FX_DC$355_INV$439 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9402 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9395 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9461 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP36_.EXP | 10092 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP36_.EXP | EXP36_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP37_.EXP | 10079 | ? | 0 | 0 | EXP37_ | NULL | NULL | EXP37_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | EXP36_.EXP -SPPTERM | 4 | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | TimeoutB | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM -SPPTERM | 4 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | TimeoutB | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM -SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | fsb/VPA | IV_FALSE | ram/RAMReady | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM - -FB_INSTANCE | FOOBAR1_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | EXP10_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | nBERR_FSB_OBUF | 1 | NULL | 0 | nBERR_FSB | 1 | 11 | 49152 -FBPIN | 3 | EXP11_ | 1 | A_FSB_13_IBUF | 1 | NULL | 0 | 12 | 49152 -FBPIN | 4 | iobs/IORW1 | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | nDinOE_OBUF | 1 | NULL | 0 | nDinOE | 1 | 13 | 49152 -FBPIN | 6 | EXP12_ | 1 | A_FSB_20_IBUF | 1 | NULL | 0 | 14 | 49152 -FBPIN | 7 | IOREQ | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | nROMCS_OBUF | 1 | NULL | 0 | nROMCS | 1 | 15 | 49152 -FBPIN | 9 | iobs/PS_FSM_FFd2 | 1 | A_FSB_5_IBUF | 1 | NULL | 0 | 16 | 49152 -FBPIN | 10 | EXP13_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | nVMA_IOB_OBUF | 1 | NULL | 0 | nVMA_IOB | 1 | 17 | 49152 -FBPIN | 12 | BERR_IOBS | 1 | nUDS_FSB_IBUF | 1 | NULL | 0 | 18 | 49152 -FBPIN | 13 | fsb/BERR0r | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | A_FSB_21_IBUF$BUF0 | 1 | NULL | 0 | RA<10> | 1 | 19 | 49152 -FBPIN | 15 | cs/nOverlay0 | 1 | nDTACK_IOB_IBUF | 1 | NULL | 0 | 20 | 49152 -FBPIN | 16 | IORW0 | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | EXP14_ | 1 | CLK2X_IOB_IBUF | 1 | NULL | 0 | 22 | 57344 -FBPIN | 18 | EXP15_ | 1 | NULL | 0 | NULL | 0 - -FB_INSTANCE | FOOBAR2_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | iobm/RESrr | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | iobm/RESrf | 1 | nRES_IBUF | 1 | NULL | 0 | 99 | 51200 -FBPIN | 3 | iobm/IOREQr | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | iobm/Er2 | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | iobm/ETACK | 1 | NULL | 0 | NULL | 0 | 1 | 53248 -FBPIN | 6 | iobm/DTACKrr | 1 | NULL | 0 | NULL | 0 | 2 | 53248 -FBPIN | 7 | iobm/DTACKrf | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | iobm/BERRrr | 1 | NULL | 0 | NULL | 0 | 3 | 53248 -FBPIN | 9 | iobm/BERRrf | 1 | NULL | 0 | NULL | 0 | 4 | 53248 -FBPIN | 10 | iobm/ES<3> | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | nLDS_IOB_OBUF | 1 | NULL | 0 | nLDS_IOB | 1 | 6 | 49152 -FBPIN | 12 | nUDS_IOB_OBUF | 1 | NULL | 0 | nUDS_IOB | 1 | 7 | 49152 -FBPIN | 13 | iobm/ES<1> | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | nAS_IOB_OBUF | 1 | NULL | 0 | nAS_IOB | 1 | 8 | 49152 -FBPIN | 15 | iobm/ES<0> | 1 | nLDS_FSB_IBUF | 1 | NULL | 0 | 9 | 49152 -FBPIN | 16 | iobm/ES<4> | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | nDoutOE_OBUF | 1 | NULL | 0 | nDoutOE | 1 | 10 | 49152 -FBPIN | 18 | iobm/ES<2> | 1 | NULL | 0 | NULL | 0 - -FB_INSTANCE | FOOBAR3_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | EXP16_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | EXP17_ | 1 | CLK_FSB_IBUF | 1 | NULL | 0 | 23 | 57344 -FBPIN | 3 | EXP18_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | ram/RASEL | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | nRAS_OBUF | 1 | NULL | 0 | nRAS | 1 | 24 | 49152 -FBPIN | 6 | EXP19_ | 1 | nVPA_IOB_IBUF | 1 | NULL | 0 | 25 | 49152 -FBPIN | 7 | EXP20_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | ram/RAMDIS2 | 1 | CLK_IOB_IBUF | 1 | NULL | 0 | 27 | 57344 -FBPIN | 9 | EXP21_ | 1 | NULL | 0 | NULL | 0 | 28 | 49152 -FBPIN | 10 | iobs/Load1 | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | RA_0_OBUF | 1 | NULL | 0 | RA<0> | 1 | 29 | 49152 -FBPIN | 12 | EXP22_ | 1 | NULL | 0 | NULL | 0 | 30 | 49152 -FBPIN | 13 | ram/RAMReady | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | EXP23_ | 1 | NULL | 0 | NULL | 0 | 32 | 49152 -FBPIN | 15 | A_FSB_19_IBUF$BUF0 | 1 | NULL | 0 | RA<11> | 1 | 33 | 49152 -FBPIN | 16 | EXP24_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | ram/RAMDIS1 | 1 | NULL | 0 | NULL | 0 | 34 | 49152 -FBPIN | 18 | EXP25_ | 1 | NULL | 0 | NULL | 0 - -FB_INSTANCE | FOOBAR4_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | ram/BACTr | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | RA_1_OBUF | 1 | NULL | 0 | RA<1> | 1 | 87 | 49152 -FBPIN | 3 | cnt/RefCnt<7> | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | cnt/RefCnt<6> | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | cnt/RefCnt<5> | 1 | A_FSB_10_IBUF | 1 | NULL | 0 | 89 | 49152 -FBPIN | 6 | nOE_OBUF | 1 | NULL | 0 | nOE | 1 | 90 | 49152 -FBPIN | 7 | cnt/RefCnt<4> | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | cnt/RefCnt<3> | 1 | A_FSB_16_IBUF | 1 | NULL | 0 | 91 | 49152 -FBPIN | 9 | nRAMLWE_OBUF | 1 | NULL | 0 | nRAMLWE | 1 | 92 | 49152 -FBPIN | 10 | cnt/RefCnt<2> | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | fsb/BERR1r | 1 | A_FSB_18_IBUF | 1 | NULL | 0 | 93 | 49152 -FBPIN | 12 | nRAMUWE_OBUF | 1 | NULL | 0 | nRAMUWE | 1 | 94 | 49152 -FBPIN | 13 | cnt/RefDone | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | cnt/TimeoutBPre | 1 | A_FSB_22_IBUF | 1 | NULL | 0 | 95 | 49152 -FBPIN | 15 | nROMWE_OBUF | 1 | NULL | 0 | nROMWE | 1 | 96 | 49152 -FBPIN | 16 | TimeoutB | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | TimeoutA | 1 | A_FSB_6_IBUF | 1 | NULL | 0 | 97 | 49152 -FBPIN | 18 | IOU0 | 1 | NULL | 0 | NULL | 0 - -FB_INSTANCE | FOOBAR5_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | iobs/IOACTr | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | RA_2_OBUF | 1 | NULL | 0 | RA<2> | 1 | 35 | 49152 -FBPIN | 3 | fsb/ASrf | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | cnt/RefCnt<1> | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | cnt/RefCnt<0> | 1 | NULL | 0 | NULL | 0 | 36 | 49152 -FBPIN | 6 | RA_3_OBUF | 1 | NULL | 0 | RA<3> | 1 | 37 | 49152 -FBPIN | 7 | $OpTx$$OpTx$FX_DC$355_INV$439 | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | iobs/IOU1 | 1 | NULL | 0 | NULL | 0 | 39 | 49152 -FBPIN | 9 | RA_4_OBUF | 1 | NULL | 0 | RA<4> | 1 | 40 | 49152 -FBPIN | 10 | iobm/IOS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | iobm/IOS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 | 41 | 49152 -FBPIN | 12 | RA_9_OBUF | 1 | NULL | 0 | RA<9> | 1 | 42 | 49152 -FBPIN | 13 | ALE0M | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | iobm/IOS_FSM_FFd4 | 1 | NULL | 0 | NULL | 0 | 43 | 49152 -FBPIN | 15 | nCAS_OBUF | 1 | NULL | 0 | nCAS | 1 | 46 | 49152 -FBPIN | 16 | iobm/IOS_FSM_FFd3 | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | IOACT | 1 | NULL | 0 | NULL | 0 | 49 | 49152 -FBPIN | 18 | IOBERR | 1 | NULL | 0 | NULL | 0 - -FB_INSTANCE | FOOBAR6_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | ram/Once | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | RA_5_OBUF | 1 | NULL | 0 | RA<5> | 1 | 74 | 49152 -FBPIN | 3 | ALE0S | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | ram/RS_FSM_FFd3 | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | iobs/PS_FSM_FFd1 | 1 | A_FSB_7_IBUF | 1 | NULL | 0 | 76 | 49152 -FBPIN | 6 | RA_6_OBUF | 1 | NULL | 0 | RA<6> | 1 | 77 | 49152 -FBPIN | 7 | iobs/IOL1 | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | cs/nOverlay1 | 1 | A_FSB_23_IBUF | 1 | NULL | 0 | 78 | 49152 -FBPIN | 9 | RA_7_OBUF | 1 | NULL | 0 | RA<7> | 1 | 79 | 49152 -FBPIN | 10 | fsb/Ready0r | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | IOL0 | 1 | A_FSB_21_IBUF | 1 | NULL | 0 | 80 | 49152 -FBPIN | 12 | RA_8_OBUF | 1 | NULL | 0 | RA<8> | 1 | 81 | 49152 -FBPIN | 13 | iobs/IOReady | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | ram/RS_FSM_FFd1 | 1 | A_FSB_17_IBUF | 1 | NULL | 0 | 82 | 49152 -FBPIN | 15 | nADoutLE1_OBUF | 1 | NULL | 0 | nADoutLE1 | 1 | 85 | 49152 -FBPIN | 16 | iobs/Clear1 | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | ram/RS_FSM_FFd2 | 1 | A_FSB_15_IBUF | 1 | NULL | 0 | 86 | 49152 -FBPIN | 18 | RefAck | 1 | NULL | 0 | NULL | 0 - -FB_INSTANCE | FOOBAR7_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 2 | nDinLE_OBUF | 1 | NULL | 0 | nDinLE | 1 | 50 | 49152 -FBPIN | 6 | NULL | 0 | E_IOB_IBUF | 1 | NULL | 0 | 53 | 49152 -FBPIN | 8 | nADoutLE0_OBUF | 1 | NULL | 0 | nADoutLE0 | 1 | 54 | 49152 -FBPIN | 9 | NULL | 0 | A_FSB_2_IBUF | 1 | NULL | 0 | 55 | 49152 -FBPIN | 11 | NULL | 0 | nBERR_IOB_IBUF | 1 | NULL | 0 | 56 | 49152 -FBPIN | 12 | nVPA_FSB_OBUF | 1 | NULL | 0 | nVPA_FSB | 1 | 58 | 49152 -FBPIN | 14 | NULL | 0 | A_FSB_4_IBUF | 1 | NULL | 0 | 59 | 49152 -FBPIN | 15 | iobm/VPArr | 1 | nWE_FSB_IBUF | 1 | NULL | 0 | 60 | 49152 -FBPIN | 16 | iobm/VPArf | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | iobm/Er | 1 | A_FSB_19_IBUF | 1 | NULL | 0 | 61 | 49152 -FBPIN | 18 | $OpTx$FX_DC$360 | 1 | NULL | 0 | NULL | 0 - -FB_INSTANCE | FOOBAR8_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | EXP26_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | EXP27_ | 1 | A_FSB_14_IBUF | 1 | NULL | 0 | 63 | 49152 -FBPIN | 3 | EXP28_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | EXP29_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | nDTACK_FSB_OBUF | 1 | NULL | 0 | nDTACK_FSB | 1 | 64 | 49152 -FBPIN | 6 | EXP30_ | 1 | A_FSB_12_IBUF | 1 | NULL | 0 | 65 | 49152 -FBPIN | 7 | EXP31_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | fsb/Ready2r | 1 | A_FSB_11_IBUF | 1 | NULL | 0 | 66 | 49152 -FBPIN | 9 | fsb/Ready1r | 1 | A_FSB_3_IBUF | 1 | NULL | 0 | 67 | 49152 -FBPIN | 10 | EXP32_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | nAoutOE_OBUF | 1 | NULL | 0 | nAoutOE | 1 | 68 | 49152 -FBPIN | 12 | EXP33_ | 1 | nAS_FSB_IBUF | 1 | NULL | 0 | 70 | 49152 -FBPIN | 13 | iobs/Once | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | EXP34_ | 1 | A_FSB_9_IBUF | 1 | NULL | 0 | 71 | 49152 -FBPIN | 15 | EXP35_ | 1 | A_FSB_1_IBUF | 1 | NULL | 0 | 72 | 49152 -FBPIN | 16 | EXP36_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | EXP37_ | 1 | A_FSB_8_IBUF | 1 | NULL | 0 | 73 | 49152 -FBPIN | 18 | fsb/VPA | 1 | NULL | 0 | NULL | 0 - -FB_INSTANCE | INPUTPINS_FOOBAR9_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 - -BUSINFO | A_FSB<23:1> | 23 | 0 | 0 | A_FSB<10> | 13 | A_FSB<11> | 12 | A_FSB<12> | 11 | A_FSB<13> | 10 | A_FSB<14> | 9 | A_FSB<15> | 8 | A_FSB<16> | 7 | A_FSB<17> | 6 | A_FSB<18> | 5 | A_FSB<19> | 4 | A_FSB<1> | 22 | A_FSB<20> | 3 | A_FSB<21> | 2 | A_FSB<22> | 1 | A_FSB<23> | 0 | A_FSB<2> | 21 | A_FSB<3> | 20 | A_FSB<4> | 19 | A_FSB<5> | 18 | A_FSB<6> | 17 | A_FSB<7> | 16 | A_FSB<8> | 15 | A_FSB<9> | 14 -BUSINFO | RA<11:0> | 12 | 0 | 1 | RA<0> | 11 | RA<10> | 1 | RA<11> | 0 | RA<1> | 10 | RA<2> | 9 | RA<3> | 8 | RA<4> | 7 | RA<5> | 6 | RA<6> | 5 | RA<7> | 4 | RA<8> | 3 | RA<9> | 2 - -FB_ORDER_OF_INPUTS | FOOBAR1_ | 0 | iobs/IOACTr | NULL | 1 | nAS_FSB | 70 | 2 | A_FSB<14> | 63 | 3 | iobs/IORW1 | NULL | 4 | A_FSB<16> | 91 -FB_ORDER_OF_INPUTS | FOOBAR1_ | 6 | nWE_FSB | 60 | 7 | cs/nOverlay1 | NULL | 8 | iobs/PS_FSM_FFd2 | NULL | 9 | iobm/ES<3> | NULL | 10 | nVMA_IOB_OBUF | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 12 | iobm/ES<1> | NULL | 14 | iobm/ES<0> | NULL | 15 | IORW0 | NULL | 16 | IOACT | NULL | 17 | iobm/ES<2> | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 19 | A_FSB<22> | 95 | 21 | A_FSB<17> | 82 | 25 | iobm/VPArr | NULL | 27 | A_FSB<23> | 78 | 28 | iobs/PS_FSM_FFd1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 30 | A_FSB<18> | 93 | 31 | iobm/ES<4> | NULL | 32 | iobs/Once | NULL | 33 | iobm/VPArf | NULL | 35 | A_FSB<13> | 12 -FB_ORDER_OF_INPUTS | FOOBAR1_ | 39 | fsb/ASrf | NULL | 41 | BERR_IOBS | NULL | 42 | A_FSB<21> | 80 | 44 | fsb/BERR1r | NULL | 46 | IOBERR | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 47 | A_FSB<19> | 61 | 48 | ALE1 | NULL | 49 | cs/nOverlay0 | NULL | 50 | TimeoutB | NULL | 52 | fsb/BERR0r | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 53 | A_FSB<20> | 14 - -FB_IMUX_INDEX | FOOBAR1_ | 72 | 193 | 211 | 3 | 148 | -1 | 215 | 97 | 8 | 27 | 10 | -1 | 30 | -1 | 32 | 15 | 88 | 35 | -1 | 164 | -1 | 151 | -1 | -1 | -1 | 122 | -1 | 167 | 94 | -1 | 152 | 33 | 138 | 123 | -1 | 210 | -1 | -1 | -1 | 74 | -1 | 11 | 155 | -1 | 64 | -1 | 89 | 213 | 104 | 14 | 69 | -1 | 12 | 214 - - -FB_ORDER_OF_INPUTS | FOOBAR2_ | 0 | nRES | 99 | 3 | iobm/Er2 | NULL | 4 | nDTACK_IOB | 20 | 6 | IOREQ | NULL | 10 | IOL0 | NULL -FB_ORDER_OF_INPUTS | FOOBAR2_ | 15 | iobm/ES<4> | NULL | 16 | iobm/Er | NULL | 17 | IOU0 | NULL | 30 | iobm/IOS_FSM_FFd2 | NULL | 32 | iobm/IOS_FSM_FFd1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR2_ | 37 | iobm/ES<0> | NULL | 38 | iobm/ES<3> | NULL | 39 | nVMA_IOB_OBUF | NULL | 40 | iobm/ES<2> | NULL | 43 | iobm/IOS_FSM_FFd3 | NULL -FB_ORDER_OF_INPUTS | FOOBAR2_ | 47 | iobm/ES<1> | NULL | 50 | IORW0 | NULL | 52 | nBERR_IOB | 56 | 53 | iobm/IOS_FSM_FFd4 | NULL - -FB_IMUX_INDEX | FOOBAR2_ | 176 | -1 | -1 | 21 | 230 | -1 | 6 | -1 | -1 | -1 | 100 | -1 | -1 | -1 | -1 | 33 | 124 | 71 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 81 | -1 | 82 | -1 | -1 | -1 | -1 | 32 | 27 | 10 | 35 | -1 | -1 | 87 | -1 | -1 | -1 | 30 | -1 | -1 | 15 | -1 | 223 | 85 - - -FB_ORDER_OF_INPUTS | FOOBAR3_ | 0 | ram/Once | NULL | 1 | A_FSB<13> | 12 | 2 | cnt/RefCnt<7> | NULL | 3 | cnt/RefCnt<6> | NULL | 4 | iobs/PS_FSM_FFd1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 5 | A_FSB<20> | 14 | 6 | nWE_FSB | 60 | 7 | ram/RAMDIS2 | NULL | 8 | A_FSB<18> | 93 | 9 | A_FSB<1> | 72 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 12 | cnt/RefDone | NULL | 14 | ALE1 | NULL | 16 | ram/RAMDIS1 | NULL | 17 | RefAck | NULL | 18 | A_FSB<21> | 80 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 19 | A_FSB<22> | 95 | 20 | ram/RS_FSM_FFd3 | NULL | 21 | A_FSB<17> | 82 | 27 | A_FSB<23> | 78 | 30 | nAS_FSB | 70 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 32 | iobs/Once | NULL | 33 | iobs/PS_FSM_FFd2 | NULL | 35 | ram/RS_FSM_FFd2 | NULL | 37 | A_FSB<14> | 63 | 38 | ram/RASEL | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 39 | fsb/ASrf | NULL | 40 | cnt/RefCnt<5> | NULL | 42 | cs/nOverlay1 | NULL | 47 | A_FSB<19> | 61 | 48 | A_FSB<10> | 89 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 49 | ram/RS_FSM_FFd1 | NULL | 51 | A_FSB<16> | 91 | 52 | ram/BACTr | NULL - -FB_IMUX_INDEX | FOOBAR3_ | 90 | 210 | 56 | 57 | 94 | 214 | 215 | 43 | 152 | 185 | -1 | -1 | 66 | -1 | 104 | -1 | 52 | 107 | 155 | 164 | 93 | 151 | -1 | -1 | -1 | -1 | -1 | 167 | -1 | -1 | 193 | -1 | 138 | 8 | -1 | 106 | -1 | 211 | 39 | 74 | 58 | -1 | 97 | -1 | -1 | -1 | -1 | 213 | 144 | 103 | -1 | 148 | 54 | -1 - - -FB_ORDER_OF_INPUTS | FOOBAR4_ | 0 | nUDS_FSB | 18 | 1 | nAS_FSB | 70 | 2 | fsb/ASrf | NULL | 3 | cnt/RefCnt<1> | NULL | 4 | cnt/RefCnt<5> | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 6 | cnt/RefCnt<4> | NULL | 7 | cnt/RefCnt<3> | NULL | 8 | iobs/PS_FSM_FFd2 | NULL | 9 | cnt/RefCnt<2> | NULL | 10 | fsb/BERR1r | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 11 | BERR_IOBS | NULL | 12 | cnt/RefDone | NULL | 13 | cnt/TimeoutBPre | NULL | 14 | ALE1 | NULL | 15 | TimeoutB | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 17 | RefAck | NULL | 28 | iobs/PS_FSM_FFd1 | NULL | 29 | cnt/RefCnt<0> | NULL | 33 | cnt/RefCnt<7> | NULL | 35 | A_FSB<11> | 66 -FB_ORDER_OF_INPUTS | FOOBAR4_ | 37 | TimeoutA | NULL | 38 | ram/RASEL | NULL | 39 | nWE_FSB | 60 | 41 | A_FSB<2> | 55 | 42 | cnt/RefCnt<6> | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 48 | iobs/IOU1 | NULL | 49 | ram/RAMDIS2 | NULL | 52 | nLDS_FSB | 9 | 53 | ram/RAMDIS1 | NULL - -FB_IMUX_INDEX | FOOBAR4_ | 226 | 193 | 74 | 75 | 58 | -1 | 60 | 61 | 8 | 63 | 64 | 11 | 66 | 67 | 104 | 69 | -1 | 107 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 94 | 76 | -1 | -1 | -1 | 56 | -1 | 205 | -1 | 70 | 39 | 215 | -1 | 227 | 57 | -1 | -1 | -1 | -1 | -1 | 79 | 43 | -1 | -1 | 202 | 52 - - -FB_ORDER_OF_INPUTS | FOOBAR5_ | 0 | iobm/RESrr | NULL | 1 | iobm/RESrf | NULL | 2 | iobm/IOREQr | NULL | 3 | ram/RASEL | NULL | 4 | A_FSB<19> | 61 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 5 | CLK_IOB | 27 | 6 | iobm/DTACKrf | NULL | 7 | iobm/BERRrr | NULL | 8 | iobm/BERRrf | NULL | 9 | iobm/IOS_FSM_FFd2 | NULL -FB_ORDER_OF_INPUTS | FOOBAR5_ | 10 | iobm/IOS_FSM_FFd1 | NULL | 13 | iobm/IOS_FSM_FFd4 | NULL | 14 | nBERR_IOB | 56 | 15 | iobm/IOS_FSM_FFd3 | NULL | 17 | IOBERR | NULL -FB_ORDER_OF_INPUTS | FOOBAR5_ | 19 | nUDS_FSB | 18 | 24 | iobm/ETACK | NULL | 25 | A_FSB<12> | 65 | 29 | cnt/RefCnt<0> | NULL | 30 | nAS_FSB | 70 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 33 | A_FSB<3> | 67 | 35 | A_FSB<13> | 12 | 37 | A_FSB<14> | 63 | 39 | fsb/ASrf | NULL | 41 | A_FSB<4> | 59 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 47 | iobs/Load1 | NULL | 48 | iobm/DTACKrr | NULL | 49 | IOACT | NULL | 50 | A_FSB<5> | 16 | 53 | A_FSB<20> | 14 - -FB_IMUX_INDEX | FOOBAR5_ | 18 | 19 | 20 | 39 | 213 | 248 | 24 | 25 | 26 | 81 | 82 | -1 | -1 | 85 | 223 | 87 | -1 | 89 | -1 | 226 | -1 | -1 | -1 | -1 | 22 | 207 | -1 | -1 | -1 | 76 | 193 | -1 | -1 | 201 | -1 | 210 | -1 | 211 | -1 | 74 | -1 | 217 | -1 | -1 | -1 | -1 | -1 | 45 | 23 | 88 | 218 | -1 | -1 | 214 - - -FB_ORDER_OF_INPUTS | FOOBAR6_ | 0 | ram/BACTr | NULL | 1 | nAS_FSB | 70 | 2 | cnt/RefCnt<7> | NULL | 3 | ram/RASEL | NULL | 4 | cnt/RefCnt<5> | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 6 | iobs/IOL1 | NULL | 7 | cs/nOverlay1 | NULL | 8 | iobs/PS_FSM_FFd2 | NULL | 9 | iobs/Load1 | NULL | 10 | nLDS_FSB | 9 -FB_ORDER_OF_INPUTS | FOOBAR6_ | 11 | A_FSB<21> | 80 | 12 | ram/RAMReady | NULL | 13 | ram/RS_FSM_FFd1 | NULL | 14 | cs/nOverlay0 | NULL | 15 | iobs/Clear1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 16 | ram/RS_FSM_FFd2 | NULL | 17 | IOBERR | NULL | 19 | A_FSB<22> | 95 | 23 | A_FSB<7> | 76 | 24 | ram/Once | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 25 | A_FSB<15> | 86 | 27 | A_FSB<23> | 78 | 28 | iobs/PS_FSM_FFd1 | NULL | 30 | A_FSB<18> | 93 | 32 | iobs/Once | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 33 | ram/RS_FSM_FFd3 | NULL | 36 | A_FSB<6> | 97 | 39 | fsb/ASrf | NULL | 40 | fsb/Ready0r | NULL | 41 | A_FSB<8> | 73 -FB_ORDER_OF_INPUTS | FOOBAR6_ | 42 | cnt/RefCnt<6> | NULL | 43 | iobs/IOReady | NULL | 46 | A_FSB<17> | 82 | 47 | iobs/IOACTr | NULL | 48 | ALE1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 49 | cnt/RefDone | NULL | 50 | A_FSB<9> | 71 | 51 | A_FSB<16> | 91 - -FB_IMUX_INDEX | FOOBAR6_ | 54 | 193 | 56 | 39 | 58 | -1 | 96 | 97 | 8 | 45 | 202 | 155 | 48 | 103 | 14 | 105 | 106 | 89 | -1 | 164 | -1 | -1 | -1 | 175 | 90 | 147 | -1 | 167 | 94 | -1 | 152 | -1 | 138 | 93 | -1 | -1 | 172 | -1 | -1 | 74 | 99 | 183 | 57 | 102 | -1 | -1 | 151 | 72 | 104 | 66 | 189 | 148 | -1 | -1 - - -FB_ORDER_OF_INPUTS | FOOBAR7_ | 2 | ALE0S | NULL | 4 | A_FSB<22> | 95 | 7 | cs/nOverlay1 | NULL | 9 | E_IOB | 53 | 10 | iobm/IOS_FSM_FFd1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 12 | ALE0M | NULL | 13 | iobm/IOS_FSM_FFd4 | NULL | 15 | iobm/IOS_FSM_FFd3 | NULL | 16 | nVPA_IOB | 25 | 17 | fsb/VPA | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 30 | nAS_FSB | 70 - -FB_IMUX_INDEX | FOOBAR7_ | -1 | -1 | 92 | -1 | 164 | -1 | -1 | 97 | -1 | 235 | 82 | -1 | 84 | 85 | -1 | 87 | 242 | 143 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 193 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 - - -FB_ORDER_OF_INPUTS | FOOBAR8_ | 0 | A_FSB<10> | 89 | 1 | nAS_FSB | 70 | 2 | fsb/ASrf | NULL | 3 | A_FSB<15> | 86 | 4 | iobs/PS_FSM_FFd1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 5 | A_FSB<20> | 14 | 6 | $OpTx$$OpTx$FX_DC$355_INV$439.UIM | NULL | 7 | fsb/Ready2r | NULL | 8 | fsb/Ready1r | NULL | 9 | fsb/Ready0r | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 10 | fsb/BERR1r | NULL | 11 | BERR_IOBS | NULL | 12 | fsb/BERR0r | NULL | 13 | A_FSB<9> | 71 | 14 | ALE1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 15 | TimeoutB | NULL | 16 | TimeoutA | NULL | 17 | $OpTx$FX_DC$360.UIM | NULL | 18 | A_FSB<21> | 80 | 19 | A_FSB<22> | 95 -FB_ORDER_OF_INPUTS | FOOBAR8_ | 24 | ram/RAMReady | NULL | 25 | A_FSB<12> | 65 | 27 | A_FSB<23> | 78 | 30 | A_FSB<18> | 93 | 32 | iobs/Once | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 33 | iobs/PS_FSM_FFd2 | NULL | 37 | A_FSB<14> | 63 | 38 | nDTACK_FSB_OBUF.UIM | NULL | 39 | nWE_FSB | 60 | 41 | A_FSB<8> | 73 -FB_ORDER_OF_INPUTS | FOOBAR8_ | 42 | cs/nOverlay1 | NULL | 43 | iobs/IOReady | NULL | 44 | A_FSB<13> | 12 | 46 | A_FSB<17> | 82 | 47 | A_FSB<19> | 61 -FB_ORDER_OF_INPUTS | FOOBAR8_ | 48 | A_FSB<11> | 66 | 49 | fsb/VPA | NULL | 51 | A_FSB<16> | 91 - -FB_IMUX_INDEX | FOOBAR8_ | 144 | 193 | 74 | 147 | 94 | 214 | 78 | 133 | 134 | 99 | 64 | 11 | 12 | 189 | 104 | 69 | 70 | 125 | 155 | 164 | -1 | -1 | -1 | -1 | 48 | 207 | -1 | 167 | -1 | -1 | 152 | -1 | 138 | 8 | -1 | -1 | -1 | 211 | 130 | 215 | -1 | 183 | 97 | 102 | 210 | -1 | 151 | 213 | 205 | 143 | -1 | 148 | -1 | -1 - - -GLOBAL_FCLK | CLK2X_IOB | 0 | 0 | CLK_FSB | 1 | 1 | CLK_IOB | 2 | 2 - -GLOBAL_FSR | nRES | 0 | 0 diff --git a/cpld/XC95144/MXSE_html/fit/eqns.htm b/cpld/XC95144/MXSE_html/fit/eqns.htm deleted file mode 100644 index fc52be8..0000000 --- a/cpld/XC95144/MXSE_html/fit/eqns.htm +++ /dev/null @@ -1,1733 +0,0 @@ - - - - diff --git a/cpld/XC95144/MXSE_html/fit/errs.htm b/cpld/XC95144/MXSE_html/fit/errs.htm deleted file mode 100644 index cbe932f..0000000 --- a/cpld/XC95144/MXSE_html/fit/errs.htm +++ /dev/null @@ -1,13 +0,0 @@ - - - - - - -

Errors and Warnings

-There are 0 error(s), 1 warning(s), and 0 information.

[Warning]:Cpld - Unable to retrieve the path to the iSE Project Repository. Will use the default filename of 'MXSE.ise'.
- - -
- - diff --git a/cpld/XC95144/_ngo/netlist.lst b/cpld/XC95144/_ngo/netlist.lst deleted file mode 100644 index d6e9f83..0000000 --- a/cpld/XC95144/_ngo/netlist.lst +++ /dev/null @@ -1,2 +0,0 @@ -C:\Users\zanek\Documents\GitHub\Warp-SE\cpld\XC95144\MXSE.ngc 1644211145 -OK diff --git a/cpld/XC95144/_pace.ucf b/cpld/XC95144/_pace.ucf deleted file mode 100644 index 75cb5dd..0000000 --- a/cpld/XC95144/_pace.ucf +++ /dev/null @@ -1,76 +0,0 @@ -#PACE: Start of Constraints generated by PACE - -#PACE: Start of PACE I/O Pin Assignments -NET "A_FSB[10]" LOC = "P8" ; -NET "A_FSB[11]" LOC = "P9" ; -NET "A_FSB[12]" LOC = "P10" ; -NET "A_FSB[13]" LOC = "P11" ; -NET "A_FSB[14]" LOC = "P12" ; -NET "A_FSB[15]" LOC = "P13" ; -NET "A_FSB[16]" LOC = "P14" ; -NET "A_FSB[17]" LOC = "P15" ; -NET "A_FSB[18]" LOC = "P16" ; -NET "A_FSB[19]" LOC = "P17" ; -NET "A_FSB[1]" LOC = "P94" ; -NET "A_FSB[20]" LOC = "P18" ; -NET "A_FSB[21]" LOC = "P19" ; -NET "A_FSB[22]" LOC = "P20" ; -NET "A_FSB[23]" LOC = "P24" ; -NET "A_FSB[2]" LOC = "P95" ; -NET "A_FSB[3]" LOC = "P96" ; -NET "A_FSB[4]" LOC = "P97" ; -NET "A_FSB[5]" LOC = "P2" ; -NET "A_FSB[6]" LOC = "P3" ; -NET "A_FSB[7]" LOC = "P4" ; -NET "A_FSB[8]" LOC = "P6" ; -NET "A_FSB[9]" LOC = "P7" ; -NET "CLK2X_IOB" LOC = "P22" ; -NET "CLK_FSB" LOC = "P27" ; -NET "CLK_IOB" LOC = "P23" ; -NET "E_IOB" LOC = "P25" ; -NET "nADoutLE0" LOC = "P85" ; -NET "nADoutLE1" LOC = "P82" ; -NET "nAoutOE" LOC = "P87" ; -NET "nAS_FSB" LOC = "P32" ; -NET "nAS_IOB" LOC = "P81" ; -NET "nBERR_FSB" LOC = "P92" ; -NET "nBERR_IOB" LOC = "P76" ; -NET "nCAS" LOC = "P36" ; -NET "nDinLE" LOC = "P86" ; -NET "nDinOE" LOC = "P90" ; -NET "nDoutOE" LOC = "P89" ; -NET "nDTACK_FSB" LOC = "P28" ; -NET "nDTACK_IOB" LOC = "P78" ; -NET "nLDS_FSB" LOC = "P30" ; -NET "nLDS_IOB" LOC = "P79" ; -NET "nOE" LOC = "P37" ; -NET "nRAMLWE" LOC = "P65" ; -NET "nRAMUWE" LOC = "P66" ; -NET "nRAS" LOC = "P64" ; -NET "nRES" LOC = "P91" ; -NET "nROMCS" LOC = "P35" ; -NET "nROMWE" LOC = "P34" ; -NET "nUDS_FSB" LOC = "P33" ; -NET "nUDS_IOB" LOC = "P80" ; -NET "nVMA_IOB" LOC = "P74" ; -NET "nVPA_FSB" LOC = "P93" ; -NET "nVPA_IOB" LOC = "P77" ; -NET "nWE_FSB" LOC = "P29" ; -NET "RA[0]" LOC = "P53" ; -NET "RA[10]" LOC = "P55" ; -NET "RA[11]" LOC = "P63" ; -NET "RA[1]" LOC = "P50" ; -NET "RA[2]" LOC = "P43" ; -NET "RA[3]" LOC = "P41" ; -NET "RA[4]" LOC = "P40" ; -NET "RA[5]" LOC = "P42" ; -NET "RA[6]" LOC = "P46" ; -NET "RA[7]" LOC = "P52" ; -NET "RA[8]" LOC = "P54" ; -NET "RA[9]" LOC = "P56" ; - -#PACE: Start of PACE Area Constraints - -#PACE: Start of PACE Prohibit Constraints - -#PACE: End of Constraints generated by PACE diff --git a/cpld/XC95144/_xmsgs/hprep6.xmsgs b/cpld/XC95144/_xmsgs/hprep6.xmsgs deleted file mode 100644 index f84336a..0000000 --- a/cpld/XC95144/_xmsgs/hprep6.xmsgs +++ /dev/null @@ -1,9 +0,0 @@ - - - - - diff --git a/cpld/XC95144/iseconfig/WarpSE.projectmgr b/cpld/XC95144/iseconfig/WarpSE.projectmgr deleted file mode 100644 index 3c4ab7f..0000000 --- a/cpld/XC95144/iseconfig/WarpSE.projectmgr +++ /dev/null @@ -1,117 +0,0 @@ - - - - - - - - - 2 - - - MXSE (C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/MXSE.v) - - 0 - 0 - 000000ff000000000000000100000001000000000000000000000000000000000202000000010000000100000064000000da000000020000000000000000000000000200000064ffffffff000000810000000300000002000000da0000000100000003000000000000000100000003 - true - MXSE (C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/MXSE.v) - - - - 1 - Design Utilities/Compile HDL Simulation Libraries - - - Design Utilities - - 0 - 0 - 000000ff000000000000000100000001000000000000000000000000000000000000000000000000f8000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f80000000100000000 - false - Design Utilities - - - - 1 - - - 0 - 0 - 000000ff000000000000000100000000000000000100000000000000000000000000000000000002d7000000040101000100000000000000000000000064ffffffff0000008100000000000000040000004200000001000000000000002400000001000000000000006600000001000000000000020b0000000100000000 - false - - - - - 1 - work - - - 0 - 0 - 000000ff00000000000000010000000000000000010000000000000000000000000000000000000109000000010001000100000000000000000000000064ffffffff000000810000000000000001000001090000000100000000 - false - work - - - - 1 - Implement Design/Configure Target Device - Implement Design/Optional Implementation Tools/Generate Timing - Implement Design/Synthesize - XST - - - Fit - - 4 - 0 - 000000ff000000000000000100000001000000000000000000000000000000000000000000000000e7000000010000000100000000000000000000000064ffffffff000000810000000000000001000000e70000000100000000 - false - Fit - - - - 2 - /MXSE C:|Users|zanek|Documents|GitHub|Warp-SE|cpld|MXSE.v - - - Unassigned User Library Modules - - 0 - 0 - 000000ff0000000000000001000000010000000000000000000000000000000002020000000100000001000000640000009f000000020000000000000000000000000200000064ffffffff0000008100000003000000020000009f0000000100000003000000000000000100000003 - false - Unassigned User Library Modules - - - - 1 - Design Utilities - - - - - 0 - 0 - 000000ff000000000000000100000001000000000000000000000000000000000000000000000000f8000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f80000000100000000 - false - - - - - 1 - User Constraints - - - - - 0 - 0 - 000000ff000000000000000100000001000000000000000000000000000000000000000000000000f8000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f80000000100000000 - false - - - 000000ff0000000000000002000000ce0000010601000000050100000002 - Implementation - diff --git a/cpld/XC95144/tmperr.err b/cpld/XC95144/tmperr.err deleted file mode 100644 index 70510e7..0000000 --- a/cpld/XC95144/tmperr.err +++ /dev/null @@ -1,17 +0,0 @@ -WARNING:Cpld - Unable to retrieve the path to the iSE Project Repository. Will - use the default filename of 'MXSE.ise'. -INFO:Cpld - Inferring BUFG constraint for signal 'CLK2X_IOB' based upon the LOC - constraint 'P22'. It is recommended that you declare this BUFG explicitedly - in your design. Note that for certain device families the output of a BUFG - constraint can not drive a gated clock, and the BUFG constraint will be - ignored. -INFO:Cpld - Inferring BUFG constraint for signal 'CLK_FSB' based upon the LOC - constraint 'P27'. It is recommended that you declare this BUFG explicitedly - in your design. Note that for certain device families the output of a BUFG - constraint can not drive a gated clock, and the BUFG constraint will be - ignored. -INFO:Cpld - Inferring BUFG constraint for signal 'CLK_IOB' based upon the LOC - constraint 'P23'. It is recommended that you declare this BUFG explicitedly - in your design. Note that for certain device families the output of a BUFG - constraint can not drive a gated clock, and the BUFG constraint will be - ignored. diff --git a/cpld/XC95144/xlnx_auto_0_xdb/cst.xbcd b/cpld/XC95144/xlnx_auto_0_xdb/cst.xbcd deleted file mode 100644 index 7c701aa..0000000 Binary files a/cpld/XC95144/xlnx_auto_0_xdb/cst.xbcd and /dev/null differ diff --git a/cpld/XC95144/xst/work/hdllib.ref b/cpld/XC95144/xst/work/hdllib.ref deleted file mode 100644 index 5389f3f..0000000 --- a/cpld/XC95144/xst/work/hdllib.ref +++ /dev/null @@ -1,7 +0,0 @@ -MO CNT NULL ../CNT.v vlg65/_c_n_t.bin 1644211143 -MO CS NULL ../CS.v vlg22/_c_s.bin 1644211143 -MO FSB NULL ../FSB.v vlg37/_f_s_b.bin 1644211143 -MO IOBM NULL ../IOBM.v vlg73/_i_o_b_m.bin 1644211143 -MO IOBS NULL ../IOBS.v vlg79/_i_o_b_s.bin 1644211143 -MO RAM NULL ../RAM.v vlg14/_r_a_m.bin 1644211143 -MO MXSE NULL ../MXSE.v vlg15/_m_x_s_e.bin 1644211143 diff --git a/cpld/XC95144XL/MXSE 2.cmd_log b/cpld/XC95144XL/MXSE 2.cmd_log new file mode 100644 index 0000000..4493a01 --- /dev/null +++ b/cpld/XC95144XL/MXSE 2.cmd_log @@ -0,0 +1,166 @@ +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +XSLTProcess MXSE_build.xml +tsim -intstyle ise MXSE MXSE.nga +taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm +hprep6 -s IEEE1149 -n MXSE -i MXSE +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +XSLTProcess MXSE_build.xml +tsim -intstyle ise MXSE MXSE.nga +taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +XSLTProcess MXSE_build.xml +tsim -intstyle ise MXSE MXSE.nga +taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +XSLTProcess MXSE_build.xml +tsim -intstyle ise MXSE MXSE.nga +taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +XSLTProcess MXSE_build.xml +tsim -intstyle ise MXSE MXSE.nga +taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +XSLTProcess MXSE_build.xml +tsim -intstyle ise MXSE MXSE.nga +taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm +hprep6 -s IEEE1149 -n MXSE -i MXSE +-cwd "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL" timingan_cpld -intstyle ise "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.vm6" +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +XSLTProcess MXSE_build.xml +tsim -intstyle ise MXSE MXSE.nga +taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm +-cwd "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL" timingan_cpld -intstyle ise "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.vm6" +hprep6 -s IEEE1149 -n MXSE -i MXSE +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +XSLTProcess MXSE_build.xml +tsim -intstyle ise MXSE MXSE.nga +hprep6 -s IEEE1149 -n MXSE -i MXSE +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +XSLTProcess MXSE_build.xml +tsim -intstyle ise MXSE MXSE.nga +hprep6 -s IEEE1149 -n MXSE -i MXSE +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +XSLTProcess MXSE_build.xml +tsim -intstyle ise MXSE MXSE.nga +hprep6 -s IEEE1149 -n MXSE -i MXSE +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +XSLTProcess MXSE_build.xml +tsim -intstyle ise MXSE MXSE.nga +hprep6 -s IEEE1149 -n MXSE -i MXSE +taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +XSLTProcess MXSE_build.xml +tsim -intstyle ise MXSE MXSE.nga +hprep6 -s IEEE1149 -n MXSE -i MXSE +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +XSLTProcess MXSE_build.xml +tsim -intstyle ise MXSE MXSE.nga +hprep6 -s IEEE1149 -n MXSE -i MXSE +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +XSLTProcess MXSE_build.xml +tsim -intstyle ise MXSE MXSE.nga +hprep6 -s IEEE1149 -n MXSE -i MXSE +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +XSLTProcess MXSE_build.xml +tsim -intstyle ise MXSE MXSE.nga +taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +XSLTProcess MXSE_build.xml +tsim -intstyle ise MXSE MXSE.nga +hprep6 -s IEEE1149 -n MXSE -i MXSE +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +XSLTProcess MXSE_build.xml +tsim -intstyle ise MXSE MXSE.nga +hprep6 -s IEEE1149 -n MXSE -i MXSE +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +XSLTProcess MXSE_build.xml +tsim -intstyle ise MXSE MXSE.nga +hprep6 -s IEEE1149 -n MXSE -i MXSE +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +XSLTProcess MXSE_build.xml +tsim -intstyle ise MXSE MXSE.nga +hprep6 -s IEEE1149 -n MXSE -i MXSE +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +XSLTProcess MXSE_build.xml +tsim -intstyle ise MXSE MXSE.nga +hprep6 -s IEEE1149 -n MXSE -i MXSE +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +XSLTProcess MXSE_build.xml +tsim -intstyle ise MXSE MXSE.nga +hprep6 -s IEEE1149 -n MXSE -i MXSE +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +XSLTProcess MXSE_build.xml +tsim -intstyle ise MXSE MXSE.nga +hprep6 -s IEEE1149 -n MXSE -i MXSE +taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +XSLTProcess MXSE_build.xml +tsim -intstyle ise MXSE MXSE.nga +taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm +hprep6 -s IEEE1149 -n MXSE -i MXSE diff --git a/cpld/XC95144XL/MXSE 2.gyd b/cpld/XC95144XL/MXSE 2.gyd new file mode 100644 index 0000000..d1053fd --- /dev/null +++ b/cpld/XC95144XL/MXSE 2.gyd @@ -0,0 +1,117 @@ +Pin Freeze File: version P.20131013 + +95144XL100 XC95144XL-10-TQ100 +A_FSB<10> S:PIN89 +A_FSB<11> S:PIN86 +A_FSB<12> S:PIN92 +A_FSB<13> S:PIN82 +A_FSB<14> S:PIN93 +A_FSB<15> S:PIN80 +A_FSB<16> S:PIN95 +A_FSB<17> S:PIN96 +A_FSB<18> S:PIN76 +A_FSB<19> S:PIN73 +A_FSB<1> S:PIN90 +A_FSB<20> S:PIN71 +A_FSB<21> S:PIN68 +A_FSB<22> S:PIN9 +A_FSB<23> S:PIN66 +A_FSB<2> S:PIN16 +A_FSB<3> S:PIN78 +A_FSB<4> S:PIN56 +A_FSB<5> S:PIN15 +A_FSB<6> S:PIN19 +A_FSB<7> S:PIN20 +A_FSB<8> S:PIN64 +A_FSB<9> S:PIN12 +CLK2X_IOB S:PIN22 +CLK_FSB S:PIN23 +CLK_IOB S:PIN27 +E_IOB S:PIN49 +nAS_FSB S:PIN13 +nBERR_IOB S:PIN18 +nDTACK_IOB S:PIN54 +nLDS_FSB S:PIN25 +nRES S:PIN99 +nUDS_FSB S:PIN52 +nVPA_IOB S:PIN59 +nWE_FSB S:PIN61 +RA<11> S:PIN63 +RA<10> S:PIN65 +RA<0> S:PIN87 +RA<1> S:PIN35 +RA<2> S:PIN91 +RA<3> S:PIN39 +RA<4> S:PIN94 +RA<5> S:PIN50 +RA<6> S:PIN53 +RA<7> S:PIN55 +RA<8> S:PIN58 +RA<9> S:PIN60 +nADoutLE0 S:PIN67 +nADoutLE1 S:PIN29 +nAS_IOB S:PIN10 +nAoutOE S:PIN70 +nBERR_FSB S:PIN14 +nCAS S:PIN74 +nDTACK_FSB S:PIN11 +nDinLE S:PIN72 +nDinOE S:PIN97 +nDoutOE S:PIN8 +nLDS_IOB S:PIN6 +nOE S:PIN17 +nRAMLWE S:PIN77 +nRAMUWE S:PIN79 +nRAS S:PIN24 +nROMCS S:PIN42 +nROMWE S:PIN81 +nUDS_IOB S:PIN7 +nVMA_IOB S:PIN33 +nVPA_FSB S:PIN85 + + +;The remaining section of the .gyd file is for documentation purposes only. +;It shows where your internal equations were placed in the last successful fit. + +PARTITION FB1_1 EXP14_ nDTACK_FSB_OBUF EXP15_ $OpTx$FX_DC$606 + EXP16_ nBERR_FSB_OBUF fsb/Ready1r +PARTITION FB1_9 EXP17_ fsb/VPA__or00001/fsb/VPA__or00001_D2 nOE_OBUF fsb/Ready2r + EXP18_ EXP19_ fsb/VPA EXP20_ + $OpTx$FX_DC$602 EXP21_ +PARTITION FB2_1 iobm/VPArr iobm/VPArf iobm/RESrr iobm/RESrf + iobm/IOREQr cnt/RefCnt<3> cnt/RefCnt<2> cnt/RefCnt<1> + fsb/BERR1r cnt/RefDone nLDS_IOB_OBUF nUDS_IOB_OBUF + cnt/TimeoutBPre nDoutOE_OBUF TimeoutB TimeoutA + nAS_IOB_OBUF iobs/IOReady +PARTITION FB3_1 EXP22_ EXP23_ IORW0 iobs/IOACTr + nRAS_OBUF iobs/Clear1 fsb/ASrf ALE0S + iobs/PS_FSM_FFd2 EXP24_ nADoutLE1_OBUF iobs/PS_FSM_FFd1 + IOREQ iobm/ETACK nVMA_IOB_OBUF EXP25_ + iobs/IORW1 ram/BACTr +PARTITION FB4_1 ram/RASEL RA_0_OBUF cs/nOverlay1 +PARTITION FB4_5 EXP26_ iobs/Load1 EXP27_ RA_2_OBUF + EXP28_ iobs/Once EXP29_ RA_4_OBUF + EXP30_ ram/RAMDIS1 EXP31_ fsb/BERR0r + nDinOE_OBUF EXP32_ +PARTITION FB5_1 IOACT RA_1_OBUF iobm/IOS_FSM_FFd7 iobm/IOS_FSM_FFd6 + iobm/IOS_FSM_FFd5 iobm/IOS_FSM_FFd4 iobm/BERRrr RA_3_OBUF + iobm/BERRrf cnt/RefCnt<0> iobm/IOS_FSM_FFd8 nROMCS_OBUF + ALE0M iobm/IOS_FSM_FFd2 BERR_IOBS iobm/IOS_FSM_FFd3 + EXP33_ IOBERR +PARTITION FB6_1 iobm/Er2 nCAS_OBUF iobm/DTACKrr iobm/DTACKrf + RefAck nRAMLWE_OBUF iobs/IOU1 iobs/IOL1 + nRAMUWE_OBUF iobm/ES<3> iobm/ES<1> nROMWE_OBUF + iobm/ES<0> IOU0 nVPA_FSB_OBUF IOL0 + iobm/ES<4> iobm/ES<2> +PARTITION FB7_1 cnt/RefCnt<5> RA_5_OBUF cnt/RefCnt<4> cs/nOverlay0 + ram/RS_FSM_FFd3 RA_6_OBUF fsb/Ready0r ram/RS_FSM_FFd1 + RA_7_OBUF ram/Once ram/RAMDIS2 RA_8_OBUF + ram/RS_FSM_FFd2 cnt/RefCnt<7> RA_9_OBUF cnt/RefCnt<6> + ram/RAMReady EXP34_ +PARTITION FB8_2 A_FSB_19_IBUF$BUF0 +PARTITION FB8_6 A_FSB_21_IBUF$BUF0 +PARTITION FB8_9 nADoutLE0_OBUF +PARTITION FB8_12 nAoutOE_OBUF +PARTITION FB8_15 nDinLE_OBUF +PARTITION FB8_17 iobm/IOS_FSM_FFd1 iobm/Er + diff --git a/cpld/XC95144XL/MXSE 2.mfd b/cpld/XC95144XL/MXSE 2.mfd new file mode 100644 index 0000000..04543fc --- /dev/null +++ b/cpld/XC95144XL/MXSE 2.mfd @@ -0,0 +1,2810 @@ +MDF Database: version 1.0 +MDF_INFO | MXSE | XC95144XL-10-TQ100 +MACROCELL | 2 | 14 | nVMA_IOB_OBUF +ATTRIBUTES | 4621058 | 0 +OUTPUTMC | 3 | 2 | 14 | 2 | 13 | 2 | 15 +INPUTS | 15 | nVMA_IOB | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> | iobm/ES<4> | IOACT | iobm/VPArf | iobm/VPArr | A_FSB<14> | A_FSB<13> | A_FSB<23> | A_FSB<21> | A_FSB<22> | cs/nOverlay1 +INPUTMC | 10 | 2 | 14 | 5 | 12 | 5 | 10 | 5 | 17 | 5 | 9 | 5 | 16 | 4 | 0 | 1 | 1 | 1 | 0 | 3 | 2 +INPUTP | 5 | 147 | 136 | 105 | 109 | 15 +EXPORTS | 1 | 2 | 15 +EQ | 8 | + nVMA_IOB.T = !nVMA_IOB & !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & + !iobm/ES<3> & !iobm/ES<4> + # nVMA_IOB & iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & + !iobm/ES<3> & !iobm/ES<4> & IOACT & iobm/VPArf & iobm/VPArr; + nVMA_IOB.CLK = CLK2X_IOB; // GCK + nVMA_IOB_OBUF.EXP = !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 3 | 2 | cs/nOverlay1 +ATTRIBUTES | 8553280 | 0 +OUTPUTMC | 44 | 6 | 9 | 3 | 8 | 2 | 0 | 6 | 6 | 0 | 6 | 0 | 11 | 0 | 12 | 2 | 15 | 6 | 10 | 0 | 0 | 2 | 14 | 6 | 11 | 6 | 7 | 6 | 3 | 2 | 7 | 3 | 4 | 2 | 11 | 3 | 12 | 6 | 16 | 2 | 4 | 4 | 11 | 0 | 9 | 0 | 3 | 0 | 2 | 0 | 4 | 0 | 5 | 0 | 8 | 0 | 10 | 0 | 15 | 0 | 17 | 2 | 3 | 2 | 9 | 2 | 13 | 3 | 1 | 3 | 6 | 3 | 7 | 3 | 11 | 3 | 14 | 3 | 16 | 3 | 17 | 6 | 5 | 6 | 8 | 6 | 13 | 6 | 15 +INPUTS | 6 | cs/nOverlay0 | nAS_FSB | fsb/ASrf | A_FSB<10> | ram/RASEL | A_FSB<1> +INPUTMC | 3 | 6 | 3 | 2 | 6 | 3 | 0 +INPUTP | 3 | 21 | 143 | 144 +EXPORTS | 1 | 3 | 1 +EQ | 5 | + cs/nOverlay1.D = cs/nOverlay0; + cs/nOverlay1.CLK = CLK_FSB; // GCK + cs/nOverlay1.CE = nAS_FSB & !fsb/ASrf; + cs/nOverlay1.EXP = A_FSB<10> & !ram/RASEL + # ram/RASEL & A_FSB<1> +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 6 | 9 | ram/Once +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 10 | 6 | 9 | 6 | 10 | 6 | 16 | 6 | 7 | 6 | 4 | 3 | 14 | 3 | 16 | 3 | 17 | 6 | 8 | 6 | 15 +INPUTS | 16 | ram/Once | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cnt/RefDone | ram/RAMDIS2 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | A_FSB<21> | RA_7_OBUF.EXP +INPUTMC | 12 | 6 | 9 | 2 | 6 | 3 | 2 | 6 | 12 | 6 | 7 | 6 | 4 | 1 | 9 | 6 | 10 | 6 | 0 | 6 | 15 | 6 | 13 | 6 | 8 +INPUTP | 4 | 21 | 105 | 15 | 109 +EXPORTS | 1 | 6 | 10 +IMPORTS | 1 | 6 | 8 +EQ | 26 | + ram/Once.T = ram/Once & nAS_FSB & !fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & + !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 +;Imported pterms FB7_9 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & fsb/ASrf; + ram/Once.CLK = CLK_FSB; // GCK + ram/Once.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & ram/Once & + !cnt/RefDone & !ram/RAMDIS2 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & + fsb/ASrf + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & ram/Once & !cnt/RefDone & !ram/RAMDIS2 & !nAS_FSB & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & ram/Once & !cnt/RefDone & !ram/RAMDIS2 & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & fsb/ASrf +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 1 | 9 | cnt/RefDone +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 19 | 1 | 9 | 6 | 10 | 3 | 0 | 6 | 12 | 6 | 4 | 3 | 13 | 6 | 16 | 3 | 1 | 3 | 11 | 3 | 12 | 3 | 14 | 3 | 16 | 3 | 17 | 6 | 0 | 6 | 5 | 6 | 9 | 6 | 13 | 6 | 15 | 6 | 17 +INPUTS | 10 | cnt/RefDone | RefAck | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> | cnt/RefCnt<7> +INPUTMC | 10 | 1 | 9 | 5 | 4 | 4 | 9 | 6 | 0 | 6 | 15 | 1 | 7 | 1 | 6 | 1 | 5 | 6 | 2 | 6 | 13 +EQ | 5 | + !cnt/RefDone.D = !cnt/RefDone & !RefAck + # !cnt/RefCnt<0> & !cnt/RefCnt<5> & !cnt/RefCnt<6> & + !cnt/RefCnt<1> & !cnt/RefCnt<2> & !cnt/RefCnt<3> & !cnt/RefCnt<4> & + !cnt/RefCnt<7>; + cnt/RefDone.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 3 | 9 | iobs/Once +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 14 | 3 | 9 | 4 | 14 | 2 | 2 | 2 | 16 | 1 | 17 | 2 | 8 | 3 | 5 | 2 | 12 | 2 | 0 | 2 | 1 | 2 | 3 | 3 | 7 | 3 | 8 | 3 | 10 +INPUTS | 10 | A_FSB<22> | iobs/Once | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<20> | EXP28_.EXP | EXP29_.EXP +INPUTMC | 6 | 3 | 9 | 2 | 8 | 2 | 11 | 2 | 6 | 3 | 8 | 3 | 10 +INPUTP | 4 | 15 | 21 | 105 | 114 +IMPORTS | 2 | 3 | 8 | 3 | 10 +EQ | 24 | + !iobs/Once.D = nAS_FSB & !fsb/ASrf + # A_FSB<23> & !iobs/Once & iobs/PS_FSM_FFd2 + # !A_FSB<23> & !A_FSB<20> & !iobs/Once + # A_FSB<22> & !iobs/Once & iobs/PS_FSM_FFd2 + # A_FSB<22> & !iobs/Once & iobs/PS_FSM_FFd1 +;Imported pterms FB4_9 + # A_FSB<23> & !iobs/Once & iobs/PS_FSM_FFd1 + # !iobs/Once & iobs/PS_FSM_FFd2 & !nADoutLE1 + # !iobs/Once & iobs/PS_FSM_FFd1 & !nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & !iobs/Once + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & + !iobs/Once +;Imported pterms FB4_8 + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & + !iobs/Once + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 & !iobs/Once +;Imported pterms FB4_11 + # !A_FSB<23> & A_FSB<21> & !A_FSB<19> & !iobs/Once + # !A_FSB<23> & A_FSB<21> & !A_FSB<18> & !iobs/Once + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & !iobs/Once + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & !iobs/Once + # !A_FSB<23> & A_FSB<21> & !iobs/Once & nWE_FSB; + iobs/Once.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 4 | 14 | BERR_IOBS +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 9 | 4 | 14 | 1 | 8 | 0 | 14 | 0 | 1 | 0 | 5 | 0 | 3 | 0 | 12 | 0 | 13 | 0 | 16 +INPUTS | 8 | BERR_IOBS | nAS_FSB | fsb/ASrf | iobs/Once | IOBERR | iobs/PS_FSM_FFd2 | iobs/IOACTr | nADoutLE1 +INPUTMC | 7 | 4 | 14 | 2 | 6 | 3 | 9 | 4 | 17 | 2 | 8 | 2 | 3 | 2 | 10 +INPUTP | 1 | 21 +EQ | 8 | + BERR_IOBS.T = BERR_IOBS & nAS_FSB & !fsb/ASrf + # iobs/Once & BERR_IOBS & !IOBERR & + !iobs/PS_FSM_FFd2 & !iobs/IOACTr & nADoutLE1 + # iobs/Once & !BERR_IOBS & IOBERR & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/IOACTr & nADoutLE1 + # iobs/Once & !BERR_IOBS & IOBERR & + !iobs/PS_FSM_FFd2 & !iobs/IOACTr & fsb/ASrf & nADoutLE1; + BERR_IOBS.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 4 | 17 | IOBERR +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 5 | 4 | 14 | 4 | 17 | 1 | 17 | 4 | 16 | 4 | 0 +INPUTS | 17 | nBERR_IOB | IOBERR | iobm/IOS_FSM_FFd3 | CLK_IOB | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd7 | iobm/IOREQr | iobm/DTACKrf | iobm/DTACKrr | iobm/RESrf | iobm/RESrr | EXP33_.EXP +INPUTMC | 15 | 4 | 17 | 4 | 15 | 2 | 13 | 4 | 8 | 4 | 6 | 4 | 5 | 4 | 4 | 4 | 3 | 4 | 2 | 1 | 4 | 5 | 3 | 5 | 2 | 1 | 3 | 1 | 2 | 4 | 16 +INPUTP | 2 | 28 | 42 +EXPORTS | 1 | 4 | 0 +IMPORTS | 1 | 4 | 16 +EQ | 24 | + IOBERR.T = nBERR_IOB & IOBERR & iobm/IOS_FSM_FFd3 & + CLK_IOB & iobm/ETACK + # !nBERR_IOB & !IOBERR & iobm/IOS_FSM_FFd3 & + CLK_IOB & iobm/ETACK + # nBERR_IOB & IOBERR & iobm/IOS_FSM_FFd3 & + CLK_IOB & iobm/BERRrf & iobm/BERRrr +;Imported pterms FB5_17 + # nBERR_IOB & IOBERR & iobm/IOS_FSM_FFd3 & + CLK_IOB & iobm/DTACKrf & iobm/DTACKrr + # nBERR_IOB & IOBERR & iobm/IOS_FSM_FFd3 & + CLK_IOB & iobm/RESrf & iobm/RESrr + # !nBERR_IOB & !IOBERR & iobm/IOS_FSM_FFd3 & + CLK_IOB & iobm/BERRrf & iobm/BERRrr + # !nBERR_IOB & !IOBERR & iobm/IOS_FSM_FFd3 & + CLK_IOB & iobm/DTACKrf & iobm/DTACKrr + # !nBERR_IOB & !IOBERR & iobm/IOS_FSM_FFd3 & + CLK_IOB & iobm/RESrf & iobm/RESrr; + IOBERR.CLK = CLK2X_IOB; // GCK + IOBERR.EXP = !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & + !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOREQr & + iobm/DTACKrf & iobm/DTACKrr + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & + !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOREQr & + iobm/RESrf & iobm/RESrr +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 2 | 2 | IORW0 +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 7 | 2 | 2 | 1 | 13 | 1 | 10 | 1 | 11 | 2 | 0 | 2 | 1 | 2 | 3 +INPUTS | 12 | IORW0 | iobs/IORW1 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | A_FSB<23> | iobs/Once | nWE_FSB | nAS_FSB | fsb/ASrf | EXP23_.EXP | iobs/IOACTr.EXP +INPUTMC | 9 | 2 | 2 | 2 | 16 | 2 | 8 | 2 | 11 | 2 | 10 | 3 | 9 | 2 | 6 | 2 | 1 | 2 | 3 +INPUTP | 3 | 105 | 98 | 21 +IMPORTS | 2 | 2 | 1 | 2 | 3 +EQ | 60 | + IORW0.T = IORW0 & !iobs/IORW1 & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & !nADoutLE1 + # !IORW0 & iobs/IORW1 & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & !nADoutLE1 + # A_FSB<23> & !iobs/Once & IORW0 & !nWE_FSB & + !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<23> & !iobs/Once & IORW0 & !nWE_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + # A_FSB<23> & !iobs/Once & !IORW0 & nWE_FSB & + !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 +;Imported pterms FB3_2 + # A_FSB<23> & !iobs/Once & !IORW0 & nWE_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !iobs/Once & + IORW0 & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !iobs/Once & + IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & + fsb/ASrf & nADoutLE1 + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !iobs/Once & + !IORW0 & nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !iobs/Once & + !IORW0 & nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & + fsb/ASrf & nADoutLE1 +;Imported pterms FB3_1 + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 +;Imported pterms FB3_4 + # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & + !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & + !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & + !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & + !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1; + IORW0.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 6 | 3 | cs/nOverlay0 +ATTRIBUTES | 4367104 | 0 +OUTPUTMC | 3 | 3 | 2 | 6 | 3 | 6 | 4 +INPUTS | 11 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | cs/nOverlay0 | nAS_FSB | fsb/ASrf | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cs/nOverlay1 +INPUTMC | 6 | 6 | 3 | 2 | 6 | 6 | 12 | 6 | 7 | 6 | 4 | 3 | 2 +INPUTP | 5 | 105 | 15 | 109 | 114 | 21 +EXPORTS | 1 | 6 | 4 +EQ | 12 | + cs/nOverlay0.T = !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & + !cs/nOverlay0 & !nAS_FSB + # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & + !cs/nOverlay0 & fsb/ASrf; + cs/nOverlay0.CLK = CLK_FSB; // GCK + !cs/nOverlay0.AR = nRES; // GSR + cs/nOverlay0.EXP = A_FSB<22> & !A_FSB<21> & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # A_FSB<22> & cs/nOverlay1 & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & !fsb/ASrf +GLOBALS | 2 | 2 | CLK_FSB | 4 | nRES + +MACROCELL | 5 | 15 | IOL0 +ATTRIBUTES | 8553280 | 0 +OUTPUTMC | 1 | 1 | 10 +INPUTS | 5 | iobs/IOL1 | nADoutLE1 | nLDS_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 +INPUTMC | 4 | 5 | 7 | 2 | 10 | 2 | 8 | 2 | 11 +INPUTP | 1 | 37 +EQ | 4 | + IOL0.D = !nLDS_FSB & nADoutLE1 + # iobs/IOL1 & !nADoutLE1; + IOL0.CLK = CLK_FSB; // GCK + IOL0.CE = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1; +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 5 | 13 | IOU0 +ATTRIBUTES | 8553280 | 0 +OUTPUTMC | 1 | 1 | 11 +INPUTS | 5 | iobs/IOU1 | nADoutLE1 | nUDS_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 +INPUTMC | 4 | 5 | 6 | 2 | 10 | 2 | 8 | 2 | 11 +INPUTP | 1 | 82 +EQ | 4 | + IOU0.D = !nUDS_FSB & nADoutLE1 + # iobs/IOU1 & !nADoutLE1; + IOU0.CLK = CLK_FSB; // GCK + IOU0.CE = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1; +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 1 | 15 | TimeoutA +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 8 | 1 | 15 | 0 | 11 | 0 | 9 | 0 | 2 | 0 | 3 | 0 | 8 | 0 | 10 | 0 | 17 +INPUTS | 10 | TimeoutA | nAS_FSB | fsb/ASrf | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> +INPUTMC | 9 | 1 | 15 | 2 | 6 | 4 | 9 | 6 | 0 | 6 | 15 | 1 | 7 | 1 | 6 | 1 | 5 | 6 | 2 +INPUTP | 1 | 21 +EQ | 8 | + TimeoutA.T = TimeoutA & nAS_FSB & !fsb/ASrf + # !TimeoutA & !nAS_FSB & !cnt/RefCnt<0> & + !cnt/RefCnt<5> & !cnt/RefCnt<6> & !cnt/RefCnt<1> & !cnt/RefCnt<2> & + !cnt/RefCnt<3> & !cnt/RefCnt<4> + # !TimeoutA & !cnt/RefCnt<0> & !cnt/RefCnt<5> & + !cnt/RefCnt<6> & !cnt/RefCnt<1> & !cnt/RefCnt<2> & !cnt/RefCnt<3> & + !cnt/RefCnt<4> & fsb/ASrf; + TimeoutA.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 1 | 14 | TimeoutB +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 4 | 1 | 14 | 3 | 15 | 0 | 5 | 0 | 16 +INPUTS | 12 | TimeoutB | nAS_FSB | fsb/ASrf | cnt/TimeoutBPre | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> | cnt/RefCnt<7> +INPUTMC | 11 | 1 | 14 | 2 | 6 | 1 | 12 | 4 | 9 | 6 | 0 | 6 | 15 | 1 | 7 | 1 | 6 | 1 | 5 | 6 | 2 | 6 | 13 +INPUTP | 1 | 21 +EQ | 8 | + TimeoutB.T = TimeoutB & nAS_FSB & !fsb/ASrf + # !TimeoutB & cnt/TimeoutBPre & !nAS_FSB & + !cnt/RefCnt<0> & !cnt/RefCnt<5> & !cnt/RefCnt<6> & !cnt/RefCnt<1> & + !cnt/RefCnt<2> & !cnt/RefCnt<3> & !cnt/RefCnt<4> & !cnt/RefCnt<7> + # !TimeoutB & cnt/TimeoutBPre & !cnt/RefCnt<0> & + !cnt/RefCnt<5> & !cnt/RefCnt<6> & !cnt/RefCnt<1> & !cnt/RefCnt<2> & + !cnt/RefCnt<3> & !cnt/RefCnt<4> & !cnt/RefCnt<7> & fsb/ASrf; + TimeoutB.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 1 | 12 | cnt/TimeoutBPre +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 2 | 1 | 14 | 1 | 12 +INPUTS | 11 | cnt/TimeoutBPre | nAS_FSB | fsb/ASrf | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> | cnt/RefCnt<7> +INPUTMC | 10 | 1 | 12 | 2 | 6 | 4 | 9 | 6 | 0 | 6 | 15 | 1 | 7 | 1 | 6 | 1 | 5 | 6 | 2 | 6 | 13 +INPUTP | 1 | 21 +EQ | 8 | + cnt/TimeoutBPre.T = cnt/TimeoutBPre & nAS_FSB & !fsb/ASrf + # !cnt/TimeoutBPre & !nAS_FSB & !cnt/RefCnt<0> & + !cnt/RefCnt<5> & !cnt/RefCnt<6> & !cnt/RefCnt<1> & !cnt/RefCnt<2> & + !cnt/RefCnt<3> & !cnt/RefCnt<4> & !cnt/RefCnt<7> + # !cnt/TimeoutBPre & !cnt/RefCnt<0> & !cnt/RefCnt<5> & + !cnt/RefCnt<6> & !cnt/RefCnt<1> & !cnt/RefCnt<2> & !cnt/RefCnt<3> & + !cnt/RefCnt<4> & !cnt/RefCnt<7> & fsb/ASrf; + cnt/TimeoutBPre.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 3 | 15 | fsb/BERR0r +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 9 | 3 | 15 | 0 | 14 | 0 | 1 | 0 | 5 | 0 | 3 | 0 | 12 | 0 | 13 | 0 | 16 | 3 | 16 +INPUTS | 9 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | fsb/BERR0r | TimeoutB | nAS_FSB | fsb/ASrf | nWE_FSB +INPUTMC | 3 | 3 | 15 | 1 | 14 | 2 | 6 +INPUTP | 6 | 105 | 15 | 109 | 114 | 21 | 98 +EXPORTS | 1 | 3 | 16 +EQ | 8 | + !fsb/BERR0r.D = !TimeoutB & !fsb/BERR0r + # nAS_FSB & !fsb/ASrf + # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & A_FSB<20> & + !fsb/BERR0r; + fsb/BERR0r.CLK = CLK_FSB; // GCK + fsb/BERR0r.EXP = A_FSB<23> & nWE_FSB & !nAS_FSB + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & nWE_FSB & + !nAS_FSB +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 1 | 8 | fsb/BERR1r +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 8 | 1 | 8 | 0 | 14 | 0 | 1 | 0 | 5 | 0 | 3 | 0 | 12 | 0 | 13 | 0 | 16 +INPUTS | 4 | BERR_IOBS | fsb/BERR1r | nAS_FSB | fsb/ASrf +INPUTMC | 3 | 4 | 14 | 1 | 8 | 2 | 6 +INPUTP | 1 | 21 +EQ | 3 | + !fsb/BERR1r.D = !BERR_IOBS & !fsb/BERR1r + # nAS_FSB & !fsb/ASrf; + fsb/BERR1r.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 6 | 6 | fsb/Ready0r +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 4 | 6 | 6 | 0 | 12 | 0 | 0 | 0 | 15 +INPUTS | 8 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | fsb/Ready0r | ram/RAMReady | nAS_FSB | fsb/ASrf +INPUTMC | 4 | 3 | 2 | 6 | 6 | 6 | 16 | 2 | 6 +INPUTP | 4 | 105 | 15 | 109 | 21 +EQ | 6 | + !fsb/Ready0r.D = nAS_FSB & !fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !fsb/Ready0r & !ram/RAMReady + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !fsb/Ready0r & !ram/RAMReady; + fsb/Ready0r.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 0 | 6 | fsb/Ready1r +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 7 | 0 | 6 | 0 | 12 | 0 | 0 | 0 | 2 | 0 | 3 | 0 | 5 | 0 | 15 +INPUTS | 17 | nAS_FSB | fsb/ASrf | A_FSB<23> | fsb/Ready1r | iobs/IOReady | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<14> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | A_FSB<13> | nBERR_FSB_OBUF.EXP +INPUTMC | 5 | 2 | 6 | 0 | 6 | 1 | 17 | 3 | 2 | 0 | 5 +INPUTP | 12 | 21 | 105 | 15 | 109 | 114 | 147 | 117 | 123 | 155 | 153 | 98 | 136 +IMPORTS | 1 | 0 | 5 +EQ | 20 | + !fsb/Ready1r.D = nAS_FSB & !fsb/ASrf + # A_FSB<23> & !fsb/Ready1r & !iobs/IOReady + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !fsb/Ready1r & + !iobs/IOReady + # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & + !fsb/Ready1r & !iobs/IOReady + # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & + !fsb/Ready1r & !iobs/IOReady +;Imported pterms FB1_6 + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & + !nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & + !nADoutLE1; + fsb/Ready1r.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 0 | 11 | fsb/Ready2r +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 7 | 0 | 11 | 0 | 9 | 0 | 2 | 0 | 3 | 0 | 8 | 0 | 10 | 0 | 17 +INPUTS | 21 | A_FSB<9> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | TimeoutA | fsb/Ready2r | A_FSB<8> | nOE_OBUF.EXP +INPUTMC | 4 | 3 | 2 | 1 | 15 | 0 | 11 | 0 | 10 +INPUTP | 17 | 19 | 134 | 147 | 136 | 146 | 140 | 143 | 105 | 15 | 109 | 114 | 117 | 123 | 155 | 153 | 98 | 103 +IMPORTS | 1 | 0 | 10 +EQ | 35 | + !fsb/Ready2r.D = A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r +;Imported pterms FB1_11 + # nAS_FSB & !fsb/ASrf + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r; + fsb/Ready2r.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 0 | 14 | fsb/VPA +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 5 | 0 | 14 | 5 | 14 | 0 | 12 | 0 | 13 | 0 | 15 +INPUTS | 9 | fsb/BERR1r | fsb/VPA | nAS_FSB | fsb/VPA__or00001/fsb/VPA__or00001_D2 | BERR_IOBS | fsb/BERR0r | $OpTx$FX_DC$602 | EXP19_.EXP | EXP20_.EXP +INPUTMC | 8 | 1 | 8 | 0 | 14 | 0 | 9 | 4 | 14 | 3 | 15 | 0 | 16 | 0 | 13 | 0 | 15 +INPUTP | 1 | 21 +IMPORTS | 2 | 0 | 13 | 0 | 15 +EQ | 65 | + fsb/VPA.D = BERR_IOBS & fsb/VPA & !nAS_FSB + # fsb/BERR0r & fsb/VPA & !nAS_FSB + # fsb/BERR1r & fsb/VPA & !nAS_FSB + # fsb/VPA & !nAS_FSB & + fsb/VPA__or00001/fsb/VPA__or00001_D2 + # fsb/VPA & !nAS_FSB & !$OpTx$FX_DC$602 +;Imported pterms FB1_14 + # BERR_IOBS & fsb/VPA & fsb/ASrf + # fsb/BERR0r & fsb/VPA & fsb/ASrf + # fsb/BERR1r & fsb/VPA & fsb/ASrf + # fsb/VPA & fsb/ASrf & + fsb/VPA__or00001/fsb/VPA__or00001_D2 + # fsb/VPA & fsb/ASrf & !$OpTx$FX_DC$602 +;Imported pterms FB1_13 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !fsb/Ready0r & fsb/VPA & fsb/ASrf & !ram/RAMReady + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + fsb/Ready1r & !nAS_FSB & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & + $OpTx$FX_DC$602 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + fsb/Ready1r & fsb/ASrf & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & + $OpTx$FX_DC$602 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + iobs/IOReady & !nAS_FSB & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & + $OpTx$FX_DC$602 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + !nAS_FSB & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & + $OpTx$FX_DC$602 & !$OpTx$FX_DC$606 +;Imported pterms FB1_16 + # !fsb/Ready1r & fsb/VPA & !iobs/IOReady & !nAS_FSB & + $OpTx$FX_DC$606 + # !fsb/Ready1r & fsb/VPA & !iobs/IOReady & fsb/ASrf & + $OpTx$FX_DC$606 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !fsb/Ready0r & fsb/VPA & !nAS_FSB & !ram/RAMReady + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !fsb/Ready0r & fsb/VPA & fsb/ASrf & !ram/RAMReady + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !fsb/Ready0r & fsb/VPA & !nAS_FSB & !ram/RAMReady +;Imported pterms FB1_17 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + iobs/IOReady & fsb/ASrf & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & + $OpTx$FX_DC$602 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + fsb/ASrf & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & + $OpTx$FX_DC$602 & !$OpTx$FX_DC$606; + fsb/VPA.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 5 | 7 | iobs/IOL1 +ATTRIBUTES | 8553280 | 0 +OUTPUTMC | 1 | 5 | 15 +INPUTS | 2 | nLDS_FSB | iobs/Load1 +INPUTMC | 1 | 3 | 5 +INPUTP | 1 | 37 +EQ | 3 | + iobs/IOL1.D = !nLDS_FSB; + iobs/IOL1.CLK = CLK_FSB; // GCK + iobs/IOL1.CE = iobs/Load1; +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 2 | 16 | iobs/IORW1 +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 3 | 2 | 2 | 2 | 16 | 2 | 15 +INPUTS | 9 | A_FSB<23> | A_FSB<21> | iobs/IORW1 | nADoutLE1 | iobs/Once | A_FSB<20> | nWE_FSB | EXP25_.EXP | ram/BACTr.EXP +INPUTMC | 5 | 2 | 16 | 2 | 10 | 3 | 9 | 2 | 15 | 2 | 17 +INPUTP | 4 | 105 | 109 | 114 | 98 +IMPORTS | 2 | 2 | 15 | 2 | 17 +EQ | 21 | + !iobs/IORW1.T = iobs/Once + # !nADoutLE1 + # !A_FSB<23> & !A_FSB<20> + # nWE_FSB & iobs/IORW1 + # !A_FSB<23> & A_FSB<21> & !iobs/IORW1 +;Imported pterms FB3_16 + # !nWE_FSB & !iobs/IORW1 + # nAS_FSB & !fsb/ASrf + # !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 +;Imported pterms FB3_15 + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 +;Imported pterms FB3_18 + # !A_FSB<23> & A_FSB<21> & !A_FSB<19> + # !A_FSB<23> & A_FSB<21> & !A_FSB<18> + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> + # !A_FSB<23> & A_FSB<21> & !A_FSB<16>; + iobs/IORW1.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 1 | 17 | iobs/IOReady +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 9 | 0 | 6 | 0 | 12 | 1 | 17 | 0 | 0 | 0 | 2 | 0 | 3 | 0 | 5 | 0 | 15 | 0 | 16 +INPUTS | 8 | iobs/IOReady | nAS_FSB | fsb/ASrf | iobs/Once | IOBERR | iobs/PS_FSM_FFd2 | iobs/IOACTr | nADoutLE1 +INPUTMC | 7 | 1 | 17 | 2 | 6 | 3 | 9 | 4 | 17 | 2 | 8 | 2 | 3 | 2 | 10 +INPUTP | 1 | 21 +EQ | 8 | + iobs/IOReady.T = iobs/IOReady & nAS_FSB & !fsb/ASrf + # iobs/Once & IOBERR & iobs/IOReady & + !iobs/PS_FSM_FFd2 & !iobs/IOACTr & nADoutLE1 + # iobs/Once & !IOBERR & !iobs/IOReady & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/IOACTr & nADoutLE1 + # iobs/Once & !IOBERR & !iobs/IOReady & + !iobs/PS_FSM_FFd2 & !iobs/IOACTr & fsb/ASrf & nADoutLE1; + iobs/IOReady.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 5 | 6 | iobs/IOU1 +ATTRIBUTES | 8553280 | 0 +OUTPUTMC | 1 | 5 | 13 +INPUTS | 2 | nUDS_FSB | iobs/Load1 +INPUTMC | 1 | 3 | 5 +INPUTP | 1 | 82 +EQ | 3 | + iobs/IOU1.D = !nUDS_FSB; + iobs/IOU1.CLK = CLK_FSB; // GCK + iobs/IOU1.CE = iobs/Load1; +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 6 | 10 | ram/RAMDIS2 +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 6 | 6 | 10 | 2 | 4 | 5 | 5 | 5 | 8 | 6 | 9 | 6 | 11 +INPUTS | 17 | ram/RAMDIS2 | nAS_FSB | fsb/ASrf | ram/Once | cnt/RefDone | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | A_FSB<9> | ram/RASEL | ram/Once.EXP +INPUTMC | 13 | 6 | 10 | 2 | 6 | 6 | 9 | 1 | 9 | 6 | 12 | 6 | 7 | 6 | 4 | 6 | 0 | 6 | 15 | 6 | 13 | 3 | 2 | 3 | 0 | 6 | 9 +INPUTP | 4 | 21 | 105 | 15 | 19 +EXPORTS | 1 | 6 | 11 +IMPORTS | 1 | 6 | 9 +EQ | 26 | + ram/RAMDIS2.T = ram/RAMDIS2 & nAS_FSB & !fsb/ASrf + # ram/Once & !cnt/RefDone & !ram/RAMDIS2 & !nAS_FSB & + ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # ram/Once & !cnt/RefDone & !ram/RAMDIS2 & + ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & ram/Once & + !cnt/RefDone & !ram/RAMDIS2 & !nAS_FSB & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> +;Imported pterms FB7_10 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & ram/Once & + !cnt/RefDone & !ram/RAMDIS2 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & + fsb/ASrf + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & ram/Once & !cnt/RefDone & !ram/RAMDIS2 & !nAS_FSB & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & ram/Once & !cnt/RefDone & !ram/RAMDIS2 & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & fsb/ASrf; + ram/RAMDIS2.CLK = CLK_FSB; // GCK + ram/RAMDIS2.EXP = A_FSB<9> & ram/RASEL +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 0 | 1 | nDTACK_FSB_OBUF +ATTRIBUTES | 8815362 | 0 +OUTPUTMC | 5 | 0 | 1 | 0 | 0 | 0 | 2 | 0 | 3 | 0 | 17 +INPUTS | 9 | fsb/BERR1r | nDTACK_FSB | BERR_IOBS | fsb/BERR0r | $OpTx$FX_DC$602 | nAS_FSB | fsb/ASrf | EXP14_.EXP | EXP15_.EXP +INPUTMC | 8 | 1 | 8 | 0 | 1 | 4 | 14 | 3 | 15 | 0 | 16 | 2 | 6 | 0 | 0 | 0 | 2 +INPUTP | 1 | 21 +IMPORTS | 2 | 0 | 0 | 0 | 2 +EQ | 82 | + nDTACK_FSB.D = BERR_IOBS & nDTACK_FSB + # fsb/BERR0r & nDTACK_FSB + # fsb/BERR1r & nDTACK_FSB + # nAS_FSB & !fsb/ASrf + # nDTACK_FSB & !$OpTx$FX_DC$602 +;Imported pterms FB1_1 + # A_FSB<23> & !fsb/Ready1r & !iobs/IOReady & + nDTACK_FSB + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !fsb/Ready1r & + !iobs/IOReady & nDTACK_FSB + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady + # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & + !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB +;Imported pterms FB1_18 + # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB +;Imported pterms FB1_3 + # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & + !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & + nDTACK_FSB & !nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & + nDTACK_FSB & !nADoutLE1 + # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB +;Imported pterms FB1_4 + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + fsb/Ready1r & $OpTx$FX_DC$602 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + iobs/IOReady & $OpTx$FX_DC$602; + nDTACK_FSB.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 3 | 0 | ram/RASEL +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 12 | 5 | 1 | 6 | 14 | 4 | 1 | 3 | 7 | 4 | 7 | 3 | 11 | 6 | 1 | 6 | 5 | 6 | 8 | 6 | 11 | 3 | 2 | 6 | 10 +INPUTS | 13 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd1 | A_FSB<23> | cnt/RefDone | nAS_FSB | ram/BACTr | fsb/ASrf | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | RA_0_OBUF.EXP | EXP32_.EXP +INPUTMC | 11 | 6 | 12 | 6 | 4 | 6 | 7 | 1 | 9 | 2 | 17 | 2 | 6 | 6 | 0 | 6 | 15 | 6 | 13 | 3 | 1 | 3 | 17 +INPUTP | 2 | 105 | 21 +IMPORTS | 2 | 3 | 1 | 3 | 17 +EQ | 50 | + ram/RASEL.D = !ram/RS_FSM_FFd2 & ram/RS_FSM_FFd3 + # ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 + # A_FSB<23> & !cnt/RefDone & !nAS_FSB & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr + # A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> +;Imported pterms FB4_2 + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # !cnt/RefDone & nAS_FSB & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & + !fsb/ASrf + # !cnt/RefDone & nAS_FSB & ram/RS_FSM_FFd1 & + ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & + !fsb/ASrf +;Imported pterms FB4_18 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & + !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & fsb/ASrf + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & !nAS_FSB & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr +;Imported pterms FB4_17 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + fsb/ASrf + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7>; + ram/RASEL.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 6 | 12 | ram/RS_FSM_FFd2 +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 17 | 6 | 9 | 6 | 10 | 3 | 0 | 6 | 12 | 6 | 7 | 6 | 4 | 5 | 4 | 3 | 13 | 6 | 13 | 3 | 1 | 3 | 14 | 3 | 16 | 3 | 17 | 6 | 3 | 6 | 5 | 6 | 8 | 6 | 17 +INPUTS | 10 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | cnt/RefDone | ram/RS_FSM_FFd1 | cnt/RefCnt<6> | ram/BACTr | cnt/RefCnt<7> | cnt/RefCnt<5> | RA_8_OBUF.EXP | cnt/RefCnt<7>.EXP +INPUTMC | 10 | 6 | 12 | 6 | 4 | 1 | 9 | 6 | 7 | 6 | 15 | 2 | 17 | 6 | 13 | 6 | 0 | 6 | 11 | 6 | 13 +IMPORTS | 2 | 6 | 11 | 6 | 13 +EQ | 28 | + !ram/RS_FSM_FFd2.T = ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 + # cnt/RefDone & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + !cnt/RefCnt<5> & ram/BACTr + # !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + !cnt/RefCnt<6> & ram/BACTr + # !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & ram/BACTr & + !cnt/RefCnt<7> +;Imported pterms FB7_12 + # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + !cnt/RefCnt<5> & !fsb/ASrf + # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + !cnt/RefCnt<6> & !fsb/ASrf + # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + !cnt/RefCnt<7> & !fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !nAS_FSB & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 +;Imported pterms FB7_14 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf + # !cnt/RefDone & nAS_FSB & ram/RS_FSM_FFd2 & + ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & + !fsb/ASrf; + ram/RS_FSM_FFd2.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 6 | 7 | ram/RS_FSM_FFd1 +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 22 | 6 | 9 | 6 | 10 | 3 | 0 | 6 | 12 | 6 | 7 | 6 | 4 | 5 | 4 | 3 | 13 | 6 | 16 | 3 | 1 | 3 | 11 | 3 | 12 | 3 | 14 | 3 | 16 | 3 | 17 | 6 | 3 | 6 | 5 | 6 | 8 | 6 | 11 | 6 | 13 | 6 | 15 | 6 | 17 +INPUTS | 10 | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/Once | nAS_FSB | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | fsb/ASrf | A_FSB<21> +INPUTMC | 6 | 3 | 2 | 6 | 9 | 6 | 12 | 6 | 7 | 6 | 4 | 2 | 6 +INPUTP | 4 | 105 | 15 | 21 | 109 +EQ | 13 | + ram/RS_FSM_FFd1.T = ram/RS_FSM_FFd2 & ram/RS_FSM_FFd3 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & + !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & fsb/ASrf; + ram/RS_FSM_FFd1.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 6 | 4 | ram/RS_FSM_FFd3 +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 15 | 6 | 9 | 6 | 10 | 3 | 0 | 6 | 12 | 6 | 7 | 6 | 4 | 3 | 13 | 6 | 13 | 3 | 1 | 3 | 14 | 6 | 3 | 6 | 5 | 6 | 8 | 6 | 11 | 6 | 17 +INPUTS | 11 | A_FSB<23> | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | ram/Once | cnt/RefCnt<6> | cnt/RefCnt<7> | cnt/RefDone | cnt/RefCnt<5> | cs/nOverlay0.EXP | RA_6_OBUF.EXP +INPUTMC | 10 | 6 | 12 | 6 | 7 | 6 | 4 | 6 | 9 | 6 | 15 | 6 | 13 | 1 | 9 | 6 | 0 | 6 | 3 | 6 | 5 +INPUTP | 1 | 105 +IMPORTS | 2 | 6 | 3 | 6 | 5 +EQ | 27 | + !ram/RS_FSM_FFd3.T = A_FSB<23> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 + # ram/Once & cnt/RefDone & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & !cnt/RefCnt<5> + # ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & !cnt/RefCnt<6> + # ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & !cnt/RefCnt<7> +;Imported pterms FB7_4 + # A_FSB<22> & !A_FSB<21> & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # A_FSB<22> & cs/nOverlay1 & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & !fsb/ASrf +;Imported pterms FB7_6 + # !A_FSB<22> & !cs/nOverlay1 & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # !cnt/RefDone & !nAS_FSB & ram/RS_FSM_FFd2 & + ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + # !cnt/RefDone & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & + ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & + fsb/ASrf; + ram/RS_FSM_FFd3.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 2 | 8 | iobs/PS_FSM_FFd2 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 20 | 3 | 9 | 4 | 14 | 2 | 2 | 5 | 15 | 5 | 13 | 2 | 15 | 1 | 17 | 2 | 8 | 2 | 10 | 3 | 5 | 2 | 7 | 2 | 12 | 2 | 5 | 2 | 0 | 2 | 1 | 2 | 3 | 2 | 9 | 2 | 11 | 2 | 13 | 3 | 8 +INPUTS | 11 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | iobs/IOACTr | iobs/Once | nADoutLE1 | A_FSB<23> | A_FSB<20> | nAS_FSB | fsb/ASrf | ALE0S.EXP | EXP24_.EXP +INPUTMC | 8 | 2 | 8 | 2 | 11 | 2 | 3 | 3 | 9 | 2 | 10 | 2 | 6 | 2 | 7 | 2 | 9 +INPUTP | 3 | 105 | 114 | 21 +IMPORTS | 2 | 2 | 7 | 2 | 9 +EQ | 31 | + !iobs/PS_FSM_FFd2.D = iobs/PS_FSM_FFd2 & iobs/PS_FSM_FFd1 & + iobs/IOACTr + # !iobs/PS_FSM_FFd2 & iobs/PS_FSM_FFd1 & + !iobs/IOACTr + # iobs/Once & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & + nADoutLE1 + # !A_FSB<23> & !A_FSB<20> & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & nADoutLE1 + # nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & + !fsb/ASrf & nADoutLE1 +;Imported pterms FB3_8 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<19> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & nWE_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 +;Imported pterms FB3_10 + # !A_FSB<23> & A_FSB<21> & !A_FSB<18> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1; + iobs/PS_FSM_FFd2.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 5 | 12 | iobm/ES<0> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 7 | 2 | 14 | 5 | 12 | 5 | 10 | 5 | 17 | 5 | 9 | 5 | 16 | 2 | 13 +INPUTS | 7 | iobm/ES<0> | iobm/Er | iobm/Er2 | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> | iobm/ES<4> +INPUTMC | 7 | 5 | 12 | 7 | 17 | 5 | 0 | 5 | 10 | 5 | 17 | 5 | 9 | 5 | 16 +EQ | 6 | + !iobm/ES<0>.T = iobm/ES<0> & !iobm/Er & iobm/Er2 + # !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & + !iobm/ES<3> & !iobm/ES<4> & iobm/Er + # !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & + !iobm/ES<3> & !iobm/ES<4> & !iobm/Er2; + iobm/ES<0>.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 5 | 10 | iobm/ES<1> +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 7 | 2 | 14 | 5 | 12 | 5 | 10 | 5 | 17 | 5 | 9 | 5 | 16 | 2 | 13 +INPUTS | 4 | iobm/ES<0> | iobm/ES<1> | iobm/Er | iobm/Er2 +INPUTMC | 4 | 5 | 12 | 5 | 10 | 7 | 17 | 5 | 0 +EQ | 4 | + !iobm/ES<1>.D = iobm/ES<0> & iobm/ES<1> + # !iobm/ES<0> & !iobm/ES<1> + # !iobm/Er & iobm/Er2; + iobm/ES<1>.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 4 | 15 | iobm/IOS_FSM_FFd3 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 11 | 4 | 17 | 4 | 15 | 4 | 0 | 4 | 13 | 4 | 12 | 1 | 16 | 7 | 14 | 1 | 13 | 1 | 10 | 1 | 11 | 4 | 16 +INPUTS | 10 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | CLK_IOB | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr | iobm/RESrf | iobm/RESrr +INPUTMC | 9 | 4 | 15 | 4 | 5 | 2 | 13 | 4 | 8 | 4 | 6 | 5 | 3 | 5 | 2 | 1 | 3 | 1 | 2 +INPUTP | 1 | 42 +EQ | 9 | + !iobm/IOS_FSM_FFd3.D = !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 + # !iobm/IOS_FSM_FFd4 & CLK_IOB & iobm/ETACK + # !iobm/IOS_FSM_FFd4 & CLK_IOB & iobm/BERRrf & + iobm/BERRrr + # !iobm/IOS_FSM_FFd4 & CLK_IOB & iobm/DTACKrf & + iobm/DTACKrr + # !iobm/IOS_FSM_FFd4 & CLK_IOB & iobm/RESrf & + iobm/RESrr; + iobm/IOS_FSM_FFd3.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 5 | 17 | iobm/ES<2> +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 6 | 2 | 14 | 5 | 12 | 5 | 17 | 5 | 9 | 5 | 16 | 2 | 13 +INPUTS | 7 | iobm/ES<0> | iobm/ES<2> | iobm/ES<1> | iobm/Er | iobm/Er2 | iobm/ES<3> | iobm/ES<4> +INPUTMC | 7 | 5 | 12 | 5 | 17 | 5 | 10 | 7 | 17 | 5 | 0 | 5 | 9 | 5 | 16 +EQ | 6 | + !iobm/ES<2>.D = !iobm/ES<0> & !iobm/ES<2> + # !iobm/ES<1> & !iobm/ES<2> + # !iobm/Er & iobm/Er2 + # iobm/ES<0> & iobm/ES<1> & iobm/ES<2> + # !iobm/ES<2> & !iobm/ES<3> & iobm/ES<4>; + iobm/ES<2>.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 2 | 11 | iobs/PS_FSM_FFd1 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 16 | 3 | 9 | 2 | 2 | 5 | 15 | 5 | 13 | 2 | 15 | 2 | 8 | 2 | 10 | 3 | 5 | 2 | 7 | 2 | 12 | 2 | 5 | 2 | 0 | 2 | 1 | 2 | 3 | 2 | 9 | 3 | 8 +INPUTS | 10 | A_FSB<23> | A_FSB<22> | A_FSB<21> | iobs/PS_FSM_FFd2 | nADoutLE1 | cs/nOverlay1 | A_FSB<19> | A_FSB<16> | nWE_FSB | nADoutLE1_OBUF.EXP +INPUTMC | 4 | 2 | 8 | 2 | 10 | 3 | 2 | 2 | 10 +INPUTP | 6 | 105 | 15 | 109 | 117 | 153 | 98 +EXPORTS | 1 | 2 | 12 +IMPORTS | 1 | 2 | 10 +EQ | 14 | + iobs/PS_FSM_FFd1.D = ;Imported pterms FB3_11 + iobs/PS_FSM_FFd2 + # iobs/PS_FSM_FFd1 & iobs/IOACTr; + iobs/PS_FSM_FFd1.CLK = CLK_FSB; // GCK + iobs/PS_FSM_FFd1.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<19> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & nWE_FSB & + !iobs/PS_FSM_FFd2 & nADoutLE1 +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 5 | 9 | iobm/ES<3> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 6 | 2 | 14 | 5 | 12 | 5 | 17 | 5 | 9 | 5 | 16 | 2 | 13 +INPUTS | 6 | iobm/ES<3> | iobm/Er | iobm/Er2 | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> +INPUTMC | 6 | 5 | 9 | 7 | 17 | 5 | 0 | 5 | 12 | 5 | 10 | 5 | 17 +EQ | 4 | + iobm/ES<3>.T = iobm/ES<3> & !iobm/Er & iobm/Er2 + # iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & iobm/Er + # iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & !iobm/Er2; + iobm/ES<3>.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 5 | 16 | iobm/ES<4> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 5 | 2 | 14 | 5 | 12 | 5 | 17 | 5 | 16 | 2 | 13 +INPUTS | 7 | iobm/ES<4> | iobm/Er | iobm/Er2 | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> +INPUTMC | 7 | 5 | 16 | 7 | 17 | 5 | 0 | 5 | 12 | 5 | 10 | 5 | 17 | 5 | 9 +EQ | 8 | + iobm/ES<4>.T = iobm/ES<4> & !iobm/Er & iobm/Er2 + # iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & + iobm/ES<3> & iobm/Er + # iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & + iobm/ES<3> & !iobm/Er2 + # iobm/ES<0> & iobm/ES<1> & !iobm/ES<2> & + !iobm/ES<3> & iobm/ES<4>; + iobm/ES<4>.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 4 | 5 | iobm/IOS_FSM_FFd4 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 10 | 4 | 15 | 4 | 0 | 4 | 12 | 1 | 16 | 7 | 14 | 1 | 13 | 1 | 10 | 1 | 11 | 4 | 1 | 4 | 17 +INPUTS | 1 | iobm/IOS_FSM_FFd5 +INPUTMC | 1 | 4 | 4 +EQ | 2 | + iobm/IOS_FSM_FFd4.D = iobm/IOS_FSM_FFd5; + iobm/IOS_FSM_FFd4.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 4 | 4 | iobm/IOS_FSM_FFd5 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 9 | 4 | 5 | 4 | 0 | 4 | 12 | 1 | 16 | 1 | 13 | 1 | 10 | 1 | 11 | 4 | 1 | 4 | 17 +INPUTS | 1 | iobm/IOS_FSM_FFd6 +INPUTMC | 1 | 4 | 3 +EQ | 2 | + iobm/IOS_FSM_FFd5.D = iobm/IOS_FSM_FFd6; + iobm/IOS_FSM_FFd5.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 4 | 3 | iobm/IOS_FSM_FFd6 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 9 | 4 | 4 | 4 | 0 | 4 | 12 | 1 | 16 | 1 | 13 | 1 | 10 | 1 | 11 | 4 | 1 | 4 | 17 +INPUTS | 1 | iobm/IOS_FSM_FFd7 +INPUTMC | 1 | 4 | 2 +EQ | 2 | + iobm/IOS_FSM_FFd6.D = iobm/IOS_FSM_FFd7; + iobm/IOS_FSM_FFd6.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 4 | 2 | iobm/IOS_FSM_FFd7 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 9 | 4 | 3 | 4 | 0 | 4 | 12 | 1 | 16 | 1 | 13 | 1 | 10 | 1 | 11 | 4 | 1 | 4 | 17 +INPUTS | 3 | CLK_IOB | iobm/IOREQr | iobm/IOS_FSM_FFd8 +INPUTMC | 2 | 1 | 4 | 4 | 10 +INPUTP | 1 | 42 +EQ | 2 | + iobm/IOS_FSM_FFd7.D = !CLK_IOB & iobm/IOREQr & iobm/IOS_FSM_FFd8; + iobm/IOS_FSM_FFd7.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 4 | 9 | cnt/RefCnt<0> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 11 | 1 | 9 | 1 | 15 | 1 | 14 | 1 | 12 | 6 | 0 | 6 | 14 | 1 | 7 | 1 | 6 | 1 | 5 | 6 | 2 | 6 | 13 +INPUTS | 0 +EQ | 2 | + cnt/RefCnt<0>.T = Vcc; + cnt/RefCnt<0>.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 6 | 0 | cnt/RefCnt<5> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 19 | 1 | 9 | 1 | 15 | 1 | 14 | 1 | 12 | 6 | 10 | 3 | 0 | 6 | 12 | 6 | 4 | 6 | 14 | 6 | 13 | 3 | 11 | 6 | 11 | 3 | 1 | 3 | 14 | 3 | 16 | 6 | 0 | 6 | 5 | 6 | 9 | 6 | 17 +INPUTS | 9 | cnt/RefCnt<0> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> | cnt/RefDone | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> +INPUTMC | 9 | 4 | 9 | 1 | 7 | 1 | 6 | 1 | 5 | 6 | 2 | 1 | 9 | 6 | 0 | 6 | 15 | 6 | 13 +EXPORTS | 1 | 6 | 17 +EQ | 5 | + cnt/RefCnt<5>.T = cnt/RefCnt<0> & cnt/RefCnt<1> & cnt/RefCnt<2> & + cnt/RefCnt<3> & cnt/RefCnt<4>; + cnt/RefCnt<5>.CLK = CLK_FSB; // GCK + cnt/RefCnt<5>.EXP = !cnt/RefDone & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 6 | 15 | cnt/RefCnt<6> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 18 | 1 | 9 | 1 | 15 | 1 | 14 | 1 | 12 | 6 | 10 | 3 | 0 | 6 | 12 | 6 | 4 | 6 | 13 | 3 | 11 | 6 | 11 | 3 | 1 | 3 | 14 | 3 | 16 | 6 | 0 | 6 | 5 | 6 | 9 | 6 | 16 +INPUTS | 11 | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/Once | nAS_FSB | ram/RS_FSM_FFd1 | fsb/ASrf | cnt/RefDone | ram/BACTr | A_FSB<21> | RA_9_OBUF.EXP +INPUTMC | 7 | 3 | 2 | 6 | 9 | 6 | 7 | 2 | 6 | 1 | 9 | 2 | 17 | 6 | 14 +INPUTP | 4 | 105 | 15 | 21 | 109 +EXPORTS | 1 | 6 | 16 +IMPORTS | 1 | 6 | 14 +EQ | 14 | + cnt/RefCnt<6>.T = ;Imported pterms FB7_15 + cnt/RefCnt<0> & cnt/RefCnt<5> & cnt/RefCnt<1> & + cnt/RefCnt<2> & cnt/RefCnt<3> & cnt/RefCnt<4>; + cnt/RefCnt<6>.CLK = CLK_FSB; // GCK + cnt/RefCnt<6>.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & + !nAS_FSB & !ram/RS_FSM_FFd1 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & + !ram/RS_FSM_FFd1 & fsb/ASrf + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd1 & fsb/ASrf +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 2 | 3 | iobs/IOACTr +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 6 | 4 | 14 | 1 | 17 | 2 | 8 | 2 | 10 | 2 | 12 | 2 | 2 +INPUTS | 18 | IOACT | A_FSB<14> | A_FSB<22> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | iobs/Once | IORW0 | nWE_FSB | nAS_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | fsb/ASrf | A_FSB<13> +INPUTMC | 8 | 4 | 0 | 3 | 2 | 3 | 9 | 2 | 2 | 2 | 8 | 2 | 11 | 2 | 10 | 2 | 6 +INPUTP | 10 | 147 | 15 | 114 | 117 | 123 | 155 | 153 | 98 | 21 | 136 +EXPORTS | 1 | 2 | 2 +EQ | 18 | + iobs/IOACTr.D = IOACT; + iobs/IOACTr.CLK = CLK_FSB; // GCK + iobs/IOACTr.EXP = A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & + !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & + !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & + !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & + !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 1 | 7 | cnt/RefCnt<1> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 10 | 1 | 9 | 1 | 15 | 1 | 14 | 1 | 12 | 6 | 0 | 6 | 14 | 1 | 6 | 1 | 5 | 6 | 2 | 6 | 13 +INPUTS | 1 | cnt/RefCnt<0> +INPUTMC | 1 | 4 | 9 +EQ | 2 | + cnt/RefCnt<1>.T = cnt/RefCnt<0>; + cnt/RefCnt<1>.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 1 | 6 | cnt/RefCnt<2> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 9 | 1 | 9 | 1 | 15 | 1 | 14 | 1 | 12 | 6 | 0 | 6 | 14 | 1 | 5 | 6 | 2 | 6 | 13 +INPUTS | 2 | cnt/RefCnt<0> | cnt/RefCnt<1> +INPUTMC | 2 | 4 | 9 | 1 | 7 +EQ | 2 | + cnt/RefCnt<2>.T = cnt/RefCnt<0> & cnt/RefCnt<1>; + cnt/RefCnt<2>.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 1 | 5 | cnt/RefCnt<3> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 8 | 1 | 9 | 1 | 15 | 1 | 14 | 1 | 12 | 6 | 0 | 6 | 14 | 6 | 2 | 6 | 13 +INPUTS | 3 | cnt/RefCnt<0> | cnt/RefCnt<1> | cnt/RefCnt<2> +INPUTMC | 3 | 4 | 9 | 1 | 7 | 1 | 6 +EQ | 2 | + cnt/RefCnt<3>.T = cnt/RefCnt<0> & cnt/RefCnt<1> & cnt/RefCnt<2>; + cnt/RefCnt<3>.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 6 | 2 | cnt/RefCnt<4> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 7 | 1 | 9 | 1 | 15 | 1 | 14 | 1 | 12 | 6 | 0 | 6 | 14 | 6 | 13 +INPUTS | 4 | cnt/RefCnt<0> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> +INPUTMC | 4 | 4 | 9 | 1 | 7 | 1 | 6 | 1 | 5 +EQ | 3 | + cnt/RefCnt<4>.T = cnt/RefCnt<0> & cnt/RefCnt<1> & cnt/RefCnt<2> & + cnt/RefCnt<3>; + cnt/RefCnt<4>.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 2 | 13 | iobm/ETACK +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 5 | 4 | 17 | 4 | 15 | 4 | 0 | 4 | 13 | 2 | 12 +INPUTS | 16 | nVMA_IOB | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> | iobm/ES<4> | A_FSB<23> | A_FSB<21> | A_FSB<18> | iobs/PS_FSM_FFd2 | nADoutLE1 | A_FSB<17> | A_FSB<14> | A_FSB<13> | A_FSB<22> | cs/nOverlay1 +INPUTMC | 9 | 2 | 14 | 5 | 12 | 5 | 10 | 5 | 17 | 5 | 9 | 5 | 16 | 2 | 8 | 2 | 10 | 3 | 2 +INPUTP | 7 | 105 | 109 | 123 | 155 | 147 | 136 | 15 +EXPORTS | 1 | 2 | 12 +EQ | 11 | + iobm/ETACK.D = !nVMA_IOB & !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & + !iobm/ES<3> & iobm/ES<4>; + iobm/ETACK.CLK = CLK2X_IOB; // GCK + iobm/ETACK.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<18> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 & !iobs/PS_FSM_FFd2 & nADoutLE1 +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 1 | 4 | iobm/IOREQr +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 5 | 4 | 2 | 4 | 10 | 4 | 0 | 4 | 12 | 4 | 17 +INPUTS | 1 | IOREQ +INPUTMC | 1 | 2 | 12 +EQ | 2 | + iobm/IOREQr.D = IOREQ; + !iobm/IOREQr.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 4 | 10 | iobm/IOS_FSM_FFd8 +ATTRIBUTES | 8553220 | 0 +OUTPUTMC | 5 | 4 | 2 | 4 | 10 | 4 | 0 | 4 | 12 | 4 | 1 +INPUTS | 4 | iobm/IOS_FSM_FFd8 | iobm/IOS_FSM_FFd1 | CLK_IOB | iobm/IOREQr +INPUTMC | 3 | 4 | 10 | 7 | 16 | 1 | 4 +INPUTP | 1 | 42 +EQ | 3 | + !iobm/IOS_FSM_FFd8.D = !iobm/IOS_FSM_FFd8 & !iobm/IOS_FSM_FFd1 + # !CLK_IOB & iobm/IOREQr & !iobm/IOS_FSM_FFd1; + iobm/IOS_FSM_FFd8.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 3 | 5 | iobs/Load1 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 3 | 5 | 7 | 5 | 6 | 2 | 10 +INPUTS | 10 | nADoutLE1 | iobs/Once | A_FSB<23> | A_FSB<20> | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nAS_FSB | fsb/ASrf | EXP26_.EXP | EXP27_.EXP +INPUTMC | 7 | 2 | 10 | 3 | 9 | 2 | 8 | 2 | 11 | 2 | 6 | 3 | 4 | 3 | 6 +INPUTP | 3 | 105 | 114 | 21 +IMPORTS | 2 | 3 | 4 | 3 | 6 +EQ | 18 | + !iobs/Load1.D = iobs/Once + # !nADoutLE1 + # !A_FSB<23> & !A_FSB<20> + # nAS_FSB & !fsb/ASrf + # !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 +;Imported pterms FB4_5 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<19> + # !A_FSB<23> & A_FSB<21> & nWE_FSB +;Imported pterms FB4_7 + # !A_FSB<23> & A_FSB<21> & !A_FSB<18> + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1; + iobs/Load1.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 2 | 17 | ram/BACTr +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 11 | 3 | 0 | 6 | 12 | 3 | 13 | 6 | 16 | 3 | 1 | 3 | 12 | 3 | 14 | 3 | 17 | 6 | 15 | 6 | 17 | 2 | 16 +INPUTS | 8 | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<21> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> +INPUTMC | 1 | 2 | 6 +INPUTP | 7 | 21 | 105 | 109 | 117 | 123 | 155 | 153 +EXPORTS | 1 | 2 | 16 +EQ | 6 | + !ram/BACTr.D = nAS_FSB & !fsb/ASrf; + ram/BACTr.CLK = CLK_FSB; // GCK + ram/BACTr.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<19> + # !A_FSB<23> & A_FSB<21> & !A_FSB<18> + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 4 | 0 | IOACT +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 2 | 2 | 14 | 2 | 3 +INPUTS | 13 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd7 | iobm/IOREQr | iobm/IOS_FSM_FFd8 | CLK_IOB | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | RA_1_OBUF.EXP | IOBERR.EXP +INPUTMC | 12 | 4 | 15 | 4 | 5 | 4 | 4 | 4 | 3 | 4 | 2 | 1 | 4 | 4 | 10 | 2 | 13 | 4 | 8 | 4 | 6 | 4 | 1 | 4 | 17 +INPUTP | 1 | 42 +IMPORTS | 2 | 4 | 1 | 4 | 17 +EQ | 33 | + !IOACT.D = !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & + !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 & !iobm/IOS_FSM_FFd7 & + !iobm/IOREQr + # !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & + !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 & !iobm/IOS_FSM_FFd7 & + !iobm/IOS_FSM_FFd8 + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & + !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & iobm/ETACK & + !iobm/IOREQr + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & + !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & iobm/ETACK & + !iobm/IOS_FSM_FFd8 + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & + !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOREQr & + iobm/BERRrf & iobm/BERRrr +;Imported pterms FB5_2 + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & + !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd8 & + iobm/BERRrf & iobm/BERRrr + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & + !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd8 & + iobm/DTACKrf & iobm/DTACKrr + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & + !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd8 & + iobm/RESrf & iobm/RESrr +;Imported pterms FB5_18 + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & + !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOREQr & + iobm/DTACKrf & iobm/DTACKrr + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & + !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOREQr & + iobm/RESrf & iobm/RESrr; + IOACT.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 6 | 13 | cnt/RefCnt<7> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 16 | 1 | 9 | 1 | 14 | 1 | 12 | 6 | 10 | 3 | 0 | 6 | 12 | 6 | 4 | 3 | 11 | 6 | 13 | 3 | 1 | 3 | 14 | 3 | 16 | 6 | 0 | 6 | 5 | 6 | 9 | 6 | 11 +INPUTS | 18 | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | fsb/ASrf | A_FSB<21> | nAS_FSB | cnt/RefDone | ram/RS_FSM_FFd2 | cnt/RefCnt<7> +INPUTMC | 14 | 4 | 9 | 6 | 0 | 6 | 15 | 1 | 7 | 1 | 6 | 1 | 5 | 6 | 2 | 3 | 2 | 6 | 7 | 6 | 4 | 2 | 6 | 1 | 9 | 6 | 12 | 6 | 13 +INPUTP | 4 | 105 | 15 | 109 | 21 +EXPORTS | 1 | 6 | 12 +EQ | 12 | + cnt/RefCnt<7>.T = cnt/RefCnt<0> & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<1> & cnt/RefCnt<2> & cnt/RefCnt<3> & cnt/RefCnt<4>; + cnt/RefCnt<7>.CLK = CLK_FSB; // GCK + cnt/RefCnt<7>.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf + # !cnt/RefDone & nAS_FSB & ram/RS_FSM_FFd2 & + ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & + !fsb/ASrf +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 7 | 17 | iobm/Er +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 6 | 5 | 12 | 5 | 10 | 5 | 17 | 5 | 9 | 5 | 16 | 5 | 0 +INPUTS | 1 | E_IOB +INPUTP | 1 | 77 +EQ | 2 | + iobm/Er.D = E_IOB; + !iobm/Er.CLK = CLK_IOB; // GCK +GLOBALS | 1 | 2 | CLK_IOB + +MACROCELL | 5 | 4 | RefAck +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 2 | 1 | 9 | 2 | 4 +INPUTS | 2 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 +INPUTMC | 2 | 6 | 12 | 6 | 7 +EQ | 2 | + RefAck.D = ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1; + RefAck.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 5 | 0 | iobm/Er2 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 5 | 5 | 12 | 5 | 10 | 5 | 17 | 5 | 9 | 5 | 16 +INPUTS | 1 | iobm/Er +INPUTMC | 1 | 7 | 17 +EQ | 2 | + iobm/Er2.D = iobm/Er; + iobm/Er2.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 4 | 13 | iobm/IOS_FSM_FFd2 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 2 | 7 | 16 | 1 | 13 +INPUTS | 9 | iobm/IOS_FSM_FFd3 | CLK_IOB | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr | iobm/RESrf | iobm/RESrr +INPUTMC | 8 | 4 | 15 | 2 | 13 | 4 | 8 | 4 | 6 | 5 | 3 | 5 | 2 | 1 | 3 | 1 | 2 +INPUTP | 1 | 42 +EQ | 8 | + iobm/IOS_FSM_FFd2.D = iobm/IOS_FSM_FFd3 & CLK_IOB & iobm/ETACK + # iobm/IOS_FSM_FFd3 & CLK_IOB & iobm/BERRrf & + iobm/BERRrr + # iobm/IOS_FSM_FFd3 & CLK_IOB & iobm/DTACKrf & + iobm/DTACKrr + # iobm/IOS_FSM_FFd3 & CLK_IOB & iobm/RESrf & + iobm/RESrr; + iobm/IOS_FSM_FFd2.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 1 | 1 | iobm/VPArf +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 1 | 2 | 14 +INPUTS | 1 | nVPA_IOB +INPUTP | 1 | 96 +EQ | 2 | + iobm/VPArf.D = !nVPA_IOB; + !iobm/VPArf.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 1 | 0 | iobm/VPArr +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 1 | 2 | 14 +INPUTS | 1 | nVPA_IOB +INPUTP | 1 | 96 +EQ | 2 | + iobm/VPArr.D = !nVPA_IOB; + iobm/VPArr.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 4 | 12 | ALE0M +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 1 | 7 | 8 +INPUTS | 7 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd7 | iobm/IOREQr | iobm/IOS_FSM_FFd8 +INPUTMC | 7 | 4 | 15 | 4 | 5 | 4 | 4 | 4 | 3 | 4 | 2 | 1 | 4 | 4 | 10 +EQ | 7 | + !ALE0M.D = !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & + !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 & !iobm/IOS_FSM_FFd7 & + !iobm/IOREQr + # !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & + !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 & !iobm/IOS_FSM_FFd7 & + !iobm/IOS_FSM_FFd8; + ALE0M.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 2 | 7 | ALE0S +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 2 | 7 | 8 | 2 | 8 +INPUTS | 9 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | A_FSB<23> | A_FSB<22> | A_FSB<21> | nADoutLE1 | cs/nOverlay1 | A_FSB<19> | nWE_FSB +INPUTMC | 4 | 2 | 8 | 2 | 11 | 2 | 10 | 3 | 2 +INPUTP | 5 | 105 | 15 | 109 | 117 | 98 +EXPORTS | 1 | 2 | 8 +EQ | 10 | + ALE0S.D = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1; + ALE0S.CLK = CLK_FSB; // GCK + ALE0S.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<19> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & nWE_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 2 | 12 | IOREQ +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 1 | 1 | 4 +INPUTS | 11 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | iobs/IOACTr | iobs/Once | nADoutLE1 | A_FSB<23> | A_FSB<20> | nAS_FSB | fsb/ASrf | iobs/PS_FSM_FFd1.EXP | iobm/ETACK.EXP +INPUTMC | 8 | 2 | 8 | 2 | 11 | 2 | 3 | 3 | 9 | 2 | 10 | 2 | 6 | 2 | 11 | 2 | 13 +INPUTP | 3 | 105 | 114 | 21 +IMPORTS | 2 | 2 | 11 | 2 | 13 +EQ | 28 | + !IOREQ.D = !iobs/PS_FSM_FFd2 & iobs/PS_FSM_FFd1 + # iobs/PS_FSM_FFd1 & iobs/IOACTr + # iobs/Once & !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<20> & !iobs/PS_FSM_FFd2 & + nADoutLE1 + # nAS_FSB & !iobs/PS_FSM_FFd2 & !fsb/ASrf & + nADoutLE1 +;Imported pterms FB3_12 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<19> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & nWE_FSB & + !iobs/PS_FSM_FFd2 & nADoutLE1 +;Imported pterms FB3_14 + # !A_FSB<23> & A_FSB<21> & !A_FSB<18> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 & !iobs/PS_FSM_FFd2 & nADoutLE1; + IOREQ.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 2 | 6 | fsb/ASrf +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 44 | 3 | 2 | 6 | 9 | 3 | 9 | 4 | 14 | 2 | 2 | 6 | 3 | 1 | 15 | 1 | 14 | 1 | 12 | 3 | 15 | 1 | 8 | 6 | 6 | 0 | 6 | 0 | 10 | 0 | 13 | 2 | 15 | 1 | 17 | 6 | 10 | 0 | 1 | 3 | 0 | 6 | 11 | 6 | 7 | 3 | 17 | 2 | 8 | 3 | 5 | 2 | 17 | 2 | 12 | 3 | 13 | 6 | 16 | 0 | 12 | 0 | 15 | 0 | 16 | 2 | 0 | 2 | 1 | 2 | 3 | 3 | 1 | 3 | 12 | 3 | 14 | 3 | 16 | 6 | 5 | 6 | 8 | 6 | 13 | 6 | 15 | 6 | 17 +INPUTS | 1 | nAS_FSB +INPUTP | 1 | 21 +EQ | 2 | + fsb/ASrf.D = !nAS_FSB; + !fsb/ASrf.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 4 | 8 | iobm/BERRrf +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 6 | 4 | 17 | 4 | 15 | 4 | 0 | 4 | 13 | 4 | 1 | 4 | 16 +INPUTS | 1 | nBERR_IOB +INPUTP | 1 | 28 +EQ | 2 | + iobm/BERRrf.D = !nBERR_IOB; + !iobm/BERRrf.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 4 | 6 | iobm/BERRrr +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 6 | 4 | 17 | 4 | 15 | 4 | 0 | 4 | 13 | 4 | 1 | 4 | 16 +INPUTS | 1 | nBERR_IOB +INPUTP | 1 | 28 +EQ | 2 | + iobm/BERRrr.D = !nBERR_IOB; + iobm/BERRrr.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 5 | 3 | iobm/DTACKrf +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 5 | 4 | 16 | 4 | 15 | 4 | 13 | 4 | 1 | 4 | 17 +INPUTS | 1 | nDTACK_IOB +INPUTP | 1 | 88 +EQ | 2 | + iobm/DTACKrf.D = !nDTACK_IOB; + !iobm/DTACKrf.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 5 | 2 | iobm/DTACKrr +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 5 | 4 | 16 | 4 | 15 | 4 | 13 | 4 | 1 | 4 | 17 +INPUTS | 1 | nDTACK_IOB +INPUTP | 1 | 88 +EQ | 2 | + iobm/DTACKrr.D = !nDTACK_IOB; + iobm/DTACKrr.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 7 | 16 | iobm/IOS_FSM_FFd1 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 1 | 4 | 10 +INPUTS | 1 | iobm/IOS_FSM_FFd2 +INPUTMC | 1 | 4 | 13 +EQ | 2 | + iobm/IOS_FSM_FFd1.D = iobm/IOS_FSM_FFd2; + iobm/IOS_FSM_FFd1.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 1 | 3 | iobm/RESrf +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 5 | 4 | 16 | 4 | 15 | 4 | 13 | 4 | 1 | 4 | 17 +INPUTS | 1 | nRES +INPUTP | 1 | 160 +EQ | 2 | + iobm/RESrf.D = !nRES; + !iobm/RESrf.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 1 | 2 | iobm/RESrr +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 5 | 4 | 16 | 4 | 15 | 4 | 13 | 4 | 1 | 4 | 17 +INPUTS | 1 | nRES +INPUTP | 1 | 160 +EQ | 2 | + iobm/RESrr.D = !nRES; + iobm/RESrr.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 2 | 5 | iobs/Clear1 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 1 | 2 | 10 +INPUTS | 3 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 +INPUTMC | 3 | 2 | 8 | 2 | 11 | 2 | 10 +EQ | 2 | + iobs/Clear1.D = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & !nADoutLE1; + iobs/Clear1.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 3 | 13 | ram/RAMDIS1 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 3 | 2 | 4 | 5 | 5 | 5 | 8 +INPUTS | 10 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | A_FSB<23> | cnt/RefDone | nAS_FSB | ram/BACTr | fsb/ASrf | EXP30_.EXP | EXP31_.EXP +INPUTMC | 8 | 6 | 12 | 6 | 7 | 6 | 4 | 1 | 9 | 2 | 17 | 2 | 6 | 3 | 12 | 3 | 14 +INPUTP | 2 | 105 | 21 +IMPORTS | 2 | 3 | 12 | 3 | 14 +EQ | 38 | + ram/RAMDIS1.D = ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 + # !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 + # !ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 + # A_FSB<23> & !cnt/RefDone & !nAS_FSB & + !ram/RS_FSM_FFd1 & !ram/BACTr + # A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd1 & + !ram/BACTr & fsb/ASrf +;Imported pterms FB4_13 + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & !nAS_FSB & + !ram/RS_FSM_FFd1 & !ram/BACTr + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr +;Imported pterms FB4_12 + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> +;Imported pterms FB4_15 + # A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd1 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # ram/Once & !cnt/RefDone & !ram/RS_FSM_FFd1 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !cnt/RefDone & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd3 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !cnt/RefDone & nAS_FSB & !ram/RS_FSM_FFd1 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & !fsb/ASrf; + ram/RAMDIS1.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 6 | 16 | ram/RAMReady +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 4 | 6 | 6 | 0 | 12 | 0 | 0 | 0 | 15 +INPUTS | 12 | A_FSB<21> | cs/nOverlay1 | cnt/RefDone | nAS_FSB | ram/RS_FSM_FFd1 | ram/BACTr | A_FSB<23> | A_FSB<22> | ram/Once | fsb/ASrf | cnt/RefCnt<6>.EXP | EXP34_.EXP +INPUTMC | 8 | 3 | 2 | 1 | 9 | 6 | 7 | 2 | 17 | 6 | 9 | 2 | 6 | 6 | 15 | 6 | 17 +INPUTP | 4 | 109 | 21 | 105 | 15 +IMPORTS | 2 | 6 | 15 | 6 | 17 +EQ | 33 | + !ram/RAMReady.D = A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr + # !A_FSB<21> & !cs/nOverlay1 & !cnt/RefDone & + !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr + # !A_FSB<21> & !cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd1 +;Imported pterms FB7_16 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & + !nAS_FSB & !ram/RS_FSM_FFd1 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & + !ram/RS_FSM_FFd1 & fsb/ASrf + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd1 & fsb/ASrf +;Imported pterms FB7_18 + # ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 + # !ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 + # !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 + # A_FSB<23> & !cnt/RefDone & !nAS_FSB & + !ram/RS_FSM_FFd1 & !ram/BACTr + # A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd1 & + !ram/BACTr & fsb/ASrf +;Imported pterms FB7_1 + # !cnt/RefDone & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7>; + ram/RAMReady.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 1 | 16 | nAS_IOB_OBUF +ATTRIBUTES | 8684290 | 0 +INPUTS | 5 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd7 +INPUTMC | 5 | 4 | 15 | 4 | 5 | 4 | 4 | 4 | 3 | 4 | 2 +EQ | 3 | + nAS_IOB.D = !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & + !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 & !iobm/IOS_FSM_FFd7; + !nAS_IOB.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 5 | 1 | nCAS_OBUF +ATTRIBUTES | 8684290 | 0 +INPUTS | 1 | ram/RASEL +INPUTMC | 1 | 3 | 0 +EQ | 2 | + nCAS.D = !ram/RASEL; + !nCAS.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 7 | 14 | nDinLE_OBUF +ATTRIBUTES | 8684290 | 0 +INPUTS | 2 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 +INPUTMC | 2 | 4 | 15 | 4 | 5 +EQ | 2 | + !nDinLE.D = !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4; + !nDinLE.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 1 | 13 | nDoutOE_OBUF +ATTRIBUTES | 8684290 | 0 +INPUTS | 7 | IORW0 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd7 | iobm/IOS_FSM_FFd2 +INPUTMC | 7 | 2 | 2 | 4 | 15 | 4 | 5 | 4 | 4 | 4 | 3 | 4 | 2 | 4 | 13 +EQ | 5 | + nDoutOE.D = !IORW0 + # !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & + !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 & !iobm/IOS_FSM_FFd7 & + !iobm/IOS_FSM_FFd2; + nDoutOE.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 1 | 10 | nLDS_IOB_OBUF +ATTRIBUTES | 8684290 | 0 +INPUTS | 7 | IOL0 | IORW0 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd7 +INPUTMC | 7 | 5 | 15 | 2 | 2 | 4 | 15 | 4 | 5 | 4 | 4 | 4 | 3 | 4 | 2 +EQ | 6 | + nLDS_IOB.D = !IOL0 + # IORW0 & !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & + !iobm/IOS_FSM_FFd5 + # !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & + !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 & !iobm/IOS_FSM_FFd7; + !nLDS_IOB.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 1 | 11 | nUDS_IOB_OBUF +ATTRIBUTES | 8684290 | 0 +INPUTS | 7 | IOU0 | IORW0 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd7 +INPUTMC | 7 | 5 | 13 | 2 | 2 | 4 | 15 | 4 | 5 | 4 | 4 | 4 | 3 | 4 | 2 +EQ | 6 | + nUDS_IOB.D = !IOU0 + # IORW0 & !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & + !iobm/IOS_FSM_FFd5 + # !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & + !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 & !iobm/IOS_FSM_FFd7; + !nUDS_IOB.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 3 | 1 | RA_0_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 3 | 0 +INPUTS | 13 | A_FSB<22> | cs/nOverlay1 | cnt/RefDone | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/BACTr | fsb/ASrf | nAS_FSB | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | ram/RS_FSM_FFd3 | cs/nOverlay1.EXP +INPUTMC | 11 | 3 | 2 | 1 | 9 | 6 | 12 | 6 | 7 | 2 | 17 | 2 | 6 | 6 | 0 | 6 | 15 | 6 | 13 | 6 | 4 | 3 | 2 +INPUTP | 2 | 15 | 21 +EXPORTS | 1 | 3 | 0 +IMPORTS | 1 | 3 | 2 +EQ | 15 | + RA<0> = ;Imported pterms FB4_3 + A_FSB<10> & !ram/RASEL + # ram/RASEL & A_FSB<1>; + RA_0_OBUF.EXP = A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # !cnt/RefDone & nAS_FSB & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & + !fsb/ASrf + # !cnt/RefDone & nAS_FSB & ram/RS_FSM_FFd1 & + ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & + !fsb/ASrf + +MACROCELL | 4 | 1 | RA_1_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 4 | 0 +INPUTS | 15 | A_FSB<11> | ram/RASEL | A_FSB<2> | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | CLK_IOB | iobm/IOS_FSM_FFd7 | iobm/IOS_FSM_FFd8 | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr | iobm/RESrf | iobm/RESrr +INPUTMC | 12 | 3 | 0 | 4 | 5 | 4 | 4 | 4 | 3 | 4 | 2 | 4 | 10 | 4 | 8 | 4 | 6 | 5 | 3 | 5 | 2 | 1 | 3 | 1 | 2 +INPUTP | 3 | 140 | 24 | 42 +EXPORTS | 1 | 4 | 0 +EQ | 11 | + RA<1> = A_FSB<11> & !ram/RASEL + # ram/RASEL & A_FSB<2>; + RA_1_OBUF.EXP = !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & + !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd8 & + iobm/BERRrf & iobm/BERRrr + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & + !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd8 & + iobm/DTACKrf & iobm/DTACKrr + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & + !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd8 & + iobm/RESrf & iobm/RESrr + +MACROCELL | 3 | 7 | RA_2_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 3 | 8 +INPUTS | 10 | A_FSB<12> | ram/RASEL | A_FSB<3> | A_FSB<14> | A_FSB<13> | A_FSB<23> | A_FSB<21> | iobs/Once | A_FSB<22> | cs/nOverlay1 +INPUTMC | 3 | 3 | 0 | 3 | 9 | 3 | 2 +INPUTP | 7 | 146 | 127 | 147 | 136 | 105 | 109 | 15 +EXPORTS | 1 | 3 | 8 +EQ | 6 | + RA<2> = A_FSB<12> & !ram/RASEL + # ram/RASEL & A_FSB<3>; + RA_2_OBUF.EXP = !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & + !iobs/Once + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 & !iobs/Once + +MACROCELL | 4 | 7 | RA_3_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 3 | A_FSB<13> | ram/RASEL | A_FSB<4> +INPUTMC | 1 | 3 | 0 +INPUTP | 2 | 136 | 92 +EQ | 2 | + RA<3> = A_FSB<13> & !ram/RASEL + # ram/RASEL & A_FSB<4>; + +MACROCELL | 3 | 11 | RA_4_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 3 | 12 +INPUTS | 11 | A_FSB<14> | ram/RASEL | A_FSB<5> | A_FSB<22> | A_FSB<21> | cnt/RefDone | ram/RS_FSM_FFd1 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | cs/nOverlay1 +INPUTMC | 7 | 3 | 0 | 1 | 9 | 6 | 7 | 6 | 0 | 6 | 15 | 6 | 13 | 3 | 2 +INPUTP | 4 | 147 | 23 | 15 | 109 +EXPORTS | 1 | 3 | 12 +EQ | 8 | + RA<4> = A_FSB<14> & !ram/RASEL + # ram/RASEL & A_FSB<5>; + RA_4_OBUF.EXP = A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + +MACROCELL | 6 | 1 | RA_5_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 3 | A_FSB<15> | ram/RASEL | A_FSB<6> +INPUTMC | 1 | 3 | 0 +INPUTP | 2 | 134 | 29 +EQ | 2 | + RA<5> = A_FSB<15> & !ram/RASEL + # ram/RASEL & A_FSB<6>; + +MACROCELL | 6 | 5 | RA_6_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 6 | 4 +INPUTS | 14 | A_FSB<16> | ram/RASEL | A_FSB<7> | A_FSB<22> | cs/nOverlay1 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cnt/RefDone | nAS_FSB | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | fsb/ASrf +INPUTMC | 10 | 3 | 0 | 3 | 2 | 6 | 12 | 6 | 7 | 6 | 4 | 1 | 9 | 6 | 0 | 6 | 15 | 6 | 13 | 2 | 6 +INPUTP | 4 | 153 | 30 | 15 | 21 +EXPORTS | 1 | 6 | 4 +EQ | 10 | + RA<6> = A_FSB<16> & !ram/RASEL + # ram/RASEL & A_FSB<7>; + RA_6_OBUF.EXP = !A_FSB<22> & !cs/nOverlay1 & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # !cnt/RefDone & !nAS_FSB & ram/RS_FSM_FFd2 & + ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + # !cnt/RefDone & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & + ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & + fsb/ASrf + +MACROCELL | 6 | 8 | RA_7_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 6 | 9 +INPUTS | 13 | A_FSB<17> | ram/RASEL | A_FSB<8> | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/Once | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | fsb/ASrf | A_FSB<21> | nAS_FSB +INPUTMC | 7 | 3 | 0 | 3 | 2 | 6 | 9 | 6 | 12 | 6 | 7 | 6 | 4 | 2 | 6 +INPUTP | 6 | 155 | 103 | 105 | 15 | 109 | 21 +EXPORTS | 1 | 6 | 9 +EQ | 10 | + RA<7> = A_FSB<8> & ram/RASEL + # A_FSB<17> & !ram/RASEL; + RA_7_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & fsb/ASrf + +MACROCELL | 6 | 11 | RA_8_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 6 | 12 +INPUTS | 13 | A_FSB<18> | ram/RASEL | nAS_FSB | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cnt/RefCnt<5> | fsb/ASrf | cnt/RefCnt<6> | cnt/RefCnt<7> | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/RAMDIS2.EXP +INPUTMC | 9 | 3 | 0 | 6 | 7 | 6 | 4 | 6 | 0 | 2 | 6 | 6 | 15 | 6 | 13 | 3 | 2 | 6 | 10 +INPUTP | 4 | 123 | 21 | 105 | 15 +EXPORTS | 1 | 6 | 12 +IMPORTS | 1 | 6 | 10 +EQ | 11 | + RA<8> = A_FSB<18> & !ram/RASEL +;Imported pterms FB7_11 + # A_FSB<9> & ram/RASEL; + RA_8_OBUF.EXP = nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + !cnt/RefCnt<5> & !fsb/ASrf + # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + !cnt/RefCnt<6> & !fsb/ASrf + # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + !cnt/RefCnt<7> & !fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !nAS_FSB & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + +MACROCELL | 6 | 14 | RA_9_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 6 | 15 +INPUTS | 9 | A_FSB<19> | ram/RASEL | A_FSB<20> | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> +INPUTMC | 7 | 3 | 0 | 4 | 9 | 6 | 0 | 1 | 7 | 1 | 6 | 1 | 5 | 6 | 2 +INPUTP | 2 | 117 | 114 +EXPORTS | 1 | 6 | 15 +EQ | 4 | + RA<9> = A_FSB<20> & ram/RASEL + # A_FSB<19> & !ram/RASEL; + RA_9_OBUF.EXP = cnt/RefCnt<0> & cnt/RefCnt<5> & cnt/RefCnt<1> & + cnt/RefCnt<2> & cnt/RefCnt<3> & cnt/RefCnt<4> + +MACROCELL | 0 | 5 | nBERR_FSB_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 0 | 6 +INPUTS | 20 | nAS_FSB | BERR_IOBS | TimeoutB | fsb/BERR0r | fsb/BERR1r | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<14> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | fsb/Ready1r | iobs/IOReady | nADoutLE1 | A_FSB<13> +INPUTMC | 8 | 4 | 14 | 1 | 14 | 3 | 15 | 1 | 8 | 3 | 2 | 0 | 6 | 1 | 17 | 2 | 10 +INPUTP | 12 | 21 | 105 | 15 | 109 | 114 | 147 | 117 | 123 | 155 | 153 | 98 | 136 +EXPORTS | 1 | 0 | 6 +EQ | 12 | + nBERR_FSB = nAS_FSB + # !BERR_IOBS & !TimeoutB & !fsb/BERR0r & !fsb/BERR1r + # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & A_FSB<20> & + !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r; + nBERR_FSB_OBUF.EXP = A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & + !nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & + !nADoutLE1 + +MACROCELL | 0 | 10 | nOE_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 0 | 11 +INPUTS | 21 | nWE_FSB | nAS_FSB | fsb/ASrf | A_FSB<8> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | TimeoutA | fsb/Ready2r +INPUTMC | 4 | 2 | 6 | 3 | 2 | 1 | 15 | 0 | 11 +INPUTP | 17 | 98 | 21 | 103 | 134 | 147 | 136 | 146 | 140 | 143 | 105 | 15 | 109 | 114 | 117 | 123 | 155 | 153 +EXPORTS | 1 | 0 | 11 +EQ | 14 | + !nOE = nWE_FSB & !nAS_FSB; + nOE_OBUF.EXP = nAS_FSB & !fsb/ASrf + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + +MACROCELL | 5 | 11 | nROMWE_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 2 | nWE_FSB | nAS_FSB +INPUTP | 2 | 98 | 21 +EQ | 1 | + !nROMWE = !nWE_FSB & !nAS_FSB; + +MACROCELL | 5 | 14 | nVPA_FSB_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 2 | fsb/VPA | nAS_FSB +INPUTMC | 1 | 0 | 14 +INPUTP | 1 | 21 +EQ | 1 | + !nVPA_FSB = fsb/VPA & !nAS_FSB; + +MACROCELL | 7 | 8 | nADoutLE0_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 2 | ALE0M | ALE0S +INPUTMC | 2 | 4 | 12 | 2 | 7 +EQ | 1 | + nADoutLE0 = !ALE0M & !ALE0S; + +MACROCELL | 3 | 16 | nDinOE_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 3 | 17 +INPUTS | 14 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | ram/Once | nAS_FSB | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | fsb/ASrf | cnt/RefDone | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | fsb/BERR0r.EXP +INPUTMC | 10 | 3 | 2 | 6 | 9 | 6 | 12 | 6 | 7 | 2 | 6 | 1 | 9 | 6 | 0 | 6 | 15 | 6 | 13 | 3 | 15 +INPUTP | 4 | 105 | 15 | 109 | 21 +EXPORTS | 1 | 3 | 17 +IMPORTS | 1 | 3 | 15 +EQ | 19 | + nDinOE = ;Imported pterms FB4_16 + A_FSB<23> & nWE_FSB & !nAS_FSB + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & nWE_FSB & + !nAS_FSB; + nDinOE_OBUF.EXP = !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + fsb/ASrf + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + +MACROCELL | 2 | 4 | nRAS_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 8 | RefAck | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/RAMDIS2 | nAS_FSB | ram/RAMDIS1 | A_FSB<21> +INPUTMC | 4 | 5 | 4 | 3 | 2 | 6 | 10 | 3 | 13 +INPUTP | 4 | 105 | 15 | 21 | 109 +EQ | 5 | + !nRAS = RefAck + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !ram/RAMDIS2 & !nAS_FSB & !ram/RAMDIS1 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/RAMDIS2 & !nAS_FSB & !ram/RAMDIS1; + +MACROCELL | 7 | 1 | A_FSB_19_IBUF$BUF0 +ATTRIBUTES | 264962 | 0 +INPUTS | 1 | A_FSB<19> +INPUTP | 1 | 117 +EQ | 1 | + RA<11> = A_FSB<19>; + +MACROCELL | 7 | 5 | A_FSB_21_IBUF$BUF0 +ATTRIBUTES | 264962 | 0 +INPUTS | 1 | A_FSB<21> +INPUTP | 1 | 109 +EQ | 1 | + RA<10> = A_FSB<21>; + +MACROCELL | 2 | 10 | nADoutLE1_OBUF +ATTRIBUTES | 8815366 | 0 +OUTPUTMC | 22 | 3 | 8 | 4 | 14 | 2 | 2 | 5 | 15 | 5 | 13 | 0 | 5 | 2 | 16 | 1 | 17 | 0 | 2 | 2 | 8 | 3 | 5 | 2 | 12 | 2 | 5 | 2 | 10 | 0 | 4 | 2 | 0 | 2 | 1 | 2 | 3 | 2 | 7 | 2 | 9 | 2 | 11 | 2 | 13 +INPUTS | 6 | iobs/Clear1 | nADoutLE1 | iobs/Load1 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | iobs/IOACTr +INPUTMC | 6 | 2 | 5 | 2 | 10 | 3 | 5 | 2 | 8 | 2 | 11 | 2 | 3 +EXPORTS | 1 | 2 | 11 +EQ | 5 | + !nADoutLE1.D = iobs/Load1 + # !iobs/Clear1 & !nADoutLE1; + nADoutLE1.CLK = CLK_FSB; // GCK + nADoutLE1_OBUF.EXP = iobs/PS_FSM_FFd2 + # iobs/PS_FSM_FFd1 & iobs/IOACTr +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 5 | 5 | nRAMLWE_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 5 | nWE_FSB | nLDS_FSB | ram/RAMDIS2 | nAS_FSB | ram/RAMDIS1 +INPUTMC | 2 | 6 | 10 | 3 | 13 +INPUTP | 3 | 98 | 37 | 21 +EQ | 2 | + !nRAMLWE = !nWE_FSB & !nLDS_FSB & !ram/RAMDIS2 & !nAS_FSB & + !ram/RAMDIS1; + +MACROCELL | 5 | 8 | nRAMUWE_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 5 | nWE_FSB | nUDS_FSB | ram/RAMDIS2 | nAS_FSB | ram/RAMDIS1 +INPUTMC | 2 | 6 | 10 | 3 | 13 +INPUTP | 3 | 98 | 82 | 21 +EQ | 2 | + !nRAMUWE = !nWE_FSB & !nUDS_FSB & !ram/RAMDIS2 & !nAS_FSB & + !ram/RAMDIS1; + +MACROCELL | 4 | 11 | nROMCS_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 5 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | cs/nOverlay1 +INPUTMC | 1 | 3 | 2 +INPUTP | 4 | 105 | 15 | 109 | 114 +EQ | 3 | + !nROMCS = !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> + # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & + !cs/nOverlay1; + +MACROCELL | 7 | 11 | nAoutOE_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 0 +EQ | 1 | + nAoutOE = Gnd; + +MACROCELL | 0 | 9 | fsb/VPA__or00001/fsb/VPA__or00001_D2 +ATTRIBUTES | 133888 | 0 +OUTPUTMC | 4 | 0 | 14 | 0 | 12 | 0 | 13 | 0 | 16 +INPUTS | 21 | A_FSB<8> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | TimeoutA | fsb/Ready2r | A_FSB<9> | EXP17_.EXP +INPUTMC | 4 | 3 | 2 | 1 | 15 | 0 | 11 | 0 | 8 +INPUTP | 17 | 103 | 134 | 147 | 136 | 146 | 140 | 143 | 105 | 15 | 109 | 114 | 117 | 123 | 155 | 153 | 98 | 19 +IMPORTS | 1 | 0 | 8 +EQ | 33 | + fsb/VPA__or00001/fsb/VPA__or00001_D2 = A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r +;Imported pterms FB1_9 + # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r; + +MACROCELL | 0 | 16 | $OpTx$FX_DC$602 +ATTRIBUTES | 133888 | 0 +OUTPUTMC | 7 | 0 | 14 | 0 | 1 | 0 | 3 | 0 | 12 | 0 | 13 | 0 | 16 | 0 | 15 +INPUTS | 25 | TimeoutB | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<9> | A_FSB<8> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | BERR_IOBS | fsb/BERR0r | fsb/BERR1r | iobs/IOReady | fsb/ASrf | fsb/VPA__or00001/fsb/VPA__or00001_D2 | $OpTx$FX_DC$602 | $OpTx$FX_DC$606 +INPUTMC | 9 | 1 | 14 | 4 | 14 | 3 | 15 | 1 | 8 | 1 | 17 | 2 | 6 | 0 | 9 | 0 | 16 | 0 | 3 +INPUTP | 16 | 105 | 15 | 109 | 114 | 19 | 103 | 134 | 147 | 136 | 146 | 140 | 143 | 117 | 123 | 155 | 153 +EXPORTS | 1 | 0 | 15 +EQ | 14 | + $OpTx$FX_DC$602 = !TimeoutB + # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & A_FSB<20>; + $OpTx$FX_DC$602.EXP = A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + iobs/IOReady & fsb/ASrf & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & + $OpTx$FX_DC$602 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + fsb/ASrf & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & + $OpTx$FX_DC$602 & !$OpTx$FX_DC$606 + +MACROCELL | 0 | 3 | $OpTx$FX_DC$606 +ATTRIBUTES | 133888 | 0 +OUTPUTMC | 4 | 0 | 12 | 0 | 15 | 0 | 16 | 0 | 2 +INPUTS | 28 | A_FSB<9> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<13> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | A_FSB<15> | A_FSB<14> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | TimeoutA | fsb/Ready2r | nDTACK_FSB | A_FSB<8> | BERR_IOBS | fsb/BERR0r | fsb/BERR1r | fsb/Ready1r | $OpTx$FX_DC$602 | iobs/IOReady | EXP16_.EXP +INPUTMC | 11 | 3 | 2 | 1 | 15 | 0 | 11 | 0 | 1 | 4 | 14 | 3 | 15 | 1 | 8 | 0 | 6 | 0 | 16 | 1 | 17 | 0 | 4 +INPUTP | 17 | 19 | 15 | 109 | 114 | 136 | 117 | 123 | 155 | 153 | 98 | 134 | 147 | 146 | 140 | 143 | 105 | 103 +EXPORTS | 1 | 0 | 2 +IMPORTS | 1 | 0 | 4 +EQ | 27 | + $OpTx$FX_DC$606 = A_FSB<22> & !A_FSB<21> & A_FSB<20> + # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB +;Imported pterms FB1_5 + # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !nADoutLE1; + $OpTx$FX_DC$606.EXP = A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + fsb/Ready1r & $OpTx$FX_DC$602 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + iobs/IOReady & $OpTx$FX_DC$602 + +MACROCELL | 0 | 0 | EXP14_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 0 | 1 +INPUTS | 17 | A_FSB<23> | fsb/Ready1r | iobs/IOReady | nDTACK_FSB | A_FSB<22> | cs/nOverlay1 | fsb/Ready0r | ram/RAMReady | A_FSB<21> | A_FSB<20> | A_FSB<14> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | EXP21_.EXP +INPUTMC | 7 | 0 | 6 | 1 | 17 | 0 | 1 | 3 | 2 | 6 | 6 | 6 | 16 | 0 | 17 +INPUTP | 10 | 105 | 15 | 109 | 114 | 147 | 117 | 123 | 155 | 153 | 98 +EXPORTS | 1 | 0 | 1 +IMPORTS | 1 | 0 | 17 +EQ | 37 | + EXP14_.EXP = A_FSB<23> & !fsb/Ready1r & !iobs/IOReady & + nDTACK_FSB + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !fsb/Ready1r & + !iobs/IOReady & nDTACK_FSB + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady + # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & + !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB +;Imported pterms FB1_18 + # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + +MACROCELL | 0 | 2 | EXP15_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 0 | 1 +INPUTS | 25 | A_FSB<13> | A_FSB<22> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | fsb/Ready1r | iobs/IOReady | nDTACK_FSB | A_FSB<14> | A_FSB<21> | nADoutLE1 | A_FSB<9> | A_FSB<15> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | TimeoutA | fsb/Ready2r | A_FSB<8> | $OpTx$FX_DC$606.EXP +INPUTMC | 8 | 3 | 2 | 0 | 6 | 1 | 17 | 0 | 1 | 2 | 10 | 1 | 15 | 0 | 11 | 0 | 3 +INPUTP | 17 | 136 | 15 | 114 | 117 | 123 | 155 | 153 | 98 | 147 | 109 | 19 | 134 | 146 | 140 | 143 | 105 | 103 +EXPORTS | 1 | 0 | 1 +IMPORTS | 1 | 0 | 3 +EQ | 37 | + EXP15_.EXP = A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & + !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & + nDTACK_FSB & !nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & + nDTACK_FSB & !nADoutLE1 + # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB +;Imported pterms FB1_4 + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + fsb/Ready1r & $OpTx$FX_DC$602 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + iobs/IOReady & $OpTx$FX_DC$602 + +MACROCELL | 0 | 4 | EXP16_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 0 | 3 +INPUTS | 12 | A_FSB<14> | A_FSB<22> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | A_FSB<21> | nADoutLE1 | A_FSB<13> +INPUTMC | 2 | 3 | 2 | 2 | 10 +INPUTP | 10 | 147 | 15 | 114 | 117 | 123 | 155 | 153 | 98 | 109 | 136 +EXPORTS | 1 | 0 | 3 +EQ | 8 | + EXP16_.EXP = A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !nADoutLE1 + +MACROCELL | 0 | 8 | EXP17_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 0 | 9 +INPUTS | 20 | A_FSB<9> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | TimeoutA | fsb/Ready2r | A_FSB<8> +INPUTMC | 3 | 3 | 2 | 1 | 15 | 0 | 11 +INPUTP | 17 | 19 | 134 | 147 | 136 | 146 | 140 | 143 | 105 | 15 | 109 | 114 | 117 | 123 | 155 | 153 | 98 | 103 +EXPORTS | 1 | 0 | 9 +EQ | 12 | + EXP17_.EXP = A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + +MACROCELL | 0 | 12 | EXP18_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 0 | 13 +INPUTS | 30 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | fsb/Ready0r | fsb/VPA | fsb/ASrf | ram/RAMReady | A_FSB<9> | A_FSB<8> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | BERR_IOBS | fsb/BERR0r | fsb/BERR1r | fsb/Ready1r | nAS_FSB | fsb/VPA__or00001/fsb/VPA__or00001_D2 | $OpTx$FX_DC$602 | iobs/IOReady | $OpTx$FX_DC$606 +INPUTMC | 13 | 3 | 2 | 6 | 6 | 0 | 14 | 2 | 6 | 6 | 16 | 4 | 14 | 3 | 15 | 1 | 8 | 0 | 6 | 0 | 9 | 0 | 16 | 1 | 17 | 0 | 3 +INPUTP | 17 | 105 | 15 | 109 | 19 | 103 | 134 | 147 | 136 | 146 | 140 | 143 | 114 | 117 | 123 | 155 | 153 | 21 +EXPORTS | 1 | 0 | 13 +EQ | 26 | + EXP18_.EXP = !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !fsb/Ready0r & fsb/VPA & fsb/ASrf & !ram/RAMReady + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + fsb/Ready1r & !nAS_FSB & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & + $OpTx$FX_DC$602 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + fsb/Ready1r & fsb/ASrf & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & + $OpTx$FX_DC$602 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + iobs/IOReady & !nAS_FSB & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & + $OpTx$FX_DC$602 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + !nAS_FSB & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & + $OpTx$FX_DC$602 & !$OpTx$FX_DC$606 + +MACROCELL | 0 | 13 | EXP19_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 0 | 14 +INPUTS | 8 | BERR_IOBS | fsb/VPA | fsb/ASrf | fsb/BERR0r | fsb/BERR1r | fsb/VPA__or00001/fsb/VPA__or00001_D2 | $OpTx$FX_DC$602 | EXP18_.EXP +INPUTMC | 8 | 4 | 14 | 0 | 14 | 2 | 6 | 3 | 15 | 1 | 8 | 0 | 9 | 0 | 16 | 0 | 12 +EXPORTS | 1 | 0 | 14 +IMPORTS | 1 | 0 | 12 +EQ | 33 | + EXP19_.EXP = BERR_IOBS & fsb/VPA & fsb/ASrf + # fsb/BERR0r & fsb/VPA & fsb/ASrf + # fsb/BERR1r & fsb/VPA & fsb/ASrf + # fsb/VPA & fsb/ASrf & + fsb/VPA__or00001/fsb/VPA__or00001_D2 + # fsb/VPA & fsb/ASrf & !$OpTx$FX_DC$602 +;Imported pterms FB1_13 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !fsb/Ready0r & fsb/VPA & fsb/ASrf & !ram/RAMReady + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + fsb/Ready1r & !nAS_FSB & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & + $OpTx$FX_DC$602 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + fsb/Ready1r & fsb/ASrf & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & + $OpTx$FX_DC$602 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + iobs/IOReady & !nAS_FSB & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & + $OpTx$FX_DC$602 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + !nAS_FSB & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & + $OpTx$FX_DC$602 & !$OpTx$FX_DC$606 + +MACROCELL | 0 | 15 | EXP20_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 0 | 14 +INPUTS | 13 | fsb/Ready1r | fsb/VPA | iobs/IOReady | nAS_FSB | $OpTx$FX_DC$606 | fsb/ASrf | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | fsb/Ready0r | ram/RAMReady | A_FSB<21> | $OpTx$FX_DC$602.EXP +INPUTMC | 9 | 0 | 6 | 0 | 14 | 1 | 17 | 0 | 3 | 2 | 6 | 3 | 2 | 6 | 6 | 6 | 16 | 0 | 16 +INPUTP | 4 | 21 | 105 | 15 | 109 +EXPORTS | 1 | 0 | 14 +IMPORTS | 1 | 0 | 16 +EQ | 23 | + EXP20_.EXP = !fsb/Ready1r & fsb/VPA & !iobs/IOReady & !nAS_FSB & + $OpTx$FX_DC$606 + # !fsb/Ready1r & fsb/VPA & !iobs/IOReady & fsb/ASrf & + $OpTx$FX_DC$606 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !fsb/Ready0r & fsb/VPA & !nAS_FSB & !ram/RAMReady + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !fsb/Ready0r & fsb/VPA & fsb/ASrf & !ram/RAMReady + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !fsb/Ready0r & fsb/VPA & !nAS_FSB & !ram/RAMReady +;Imported pterms FB1_17 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + iobs/IOReady & fsb/ASrf & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & + $OpTx$FX_DC$602 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + fsb/ASrf & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & + $OpTx$FX_DC$602 & !$OpTx$FX_DC$606 + +MACROCELL | 0 | 17 | EXP21_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 0 | 0 +INPUTS | 21 | A_FSB<9> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | TimeoutA | fsb/Ready2r | nDTACK_FSB | A_FSB<8> +INPUTMC | 4 | 3 | 2 | 1 | 15 | 0 | 11 | 0 | 1 +INPUTP | 17 | 19 | 134 | 147 | 136 | 146 | 140 | 143 | 105 | 15 | 109 | 114 | 117 | 123 | 155 | 153 | 98 | 103 +EXPORTS | 1 | 0 | 0 +EQ | 25 | + EXP21_.EXP = A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + +MACROCELL | 2 | 0 | EXP22_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 1 +INPUTS | 18 | A_FSB<14> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | iobs/Once | IORW0 | nWE_FSB | nAS_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | fsb/ASrf | A_FSB<13> +INPUTMC | 7 | 3 | 2 | 3 | 9 | 2 | 2 | 2 | 8 | 2 | 11 | 2 | 10 | 2 | 6 +INPUTP | 11 | 147 | 15 | 109 | 114 | 117 | 123 | 155 | 153 | 98 | 21 | 136 +EXPORTS | 1 | 2 | 1 +EQ | 16 | + EXP22_.EXP = A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + +MACROCELL | 2 | 1 | EXP23_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 2 +INPUTS | 13 | A_FSB<23> | iobs/Once | IORW0 | nWE_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | fsb/ASrf | nADoutLE1 | A_FSB<22> | A_FSB<21> | A_FSB<20> | nAS_FSB | EXP22_.EXP +INPUTMC | 7 | 3 | 9 | 2 | 2 | 2 | 8 | 2 | 11 | 2 | 6 | 2 | 10 | 2 | 0 +INPUTP | 6 | 105 | 98 | 15 | 109 | 114 | 21 +EXPORTS | 1 | 2 | 2 +IMPORTS | 1 | 2 | 0 +EQ | 31 | + EXP23_.EXP = A_FSB<23> & !iobs/Once & !IORW0 & nWE_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !iobs/Once & + IORW0 & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !iobs/Once & + IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & + fsb/ASrf & nADoutLE1 + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !iobs/Once & + !IORW0 & nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !iobs/Once & + !IORW0 & nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & + fsb/ASrf & nADoutLE1 +;Imported pterms FB3_1 + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + +MACROCELL | 2 | 9 | EXP24_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 8 +INPUTS | 12 | A_FSB<23> | A_FSB<21> | A_FSB<18> | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | A_FSB<17> | A_FSB<16> | A_FSB<14> | A_FSB<13> | A_FSB<22> | cs/nOverlay1 +INPUTMC | 4 | 2 | 8 | 2 | 11 | 2 | 10 | 3 | 2 +INPUTP | 8 | 105 | 109 | 123 | 155 | 153 | 147 | 136 | 15 +EXPORTS | 1 | 2 | 8 +EQ | 10 | + EXP24_.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<18> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + +MACROCELL | 2 | 15 | EXP25_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 16 +INPUTS | 11 | nWE_FSB | iobs/IORW1 | nAS_FSB | fsb/ASrf | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | nVMA_IOB_OBUF.EXP +INPUTMC | 6 | 2 | 16 | 2 | 6 | 2 | 8 | 2 | 11 | 3 | 2 | 2 | 14 +INPUTP | 5 | 98 | 21 | 105 | 15 | 109 +EXPORTS | 1 | 2 | 16 +IMPORTS | 1 | 2 | 14 +EQ | 9 | + EXP25_.EXP = !nWE_FSB & !iobs/IORW1 + # nAS_FSB & !fsb/ASrf + # !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 +;Imported pterms FB3_15 + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 + +MACROCELL | 3 | 4 | EXP26_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 3 | 5 +INPUTS | 6 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | A_FSB<19> | nWE_FSB +INPUTMC | 1 | 3 | 2 +INPUTP | 5 | 105 | 15 | 109 | 117 | 98 +EXPORTS | 1 | 3 | 5 +EQ | 4 | + EXP26_.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<21> + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<19> + # !A_FSB<23> & A_FSB<21> & nWE_FSB + +MACROCELL | 3 | 6 | EXP27_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 3 | 5 +INPUTS | 9 | A_FSB<23> | A_FSB<21> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<14> | A_FSB<13> | A_FSB<22> | cs/nOverlay1 +INPUTMC | 1 | 3 | 2 +INPUTP | 8 | 105 | 109 | 123 | 155 | 153 | 147 | 136 | 15 +EXPORTS | 1 | 3 | 5 +EQ | 6 | + EXP27_.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<18> + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 + +MACROCELL | 3 | 8 | EXP28_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 3 | 9 +INPUTS | 9 | A_FSB<23> | iobs/Once | iobs/PS_FSM_FFd1 | iobs/PS_FSM_FFd2 | nADoutLE1 | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | RA_2_OBUF.EXP +INPUTMC | 6 | 3 | 9 | 2 | 11 | 2 | 8 | 2 | 10 | 3 | 2 | 3 | 7 +INPUTP | 3 | 105 | 15 | 109 +EXPORTS | 1 | 3 | 9 +IMPORTS | 1 | 3 | 7 +EQ | 11 | + EXP28_.EXP = A_FSB<23> & !iobs/Once & iobs/PS_FSM_FFd1 + # !iobs/Once & iobs/PS_FSM_FFd2 & !nADoutLE1 + # !iobs/Once & iobs/PS_FSM_FFd1 & !nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & !iobs/Once + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & + !iobs/Once +;Imported pterms FB4_8 + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & + !iobs/Once + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 & !iobs/Once + +MACROCELL | 3 | 10 | EXP29_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 3 | 9 +INPUTS | 8 | A_FSB<23> | A_FSB<21> | A_FSB<19> | iobs/Once | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB +INPUTMC | 1 | 3 | 9 +INPUTP | 7 | 105 | 109 | 117 | 123 | 155 | 153 | 98 +EXPORTS | 1 | 3 | 9 +EQ | 5 | + EXP29_.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<19> & !iobs/Once + # !A_FSB<23> & A_FSB<21> & !A_FSB<18> & !iobs/Once + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & !iobs/Once + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & !iobs/Once + # !A_FSB<23> & A_FSB<21> & !iobs/Once & nWE_FSB + +MACROCELL | 3 | 12 | EXP30_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 3 | 13 +INPUTS | 9 | A_FSB<22> | A_FSB<21> | cnt/RefDone | nAS_FSB | ram/RS_FSM_FFd1 | ram/BACTr | fsb/ASrf | cs/nOverlay1 | RA_4_OBUF.EXP +INPUTMC | 6 | 1 | 9 | 6 | 7 | 2 | 17 | 2 | 6 | 3 | 2 | 3 | 11 +INPUTP | 3 | 15 | 109 | 21 +EXPORTS | 1 | 3 | 13 +IMPORTS | 1 | 3 | 11 +EQ | 17 | + EXP30_.EXP = A_FSB<22> & !A_FSB<21> & !cnt/RefDone & !nAS_FSB & + !ram/RS_FSM_FFd1 & !ram/BACTr + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr +;Imported pterms FB4_12 + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + +MACROCELL | 3 | 14 | EXP31_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 3 | 13 +INPUTS | 14 | A_FSB<23> | cnt/RefDone | ram/RS_FSM_FFd1 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | A_FSB<22> | cs/nOverlay1 | ram/BACTr | fsb/ASrf | ram/Once | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | nAS_FSB +INPUTMC | 11 | 1 | 9 | 6 | 7 | 6 | 0 | 6 | 15 | 6 | 13 | 3 | 2 | 2 | 17 | 2 | 6 | 6 | 9 | 6 | 12 | 6 | 4 +INPUTP | 3 | 105 | 15 | 21 +EXPORTS | 1 | 3 | 13 +EQ | 10 | + EXP31_.EXP = A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd1 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # ram/Once & !cnt/RefDone & !ram/RS_FSM_FFd1 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !cnt/RefDone & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd3 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !cnt/RefDone & nAS_FSB & !ram/RS_FSM_FFd1 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & !fsb/ASrf + +MACROCELL | 3 | 17 | EXP32_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 3 | 0 +INPUTS | 12 | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/Once | nAS_FSB | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | fsb/ASrf | A_FSB<21> | cnt/RefDone | ram/BACTr | nDinOE_OBUF.EXP +INPUTMC | 8 | 3 | 2 | 6 | 9 | 6 | 12 | 6 | 7 | 2 | 6 | 1 | 9 | 2 | 17 | 3 | 16 +INPUTP | 4 | 105 | 15 | 21 | 109 +EXPORTS | 1 | 3 | 0 +IMPORTS | 1 | 3 | 16 +EQ | 26 | + EXP32_.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & + !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & fsb/ASrf + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & !nAS_FSB & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr +;Imported pterms FB4_17 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + fsb/ASrf + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + +MACROCELL | 4 | 16 | EXP33_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 4 | 17 +INPUTS | 10 | nBERR_IOB | IOBERR | iobm/IOS_FSM_FFd3 | CLK_IOB | iobm/DTACKrf | iobm/DTACKrr | iobm/RESrf | iobm/RESrr | iobm/BERRrf | iobm/BERRrr +INPUTMC | 8 | 4 | 17 | 4 | 15 | 5 | 3 | 5 | 2 | 1 | 3 | 1 | 2 | 4 | 8 | 4 | 6 +INPUTP | 2 | 28 | 42 +EXPORTS | 1 | 4 | 17 +EQ | 10 | + EXP33_.EXP = nBERR_IOB & IOBERR & iobm/IOS_FSM_FFd3 & + CLK_IOB & iobm/DTACKrf & iobm/DTACKrr + # nBERR_IOB & IOBERR & iobm/IOS_FSM_FFd3 & + CLK_IOB & iobm/RESrf & iobm/RESrr + # !nBERR_IOB & !IOBERR & iobm/IOS_FSM_FFd3 & + CLK_IOB & iobm/BERRrf & iobm/BERRrr + # !nBERR_IOB & !IOBERR & iobm/IOS_FSM_FFd3 & + CLK_IOB & iobm/DTACKrf & iobm/DTACKrr + # !nBERR_IOB & !IOBERR & iobm/IOS_FSM_FFd3 & + CLK_IOB & iobm/RESrf & iobm/RESrr + +MACROCELL | 6 | 17 | EXP34_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 6 | 16 +INPUTS | 9 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd1 | A_FSB<23> | cnt/RefDone | nAS_FSB | ram/BACTr | fsb/ASrf | cnt/RefCnt<5>.EXP +INPUTMC | 7 | 6 | 12 | 6 | 4 | 6 | 7 | 1 | 9 | 2 | 17 | 2 | 6 | 6 | 0 +INPUTP | 2 | 105 | 21 +EXPORTS | 1 | 6 | 16 +IMPORTS | 1 | 6 | 0 +EQ | 10 | + EXP34_.EXP = ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 + # !ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 + # !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 + # A_FSB<23> & !cnt/RefDone & !nAS_FSB & + !ram/RS_FSM_FFd1 & !ram/BACTr + # A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd1 & + !ram/BACTr & fsb/ASrf +;Imported pterms FB7_1 + # !cnt/RefDone & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + +PIN | A_FSB<9> | 64 | 0 | N/A | 19 | 9 | 0 | 11 | 0 | 12 | 0 | 9 | 6 | 10 | 0 | 2 | 0 | 3 | 0 | 8 | 0 | 16 | 0 | 17 +PIN | A_FSB<8> | 64 | 0 | N/A | 103 | 10 | 0 | 11 | 0 | 12 | 0 | 9 | 6 | 8 | 0 | 2 | 0 | 3 | 0 | 8 | 0 | 10 | 0 | 16 | 0 | 17 +PIN | A_FSB<15> | 64 | 0 | N/A | 134 | 10 | 0 | 11 | 0 | 12 | 0 | 9 | 6 | 1 | 0 | 2 | 0 | 3 | 0 | 8 | 0 | 10 | 0 | 16 | 0 | 17 +PIN | A_FSB<14> | 64 | 0 | N/A | 147 | 21 | 3 | 7 | 2 | 0 | 0 | 6 | 0 | 11 | 0 | 12 | 2 | 14 | 0 | 0 | 2 | 3 | 2 | 13 | 2 | 9 | 3 | 11 | 0 | 9 | 0 | 2 | 0 | 3 | 0 | 4 | 0 | 5 | 0 | 8 | 0 | 10 | 0 | 16 | 0 | 17 | 3 | 6 +PIN | A_FSB<13> | 64 | 0 | N/A | 136 | 20 | 3 | 7 | 2 | 0 | 0 | 6 | 0 | 11 | 0 | 12 | 2 | 14 | 0 | 3 | 2 | 3 | 2 | 13 | 2 | 9 | 4 | 7 | 0 | 9 | 0 | 2 | 0 | 4 | 0 | 5 | 0 | 8 | 0 | 10 | 0 | 16 | 0 | 17 | 3 | 6 +PIN | A_FSB<12> | 64 | 0 | N/A | 146 | 10 | 0 | 11 | 0 | 12 | 0 | 9 | 3 | 7 | 0 | 2 | 0 | 3 | 0 | 8 | 0 | 10 | 0 | 16 | 0 | 17 +PIN | A_FSB<11> | 64 | 0 | N/A | 140 | 10 | 0 | 11 | 0 | 12 | 0 | 9 | 4 | 1 | 0 | 2 | 0 | 3 | 0 | 8 | 0 | 10 | 0 | 16 | 0 | 17 +PIN | A_FSB<10> | 64 | 0 | N/A | 143 | 10 | 0 | 11 | 0 | 12 | 0 | 9 | 0 | 17 | 0 | 2 | 0 | 3 | 0 | 8 | 0 | 10 | 0 | 16 | 3 | 2 +PIN | A_FSB<23> | 64 | 0 | N/A | 105 | 52 | 6 | 9 | 3 | 9 | 2 | 2 | 6 | 3 | 3 | 15 | 6 | 6 | 0 | 6 | 0 | 11 | 0 | 12 | 2 | 16 | 6 | 10 | 0 | 0 | 3 | 0 | 6 | 11 | 6 | 7 | 6 | 4 | 2 | 8 | 3 | 5 | 2 | 12 | 3 | 13 | 6 | 16 | 0 | 5 | 3 | 14 | 2 | 4 | 4 | 11 | 0 | 9 | 0 | 16 | 0 | 2 | 0 | 3 | 0 | 8 | 0 | 10 | 0 | 15 | 0 | 17 | 2 | 1 | 2 | 7 | 2 | 9 | 2 | 11 | 2 | 13 | 2 | 14 | 2 | 15 | 2 | 17 | 3 | 4 | 3 | 6 | 3 | 7 | 3 | 8 | 3 | 10 | 3 | 16 | 3 | 17 | 6 | 8 | 6 | 13 | 6 | 15 | 6 | 17 +PIN | A_FSB<22> | 64 | 0 | N/A | 15 | 48 | 6 | 9 | 3 | 9 | 2 | 1 | 6 | 3 | 3 | 15 | 6 | 6 | 0 | 6 | 0 | 11 | 0 | 12 | 2 | 15 | 6 | 10 | 0 | 0 | 2 | 14 | 6 | 11 | 6 | 7 | 3 | 17 | 2 | 7 | 3 | 4 | 2 | 11 | 3 | 12 | 6 | 16 | 0 | 5 | 3 | 14 | 2 | 4 | 4 | 11 | 0 | 9 | 0 | 16 | 0 | 3 | 0 | 2 | 0 | 4 | 0 | 8 | 0 | 10 | 0 | 15 | 0 | 17 | 2 | 0 | 2 | 3 | 2 | 9 | 2 | 13 | 3 | 1 | 3 | 6 | 3 | 7 | 3 | 8 | 3 | 11 | 3 | 16 | 6 | 5 | 6 | 8 | 6 | 13 | 6 | 15 +PIN | A_FSB<21> | 64 | 0 | N/A | 109 | 45 | 6 | 8 | 3 | 8 | 2 | 1 | 6 | 3 | 3 | 15 | 6 | 6 | 0 | 6 | 0 | 11 | 0 | 12 | 2 | 16 | 6 | 9 | 0 | 0 | 2 | 17 | 3 | 16 | 6 | 7 | 3 | 17 | 2 | 7 | 3 | 4 | 2 | 11 | 3 | 12 | 6 | 16 | 0 | 5 | 3 | 11 | 2 | 4 | 7 | 5 | 4 | 11 | 0 | 9 | 0 | 16 | 0 | 3 | 0 | 2 | 0 | 4 | 0 | 8 | 0 | 10 | 0 | 15 | 0 | 17 | 2 | 0 | 2 | 9 | 2 | 13 | 2 | 14 | 2 | 15 | 3 | 6 | 3 | 7 | 3 | 10 | 6 | 13 | 6 | 15 +PIN | A_FSB<20> | 64 | 0 | N/A | 114 | 25 | 3 | 9 | 2 | 1 | 6 | 3 | 3 | 15 | 0 | 6 | 0 | 11 | 0 | 12 | 2 | 16 | 0 | 0 | 2 | 8 | 3 | 5 | 2 | 12 | 6 | 14 | 0 | 5 | 2 | 3 | 4 | 11 | 0 | 9 | 0 | 16 | 0 | 3 | 0 | 2 | 0 | 4 | 0 | 8 | 0 | 10 | 0 | 17 | 2 | 0 +PIN | A_FSB<19> | 64 | 0 | N/A | 117 | 22 | 2 | 17 | 2 | 0 | 0 | 6 | 0 | 11 | 0 | 12 | 2 | 3 | 0 | 0 | 2 | 7 | 3 | 4 | 2 | 11 | 6 | 14 | 7 | 1 | 0 | 9 | 0 | 3 | 0 | 2 | 0 | 4 | 0 | 5 | 0 | 8 | 0 | 10 | 0 | 16 | 0 | 17 | 3 | 10 +PIN | A_FSB<18> | 64 | 0 | N/A | 123 | 21 | 3 | 6 | 2 | 0 | 0 | 6 | 0 | 11 | 0 | 12 | 2 | 13 | 0 | 0 | 2 | 3 | 2 | 17 | 2 | 9 | 6 | 11 | 0 | 9 | 0 | 3 | 0 | 2 | 0 | 4 | 0 | 5 | 0 | 8 | 0 | 10 | 0 | 16 | 0 | 17 | 3 | 10 +PIN | A_FSB<17> | 64 | 0 | N/A | 155 | 21 | 3 | 6 | 2 | 0 | 0 | 6 | 0 | 11 | 0 | 12 | 2 | 13 | 0 | 0 | 2 | 3 | 2 | 17 | 2 | 9 | 6 | 8 | 0 | 9 | 0 | 3 | 0 | 2 | 0 | 4 | 0 | 5 | 0 | 8 | 0 | 10 | 0 | 16 | 0 | 17 | 3 | 10 +PIN | A_FSB<16> | 64 | 0 | N/A | 153 | 21 | 3 | 6 | 2 | 0 | 0 | 6 | 0 | 11 | 0 | 12 | 2 | 9 | 0 | 0 | 2 | 3 | 2 | 17 | 2 | 11 | 6 | 5 | 0 | 9 | 0 | 3 | 0 | 2 | 0 | 4 | 0 | 5 | 0 | 8 | 0 | 10 | 0 | 16 | 0 | 17 | 3 | 10 +PIN | CLK2X_IOB | 4096 | 0 | N/A | 33 | 33 | 2 | 14 | 4 | 17 | 5 | 12 | 5 | 10 | 4 | 15 | 5 | 17 | 5 | 9 | 5 | 16 | 4 | 5 | 4 | 4 | 4 | 3 | 4 | 2 | 2 | 13 | 4 | 10 | 4 | 0 | 5 | 0 | 4 | 13 | 1 | 0 | 4 | 12 | 4 | 6 | 5 | 2 | 7 | 16 | 1 | 2 | 1 | 13 | 1 | 4 | 1 | 1 | 4 | 8 | 5 | 3 | 1 | 3 | 1 | 16 | 7 | 14 | 1 | 10 | 1 | 11 +PIN | CLK_FSB | 8192 | 0 | N/A | 35 | 50 | 3 | 2 | 6 | 9 | 1 | 9 | 3 | 9 | 4 | 14 | 2 | 2 | 6 | 3 | 5 | 15 | 5 | 13 | 1 | 15 | 1 | 14 | 1 | 12 | 3 | 15 | 1 | 8 | 6 | 6 | 0 | 6 | 0 | 11 | 0 | 14 | 5 | 7 | 2 | 16 | 1 | 17 | 5 | 6 | 6 | 10 | 0 | 1 | 3 | 0 | 6 | 12 | 6 | 7 | 6 | 4 | 2 | 8 | 2 | 11 | 4 | 9 | 6 | 0 | 6 | 15 | 2 | 3 | 1 | 7 | 1 | 6 | 1 | 5 | 6 | 2 | 3 | 5 | 2 | 17 | 6 | 13 | 5 | 4 | 2 | 7 | 2 | 12 | 2 | 5 | 3 | 13 | 6 | 16 | 2 | 10 | 2 | 6 | 5 | 1 +PIN | nAS_FSB | 64 | 0 | N/A | 21 | 50 | 3 | 2 | 6 | 9 | 3 | 9 | 4 | 14 | 2 | 2 | 6 | 3 | 1 | 15 | 1 | 14 | 1 | 12 | 3 | 15 | 1 | 8 | 6 | 6 | 0 | 6 | 5 | 8 | 0 | 14 | 2 | 15 | 1 | 17 | 6 | 10 | 0 | 1 | 3 | 0 | 6 | 11 | 6 | 7 | 3 | 17 | 2 | 8 | 3 | 5 | 2 | 17 | 2 | 12 | 2 | 6 | 3 | 13 | 6 | 16 | 0 | 5 | 0 | 10 | 5 | 11 | 5 | 14 | 3 | 14 | 2 | 4 | 5 | 5 | 0 | 12 | 0 | 15 | 2 | 0 | 2 | 1 | 2 | 3 | 3 | 1 | 3 | 12 | 3 | 16 | 6 | 5 | 6 | 8 | 6 | 13 | 6 | 15 | 6 | 17 +PIN | nWE_FSB | 64 | 0 | N/A | 98 | 25 | 2 | 15 | 2 | 2 | 0 | 6 | 0 | 11 | 2 | 16 | 0 | 0 | 2 | 7 | 3 | 4 | 2 | 11 | 0 | 10 | 5 | 11 | 3 | 15 | 5 | 5 | 5 | 8 | 0 | 9 | 0 | 3 | 0 | 2 | 0 | 4 | 0 | 5 | 0 | 8 | 0 | 17 | 2 | 0 | 2 | 1 | 2 | 3 | 3 | 10 +PIN | nBERR_IOB | 64 | 0 | N/A | 28 | 4 | 4 | 17 | 4 | 8 | 4 | 6 | 4 | 16 +PIN | CLK_IOB | 16448 | 0 | N/A | 42 | 9 | 4 | 17 | 4 | 15 | 4 | 2 | 4 | 10 | 4 | 0 | 4 | 13 | 4 | 1 | 4 | 16 | 7 | 17 +PIN | nRES | 65600 | 0 | N/A | 160 | 3 | 1 | 3 | 1 | 2 | 6 | 3 +PIN | nLDS_FSB | 64 | 0 | N/A | 37 | 3 | 5 | 15 | 5 | 7 | 5 | 5 +PIN | nUDS_FSB | 64 | 0 | N/A | 82 | 3 | 5 | 13 | 5 | 6 | 5 | 8 +PIN | E_IOB | 64 | 0 | N/A | 77 | 1 | 7 | 17 +PIN | nVPA_IOB | 64 | 0 | N/A | 96 | 2 | 1 | 1 | 1 | 0 +PIN | nDTACK_IOB | 64 | 0 | N/A | 88 | 2 | 5 | 3 | 5 | 2 +PIN | A_FSB<1> | 64 | 0 | N/A | 144 | 1 | 3 | 2 +PIN | A_FSB<2> | 64 | 0 | N/A | 24 | 1 | 4 | 1 +PIN | A_FSB<3> | 64 | 0 | N/A | 127 | 1 | 3 | 7 +PIN | A_FSB<4> | 64 | 0 | N/A | 92 | 1 | 4 | 7 +PIN | A_FSB<5> | 64 | 0 | N/A | 23 | 1 | 3 | 11 +PIN | A_FSB<6> | 64 | 0 | N/A | 29 | 1 | 6 | 1 +PIN | A_FSB<7> | 64 | 0 | N/A | 30 | 1 | 6 | 5 +PIN | nVMA_IOB | 536871040 | 0 | N/A | 56 +PIN | nDTACK_FSB | 536871040 | 0 | N/A | 18 +PIN | nAS_IOB | 536871040 | 0 | N/A | 17 +PIN | nCAS | 536871040 | 0 | N/A | 118 +PIN | nDinLE | 536871040 | 0 | N/A | 116 +PIN | nDoutOE | 536871040 | 0 | N/A | 13 +PIN | nLDS_IOB | 536871040 | 0 | N/A | 11 +PIN | nUDS_IOB | 536871040 | 0 | N/A | 12 +PIN | RA<0> | 536871040 | 0 | N/A | 141 +PIN | RA<1> | 536871040 | 0 | N/A | 58 +PIN | RA<2> | 536871040 | 0 | N/A | 145 +PIN | RA<3> | 536871040 | 0 | N/A | 62 +PIN | RA<4> | 536871040 | 0 | N/A | 149 +PIN | RA<5> | 536871040 | 0 | N/A | 79 +PIN | RA<6> | 536871040 | 0 | N/A | 86 +PIN | RA<7> | 536871040 | 0 | N/A | 90 +PIN | RA<8> | 536871040 | 0 | N/A | 95 +PIN | RA<9> | 536871040 | 0 | N/A | 97 +PIN | nBERR_FSB | 536871040 | 0 | N/A | 22 +PIN | nOE | 536871040 | 0 | N/A | 26 +PIN | nROMWE | 536871040 | 0 | N/A | 135 +PIN | nVPA_FSB | 536871040 | 0 | N/A | 139 +PIN | nADoutLE0 | 536871040 | 0 | N/A | 107 +PIN | nDinOE | 536871040 | 0 | N/A | 157 +PIN | nRAS | 536871040 | 0 | N/A | 36 +PIN | RA<11> | 536871040 | 0 | N/A | 102 +PIN | RA<10> | 536871040 | 0 | N/A | 104 +PIN | nADoutLE1 | 536871040 | 0 | N/A | 47 +PIN | nRAMLWE | 536871040 | 0 | N/A | 125 +PIN | nRAMUWE | 536871040 | 0 | N/A | 130 +PIN | nROMCS | 536871040 | 0 | N/A | 68 +PIN | nAoutOE | 536871040 | 0 | N/A | 112 diff --git a/cpld/XC95144XL/MXSE 2.nga b/cpld/XC95144XL/MXSE 2.nga new file mode 100644 index 0000000..d0fe8bb --- /dev/null +++ b/cpld/XC95144XL/MXSE 2.nga @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$73647<,Fz_t)HW_EA'254)L880(B~[x%D[[AE#>98%H=.E023>"Hx]r/JUQKC%436+B5WY^T<<94$NrW|!@_WMI/:="Hx]r/JUQKC%436+C_XV~xe`|jn`of`Zhb|V;:7)ATy&E\ZBD,?:9"\?<;%MsP}"APVNH(;>=.TKN54=#Gy^s(KVPDB&543(C9;1/C}Zw$GZ\@F"18?$O=<<4$NrW|!@_WMI/:=8!D334?!Iw\q.MTRJL$725*A4XX]U;=:5+OqV{ C^XLJ.=<; K2^RW[4703-E{Xu*IX^F@ 361&M8T\YQ=129'KuR,ORTHN*907,F\U7?3-E{Xu*IX^F@ 361&LR[S]ZP00:8 JvSp-LSSIM+614-A]VXX]U:=55+OqV{ C^XLJ.=<; JXQ]SPZ45<2.D|Yv+FY]GG!07>'OS\Rz|ilpfjdkblVdnxR?>;%MsP}"APVNH(;>9.P30?!Iw\q.MTRJL$725*POJ=2.Yi{*8;)294?6.n2";6=4?)!*3>5<7!8:0$<>?0;3345<689:"==5'1623>41783;<<='>0:*2<56=91:;6<6?0(33?-4?89094=>52923-46< ::;<7=?0180456.:81#?=>?:2234?5789#+$>;?0;1645<4=9:"==5'3423>637839><='k;)634?2783>;<$??;)6645<3=9:188>?)028,36783<;<=49012*55=/?9:;6:>?0;5345/682"2<=>59123><678 ;0<<5>2:336>76:2;9=?5>2;MVPUSS2[K7=<4?>39277=6<;1:9?5>639237=60;1:5?5=039167=5;8>0>>4FNQWW>DYA[K69?7?12:076>42:28<>6<62:106>52:292>6:=2:6:6>37:2?9>6;<2:776>32:2?=>6;72:7:5>053?:97;?=;711?3253??97;8=;751?3>63>80;=<47008374?57539;27=??;135<562:;36>?6:239>67<2:;76>?2:23=>6782:;:1>GKM9G<7LBJ0L,G<>GKM9G%H<64AMG3I+B5l2KGI=C!D3]SPZ6c3HFN<@ K2^RW[41GKM9Y%H?74AMG3W+C_Xl1J@H>\.DZS[URX8l1J@H>\.DZS[URX9l1J@H>\.DZS[URX:l1J@H>\.DZS[URX;8k0MAK?S/G[TZrtadxnblcjd^lfpZ10MAK>3:CM@<=FFGE_MYKK9:C\BVD;994o7LQISC>24;(WIM30MRH\B=32:a=FWOYI0GXNZH7=?0k;@]EWG:6:7$[MI74A^DPF9746m1JSK]M<01=*UGC12KTJ^L3152i5N_GQA8439&YKO56OPFR@?538c3HUM_O2>6?,SEA?e9B[CUE48=5"]OK9:C\BVD;914o7LQISC>2<;(WIM30MRH\B=3::a=FWOYI0<71.QCG<>GXNZH7=3m4A^DPF979&YKO56OPFR@?658c3HUM_O2=0?,SEA?>e9B[CUE4;;5"]OK9:C\BVD;:;4o7LQISC>16;(WIMh0MRH\B=00>48>3HUM_O2=3?f8EZ@TJ5882#^ND99B[CUE4;4h7LQISC>1:+VFL11JSK]M<2<`?DYA[K682#^ND99B[CUE4=4h7LQISC>7:+VFL11JSK]M<4<`?DYA[K6>2#^ND99B[CUE4?4h7LQISC>5:+VFL11JSK]M<6<`?DYA[K6<2#^ND99B[CUE414h7LQISC>;:+VFL11JSK]M<8<`?DYA[K622#^NDe9B[CUEW8:TEO[Id:C\BVDX98UBNXHk;@]EWGY6:VCIYKj4A^DPFZ74W@H^Ji5N_GQA[42XAK_Mh6OPFR@\50YNJ\Lo7LQISC]22ZOE]On0MRH\B^34[LDRNm1JSK]M_0:\MGSAl2KTJ^LP18]JFP@6:2KTJ^LP18]JFP@#J\L;=95N_GQA[4?XAK_M(O[I0/F21>GXNZHT=4QFBTD'FP@7&M;:96OPFR@\5GXNZHT=4QFBTD'FP@7&Xi0MRH\B^3\MGSAl2KTJ^LP21]JFP@c3HUM_OQ=1^KAQC753HUM_OQ=1^KAQC"E]O::86OPFR@\64YNJ\L/NXH?.E36?DYA[KU9=RGMUG&AQC6)L8;>7LQISC]15ZOE]O.IYK>!D337?DYA[KU9=RGMUG&AQC6)Ym1JSK]M_30\MGSAl2KTJ^LP22]JFP@d3HUM_OQ=_H@VBf=FWOYIS>QFBTD`?DYA[KU?SDLZFb9B[CUEW3KOY^RG@BR`8F@TUW@EI_#Jl;CGQVZOHJZ$O=n5MESP\MJDT&M8:=6LJRS]JKGU)L;U[XR>>1:@FVWYNGKY%H?Q_T^325>DBZ[UBCO]!D3]SPZ4692HN^_QFOCQ-@7YW\V9i7OK]R^KLFV(Vl2HN^_QFOCQ-V@A6i2HN^_QFOCQ\pvojk{ojxhjPxnp\7>DRN11IY^QFNGM1?FC43JF@56MCK3Z\MJDc3JF@>UQFOC,SEA1EkgjaX|hm7;EcweVvbk<1Oiaova:G[T42X&LR[j6KWP06\*@^WWY^Tb:G[T40X&LR[Sy}fmsgmehccWgoSl5JXQ34[+C_Xo1NT]?8_/G[TZVSW9l0IU^>7^,F\UYW\V;m7HV_16]-A]VXX]U9=o5JXQ34[+C_XV~xe`|jn`of`Zhb|Vk0IU^>8^,F\U`8^,F\UYW\V>:n6KWP0:\*@^WW}ybakaalgg[kcsWh1NT]?6_/G[Tc=BPY;2S#KWP^RW[5`9^,F\UYW\V?:n6KWP0;\*@^WW}ybakaalgg[kcsWh1NT]=Q!EYR\TQY5n2OS\?>P.DZS[URX;o1NT]_/G[Tc=BPY8:S#KWP^RW[5`_/G[TZVSW=;i7HV_20]-A]VX|zcf~h`nmdf\j`rXi2OS\?C_X;8T"HV__QV\4c=BPY89S#KWP^RW[4`R JXQ]SPZ4a3LR[>?Q!EYR\TQY49k1NT]<=_/G[TZrtadxnblcjd^lfpZgC_X;9T"HV__QV\6c=BPY88S#KWP^RW[6`>Q!EYR\TQY29k1NT]<<_/G[TZrtadxnblcjd^lfpZgC_X;>T"HV__QV\6c=BPY8?S#KWP^RW[6`S#KWPg9F\U42W'OS\R^[_1d8A]V5=V$NT]Q_T^3e?@^W:C_X;?T"HV__QV\7c=BPY8>S#KWP^RW[1`V$NT]Q_T^3e?@^W:?U%IU^PPU]1b>C_X;U%IU^i;DZS62Y)MQZT\YQ?f:G[T71X&LR[S]ZP1g9F\U40W'OS\R^[_3d8A]V5?V$NT]Q_T^1e?@^W:>U%IU^PPU]75g=BPY8i;DZS6=Y)MQZT\YQ>f:G[T7>X&LR[S]ZP2g9F\U4?W'OS\R^[_2d8A]V50V$NT]Q_T^6e?@^W:1U%IU^PPU]65g=BPY83S#KWP^vpmhtbfhgnhR`jt^c8A]V51V$NT]h4EYR1=Z(BPYU[XR>i;DZS6f:G[T7?X&LR[S]ZP2g9F\U4>W'OS\R^[_2d8A]V51V$NT]Q_T^62f>C_X;3T"HV__uqjiwciidooSck{_`9F\U57W'OS\k5JXQ13[+C_XVZ_S=h4EYR04Z(BPYU[XR?i;DZS75Y)MQZT\YQ=f:G[T66X&LR[S]ZP3g9F\U57W'OS\R^[_5d8A]V48V$NT]Q_T^72f>C_X::T"HV__uqjiwciidooSck{_`9F\U56W'OS\k5JXQ12[+C_XVZ_S=h4EYR05Z(BPYU[XR?i;DZS74Y)MQZT\YQ=f:G[T67X&LR[S]ZP3g9F\U56W'OS\R^[_53a?@^W;8U%IU^Ptrknv`hfelnTbhzPa:G[T64X&LR[j6KWP20\*@^WWY^TOI9=>0EC?:4:KM532;2CE>95FN327?LH59=1BB?<;;HL171=NF;>?7D@=559JJ7033@D9;95FN3:7?LH51:1BB>:4IO130>OI;890EC:<;HL67>OI>:1BB:=4IO:0?LH>12CEEY][AUG6?LIFK]=0EBOLT/F;?LIFK]$O=55FO@AW*A4c3@EJOY K2^RW[5bOHIJ^%H?Q_T^1g?LIFK]$O>R^[_5f8MJGD\'N9S]ZP5e9JKDES&M8T\YQ97:KLEFR)Y01BCLM[.SGD2>OHJLXY46G@BDPQ*A?l;HMO4+B5WY^T=;5FOM2-U==NGE:%^HI:;HMQAT1R^[_1f8MJTBY'N9S]ZP1e9JKWCV&M8T\YQ=d:KLV@W)L;U[XR=k;HMQAT(C:VZ_S9j4INPFU+B5WY^T9i5FOSGR*A4XX]U=;6G@RDS-U<=NG[OZ"_KH5:KLVR603@EY[= K8:KLVR6)L820EB\X0/F1`>OHZ^:%H?Q_T^2g?LIU_9$O>R^[_0f8MJTP8'N9S]ZP2e9JKWQ7&M8T\YQ0EB[?7:KLQ5(DM?1BCX>!D69JKP6)L8=0EB[?.E0`?LIR8'N9S]ZP0b9JKP6)L;U[XR?9;HMV4+W?3@E^<#\JG99JjqBbdhs87AAL7:NLCLEFD=1FT^K7;LZPA+h`ij1Fmga}Vdppmjhd3DcecXjrrklj2=IM]]D^F=4OOG1?JT43F__?6^ND59SEWR03YCEBLCJa:RJJZDR[@NSn6^FN^@VWKGJM?1[ig`d99SkwrWi}b>7_O30?;8VD:76'ZJH;5]A=33:d=UI5;;2#^ND99QE976294=7_O310/RB@0=UI5>556\N<5<-TDB23[K79374R@>6:+VFL<1YM1819:PB838)XHN>7_O37?;8VD:06'ZJH85]A=:==>TF414%\LJ:;SC?=;?POCWE*A7d3[KTTFW9UDNXH!EYR\TQY49;1YMR>POCWE*@^WWY^T81:PB[4YHJ\L%H?Q_T^225>TFW8UDNXH!D3]SPZ7c3[KT=RAMUG,F\U753[KT=RAMUG,F\UYW\V::>6\N_0]LFP@)MQZT\YQ>139QEZ7XGK_M"HV__QV\64cTFW;UDNXH!EYR\TQY69l1YMR;SC\7ZIE]O$O>R^[_0`8VDY4WFH^J#_6;SC\0ZIE]Oh0^LQ;_N@VB+Bd3[KT8RAMUG,G5f=UIV>TCO[I.E025>TFW=UDNXH!D3]SPZ6692XJS9Q@BTD-@7YW\V;o7_OP4^MAQC(BPY;97_OP4^MAQC(BPYU[XR>>2:PB[1YHJ\L%IU^PPU]257=UIV>TCO[I.DZS[URX:8o0^LQ;_N@VB+C_XV~xe`|jn`of`Zhb|Vh0^LQ;_N@VB+W>3[KT9RAMUG`8VDY2WFH^J#Jl;SC\1ZIE]O$O=n5]A^7\KGSA&M8:=6\N_4]LFP@)L;U[XR>>1:PB[0YHJ\L%H?Q_T^3a?WGX=VEIYK ^9:PB[3YHJ\Li7_OP6^MAQC(Ck2XJS;Q@BTD-@4e6\N_7]LFP@)MQZT\YQ?139QEZ0XGK_M"HV__QV\544TFW>UDNXH!EYR26>TFW>UDNXH!EYR\TQY79;1YMR9POCWE*@^WWY^T=<<4R@]4[JDRN'OS\R^[_33f?WGX?VEIYK JXQ]wwlkumgkfiiQaeu]a?WGX?VEIYK ^9:PB[=YHJ\Li7_OP8^MAQC(Ck2XJS5Q@BTD-@4e6\N_9]LFP@)MQZT\YQ?139QEZ>XGK_M"HV__QV\544e:PB[=YHJ\L%IU^Ptrknv`hfelnTbhzPb:PB[=YHJ\L%]45]A^;\KGSAj2XJS4Q@BTD-@f=UIV3TCO[I.E3`?WGX1VEIYK K2038VDY>WFH^J#J=_QV\4470:Vji`ir|H$O>R^[_133?QojmfM#J=_QV\546<\`gncxzN.E0\TQY5i2^bahazt@,Rg>Rnele~xL ]EF3:?QojmfMRz|ilaqadrblVrd~R64ThofkprEi2^bahaztC,Gf>Rnele~xO K1c9Wmhch}}H%H???;UknajssJ'N9S]ZP0028Plkbg|~I"I7Zgkti0`?]E>=8>?TA#>0,VR556' Zznii.Iimg9$Scu{`ee,> ?)59[@HJ6l2RB@D@W-YFA$5(6(Z^^N->!1!CPGLO43QEY:6Vkb^Kgf>^c`VZye`Gavb9[`mYWz`g_u}ki;Yfk[Utne_oydaa8:ZglZRneln0TifPThofGmcdcm1SheQ[ilgGaig~991Sh`QBakmqR`ttafd:<6Vkm^OjjjtQm{ybcc:4ZDPL`>ei|&XnjN`{<1e:amp*TbnJd0=0Ptrkngwcf|lnTtb|Pd:amp*TbnJd0<0i;blw+WcaKg~7=3 K119`jq)UmoIex1?1.E324>ei|&XnjN`{<0<-@7`2:+W692iex"\jfBlw848)ZLM:i6mat.PfbFhs484Tx~gbcsgbp`bXpfxTh6mat.PfbFhs4;4m7n`{/SgeGkr;:7$O==5lnu-QacEi|585"I?>0:amp*TbnJd0?0!D3d8gkr(ZllHby2=>/S25>ei|&XnjN`{<3<-V@A6m2iex"\jfBlw878X|zcfokntdf\|jtXl2iex"\jfBlw868a3jd#_kiCov?7;(C991hby!]egAmp959&M;:<6mat.PfbFhs4:4%H?h4cov,V``Df}682#_>1:amp*TbnJd0>0!RDE2a>ei|&XnjN`{<2<\pvojk{ojxhjPxnp\`>ei|&XnjN`{<5e:amp*TbnJd090Ptrkngwcf|lnTtb|Pd:amp*TbnJd080i;blw+WcaKg~793 K119`jq)UmoIex1;1.E324>ei|&XnjN`{<4<-@7763jd#_kiCov?1;(BPY897n`{/SgeGkr;=7$NT]Q{shoqakgjmmUeiyQi;blw+WcaKg~793 ^109`jq)UmoIex1;1.SGD5`=df}%YikMat=7=[qunejxnmykk_ymq[a=df}%YikMat=4=b>ei|&XnjN`{<7<-@465:+B6991hby!]egAmp909&M8:=6mat.PfbFhs4?4%IU^>6:amp*TbnJd0;0!EYR\TQY79?1hby!]egAmp909&LR[S]ZP1048gkr(ZllHby29>/G[TZVSW;;=7n`{/SgeGkr;>7$NT]Q_T^122>ei|&XnjN`{<7<-A]VXX]U?>?5lnu-QacEi|5<5"HV__uqjiwciidooSck{_g9`jq)UmoIex181.P32?fhs'[omOcz36?,QAB7b3jd#_kiCov?2;Ys{`gh~ho{ee]{kwYc3jd#_kiCov?3;`4:+B682iex"\jfBlw828)L8;;7n`{/SgeGkr;?7$O>/G[TZVSW9;=7n`{/SgeGkr;?7$NT]Q_T^322>ei|&XnjN`{<6<-A]VXX]U9=;5lnu-QacEi|5=5"HV__QV\7744:+C_XV~xe`|jn`of`Zhb|Vl0ocz Rdd@jq:06'[:=6mat.PfbFhs4>4%^HI>e:amp*TbnJd0:0Ptrkngwcf|lnTtb|Pb:amp*TbnMeeii5lnu-QacBhfl$Oi6mat.PfbAiim'N:i6mat.PfbAiim'N9=>5lnu-QacBhfl$O>R^[_130?fhs'[omHb`j.E0\TQY6l2iex"\jfEmma+Wa3jd#_kiDnlf*WC@n2iex"ZfmdmvpGVum8;0ocz ThofkprEX{o%H<<4cov,Plkbg|~I\k!D031?fhs']cfib{{BQpf*A46?2iex"ZfmdmvpGVum'N9S]ZP0058gkr(\`gncxzMPsg-@7YW\V;:;6mat.Vji`ir|KZyi#J=_QV\647!D337?fu(fF|n~aov0/F1[URX88>0o~!aOwgqhd7&M8T\YQ>119`w*hH~lxgmt>!EYR21>et'gE}ibny1,F\UYW\V::96m|/oMuawjfq9$NT]Q_T^321>et'gE}ibny1,F\UYW\V89=6m|/oMuawjfq9$NT]Q{shoqakgjmmUeiyQj;bq,jJpbzekr<#_>0:ap+kIqm{fju= ]EF3f?fu(fF|n~aov0^vpmheumh~nhR~}le]2`>et'gE}ibny1]wwlkdzlkiiQwos]`?fu(fF|n~aov1g9`w*hH~lxgmt?!CDg8gv)iGoy`lw>.Ed8gv)iGoy`lw>.E3e?fu(fF|n~aov1/F155=d{&dDzh|cax3-A]V6=2ix#cAyesnb}4(BPYU[XR>>5:ap+kIqm{fju< JXQ]SPZ7592ix#cAyesnb}4(BPYUdc}eocnaaYim}Un7n} nNtfvig~9'[:<6m|/oMuawjfq8$YIJ:4eeef<>`tj&KX~ko4fr`,EVta&Mh0j~l ARpe*A7e3oyi#L]}f/F1e>`tj&KX~k ^c:dpf*GTzo$YIJo4fr`,F@TU8{i0j~l BDPQ4w(Cl2lxn"LJRS2q*A7c3oyi#OK]R1p-@7753oyi#OK]R1p-@7YW\V::>6h|b.@FVW6u&M8T\YQ>139ewg)EM[X;~#J=_QV\6`=a{k%II_\?r/G[T45<=4fr`,V`gcq9x%H?Q_T^227>`tj&Xnmiw?r/F1[URX9890j~l Rdcg}5t)L;U[XR<=4fr`,V`gcq8x%H?Q_T^127>`tj&Xnmiw>r/F1[URX<890j~l Rdcg}4t)L;U[XR;k;gqa+Wcflp;y"\h4fr`,V`gcq8x%^HIm;gqa+Wcflp8yh6h|b.Pfea5z'Nn7k}m/Sgb`|4u&M;n7k}m/Sgb`|4u&M8:?6h|b.Pfea5z'N9S]ZP0018bvd(Zlkou?|!D3]SPZ76;2lxn"\jae{1v+B5WY^T><=4fr`,V`gcq;x%H?Q_T^127>`tj&Xnmiw=r/F1[URX<890j~l Rdcg}7t)L;U[XR;k;gqa+Wcflp8y"\h4fr`,V`gcq;x%^HI8;gqa+SVF12lxn"X_A/Fb?cue'_ZJ"I?n;gqa+SVF&M8m7k}m/WRB*A4XX]U;j6h|b.TSE+B5WY^T=k5isc-UTD(C:VZ_S?h4fr`,RUG)L;U[XR=i;gqa+SVF&M8T\YQ;f:dpf*PWI'N9S]ZP5g9ewg)QXH$O>R^[_7;8bvd(^YK%]o5isc-UTD(UMN8=7k}m/WRB[Ziu89:;="h|b.TSEZYhz9:;<`tj&\[MRQ`r12344)a{k%]\LQPos23457XL;$O=?94fr`,RUGXWfx;<=>>/gqa+SVFWVey<=>?1^F1*A45k2lxn"X_A^]lv56788%mo!YP@]\kw6789;TH? K2^RW[54d3oyi#[^N_^mq45679&lxn"X_A^]lv56788UO>#J=_QV\57e`tj&\[MRQ`r12344)a{k%]\LQPos23457XL;$O>R^[_50`?cue'_ZJSRa}01235*`tj&\[MRQ`r12344YC:'N9S]ZP5348bvd(^YKTSb|?0122+cue'_ZJSRa}01235ZB5&X837k}m/WRB[Ziu89:;="h|b.TSEZYhz9:;<i5foco,F@TUz{$Zj6g`bl-AAWTuz'XNKn5foco,@QGDC{ln7damm.FWEFMun'Nm7damm.FWEFMun'N:j6g`bl-GPDELzo$O>h5foco,@QGDC{l%]<>4in`n+ARFKBxm"_KHc:klfh)C\HI@~k4in`n+ARFKBxy"Ih4in`n+ARFKBxy"I?i;hmai*BSIJAy~#J=e:klfh)C\HI@~ ^119jkgk(L]KHG|!RDEb?liee&OX0=0l;hmai*CT494%Hi5foco,AV:76'N:h6g`bl-FW969&M8:>6g`bl-FW969&M8T\YQ?139jkgk(MZ6;2#J=_QV\5443:Zrtadiyilzjd^zlvZgohjd%N_1?1.E0\TQY69;1bcoc ER>2:+B5WY^T>n5foco,AV:66'[n7damm.GP848)ZLMj7damm.GP878d3`eia"K\<3<-@a=ngkg$I^2=>/F2`>ohjd%N_1<1.E026>ohjd%N_1<1.E0\TQY79;1bcoc ER>1:+B5WY^T=<<4in`n+@U;:7$O>R^[_331?liee&OX0?0!D3]SPZ56:2cdn`!JS=0=*A4XX]U?o6g`bl-FW949&Xo0eblb/DQ?6;(UMNk0eblb/DQ?7;eohjd%N_1=1.E3g?liee&OX0>0!D331?liee&OX0>0!D3]SPZ66:2cdn`!JS=1=*A4XX]U:=?5foco,AV:46'N9S]ZP2b9jkgk(MZ682#_j;hmai*CT4:4%^HI>b:klfh)B[595Sy}fmbpfeqccWqeySl5foco,AV:36j1bcoc ER>7:+Bc3`eia"K\<5<-@4b2:klfh)B[5>5"I/F1[URX;j1bcoc ER>7:+Wb3`eia"K\<5<-V@A6j2cdn`!JS=6=[qunejxnmykk_ymq[d=ngkg$IYOLKb9jkgk(M]KHG#Jk;hmai*CSIJA%Hh5foco,AQGDC'OS\<=4in`n+@RFKB$NT]Q_T^227>ohjd%NXLMD.DZS[URX9890eblb/DVBGN(BPYU[XR<>3:klfh)B\HI@"HV__QV\74`#Jm;hmai*Cu:'N:n6g`bl-Fv7(C:h1bcoc Es0-Uf=ngkg$I1:klfh)NGZUM_@QIFe327>ohjd%BC^QISL]EBa7)L8>0eblb/HMP[CUJWOLo=#J>159jkgk(AFYTJ^CPFGf2*A46;2cdn`!FOR]EWHYANm;%]<;4in`n+LITWOYFSKHk1/PFC47#J>4:klfh)NGZUM_@QIFe0-@4733`eia"G@S^DPIZ@Al;$O><74in`n+LITWOYFSKHk2/F1[URX8830eblb/HMP[CUJWOLo>#J=_QV\54?ohjd%BC^QISL]EBa4)ZLM:=6g`bl-JKVYA[DUMJi=>3:klfh)NGZUM_@QIFe1-@42ohjd%BC^QISL]EBa5)L;U[XR>>9:klfh)NGZUM_@QIFe1-@7YW\V;:56g`bl-JKVYA[DUMJi=!D3]SPZ4612cdn`!FOR]EWHYANm9%H?Q_T^12=>ohjd%BC^QISL]EBa5)L;U[XR:>3:klfh)NGZUM_@QIFe1-U43%Hohjd%BC^QISL]EBa36;2cdn`!FOR]EWHYANm?%H<:4in`n+LITWOYFSKHk5/F251=ngkg$EB]PFRO\BCb2&M8:?6g`bl-JKVYA[DUMJi;!Q078mjdj'@EXSK]B_GDg1+TBO8;0eblb/HMP[CUJWOLo:<=4in`n+LITWOYFSKHk6/F20>ohjd%BC^QISL]EBa0)L8;?7damm.KLWZ@TEVLMh; K2018mjdj'@EXSK]B_GDg2+W6=2cdn`!FOR]EWHYANm<%^HI>1:klfh)NGZUM_@QIFe527>ohjd%BC^QISL]EBa1)L8>0eblb/HMP[CUJWOLo;#J>159jkgk(AFYTJ^CPFGf4*A46;2cdn`!FOR]EWHYANm=%]<;4in`n+LITWOYFSKHk7/PFC474:klfh)NGZUM_@QIFe:-@4733`eia"G@S^DPIZ@Al1$O><74in`n+LITWOYFSKHk8/F1[URX8830eblb/HMP[CUJWOLo4#J=_QV\545n5foco,RUGuz'[n7damm.TSEwt)ZLMi7dams.Aoadt6l2cdn~!Lldcq5+Bb3`ei"Mce`p2*A7b3`ei"Mce`p2*A4c3`ei"Mce`p2*T`ohjz%BCLM[r/Ff?lie{&CDMNZ}.E3f?lie{&CDMNZ}.E0e?lie{&CDMNZ}.DZS51=ngky$EBOLTs,F\UYW\V::86g`br-JKDESz'OS\R^[_037?lie{&CDMNZ}.DZS[URX:8>0ebl|/HMBGQt)MQZT\YQ<219jkgu(AFKHX JXQ]wwlkumgkfiiQaeu]g?lie{&CDMNZ}.Pd8mjdt'@EJOY|!RDE:?lie{&CD@.Pf8mjdt'@EG=#\JG`9jkgu(AFX\=n5focq,MJTP9'No7dams.KLVR7)L8n0ebl|/HMQS4(C:880ebl|/HMQS4(C:VZ_S=?=;hmaw*OHZ^;%H?Q_T^326>ohjz%BC_Y>.E0\TQY59;1bco} INPT5+B5WY^T?<<4in`p+LIU_8$O>R^[_531?lie{&CD^Z?!D3]SPZ36:2cdn~!FOSU2*A4XX]U=o6g`br-JKWQ6&Xo0ebl|/HMQS4(UMN;i7dams.KLVR7X|zcfokntdf\|jtXk2cdn~!FOSgb`|c>4:klfv)NG[ojht K2^RW[4733`ei"G@Rdcg}+B5WY^T><:4in`p+LIUmhnr"Iohjz%BC_kndx,R55=ngky$EB\jae{-V@A6l2cdn~!FOSgb`|Ys{`gh~ho{ee]{kwY>3`ei"G@U0a8mjdt'@E^=#MJb:klfv)NG\;%Hn5focq,MJS6&M;h7dams.KLQ4(C:k1bco} INW2*Tb<<4in`p+Iifl8$O>R^[_131?lie{&Fdmi?!D3]SPZ76:2cdn~!Co`f2*A4XX]U9=?5focq,Hjgc9'N9S]ZP3008mjdt'Eejh< K2^RW[1753`ei"B`ae3-@7YW\V?:>6g`br-Okdb6&M8T\YQ9c:klfv)Kghn:"\k4in`p+Iifl8$YIJ74in`p+Jhdmk1bco} Ooaf*Aeohjz%Dbnk!D332?lie{&Eeoh K2^RW[5763`ei"Aacd,G6ZVSW8;:7dams.Mmg`(C:VZ_S??>;hmaw*Iikl$O>R^[_232?lie{&Eeoh K2^RW[1763`ei"Aacd,G6ZVSW<;:7dams.Mmg`(C:VZ_S;l4in`p+Jhdm'[o7dams.Mmg`(UMN;;7dams.RP[CUJWOLo=<<4in`p+UUXNZGTJKj>.E30?lie{&ZXSK]B_GDg5+B69:1bco} PR]EWHYANm;%H??;;hmaw*VTWOYFSKHk1/G[T4?.DZS[URX:830ebl|/QQ\BVKXNOn:"HV__QV\74?ohjz%[_RH\M^DE`7(C:820ebl|/QQ\BVKXNOn9"I8:klfv)W[VLXARHId3,G6ZVSW;;37dams.RP[CUJWOLo>#J=_QV\74>1:lB@jssDL:TCO[I.E325>hFLf@H>POCWE*A4682dJHb{{LD2\KGSA&X30bLJ`uuNF5a=iIMe~xAK>.QCGa>hFLf@H?POCWE55=iIMe~xAK>_N@VB+B692dJHb{{LD3\KGSA&M;:=6`NDnwwH@7XGK_M"I<>6:lB@jssDL;TCO[I.E0\TQY79?1eMIaztMG2[JDRN'N9S]ZP1008jDBh}}FN=RAMUG,F\U703gKOcxzCE0]LFP@)MQZT\YQ?169mEAir|EO:SBLZF/G[TZVSW8887cOKotvOA4YHJ\L%IU^Ptrknv`hfelnTbhzP119mEAir|EO:SBLZF/S26>hFLf@H?POCWE*WC@?2dJ_RH\Bc9mEVYA[K$[MIm4n@Q\BVDXAK_M;6`NS^KLFg=iIZUBCO _AEa8jDUXAFHTCO[Ie:lBWZOHJVEIYK Kf:lBWZOHJVEIYK K1g9mEVYNGKUDNXH!D3g8jDUXAFHTCO[I.P33?kGTW@EISBLZF/PFC2=iIfCHl4n@mvpJC)XHNn7cO`uuMF[JDRN-[n7cO`uuMF[JDRN'Nm7cO`uuMF[JDRN'N:j6`NotvLAZIE]O$O>h5aAnwwK@YHJ\L%]45aBDPQ[CUEl2dII_\PFR@-TDBb3gHN^_QISC]LFP@682dII_\PFR@\KGSA&M;:7cLJRS]EWGYHJ\L%H;o@FVWYA[KUDNXH!D335?kDBZ[UM_OQ@BTD-@7YW\V:::6`MESP\BVDXGK_M"I<<4nCGQVZ@TJVEIYK JXQ34?kDBZ[UM_OQ@BTD-A]VXX]U;=:5aBDPQ[CUEWFH^J#KWP^RW[4443gHN^_QISC]LFP@)MQZTx~gbrdlbi`bXfl~T==5aBDPQ[CUEWFH^J#_6;o@FVWYNGKn0bOK]R^KLF+VFLl1eNH\]_HMA[LDRN=1eOL]7;oABW+VFL01eOL]POCWEf>hDIZUDNXH!Db9mGDUXGK_M"I?l;oABWZIE]O$O>o5aC@Q\KGSA&Xn0bNO\_N@VB+TBOh1eHYOLK^DPF`=iL]KHGRH\B/RB@c=iL]KHGRH\B^MAQC763gN_MNEPFR@\KGSA&M;97cJ[ABI\BVDXGK_M"I?>2:lGPDELWOYISBLZF/F152=iL]KHGRH\B^MAQC(C:VZ_S=?8;oFWEFMXNZHTCO[I.E0\TQY69>1eHYOLK^DPFZIE]O$O>R^[_334?kBSIJATJ^LPOCWE*A4XX]U8=:5aDUC@OZ@TJVEIYK K2^RW[1703gN_MNEPFR@\KGSA&M8T\YQ:169m@QGDCVLXNRAMUG,G6ZVSW?;:7cJ[ABI\BVDXGK_M"\?<;oFWEFMXNZHTCO[I.SGD56=iL]KHGRH\B^MAQC(RADk0bIZNCJ]JKGc>4:lGmkIBWFH^J#KWP^RW[4733gNbbBKPOCWE*@^WWY^T><:4nEkmK@YHJ\L%IU^PPU]051=iL`dDIRAMUG,F\UYW\V>9<6`KioMF[JDRN'OS\Rz|ilpfjdkblVdnxRj4nEkmK@YHJ\L%]:5aDnwwK@dhCg|~DIRAMUG,G6ZVSW9;?7cJ`uuMF[JDRN'N9S]ZP1d9m@jssGLUDNXH!Q028jAir|FOTCO[I.SGD<>hKLZUM_Om4nMFP[CUE&YKOh6`CDR]EWGYNJ\L37cBKS^KLFf=iDMYTEBL!P@Fg?kJC[VCDNRAMUGd8jIBTW@EISBLZF/F24>hKLZUBCOQ@BTD-@4773gFO_RG@B^MAQC(C:8?0bAJ\_HMA[JDRN'N9S]ZP0078jIBTW@EISBLZF/F1[URX98?0bAJ\_HMA[JDRN'N9S]ZP2g9mHAUXAFHTCO[I.P32?kJC[VCDNRAMUG,QAB5hHMVEIYKo4nNG\KGSA&Mh0bBKPOCWE*A7e3gENSBLZF/F1g>hHMVEIYK JXQ32?kIBWFH^J#KWP^RW[5763gENSBLZF/G[TZVSW8;:7cAJ_N@VB+C_XVZ_S??>;oMF[JDRN'OS\R^[_23g?kIBWFH^J#KWP^vpmhtbfhgnhR`jt^c8jJCXGK_M"\94nSCNHRCe3gXJAAYJ.QCGg>hUIDF\IRAMUGg8jWGJD^OTCO[I.Ed8jWGJD^OTCO[I.E3e?kTFEE]NSBLZF/F1a>hUIDF\IRAMUG,R3>hUID_\Io5aR@OVS@(WIMi0b_OBUVG\KGSAm2dYM@[XE^MAQC(Cn2dYM@[XE^MAQC(C9o1e^LCZWD]LFP@)L;o0b_OBUVG\KGSA&X>0b_O\8:lQEV(WIM30b_O\_N@VBg=iZHYTCO[I.Ea8jWGTWFH^J#J>c:lQEVYHJ\L%H??>;oPBWZIE]O$O>R^[_132?kTF[VEIYK K2^RW[4763gXJ_RAMUG,G6ZVSW;h0b_O\_N@VB+W33gXN_55aRDQ-TDB>3gXN_RGMUG48jWIJKZk0b_ABCR,SEAdi5aRNOTAZIE]O$Z46`ZDR]EWGehRLZUM_OQFBTD;?kSC[VCDNn5aUEQ\MJD)XHNo7c[KS^KLFZIE]Ol0bXJ\_HMA[JDRN'N:<6`ZDR]JKGYHJ\L%H<;4nWOB[LIEWFH^J#J=_QV\443hQEHUBCOQ@BTD-A]VX|zcf~h`nmdf\j`rXn2d]ALQFOC]LFP@)Y8;0b[CN_HMA[JDRN'XNKhPMVLXNo5aWD]EWG(WIMi0bZKPFR@\MGSA<2djah94ndef`bc03yexiiij9:pbi*DFK]xi7ob/CC@Pw(Ck2xja"LNCUp-@4etfe&HJOY|!EYR26>tfe&HJOY|!EYR\TQY79;1ym`!MABVq*@^WWY^T=<<4r`o,FDESz'OS\R^[_331?wgj'KKHX JXQ]SPZ56m2xja"LNCUp-A]VX|zcf~h`nmdf\j`rXj2xja"LNCUp-Ua=uid%IMNZ}.SGD<>tfe&Eeoho4r`o,Kkeb&Mh0~lc Ooaf*A7e3{kf#B`le/F155=uid%Dbnk!D3]SPZ6682xja"Aacd,G6ZVSW8;;7ob/Nl`a+B5WY^T>n5}al-Ljfc)MQZ:=6|nm.Mmg`(BPYU[XR>>1:pbi*Iikl$NT]Q_T^325>tfe&Eeoh JXQ]SPZ46l2xja"Aacd,F\UYs{`gyicobee]maqYf3{kf#B`le/S`?wgj'Fdhi#\JG0;8vdk(GginSy}fmbpfeqccWqeySo5}al-QEHBN[8n0~lc R@OGMV7)Ll1ym`!]ALFJW4(C9l1ym`!]ALFJW4(C:890~lc R@OGMV7)L;U[XR>>3:pbi*TFEMCX=#J=_QV\545.Pd8vdk(ZHGOE^?!RDEa?wgj'[KFHD]=d:pbi*TFEMCX>#Jj;scn+WGJL@Y9"I?j;scn+WGJL@Y9"I<>3:pbi*TFEMCX>#J=_QV\445#\JG0a8vdk(ZHGOE^tfe&XJA_kndx,G6ZVSW<;?7ob/SCNV`gcq'N9S]ZP6d9qeh)UIDXnmiw!Q028vdk(ZHGYiljv.SGD=>tfe&XJ_HBm;scn+WGTME$Oo6|nm.PBW@J)L8i0~lc R@QFH+B5981ym`!]ARGO*A4XX]U;=<5}al-QEVCK&M8T\YQ>109qeh)UIZOG"Itfe&XJ_HB!Qe9qeh)UIZOG"_KHf:pbi*TTWOYFSKHk1038vdk(ZZUM_@QIFe3-@447:pbi*TTWOYFSKHk1/F1[URX88=0~lc RR]EWHYANm;%H?Q_T^323>tfe&XXSK]B_GDg5+B5WY^T><94r`o,VVYA[DUMJi?!D3]SPZ56?2xja"\\_GQN[C@c9'N9S]ZP4038vdk(ZZUM_@QIFe3-U451:pbi*TTWOYFSKHk2/F26>tfe&XXSK]B_GDg6+B69;1ym`!]S^DPIZ@Al;$O><94r`o,VVYA[DUMJi#J=_QV\7417:pbi*TTWOYFSKHk2/F1[URX=8=0~lc RR]EWHYANm8%H?Q_T^425>tfe&XXSK]B_GDg6+W6;2xja"\\_GQN[C@c:'XNK?>4r`o,VVYA[DUMJi7:pbi*TTWOYFSKHk3/F1[URX88=0~lc RR]EWHYANm9%H?Q_T^323>tfe&XXSK]B_GDg7+B5WY^T><94r`o,VVYA[DUMJi=!D3]SPZ56?2xja"\\_GQN[C@c;'N9S]ZP4058vdk(ZZUM_@QIFe1-@7YW\V?:;6|nm.PP[CUJWOLo?#J=_QV\247k0:BC|7652O096"5jh09n55rSd`96g1=910:?9890c82f24d3m8;>7>51;3xW`e=:k=1=54>35454g<6j>8h7{Z74;295?7=b60`?S4el38py?><:09v652=82w/>o=52128 76f2;h=7o:3y03?4d2tci>7>5$32g>d7o?n3:1(?>k:`38j76d2110e5j50;&14ad=h58j0i76g77;29 76c2h;0b?>l:b98m=3=83.97>5$32g>d7o>l3:1(?>k:`38j76d2;10e4l50;&14a1=h58j0>76g63;29 76c2h;0b?>l:798m<7=83.95;hg5>5<5<l:078?lg1290/>=j5a09m65e=9=10el650;&14a3:9jed<72-8;h7o>;o03g?7532cjo7>5$32g>d75<#:9n1m<5a21a955=5<5<5<5<5<#:9n1><:4n32`>d=5<#:9n1><:4n32`>==5<#:9n1><:4n32`>3=5<#:9n1><:4n32`>1=6=4+21f964254o304>5<#:9n1><:4n32`>7=5<5<6=44o433>5<5<#:9n1><:4n32`>5=5<86=44o46b>5<5<t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zjk;1<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zj0:1<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zj1o1<7?50;2x 7dd2;:27E=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zj1i1<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zj1k1<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zj121<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zj1<1<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zjh91<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zjh:1<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zj0o1<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zj0i1<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zj021<7?50;2x 7dd2;:27E2.9t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zj0<1<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zj0>1<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zj081<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zjl?1<7?50;2x 7dd2;:27Ea29096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'152;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:aa2<7280;6=u+2ca965?<@;h97d5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82`>"21h0:h6sr}|9~f4>e29096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55G2c`8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i64b4<729q/>om521;8L7d53`8;57>5$3``>76>3-;mj74H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4=1:&6=d<592wvqp5rb4;4>5<6290;w)N5j;1b>=750;&1ff<5801/=kh54c9'65d=<^;hn6i:32;?S7?i38p(87m:338 0?f2;;0qpsr;|`7ag<7280;6=u+2ca965?<@;h97d5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c815>"21h09=6sr}|9~f`?=83;1<7>t$3``>76>3A8i>6g=0883>!4ek38;56*>fg80e>"58k08m65rbdc94?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c815>"21h09=6sr}|9~f4>c29096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55G2c`8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i64b4<729q/>om521;8L7d53`8;57>5$3``>76>3-;mj78k;%03f?0c32wi98j50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4=1:&6=d<592wvqp5rb0;2>5<5290;w)4?:%0ag?7>;21d>=650;&1ff<5811C>ol4V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2;90(87n:318yx{z3th>>44?:083>5}#:ki1>=74H3`1?l4713:1(?ll:32:?!7an3927){e=;k1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn88i:182>5<7s-8io752;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6??4$4;b>778983>4<729q/>om521;8L7d53`8;57>5$3``>76>3-;mj7:?;%03f?2732wi=5750;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4=1:&6=d<592wvqp5rb4;7>5<6290;w)N5j;1b>=750;&1ff<5801/=kh5499'65d=<110qo;65;296?6=8r.9nn4j0:J1f7=n9091<7*=bb82=6=<^;hn6i:32;?S7?i38p(87m:338 0?f2;;0qpsr;|`643<7280;6=u+2ca965?<@;h97d?76sm51594?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c815>"21h09=6sr}|9~ft$3``>76>3A8i>6g=0883>!4ek38;56*>fg851>"58k0=965rbb194?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c815>"21h09=6sr}|9~fd3=83;1<7>t$3``>76>3A8i>6g=0883>!4ek38;56*>fg804>"58k08<65rbbf94?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c815>"21h09=6sr}|9~fd1=83;1<7>t$3``>76>3A8i>6g=0883>!4ek38;56*>fg86e>"58k0>m65rbbg94?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c815>"21h09=6sr}|9~fd?=83;1<7>t$3``>76>3A8i>6g=0883>!4ek38;56*>fg872>"58k0?:65rbbd94?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c815>"21h09=6sr}|9~fdd=83;1<7>t$3``>76>3A8i>6g=0883>!4ek38;56*>fg81b>"58k09j65rbe294?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c815>"21h09=6sr}|9~fdb=83;1<7>t$3``>76>3A8i>6g=0883>!4ek38;56*>fg807>"58k08?65rbe394?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c815>"21h09=6sr}|9~fd`=83;1<7>t$3``>76>3A8i>6g=0883>!4ek38;56*>fg800>"58k08865rbe094?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c815>"21h09=6sr}|9~f01c290:6=4?{%0ag?4712B9n?5`21;94?"5jj09<45+1gd97f=#:9h1?n54}c74g?6=:3:1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74`<,<3j66;%3eb?4f3-8;n7t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<6n2.>5l4>f:~yx=zj=in6=4>:183!4ek38;56F=b39l65?=83.9nn4=089'5c`=:01/>=l52898yg2dl3:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e28l0(87n:0d8yx{z3th?ih4?:083>5}#:ki1>=74H3`1?j4713:1(?ll:32:?!7an3?<7){e{zut1vn8>6:182>5<7s-8io752;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i64`4<729q/>om521;8L7d53f8;57>5$3``>76>3-;mj7;l;%03f?3d32wi94H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>f:&6=d<6n2wvqp5rb40`>5<6290;w)N5j;1d>=750;&1ff<5801/=kh5489'65d=<010qo;=b;296?6=8r.9nn4j0:J1f7=n9091<7*=bb82=6=<^;hn6i:32;?S7?i38p(87m:0d8 0?f28l0qpsr;|`637<7280;6=u+2ca965?<@;h97b5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82b>"21h0:j6sr}|9~f776290:6=4?{%0ag?4712B9n?5`21;94?"5jj09<45+1gd927=#:9h1:?54}c024?6=:3:1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74`<,<3j66;%3eb?5c3-8;n7=k;:a641=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<6n2.>5l4>f:~yx=zj;;j6=4>:183!4ek38;56F=b39l65?=83.9nn4=089'5c`=>?1/>=l56798yg4613:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e28l0(87n:0d8yx{z3th9=n4?:083>5}#:ki1>=74H3`1?j4713:1(?ll:32:?!7an39n7){e:8h1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn??j:182>5<7s-8io752;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i64`4<729q/>om521;8L7d53f8;57>5$3``>76>3-;mj7:>;%03f?2632wi>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>f:&6=d<6n2wvqp5rb301>5<6290;w)N5j;1d>=750;&1ff<5801/=kh5429'65d=<:10qo<=1;296?6=8r.9nn4j0:J1f7=n9091<7*=bb82=6=<^;hn6i:32;?S7?i38p(87m:0d8 0?f28l0qpsr;|`161<7280;6=u+2ca965?<@;h97b>76sm23194?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82b>"21h0:j6sr}|9~f741290:6=4?{%0ag?4712B9n?5`21;94?"5jj09<45+1gd902=#:9h18:54}c011?6=:3:1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74`<,<3j66;%3eb?2f3-8;n7:n;:a671=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<6n2.>5l4>f:~yx=zj=no6=4>:183!4ek38;56F=b39l65?=83.9nn4=089'5c`=:l1/>=l52d98yg2ck3:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e28l0(87n:0d8yx{z3th>?:4?:083>5}#:ki1>=74H3`1?j4713:1(?ll:32:?!7an39:7){e=:<1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn887:182>5<7s-8io752;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i64`4<729q/>om521;8L7d53f8;57>5$3``>76>3-;mj78?;%03f?0732wi95m50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>f:&6=d<6n2wvqp5rb5`5>5<6290;w)N5j;1d>=750;&1ff<5801/=kh5519'65d==910qo:m5;296?6=8r.9nn4j0:J1f7=n9091<7*=bb82=6=<^;hn6i:32;?S7?i38p(87m:0d8 0?f28l0qpsr;|`654<7280;6=u+2ca965?<@;h97b5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82b>"21h0:j6sr}|9~f035290:6=4?{%0ag?4712B9n?5`21;94?"5jj09<45+1gd97==#:9h1?554}c765?6=:3:1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74`<,<3j66;%3eb?2d3-8;n7:l;:a643=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<6n2.>5l4>f:~yx=zj;;86=4>:183!4ek38;56F=b39l65?=83.9nn4=089'5c`==l54d98yg46:3:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e28l0(87n:0d8yx{z3th?ni4?:083>5}#:ki1>=74H3`1?j4713:1(?ll:32:?!7an39i7){e{zut1vn8:;:182>5<7s-8io752;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i64`4<729q/>om521;8L7d53f8;57>5$3``>76>3-;mj7;m;%03f?3e32wi99o50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>f:&6=d<6n2wvqp5rb47e>5<6290;w)N5j;1d>=750;&1ff<5801/=kh53g9'65d=;o10qo;:e;296?6=8r.9nn4j0:J1f7=n9091<7*=bb82=6=<^;hn6i:32;?S7?i38p(87m:0d8 0?f28l0qpsr;|`7`3<7280;6=u+2ca965?<@;h97b5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82b>"21h0:j6sr}|9~f01a29096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'152;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?7<729q/>om5e19K6g45$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a1=d=8391<7>t$3``>7d>3A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5209'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th>4l4?:783>5}#:ki1=4>4H3`1?!7e<38<7d?63;29 7dd28387[5l4>9:~yx=nm;0;6)3twvq6gj3;29 7dd2l90Z?lj:3yO6f6=9r\:jh4={%03b?c43_;3m74d<,<3j67}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni74?43-98;7;6a:'242=:91vZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?c53-98;7;6b:'242=9h1vZ?>j:3yUaa<5s-?257k<;%103?3>j2w/:<:5279~yx=n:0h1<7*=bb81=g=Q:ko1>vB=c182S7am38p(?>i:3;a?S7?i38p(87m:378 0?f2;?0qpsC2cd95~P5j<09w[kk:3y'1=8:4;a?x"19=0996sr}:k1=1<72-8io7<64:T1f`<5sE8h<7?tV0df>7}#:9l1>4:4V0:b>7}#=0h1>85+58c960=zutF9nk4>{W0a1?4|^ln1>v*:9881=1=#;:=194l4}%420?423twv7b5<7s-8io753;294~"5jj09n45G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb4:2>5<6290;w)N5j;1d>=650;&1ff<58110qo;73;293?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m772<,<3j6?:4}|~?l7>=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9j5d6=83.9nn4>929K6gd<^;hn6i:0c3?S7?i38p(87m:368 0?f2;>0qpsr;h3bf?6=,;hh6<7<;I0af>P5jl0:wAac9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`g94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg3?<3:1=<4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0986*:9`810>{zut1b=ll50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`961=#=0k1>95r}|8m4gb290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2ec<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h;7[?7a;0x 0?e2;>0(87n:368yx{z3`;i=7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2f7<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:n?5Y19c96~"21k0986*:9`810>{zut1b=o=50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g5<^82j6?u+58`95<=#=0k1=45r}|8m4?1290/>om51818R7db28qG>n>51zTfb?4|,;:m6<79;W3;e?4|,<3i6<74$4;b>4??2\:4l4={%7:f?7>3-?2m7?6;|~y>o6110;6)P5jl0:wA999U5=g=:r.>5o4>9:&6=d<612wvqp5f18;94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9030Z<6n:3y'1i:0;b?S7?i38p(87m:0;8 0?f2830qpsr;h3:f?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f0>529086=4?{%0ag?47?2B9n?5f18694?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi95850;794?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<3=83.9nn4>929K6gd<^;hn6i:0;6?S7?i38p(87m:0g8 0?f28o0qpsr;h3b4?6=,;hh6<7<;I0af>P5jl0:wAa19U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`6<2<72<0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0e4ge3_;3m773<,<3j6?;4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm59:94?5=83:p(?ll:324?M4e:2c:594?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd4:j0;6?4?:1y'6ge=m91C>o<4i0;0>5<#:ki1=4=4;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?>?50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb215>5<1290;w)N5j;1/=o:5269j5<5=83.9nn4>929U6gc=9rF9o=4>{W3ea?4|,;:m6<7<;W3;e?4|,<3i6<74$4;b>4?ok51zN1g5<6s_;mi7`4<^82j6?u+58`95<=#=0k1=45r}|8m`5=83.9nn4j3:T1f`<5sE8h<7?tV0df>7}#:9l1i>5Y19c96~"21k0:n6*:9`82f>{zuE8ij7:tV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13;2?6*<3686=g=z,?;?6?>4}W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100:5>5+325917}#=031i?5+325915l4=5:~yI4en3;pZ?l::3yUaa<5s-?257<6b:&072<21k1v(;?;:378yx{P60h09w);6b;06?!3>i38>7psrL3`e>4}Q:k?1>vXjd;0x 0?>2;3?7)=<7;7:f>{#>8>1>85r}|9l65>=83.9nn4=0998yg55m3:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn>5<7s-8io753;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1>95+58c961=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm32094?5=83:p(?ll:918L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=0<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5249'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th8?>4?:283>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6?;4$4;b>73=2\:4l4={%7:f?7b3-?2m7?j;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb217>5<4290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e;;n1<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`6e=<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e=hl1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn8l9:180>5<7s-8io71<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;;0(87n:338yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a1g3=83<1<7>t$3``>4?73A8i>6*>b5813>o61:0;6)P5jl0:wA=h51818R4>f2;q/94l5189'16X=bd82I4d83;pZ5l4>9:~yx=nm:0;6)`5<,:9<687m;|Tfa?4|,<326<7<;%103?3>j2w/:<:5219~R7?d2:q]ii4={%7:=?c43-98;7;6b:Ua`<5s-?257?63:&072<21h1v(;?;:328yS4>k39pZhj52z&6=<544j2:&072<21k1v(;?;:0c8yS47m38pZhj52z&6=<5<#:ki1>4l4V3`f>7}K:j:1=vX>fd81!47n382n6X>8`81!3>j38>7);6a;06?x{zD;hm64:50;&1ff<51=1]>ok52zN1g5<6s_;mi77?33_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4:4$214>0?e3t.==94=5:~y>i5810;6)=zj929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm5`c94?7=83:p(?ll:3a0?M4e:2e9<54?:%0ag?47021vn8ol:180>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`6ea<72=0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74b<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1>95+58c961=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd2il0;644?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=i5+58c95a=zutw0e4g73_;3m772<,<3j6?:4}|~?l7fj3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c82`>"21h0:h6sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:368 0?f2;>0qpsr;h3bb?6=,;hh6<7<;I0af>P5jl0:wAag9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1c294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg3fj3:187>50z&1ff<58>1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg3e83:1=<4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=h5+58c95`=zutw0e4g73_;3m773<,<3j6?;4}|~?l7fj3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<5=2.>5l4=5:~yx=n9hl1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ga3_;3m773<,<3j6?;4}|~?l7e83:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c811>"21h0996sr}|9j5g7=83.9nn4>929K6gd<^;hn6i:0`2?S7?i38p(87m:378 0?f2;?0qpsr;h3a6?6=,;hh6<7<;I0af>P5jl0:wAb39U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1c194?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m673>2\:4l4={%7:f?423-?2m7<:;|~y>o61>0;6)P5jl0:wA969U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18:94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9020Z<6n:3y'1i:0;:?S7?i38p(87m:0;8 0?f2830qpsr;h3:e?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:5o4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=g=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo;m1;2954<729q/>om5829K6g4<2\:4l4={%7:f?7b3-?2m7?j;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1`294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1o6il0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dc<^82j6?u+58`95`=#=0k1=h5r}|8m4ga290/>om51818R7db28qG>n>51zTfb?4|,;:m673{zut1b=o?50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28h:7[?7a;0x 0?e2;?0(87n:378yx{z3`;i>7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6j;1]=5o52z&6=g<5=2.>5l4=5:~yx=n9k91<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74d43_;3m773<,<3j6?;4}|~?l7>>3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=3=Q91k1>v*:9c811>"21h0996sr}|9j5<1=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=494V0:b>7}#=0h1>85+58c960=zutw0e<77:18'6ge=9090Z?lj:0yO6f6=9r\nj74??3_;3m773<,<3j6?;4}|~?l7>13:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?69:T29`83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61h1]=5o52z&6=g<612.>5l4>9:~yx=n90h1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518`8R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th>n?4?:0394?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=h5+58c95`=zutw0e4g73_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c811>"21h0996sr}|9j5dc=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0e4ga3_;3m773<,<3j6?;4}|~?l7e83:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c811>"21h0996sr}|9j5g7=83.9nn4>929K6gd<^;hn6i:0`2?S7?i38p(87m:378 0?f2;?0qpsr;h3a6?6=,;hh6<7<;I0af>P5jl0:wAb39U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1c194?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m673{zut1b=4950;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<1<^82j6?u+58`960=#=0k1>85r}|8m4??290/>om51818R7db28qG>n>51zTfb?4|,;:m6<77;W3;e?4|,<3i6?;4$4;b>7312\:4l4={%7:f?423-?2m7<:;|~y>o61h0;6)P5jl0:wA9`9U5=g=:r.>5o4>9:&6=d<612wvqp5f18`94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90h0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`6f6<72=0;6=u+2ca9651<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5f1`294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`04g<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e;881<7850;2x 7dd283;7E4?:%0ag?7>;2\9nh4>{M0`4?7|^8ln6?u+21d95<5<^82j6?u+58`95<=#=0k1=45r}|8m`4=83.9nn4j2:T1f`<6sE8h<7?tV0df>7}#:9l1i?5Y19c96~"21k0:56*:9`82=>{zut1bi>4?:%0ag?c43_8ii74}Q9oo1>v*=0g8f7>P60h09w);6b;3a?!3>i3;i7psrL3`e>1}Q:0i1?vXjd;0x 0?>2l90(>=8:4;a?xPbm38p(876:0;0?!54?3?2n6s+606965=z^;3h6>uYee81!3>13o87)=<7;7:f>{Qml09w);69;3:7>"4;>0>5l5r$737>76v*:988f6>"4;>0>5o5r$737>4gom528`8R7db2;qG>n>51zT2b`<5s-8;j7<6b:T27?e3-98;7;6b:'242=:<1vqp5f28694?"5jj09595Y2cg96~J5k90:w[?ie;0x 76a2;3?7[?7a;0x 0?e2;?0(87n:378yx{K:kl1=vX=b481Scc2;q/94752868 6502<3i7p*915811>{zu2e9<54?:%0ag?47021vn>>l:180>5<7s-8io71<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj::o6=4>:183!4ek38h?6F=b39l65>=83.9nn4=0998yg57n3:1?7>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6?:4$4;b>72{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg5683:157>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6?:4$4;b>72{zut1b=l>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;>0(87n:368yx{z3`;jn7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<5<2.>5l4=4:~yx=n9ho1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74gb3_;3m772<,<3j6?:4}|~?l7fn3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82ec=Q91k1>v*:9c810>"21h0986sr}|9j5g6=83.9nn4>929K6gd<^;hn6i:0`3?S7?i38p(87m:368 0?f2;>0qpsr;h3a5?6=,;hh6<7<;I0af>P5jl0:wAb09U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'153;294~"5jj09<:5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb5:f>5<5290;w)4?:%0ag?7>;21d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg2>i3:1:7>50z&1ff<6191C>o<4$0`7>71P60h09w);6b;3:?!3>i3;27psr}:kf6?6=,;hh6h<4V3`f>4}K:j:1=vX>fd81!47n3o97[?7a;0x 0?e2830(87n:0;8yx{z3`o86=4+2ca9a6=Q:ko1>vB=c182S7am38p(?>i:d18R4>f2;q/94l51c9'1k39pZhj52z&6=<544>929'761==0h0q)8>4;03?xP51j08w[kk:3y'19558`8yScb2;q/94751818 6502<3j7p*915814>{Q:0i1?vXjd;0x 0?>2l90(>=8:4;a?xPbm38p(876:d08 6502<3i7p*91582e>{Q:9o1>vXjd;0x 0?>2l90(>=8:4;a?x"19=09:6sr}:k1=g<72-8io7<6b:T1f`<5sE8h<7?tV0df>7}#:9l1>4l4V0:b>7}#=0h1>85+58c960=zutF9nk4>{W0a1?4|^ln1>v*:9881=g=#;:=194l4}%420?423twv7d<64;29 7dd2;3?7[5l4=5:~yI4en3;pZ?l::3yUaa<5s-?257<64:&072<21k1v(;?;:378yx{<3th?4k4?:283>5}#:ki1>o74H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3190;6<4?:1y'6ge=:j90D?l=;n037;:a0<4=8391<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'12?7>53;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm48694?5=83:p(?ll:918L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2=0<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th?584?:583>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64b{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`961=#=0k1>95r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?1<729q/>om5829K6g4<2\:4l4={%7:f?7c3-?2m7?k;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95a=#=0k1=i5r}|8m4g7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:m14=<72-8io7{zut1vn978:187>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?:4$4;b>72i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb5;;>5<3290;w);2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82`>"21h0:h6sr}|9j5<3=83.9nn4>929K6gd<^;hn6i:0;6?S7?i38p(87m:368 0?f2;>0qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f1?629036=4?{%0ag?47?2B9n?5f18694?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mo4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c82=>"21h0:56sr}|9j5dc=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1=45+58c95<=zutw0e4ga3_;3m74?<,<3j6<74}|~?l7e83:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?m0:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zjm?1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vnih50;194?6|,;hh6?l6;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`ga?6=>3:1om51818R7db28qG>n>51zT2b`<5s-8;j7?63:T23twvq6gj2;29 7dd2l80Z?lj:0yO6f6=9r\:jh4={%03b?c53_;3m74?<,<3j6<74}|~?lc4290/>om5e29U6gc=:rF9o=4>{W3ea?4|,;:m6h=4V0:b>7}#=0h1=o5+58c95g=zutF9nk4;{W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100:5>5+325917}#=031=4=4$214>0?f3t.==94=0:U6`5<,:9<687m;|Tfa?4|,<326h<4$214>0?e3t.==94>a:U65c=:r\nh7`5<,:9<687m;|&551<5>2wvq6g=9c83>!4ek382n6X=bd81I4d83;pZ544=9c9'761==0h0q)8>4;06?x{z3`8287>5$3``>7?33_8ii74}Q9oo1>v*=0g81=1=Q91k1>v*:9c811>"21h0996sr}M0ab?7|^;h>6?uYee81!3>138286*<3686=g=z,?;?6?;4}|8k76?290/>om521:8?xdc>3:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vni950;394?6|,;hh6?m<;I0a6>i5810;6)=zjm31<7:50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wihl4?:683>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6?:4$4;b>72{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`961=#=0k1>95r}|8m4ge290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e`<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2;>0(87n:368yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a`g<7210;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9j5d6=83.9nn4>929K6gd<^;hn6i:0c3?S7?i38p(87m:368 0?f2;>0qpsr;h3bf?6=,;hh6<7<;I0af>P5jl0:wAac9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`g94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1o6j90;6)P5jl0:wAb19U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'1{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8m4g7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2eg<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;>0(87n:368yx{z3`;jj7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<5<2.>5l4=4:~yx=n9k:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51c28R4>f2;q/94l5259'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3tho47>55;294~"5jj09<:5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4>9:&6=d<612wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`2g7<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e9jk1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn5<7s-8io71<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;;0(87n:338yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a5f`=83<1<7>t$3``>4?73A8i>6*>b5813>o61:0;6)P5jl0:wA=h51818R4>f2;q/94l5189'16X=bd82I4d83;pZ5l4>9:~yx=nm:0;6)`5<,:9<687m;|Tfa?4|,<326<7<;%103?3>j2w/:<:5219~R7?d2:q]ii4={%7:=?c43-98;7;6b:Ua`<5s-?257?63:&072<21h1v(;?;:328yS4>k39pZhj52z&6=<544j2:&072<21k1v(;?;:0c8yS47m38pZhj52z&6=<5<#:ki1>4l4V3`f>7}K:j:1=vX>fd81!47n382n6X>8`81!3>j38>7);6a;06?x{zD;hm64:50;&1ff<51=1]>ok52zN1g5<6s_;mi77?33_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4:4$214>0?e3t.==94=5:~y>i5810;6)=zj8i86=4<:183!4ek38i56F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm1b694?7=83:p(?ll:3a0?M4e:2e9<54?:%0ag?47021vn5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`2g2<72?0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m772<,<3j6?:4}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<6l2.>5l4>d:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;>0(87n:368yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a5f>=83<1<7>t$3``>=5<@;h97d?64;29 7dd28387E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e28n0(87n:0f8yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2eg<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mo5Y19c96~"21k0986*:9`810>{zut1b=lk50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dc<^82j6?u+58`961=#=0k1>95r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?c883>2<729q/>om5829K6g4i:0;7?S7?i38p(87m:368 0?f2;>0qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:m=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c82`>"21h0:h6sr}|9j5dd=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1>95+58c961=zutw0e4gb3_;3m772<,<3j6?:4}|~?l7fn3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nf:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj8i>6=4::183!4ek38;;6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?l7f83:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n0:T23twvq6g>ac83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0e8`81!3>j38>7);6a;06?x{zu2c:n=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c811>"21h0996sr}|9j5g7=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=o?4V0:b>7}#=0h1>85+58c960=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd6kj0;644?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28o0(87n:0g8yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5=2.>5l4=5:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m773<,<3j6?;4}|~?l7fj3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c811>"21h0996sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:378 0?f2;?0qpsr;h3bb?6=,;hh6<7<;I0af>P5jl0:wAag9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1c294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9k:0Z<6n:3y'1i:0`2?S7?i38p(87m:378 0?f2;?0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi=nj50;194?6|,;hh6?>8;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`2a2<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e9o>1<7=50;2x 7dd2;h27E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`964=#=0k1><5r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?f283>3<729q/>om51828L7d53-;i87<8;h3:7?6=,;hh6<7<;W0aa?7|D;i;65Y19c96~"21k0:56*:9`82=>{zut1bi?4?:%0ag?c53_8ii7?tL3a3>4}Q9oo1>v*=0g8f6>P60h09w);6b;3:?!3>i3;27psr}:kf7?6=,;hh6h=4V3`f>7}K:j:1=vX>fd81!47n3o87[?7a;0x 0?e28h0(87n:0`8yx{K:kl18vX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;a?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>28387)=<7;7:e>{#>8>1>=5rV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13o97)=<7;7:f>{#>8>1=l5rV32f>7}Qmm09w);69;g0?!54?3?2n6s+606963=zut1b>4l50;&1ff<51k1]>ok52zN1g5<6s_;mi77?e3_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4l4$214>0?e3t.==94=5:~y>o51=0;6)P5jl09wA=h52868R4>f2;q/94l5249'19558`8y!06<38>7psr;n037;:a5`>=8391<7>t$3``>7d>3A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:i44?:083>5}#:ki1>n=4H3`1?j4703:1(?ll:32;?>{e9lh1<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo?jc;297?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a5`b=83<1<7>t$3``>=5<@;h97d?64;29 7dd28387E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;>0(87n:368yx{z3`;j<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<5<2.>5l4=4:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?ne:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj8on6=49:183!4ek3287E=h51868R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1>95+58c961=zutw0e8`81!3>j38?7);6a;07?x{zu2c:mh4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo?jf;29=?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l51e9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<6l2.>5l4>d:~yx=n9hh1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ge3_;3m772<,<3j6?:4}|~?l7fm3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c810>"21h0986sr}|9j5d`=83.9nn4>929K6gd<^;hn6i:0ce?S7?i38p(87m:368 0?f2;>0qpsr;h3a4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:n<4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9k;0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`2b5<7200;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74b<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82`>"21h0:h6sr}|9j5d6=83.9nn4>929K6gd<^;hn6i:0c3?S7?i38p(87m:0f8 0?f28n0qpsr;h3bf?6=,;hh6<7<;I0af>P5jl0:wAac9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`g94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1b=o>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g6<^82j6?u+58`961=#=0k1>95r}|8m4d6290/>om51818R7db28qG>n>51zTfb?4|,;:m6;W3;e?4|,<3i6?:4$4;b>723-?2m7?6;|~y>{e9o;1<7750;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9j5d6=83.9nn4>929K6gd<^;hn6i:0c3?S7?i38p(87m:0f8 0?f28n0qpsr;h3bf?6=,;hh6<7<;I0af>P5jl0:wAac9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`g94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1b=o>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g6<^82j6?u+58`961=#=0k1>95r}|8m4d6290/>om51818R7db28qG>n>51zTfb?4|,;:m6;W3;e?4|,<3i6?:4$4;b>723-?2m7?6;|~y>{e9lk1<7650;2x 7dd2;:<7E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95<=#=0k1=45r}|8m4g7290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6il0;6)P5jl0:wAad9U5=g=:r.>5o4>9:&6=d<612wvqp5f1`d94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1i:0`3?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb200>5<5290;w)4?:%0ag?7>;21d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg55j3:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;02?!3>i38:7psr}:m14=<72-8io7{zut1vn>5<7s-8io71<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a77?=83<1<7>t$3``>4?73A8i>6*>b5813>o61:0;6)P5jl0:wA=h51818R4>f2;q/94l5189'16X=bd82I4d83;pZ5l4>9:~yx=nm:0;6)`5<,:9<687m;|Tfa?4|,<326<7<;%103?3>j2w/:<:5219~R7?d2:q]ii4={%7:=?c43-98;7;6b:Ua`<5s-?257?63:&072<21h1v(;?;:328yS4>k39pZhj52z&6=<544j2:&072<21k1v(;?;:0c8yS47m38pZhj52z&6=<5<#:ki1>4l4V3`f>7}K:j:1=vX>fd81!47n382n6X>8`81!3>j38>7);6a;06?x{zD;hm64:50;&1ff<51=1]>ok52zN1g5<6s_;mi77?33_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4:4$214>0?e3t.==94=5:~y>i5810;6)=zj:;n6=4<:183!4ek38i56F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm30d94?7=83:p(?ll:3a0?M4e:2e9<54?:%0ag?47021vn><>:184>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95a=#=0k1=i5r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64b{zut1b=ll50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e28n0(87n:0f8yx{z3`;ji7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<6l2.>5l4>d:~yx=n9hl1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ga3_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm33094?1=83:p(?ll:918L7d53`;287>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6l2.>5l4>d:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l51e9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?n0:T2ac83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1=i5+58c95a=zutw0e8`81!3>j38?7);6a;07?x{zu2c:mk4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82ec=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo==0;297?6=8r.9nn4=069K6g4i:0;7?S7?i38p(87m:0;8 0?f2830qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f643290=6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6m2.>5l4>e:~yx=n90?1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?23_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c811>"21h0996sr}|9j5dd=83.9nn4>929K6gd<^;hn6i:0ca?S7?i38p(87m:378 0?f2;?0qpsr;h3ba?6=,;hh6<7<;I0af>P5jl0:wAad9U5=g=:r.>5o4=5:&6=d<5=2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'156;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m773<,<3j6?;4}|~?l7f83:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c811>"21h0996sr}|9j5dd=83.9nn4>929K6gd<^;hn6i:0ca?S7?i38p(87m:378 0?f2;?0qpsr;h3ba?6=,;hh6<7<;I0af>P5jl0:wAad9U5=g=:r.>5o4=5:&6=d<5=2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'156;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:m=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1o6il0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dc<^82j6?u+58`960=#=0k1>85r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?1<729q/>om52158L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?d083>7<729q/>om5e19K6g45$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a5a?=83<1<7>t$3``>4?73A8i>6*>b5813>o61:0;6)P5jl0:wA=h51818R4>f2;q/94l5189'16X=bd82I4d83;pZ5l4>9:~yx=nm:0;6)`5<,:9<687m;|Tfa?4|,<326<7<;%103?3>j2w/:<:5219~R7?d2:q]ii4={%7:=?c43-98;7;6b:Ua`<5s-?257?63:&072<21h1v(;?;:328yS4>k39pZhj52z&6=<544j2:&072<21k1v(;?;:328yS47m38pZhj52z&6=<5<#:ki1>4l4V3`f>7}K:j:1=vX>fd81!47n382n6X>8`81!3>j38>7);6a;06?x{zD;hm64:50;&1ff<51=1]>ok52zN1g5<6s_;mi77?33_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4:4$214>0?e3t.==94=5:~y>i5810;6)=zj8n86=4<:183!4ek38i56F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm1e694?7=83:p(?ll:3a0?M4e:2e9<54?:%0ag?47021vn5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4=4:&6=d<5<2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64b3-?2m7?6;|~y>{e9m=1<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4=4:&6=d<5<2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`2`0<72:0;6=u+2ca9651<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'17>53;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1>95+58c961=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f4`229096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'156;294~"5jj0:5=5G2c08 4d32;=0e<7<:18'6ge=9090Z?lj:0yO6f6=9r\:jh4={%03b?7>;2\:4l4={%7:f?7>3-?2m7?6;|~y>ob:3:1(?ll:d08R7db28qG>n>51zT2b`<5s-8;j7k=;W3;e?4|,<3i6<74$4;b>4?ok52zN1g5<6s_;mi7`5<^82j6?u+58`95g=#=0k1=o5r}|N1fc<3s_82o7=tVdf96~"2100n?6*<3686=g=z^lo1>v*:9882=6=#;:=194l4}%420?473t\95n4<{Wgg>7}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni7`4<,:9<687m;|&551<582w]>=k52zTf`?4|,<326h=4$214>0?e3t.==94=6:~y>o51k0;6)P5jl09wA=h528`8R4>f2;q/94l5249'19558`8y!06<38>7psr;h0:0?6=,;hh6?7;;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:0>"4;>0>5o5r$737>737:18'6ge=:9207pl>f683>6<729q/>om52c;8L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg7a03:1=7>50z&1ff<5k:1C>o<4o32;>5<#:ki1>=64;|`2bd<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m772<,<3j6?:4}|~?l7>=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82`>"21h0:h6sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo?ib;297?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m772<,<3j6?:4}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj8l26=4<:183!4ek38;;6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm1g494?5=83:p(?ll:918L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2=0<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th95h4?:383>5}#:ki1i=5G2c08m4?4290/>om51818?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm2`594?5=83:p(?ll:3`:?M4e:2c:594?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1><5+58c964=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd5i?0;6;4?:1y'6ge=90:0D?l=;%3a0?403`;2?7>5$3``>4?43_8ii7?tL3a3>4}Q9oo1>v*=0g82=6=Q91k1>v*:9c82=>"21h0:56sr}|9ja7<72-8io7k=;W0aa?7|D;i;66X>8`81!3>j3;27);6a;3:?x{zu2cn?7>5$3``>`5<^;hn6?uC2b295~P6nl09w)9558`8yScb2;q/94751818 6502<3i7p*915814>{Q:0i1?vXjd;0x 0?>2l90(>=8:4;a?xPbm38p(876:0;0?!54?3?2m6s+606965=z^;3h6>uYee81!3>13o87)=<7;7:f>{Qml09w);69;g1?!54?3?2n6s+60695d=z^;:n6?uYee81!3>13o87)=<7;7:f>{#>8>1>;5r}|9j6{W3ea?4|,;:m6?7m;W3;e?4|,<3i6?;4$4;b>73oh51zT1f0<5s_oo6?u+58;96!4ek38286X=bd81I4d83;pZ0Z<6n:3y'1544=959'761==0h0q)8>4;06?x{z3f8;47>5$3``>76?32wi>4h50;194?6|,;hh6?l6;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`1e5<7280;6=u+2ca96f5<@;h97b5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2=0<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;>0(87n:368yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a6d5=83;:6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=i5+58c95a=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:m=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1o6il0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dc<^82j6?u+58`961=#=0k1>95r}|8m4ga290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2f5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c28R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?m1:T2b383>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=o<4V0:b>7}#=0h1>95+58c961=zutw0e4d43_;3m74?<,<3j6<74}|~?l7>>3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?66:T23twvq6g>9683>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61>1]=5o52z&6=g<612.>5l4>9:~yx=n9021<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518:8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28327[?7a;0x 0?e2830(87n:0;8yx{z3`;2m7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=g<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5o5Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg4f<3:1=<4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5<2.>5l4=4:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m772<,<3j6?:4}|~?l7fj3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c810>"21h0986sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:368 0?f2;>0qpsr;h3bb?6=,;hh6<7<;I0af>P5jl0:wAag9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1c294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1b=o<50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28h97[?7a;0x 0?e2;>0(87n:368yx{z3`;i?7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=3<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5;5Y19c96~"21k0:56*:9`82=>{zut1b=4950;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<1<^82j6?u+58`95<=#=0k1=45r}|8m4??290/>om51818R7db28qG>n>51zTfb?4|,;:m6<77;W3;e?4|,<3i6<74$4;b>4?12\:4l4={%7:f?7>3-?2m7?6;|~y>o61h0;6)P5jl0:wA9`9U5=g=:r.>5o4>9:&6=d<612wvqp5f18`94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90h0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`1e4<72=0;6=u+2ca9651<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5f1`294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`1e=<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e:k;1<7=50;2x 7dd2;h27E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`964=#=0k1><5r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?3<729q/>om51828L7d53-;i87<8;h3:7?6=,;hh6<7<;W0aa?7|D;i;65Y19c96~"21k0:56*:9`82=>{zut1bi?4?:%0ag?c53_8ii7?tL3a3>4}Q9oo1>v*=0g8f6>P60h09w);6b;3:?!3>i3;27psr}:kf7?6=,;hh6h=4V3`f>7}K:j:1=vX>fd81!47n3o87[?7a;0x 0?e28h0(87n:0`8yx{K:kl18vX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;a?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>28387)=<7;7:e>{#>8>1>=5rV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13o97)=<7;7:f>{#>8>1=l5rV32f>7}Qmm09w);69;g0?!54?3?2n6s+606963=zut1b>4l50;&1ff<51k1]>ok52zN1g5<6s_;mi77?e3_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4l4$214>0?e3t.==94=5:~y>o51=0;6)P5jl09wA=h52868R4>f2;q/94l5249'19558`8y!06<38>7psr;n037;:a6d?=8391<7>t$3``>7d>3A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th9ml4?:083>5}#:ki1>n=4H3`1?j4703:1(?ll:32;?>{e:hi1<7:50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>lj50;32>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95a=#=0k1=i5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>95+58c961=zutw0e8`81!3>j38?7);6a;07?x{zu2c:n=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9k:0Z<6n:3y'1o6j;0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g4<^82j6?u+58`961=#=0k1>95r}|8m4d4290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2=3<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5;5Y19c96~"21k0986*:9`810>{zut1b=4950;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<1<^82j6?u+58`95<=#=0k1=45r}|8m4??290/>om51818R7db28qG>n>51zTfb?4|,;:m6<77;W3;e?4|,<3i6<74$4;b>4?12\:4l4={%7:f?7>3-?2m7?6;|~y>o61h0;6)P5jl0:wA9`9U5=g=:r.>5o4>9:&6=d<612wvqp5f18`94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90h0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`1e`<728;1<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?433-?2m7<;;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8m4g7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2eg<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?ne:T2ag83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1>95+58c961=zutw0e8`81!3>j38?7);6a;07?x{zu2c:n<4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9k;0Z<6n:3y'1o6j:0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g5<^82j6?u+58`961=#=0k1>95r}|8m4?1290/>om51818R7db28qG>n>51zTfb?4|,;:m6<79;W3;e?4|,<3i6?:4$4;b>72?2\:4l4={%7:f?7>3-?2m7?6;|~y>o6110;6)P5jl0:wA999U5=g=:r.>5o4>9:&6=d<612wvqp5f18;94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9030Z<6n:3y'1i:0;b?S7?i38p(87m:0;8 0?f2830qpsr;h3:f?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f7ge290?6=4?{%0ag?47?2B9n?5f18694?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f67429096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'153;294~"5jj09n45G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?463-?2m7<>;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb23a>5<1290;w)N5j;1/=o:5269j5<5=83.9nn4>929U6gc=9rF9o=4>{W3ea?4|,;:m6<7<;W3;e?4|,<3i6<74$4;b>4?ok51zN1g5<6s_;mi7`4<^82j6?u+58`95<=#=0k1=45r}|8m`5=83.9nn4j3:T1f`<5sE8h<7?tV0df>7}#:9l1i>5Y19c96~"21k0:n6*:9`82f>{zuE8ij7:tV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13;2?6*<3686=g=z,?;?6?>4}W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100:5>5+325917}#=031i?5+325915l4=5:~yI4en3;pZ?l::3yUaa<5s-?257<6b:&072<21k1v(;?;:378yx{P60h09w);6b;06?!3>i38>7psrL3`e>4}Q:k?1>vXjd;0x 0?>2;3?7)=<7;7:f>{#>8>1>85r}|9l65>=83.9nn4=0998yg56<3:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn>?::182>5<7s-8io754;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=i5+58c95a=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:m=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo=>8;2954<729q/>om5829K6g4<2\:4l4={%7:f?7c3-?2m7?k;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8m4g7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2eg<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?ne:T2ag83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1>95+58c961=zutw0e8`81!3>j38?7);6a;07?x{zu2c:n<4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9k;0Z<6n:3y'1o6j:0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g5<^82j6?u+58`961=#=0k1>95r}|8m4?1290/>om51818R7db28qG>n>51zTfb?4|,;:m6<79;W3;e?4|,<3i6<74$4;b>4??2\:4l4={%7:f?7>3-?2m7?6;|~y>o6110;6)P5jl0:wA999U5=g=:r.>5o4>9:&6=d<612wvqp5f18;94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9030Z<6n:3y'1i:0;b?S7?i38p(87m:0;8 0?f2830qpsr;h3:f?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f67>290:=7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<@;hi7[=2\:4l4={%7:f?433-?2m7<;;|~y>o6i90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`961=#=0k1>95r}|8m4ge290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e`<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nf:T2b183>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=o>4V0:b>7}#=0h1>95+58c961=zutw0e:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:n?4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9k80Z<6n:3y'1o61?0;6)P5jl0:wA979U5=g=:r.>5o4>9:&6=d<612wvqp5f18594?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90=0Z<6n:3y'1i:0;;?S7?i38p(87m:0;8 0?f2830qpsr;h3:=?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:5l4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=d=Q91k1>v*:9c82=>"21h0:56sr}|9j5929U6gc=9rF9o=4>{Wge>7}#:9l1=4l4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd49?0;694?:1y'6ge=:9=0D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd4;l0;6?4?:1y'6ge=m91C>o<4i0;0>5<#:ki1=4=4;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?9;50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb26b>5<1290;w)N5j;1/=o:5269j5<5=83.9nn4>929U6gc=9rF9o=4>{W3ea?4|,;:m6<7<;W3;e?4|,<3i6<74$4;b>4?ok51zN1g5<6s_;mi7`4<^82j6?u+58`95<=#=0k1=45r}|8m`5=83.9nn4j3:T1f`<5sE8h<7?tV0df>7}#:9l1i>5Y19c96~"21k0:n6*:9`82f>{zuE8ij7:tV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13;2?6*<3686=g=z,?;?6?>4}W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100:5>5+325917}#=031i?5+325915l4=5:~yI4en3;pZ?l::3yUaa<5s-?257<6b:&072<21k1v(;?;:378yx{P60h09w);6b;06?!3>i38>7psrL3`e>4}Q:k?1>vXjd;0x 0?>2;3?7)=<7;7:f>{#>8>1>85r}|9l65>=83.9nn4=0998yg54n3:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'17:18'6ge=:9207pl<4383>6<729q/>om5829K6g4i:0;7?S7?i38p(87m:0f8 0?f28n0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'153;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:368 0?f2;>0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'156;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:0f8 0?f28n0qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:m=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1i:0ca?S7?i38p(87m:0f8 0?f28n0qpsr;h3ba?6=,;hh6<7<;I0af>P5jl0:wAad9U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'154;294~"5jj09<:5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'154;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:m=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c82a>"21h0:i6sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo=;7;292?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<6m2.>5l4>e:~yx=n9hh1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ge3_;3m74c<,<3j6!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj:>36=4<:183!4ek38;;6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm35`94?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f635290=6=4?{%0ag?7>82B9n?5+1c6962=n9091<7*=bb82=6=Q:ko1=vB=c182S7am38p(?>i:0;0?S7?i38p(87m:0;8 0?f2830qpsr;hg1>5<#:ki1i?5Y2cg95~J5k90:w[?ie;0x 76a2l80Z<6n:3y'1P5jl09wA=h5e29U5=g=:r.>5o4>b:&6=d<6j2wvqAk39pZhj52z&6=<544>929'761==0k0q)8>4;03?xP51j08w[kk:3y'19558`8yScb2;q/9475e39'761==0h0q)8>4;3b?xP58l09w[kk:3y'19558`8y!06<38=7psr;h0:f?6=,;hh6?7m;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:f>"4;>0>5o5r$737>730Z?lj:3yO6f6=9r\:jh4={%03b?4><2\:4l4={%7:f?423-?2m7<:;|~H7da28q]>o;52zTf`?4|,<326?7;;%103?3>j2w/:<:5249~yx=h:921<7*=bb814==53;294~"5jj09n45G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg53l3:1=7>50z&1ff<5k:1C>o<4o32;>5<#:ki1>=64;|`00c<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74b<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo=:0;297?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m772<,<3j6?:4}|~?l7>=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo=;e;297?6=8r.9nn4=069K6g4i:0;7?S7?i38p(87m:0;8 0?f2830qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f63429096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'1n7>56;294~"5jj0:5=5G2c08 4d32;=0e<7<:18'6ge=9090Z?lj:0yO6f6=9r\:jh4={%03b?7>;2\:4l4={%7:f?7>3-?2m7?6;|~y>ob:3:1(?ll:d08R7db28qG>n>51zT2b`<5s-8;j7k=;W3;e?4|,<3i6<74$4;b>4?ok52zN1g5<6s_;mi7`5<^82j6?u+58`95g=#=0k1=o5r}|N1fc<3s_82o7=tVdf96~"2100n?6*<3686=g=z^lo1>v*:9882=6=#;:=194l4}%420?473t\95n4<{Wgg>7}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni7`4<,:9<687m;|&551<6i2w]>=k52zTf`?4|,<326h=4$214>0?e3t.==94=6:~y>o51k0;6)P5jl09wA=h528`8R4>f2;q/94l5249'19558`8y!06<38>7psr;h0:0?6=,;hh6?7;;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:0>"4;>0>5o5r$737>737:18'6ge=:9207pl<5583>6<729q/>om52c;8L7d53`;287>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th8984?:083>5}#:ki1>n=4H3`1?j4703:1(?ll:32;?>{e;<=1<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`01=<72?0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:368 0?f2;>0qpsr;h3bf?6=,;hh6<7<;I0af>P5jl0:wAac9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`g94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6723-?2m7?6;|~y>{e;<31<7950;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:0f8 0?f28n0qpsr;h3bf?6=,;hh6<7<;I0af>P5jl0:wAac9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`g94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg52>3:187>50z&1ff<58>1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg52k3:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th8::4?:783>5}#:ki1=4>4H3`1?!7e<38<7d?63;29 7dd28387[5l4>9:~yx=nm;0;6)3twvq6gj3;29 7dd2l90Z?lj:3yO6f6=9r\:jh4={%03b?c43_;3m74d<,<3j67}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni74?43-98;7;6a:'242=:91vZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?c53-98;7;6b:'242=9h1vZ?>j:3yUaa<5s-?257k<;%103?3>j2w/:<:5279~yx=n:0h1<7*=bb81=g=Q:ko1>vB=c182S7am38p(?>i:3;a?S7?i38p(87m:378 0?f2;?0qpsC2cd95~P5j<09w[kk:3y'1=8:4;a?x"19=0996sr}:k1=1<72-8io7<64:T1f`<5sE8h<7?tV0df>7}#:9l1>4:4V0:b>7}#=0h1>85+58c960=zutF9nk4>{W0a1?4|^ln1>v*:9881=1=#;:=194l4}%420?423twv7b;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?8k50;394?6|,;hh6?m<;I0a6>i5810;6)=zj:<;6=4<:183!4ek3287E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?6<729q/>om5829K6g4i:0;7?S7?i38p(87m:0f8 0?f28n0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'17>54;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=i5+58c95a=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:m=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`026<72?0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74b<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82`>"21h0:h6sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=i5+58c95a=zutw0e8`81!3>j38?7);6a;07?x{zu2c:mh4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`021<728;1<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7c3-?2m7?k;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>d:&6=d<6l2wvqp5f1`294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1i:0ca?S7?i38p(87m:0f8 0?f28n0qpsr;h3ba?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:mk4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82ec=Q91k1>v*:9c82`>"21h0:h6sr}|9j5g6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=o>4V0:b>7}#=0h1=i5+58c95a=zutw0e:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:n?4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9k80Z<6n:3y'1o61?0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<0<^82j6?u+58`961=#=0k1>95r}|8m4?0290/>om51818R7db28qG>n>51zTfb?4|,;:m6<78;W3;e?4|,<3i6<74$4;b>4?02\:4l4={%7:f?7>3-?2m7?6;|~y>o6100;6)P5jl0:wA989U5=g=:r.>5o4>9:&6=d<612wvqp5f18c94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90k0Z<6n:3y'1i:0;a?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?;;50;32>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:0f8 0?f28n0qpsr;h3bf?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:mh4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c82`>"21h0:h6sr}|9j5d`=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1=i5+58c95a=zutw0e4d73_;3m74b<,<3j6{M0`4?7|^ll1>v*=0g82f4=Q91k1>v*:9c810>"21h0986sr}|9j5g4=83.9nn4>929K6gd<^;hn6i:0`1?S7?i38p(87m:0f8 0?f28n0qpsr;h3a7?6=,;hh6<7<;I0af>P5jl0:wAb29U5=g=:r.>5o4=4:&6=d<5<2wvqp5f18494?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<79;W3;e?4|,<3i6?:4$4;b>72?2\:4l4={%7:f?7>3-?2m7?6;|~y>o6110;6)P5jl0:wA999U5=g=:r.>5o4>9:&6=d<612wvqp5f18;94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9030Z<6n:3y'1i:0;b?S7?i38p(87m:0;8 0?f2830qpsr;h3:f?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f63a290<6=4?{%0ag?47?2B9n?5f18694?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mo4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c82=>"21h0:56sr}|9j5dc=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1=45+58c95<=zutw0e4ga3_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm37:94?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f614290=6=4?{%0ag?7>82B9n?5+1c6962=n9091<7*=bb82=6=Q:ko1=vB=c182S7am38p(?>i:0;0?S7?i38p(87m:0;8 0?f2830qpsr;hg1>5<#:ki1i?5Y2cg95~J5k90:w[?ie;0x 76a2l80Z<6n:3y'1P5jl09wA=h5e29U5=g=:r.>5o4>b:&6=d<6j2wvqAk39pZhj52z&6=<544>929'761==0k0q)8>4;03?xP51j08w[kk:3y'19558`8yScb2;q/9475e39'761==0h0q)8>4;3b?xP58l09w[kk:3y'19558`8y!06<38=7psr;h0:f?6=,;hh6?7m;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:f>"4;>0>5o5r$737>730Z?lj:3yO6f6=9r\:jh4={%03b?4><2\:4l4={%7:f?423-?2m7<:;|~H7da28q]>o;52zTf`?4|,<326?7;;%103?3>j2w/:<:5249~yx=h:921<7*=bb814==53;294~"5jj09n45G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg51i3:1=7>50z&1ff<5k:1C>o<4o32;>5<#:ki1>=64;|`02f<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj:929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=i5+58c95a=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74b<,<3j6ac83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<6l2.>5l4>d:~yx=n9ho1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l51e9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e28n0(87n:0f8yx{z3`;i<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2f4<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c38R4>f2;q/94l51e9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h97[?7a;0x 0?e28n0(87n:0f8yx{z3`;i?7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2=3<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5;5Y19c96~"21k0:h6*:9`82`>{zut1b=4950;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<1<^82j6?u+58`95a=#=0k1=i5r}|8m4??290/>om51818R7db28qG>n>51zTfb?4|,;:m6<77;W3;e?4|,<3i64b12\:4l4={%7:f?7c3-?2m7?k;|~y>o61h0;6)P5jl0:wA9`9U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18`94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7m;W3;e?4|,<3i6?:4$4;b>72{zut1b=4j50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283o7[?7a;0x 0?e2;>0(87n:368yx{z3`;2i7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61l1]=5o52z&6=g<5<2.>5l4=4:~yx=n90l1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518d8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k:7[?7a;0x 0?e2830(87n:0;8yx{z3`;j>7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e6<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m>5Y19c96~"21k0:56*:9`82=>{zut1b=l:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d2<^82j6?u+58`95<=#=0k1=45r}|8m4g2290/>om51818R7db28qG>n>51zTfb?4|,;:m64?2\:4l4={%7:f?7>3-?2m7?6;|~y>o6i>0;6)P5jl0:wAa69U5=g=:r.>5o4>9:&6=d<612wvqp5f1`:94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h20Z<6n:3y'1i:0c:?S7?i38p(87m:0;8 0?f2830qpsr;h3be?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mn4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82ef=Q91k1>v*:9c82=>"21h0:56sr}|9j5db=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lj4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd4>l0;6??50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82`>"21h0:h6sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=i5+58c95a=zutw0e4ge3_;3m74b<,<3j6ag83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<6l2.>5l4>d:~yx=n9k:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51c28R4>f2;q/94l51e9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?m1:T2b383>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=o<4V0:b>7}#=0h1=i5+58c95a=zutw0e4d43_;3m74b<,<3j6>3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?66:T29683>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61>1]=5o52z&6=g<6l2.>5l4>d:~yx=n9021<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518:8R4>f2;q/94l51e9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28327[?7a;0x 0?e28n0(87n:0f8yx{z3`;2m7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2=g<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5o5Y19c96~"21k0986*:9`810>{zut1b=4m50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283h7[?7a;0x 0?e28n0(87n:0f8yx{z3`;2h7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61m1]=5o52z&6=g<5<2.>5l4=4:~yx=n90o1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?b3_;3m772<,<3j6?:4}|~?l7>n3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?6f:T23twvq6g>a083>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i81]=5o52z&6=g<612.>5l4>9:~yx=n9h81<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`08R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k87[?7a;0x 0?e2830(87n:0;8yx{z3`;j87>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m85Y19c96~"21k0:56*:9`82=>{zut1b=l850;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d0<^82j6?u+58`95<=#=0k1=45r}|8m4g0290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6i00;6)P5jl0:wAa89U5=g=:r.>5o4>9:&6=d<612wvqp5f1`c94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hk0Z<6n:3y'1i:0c`?S7?i38p(87m:0;8 0?f2830qpsr;h3b`?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f60a2909=7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0f8 0?f28n0qpsr;h3b4?6=,;hh6<7<;I0af>P5jl0:wAa19U5=g=:r.>5o4>d:&6=d<6l2wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1o6io0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d`<^82j6?u+58`95a=#=0k1=i5r}|8m4d7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2f4<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c38R4>f2;q/94l51e9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h97[?7a;0x 0?e28n0(87n:0f8yx{z3`;i?7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2=3<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5;5Y19c96~"21k0:h6*:9`82`>{zut1b=4950;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<1<^82j6?u+58`95a=#=0k1=i5r}|8m4??290/>om51818R7db28qG>n>51zTfb?4|,;:m6<77;W3;e?4|,<3i64b12\:4l4={%7:f?7c3-?2m7?k;|~y>o61h0;6)P5jl0:wA9`9U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18`94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7m;W3;e?4|,<3i6?:4$4;b>72{zut1b=4j50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283o7[?7a;0x 0?e2;>0(87n:368yx{z3`;2i7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61l1]=5o52z&6=g<5<2.>5l4=4:~yx=n90l1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518d8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k:7[?7a;0x 0?e2830(87n:0;8yx{z3`;j>7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e6<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m>5Y19c96~"21k0:56*:9`82=>{zut1b=l:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d2<^82j6?u+58`95<=#=0k1=45r}|8m4g2290/>om51818R7db28qG>n>51zTfb?4|,;:m64?2\:4l4={%7:f?7>3-?2m7?6;|~y>o6i>0;6)P5jl0:wAa69U5=g=:r.>5o4>9:&6=d<612wvqp5f1`:94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h20Z<6n:3y'1i:0c:?S7?i38p(87m:0;8 0?f2830qpsr;h3be?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mn4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82ef=Q91k1>v*:9c82=>"21h0:56sr}|9j5db=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lj4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd4?90;6??50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82`>"21h0:h6sr}|9j5d6=83.9nn4>929K6gd<^;hn6i:0c3?S7?i38p(87m:0f8 0?f28n0qpsr;h3bf?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:mh4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1o6j90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g6<^82j6?u+58`95a=#=0k1=i5r}|8m4d6290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2f7<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c08R4>f2;q/94l51e9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h87[?7a;0x 0?e28n0(87n:0f8yx{z3`;2:7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2=2<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5:5Y19c96~"21k0:h6*:9`82`>{zut1b=4650;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<><^82j6?u+58`95a=#=0k1=i5r}|8m4?>290/>om51818R7db28qG>n>51zTfb?4|,;:m6<76;W3;e?4|,<3i64bi2\:4l4={%7:f?7c3-?2m7?k;|~y>o61k0;6)P5jl0:wA9c9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f18a94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7l;W3;e?4|,<3i64b{zut1b=4k50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283n7[?7a;0x 0?e2;>0(87n:368yx{z3`;2j7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e4<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m<5Y19c96~"21k0:56*:9`82=>{zut1b=l<50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d4<^82j6?u+58`95<=#=0k1=45r}|8m4g4290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6i<0;6)P5jl0:wAa49U5=g=:r.>5o4>9:&6=d<612wvqp5f1`494?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h<0Z<6n:3y'1i:0c4?S7?i38p(87m:0;8 0?f2830qpsr;h3b8`81!3>j3;27);6a;3:?x{zu2c:m44?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e<=Q91k1>v*:9c82=>"21h0:56sr}|9j5dg=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lo4V0:b>7}#=0h1=45+58c95<=zutw0e4gd3_;3m74?<,<3j6<74}|~?l7fl3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nd:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj:=:6=4=1;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=i5+58c95a=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74b<,<3j6{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c82`>"21h0:h6sr}|9j5dd=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1=i5+58c95a=zutw0e8`81!3>j3;o7);6a;3g?x{zu2c:mk4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1o6j80;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g7<^82j6?u+58`95a=#=0k1=i5r}|8m4d5290/>om51818R7db28qG>n>51zTfb?4|,;:m64bo61?0;6)P5jl0:wA979U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18594?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90=0Z<6n:3y'1i:0;;?S7?i38p(87m:0f8 0?f28n0qpsr;h3:=?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:5l4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=d=Q91k1>v*:9c82`>"21h0:h6sr}|9j5929K6gd<^;hn6i:0;a?S7?i38p(87m:368 0?f2;>0qpsr;h3:g?6=,;hh6<7<;I0af>P5jl0:wA9b9U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18f94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7k;W3;e?4|,<3i6?:4$4;b>72{zut1b=4h50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<`<^82j6?u+58`95<=#=0k1=45r}|8m4g6290/>om51818R7db28qG>n>51zTfb?4|,;:m6;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>o6i:0;6)P5jl0:wAa29U5=g=:r.>5o4>9:&6=d<612wvqp5f1`694?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0c6?S7?i38p(87m:0;8 0?f2830qpsr;h3b2?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m:4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e2=Q91k1>v*:9c82=>"21h0:56sr}|9j5d>=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l64V0:b>7}#=0h1=45+58c95<=zutw0e4g>3_;3m74?<,<3j6<74}|~?l7fi3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?na:T23twvq6g>ab83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ij1]=5o52z&6=g<612.>5l4>9:~yx=n9hn1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`f8R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th8:o4?:683>5}#:ki1>=94H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2830(87n:0;8yx{z3`;ji7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2ec<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mk5Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg50<3:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th84=4?:783>5}#:ki1=4>4H3`1?!7e<38<7d?63;29 7dd28387[5l4>9:~yx=nm;0;6)3twvq6gj3;29 7dd2l90Z?lj:3yO6f6=9r\:jh4={%03b?c43_;3m74d<,<3j67}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni74?43-98;7;6a:'242=:91vZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?c53-98;7;6b:'242=9h1vZ?>j:3yUaa<5s-?257k<;%103?3>j2w/:<:5279~yx=n:0h1<7*=bb81=g=Q:ko1>vB=c182S7am38p(?>i:3;a?S7?i38p(87m:378 0?f2;?0qpsC2cd95~P5j<09w[kk:3y'1=8:4;a?x"19=0996sr}:k1=1<72-8io7<64:T1f`<5sE8h<7?tV0df>7}#:9l1>4:4V0:b>7}#=0h1>85+58c960=zutF9nk4>{W0a1?4|^ln1>v*:9881=1=#;:=194l4}%420?423twv7b;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd4??0;6<4?:1y'6ge=:j90D?l=;n037;:a72>=8391<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'153;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm36c94?2=83:p(?ll:918L7d53`;287>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6l2.>5l4>d:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;>0(87n:368yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a72d=83>1<7>t$3``>=5<@;h97d?64;29 7dd28387E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8m4g7290/>om51818R7db28qG>n>51zTfb?4|,;:m6723-?2m7?6;|~y>{e;>i1<7:50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?:j50;694?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82`>"21h0:h6sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1>95+58c961=zutw0e4g73_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm36g94?2=83:p(?ll:918L7d53`;287>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6l2.>5l4>d:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?n0:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj:=<6=47:183!4ek38;;6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?l7f83:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n0:T23twvq6g>ac83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<612.>5l4>9:~yx=n9ho1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2830(87n:0;8yx{z3`;i<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn9;n:181>5<7s-8io7k?;I0a6>o61:0;6)=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'13:1om51818R7db28qG>n>51zT2b`<5s-8;j7?63:T23twvq6gj2;29 7dd2l80Z?lj:0yO6f6=9r\:jh4={%03b?c53_;3m74?<,<3j6<74}|~?lc4290/>om5e29U6gc=:rF9o=4>{W3ea?4|,;:m6h=4V0:b>7}#=0h1=o5+58c95g=zutF9nk4;{W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100:5>5+325917}#=031=4=4$214>0?f3t.==94=0:U6`5<,:9<687m;|Tfa?4|,<326h<4$214>0?e3t.==94=0:U65c=:r\nh7`5<,:9<687m;|&551<5>2wvq6g=9c83>!4ek382n6X=bd81I4d83;pZ544=9c9'761==0h0q)8>4;06?x{z3`8287>5$3``>7?33_8ii74}Q9oo1>v*=0g81=1=Q91k1>v*:9c811>"21h0996sr}M0ab?7|^;h>6?uYee81!3>138286*<3686=g=z,?;?6?;4}|8k76?290/>om521:8?xd3=j0;6>4?:1y'6ge=:k30D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo::d;295?6=8r.9nn4=c29K6g4<3th?9h4?:283>5}#:ki14>5G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2=0<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l51e9'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th?9o4?:283>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6?:4$4;b>72=2\:4l4={%7:f?433-?2m7<;;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb542>5<5290;w)4?:%0ag?7>;21d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg21m3:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;02?!3>i38:7psr}:m14=<72-8io7{zut1vn98k:185>5<7s-8io7?60:J1f7=#9k>1>:5f18194?"5jj0:5>5Y2cg95~J5k90:w[?ie;0x 76a28387[?7a;0x 0?e2830(87n:0;8yx{z3`o96=4+2ca9a7=Q:ko1=vB=c182S7am38p(?>i:d08R4>f2;q/94l5189'15l4>b:~yI4en3>pZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?7>;2.8?:4:9c9~ 3732;:0q[<6c;1xR`b=:r.>544j3:&072<21k1vZhk52z&6=<<61:1/?>9558c8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'19558`8y!06<3;j7pX=0d81Scc2;q/9475e29'761==0h0q)8>4;05?x{z3`82n7>5$3``>7?e3_8ii74}Q9oo1>v*=0g81=g=Q91k1>v*:9c811>"21h0996sr}M0ab?7|^;h>6?uYee81!3>1382n6*<3686=g=z,?;?6?;4}|8m7?3290/>om52868R7db2;qG>n>51zT2b`<5s-8;j7<64:T27?33-98;7;6b:'242=:<1vqp5`21:94?"5jj09<554}c656?6=;3:18`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo:93;295?6=8r.9nn4=c29K6g4<3th?:84?:283>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6?:4$4;b>72=2\:4l4={%7:f?433-?2m7<;;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb545>5<4290;w);2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<@;hi7[=2\:4l4={%7:f?433-?2m7<;;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb544>5<4290;w);2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3>10;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn986:180>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95a=#=0k1=i5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:m14=<72-8io7{zut1vn98n:180>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`72g<72=0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82`>"21h0:h6sr}|9j5d6=83.9nn4>929K6gd<^;hn6i:0c3?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi8;:50;:94?6|,;hh6?>8;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:0;8 0?f2830qpsr;h3bf?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mh4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c82=>"21h0:56sr}|9j5d`=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1=45+58c95<=zutw0e4d73_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm47d94?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f11>29086=4?{%0ag?4e12B9n?5f18694?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:338 0?f2;;0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi8:650;494?6|,;hh6<7?;I0a6>"6j=09;6g>9283>!4ek3;2?6X=bd82I4d83;pZP5jl0:wA=h5e39U5=g=:r.>5o4>9:&6=d<612wvqp5fe283>!4ek3o87[4m53zTf`?4|,<326h=4$214>0?e3t\ni74?43-98;7;6b:'242=:91vZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?7>;2.8?:4:9`9~ 3732;:0q[<6c;1xR`b=:r.>544j3:&072<21k1vZhk52z&6=<544j3:&072<21k1v(;?;:348yx{P60h09w);6b;06?!3>i38>7psrL3`e>4}Q:k?1>vXjd;0x 0?>2;3i7)=<7;7:f>{#>8>1>85r}|9j6<2=83.9nn4=959U6gc=:rF9o=4>{W3ea?4|,;:m6?7;;W3;e?4|,<3i6?;4$4;b>73oh51zT1f0<5s_oo6?u+58;96<2<,:9<687m;|&551<5=2wvq6a=0983>!4ek38;465rb553>5<4290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e<>;1<7?50;2x 7dd2;i87E43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6l2.>5l4>d:~yx=n90?1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?23_;3m772<,<3j6?:4}|~?l7f83:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n0:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj==?6=48:183!4ek3287E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;>0(87n:368yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2eg<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;>0(87n:368yx{z3`;jj7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:m14=<72-8io7{zut1vn99::18;>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95a=#=0k1=i5r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?:4$4;b>72{zut1b=ll50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2;>0(87n:368yx{z3`;ji7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<5<2.>5l4=4:~yx=n9hl1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?m0:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj===6=47:183!4ek3287E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8m4g7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2eg<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?ne:T2ag83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<5<2.>5l4=4:~yx=n9k:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74d73_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm46094?3=83:p(?ll:324?M4e:2c:594?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0e4g73_;3m74?<,<3j6<74}|~?l7fj3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nb:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj==j6=4=:183!4ek3o;7E=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo:70;292?6=8r.9nn4>919K6g4<,8h?6?94i0;0>5<#:ki1=4=4V3`f>4}K:j:1=vX>fd81!47n3;2?6X>8`81!3>j3;27);6a;3:?x{zu2cn>7>5$3``>`4<^;hn65<#:ki1i>5Y2cg96~J5k90:w[?ie;0x 76a2l90Z<6n:3y'1544j3:&072<21k1vZhk52z&6=<<61:1/?>9558`8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;b?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>2l80(>=8:4;a?x"19=09<6sY21g96~Pbl38p(876:d18 6502<3i7p*915812>{zu2c95o4?:%0ag?4>j2\9nh4={M0`4?7|^8ln6?u+21d9685r}|N1fc<6s_8i97<3:1(?ll:3;7?S4em38p@?m?:0yU5cc=:r.95o4=5:&6=d<5=2wvqA<2.8?:4:9c9~ 3732;?0qps4o32;>5<#:ki1>=64;|`73f<72:0;6=u+2ca96g?<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'151;294~"5jj09o>5G2c08k76?290/>om521:8?xd3?l0;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=i5+58c95a=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3?k0;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:m14=<72-8io7{zut1vn8m;:181>5<7s-8io7k?;I0a6>o61:0;6)=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd2l80;6>4?:1y'6ge=:k30D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c815>"21h09=6sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo;k0;292?6=8r.9nn4>919K6g4<,8h?6?94i0;0>5<#:ki1=4=4V3`f>4}K:j:1=vX>fd81!47n3;2?6X>8`81!3>j3;27);6a;3:?x{zu2cn>7>5$3``>`4<^;hn65<#:ki1i>5Y2cg96~J5k90:w[?ie;0x 76a2l90Z<6n:3y'1544j3:&072<21k1vZhk52z&6=<<61:1/?>9558`8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;b?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>2l80(>=8:4;a?x"19=0:m6sY21g96~Pbl38p(876:d18 6502<3i7p*915812>{zu2c95o4?:%0ag?4>j2\9nh4={M0`4?7|^8ln6?u+21d9685r}|N1fc<6s_8i97<3:1(?ll:3;7?S4em38p@?m?:0yU5cc=:r.95o4=5:&6=d<5=2wvqA<2.8?:4:9c9~ 3732;?0qps4o32;>5<#:ki1>=64;|`6g0<72:0;6=u+2ca96g?<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'151;294~"5jj09o>5G2c08k76?290/>om521:8?xd2k10;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn8m6:187>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?:4$4;b>72i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb4ab>5<693:1N5j;1b=4:50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28n0(87n:0f8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0986*:9`810>{zut1b=ll50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`961=#=0k1>95r}|8m4gb290/>om51818R7db28qG>n>51zTfb?4|,;:m672{zut1b=o>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28h;7[?7a;0x 0?e2;>0(87n:368yx{z3`;i=7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2f7<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:n?5Y19c96~"21k0986*:9`810>{zut1b=o=50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g5<^82j6?u+58`961=#=0k1>95r}|8m4?1290/>om51818R7db28qG>n>51zTfb?4|,;:m6<79;W3;e?4|,<3i6<74$4;b>4??2\:4l4={%7:f?7>3-?2m7?6;|~y>o6110;6)P5jl0:wA999U5=g=:r.>5o4>9:&6=d<612wvqp5f18;94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9030Z<6n:3y'1i:0;b?S7?i38p(87m:0;8 0?f2830qpsr;h3:f?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f0ee290:=7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:368 0?f2;>0qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:mo4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c810>"21h0986sr}|9j5dc=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>95+58c961=zutw0e8`81!3>j38?7);6a;07?x{zu2c:n=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9k:0Z<6n:3y'1i:0`2?S7?i38p(87m:368 0?f2;>0qpsr;h3a6?6=,;hh6<7<;W0aa?7|D;i;66X>8`81!3>j38?7);6a;07?x{zu2c:n>4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f6=Q91k1>v*:9c810>"21h0986sr}|9j5<0=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=484V0:b>7}#=0h1=45+58c95<=zutw0e<78:18'6ge=9090Z?lj:0yO6f6=9r\nj74?03_;3m74?<,<3j6<74}|~?l7>03:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?68:T23twvq6g>9883>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6101]=5o52z&6=g<612.>5l4>9:~yx=n90k1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518c8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283i7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a1fe=83;:6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=i5+58c95a=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:m=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c810>"21h0986sr}|9j5dd=83.9nn4>929K6gd<^;hn6i:0ca?S7?i38p(87m:0f8 0?f28n0qpsr;h3ba?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:mk4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1o6j80;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g7<^82j6?u+58`961=#=0k1>95r}|8m4d5290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2f6<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c18R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283=7[?7a;0x 0?e2;>0(87n:368yx{z3`;2;7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2==<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:555Y19c96~"21k0986*:9`810>{zut1b=4750;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95om51818R7db28qG>n>51zTfb?4|,;:m6<7n;W3;e?4|,<3i6<74$4;b>4?j2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb4a4>5<1290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>o6ik0;6)P5jl0:wAac9U5=g=:r.>5o4>9:&6=d<612wvqp5f1`g94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`6g`<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74c<,<3j6=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj=l<6=4=:183!4ek3o;7E=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo;?4;296?6=8r.9nn4j0:J1f7=n9091<7*=bb82=6=<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`646<72?0;6=u+2ca95<6<@;h97)?m4;04?l7>;3:1(?ll:0;0?S4em3;p@?m?:0yU5cc=:r.9929U5=g=:r.>5o4>9:&6=d<612wvqp5fe383>!4ek3o97[3-?2m7?6;|~y>ob;3:1(?ll:d18R7db2;qG>n>51zT2b`<5s-8;j7k<;W3;e?4|,<3i64doh54zT1=f<4s_oo6?u+58;9a6=#;:=194l4}Wgf>7}#=031=4=4$214>0?e3t.==94=0:U6`5<,:9<687m;|Tfa?4|,<326<7<;%103?3>i2w/:<:5219~R7?d2:q]ii4={%7:=?c43-98;7;6b:Ua`<5s-?257k=;%103?3>j2w/:<:51`9~R76b2;q]ii4={%7:=?c43-98;7;6b:'242=:?1vqp5f28`94?"5jj095o5Y2cg96~J5k90:w[?ie;0x 76a2;3i7[?7a;0x 0?e2;?0(87n:378yx{K:kl1=vX=b481Scc2;q/947528`8 6502<3i7p*915811>{zu2c9594?:%0ag?4><2\9nh4={M0`4?7|^8ln6?u+21d96<2<^82j6?u+58`960=#=0k1>85r}|N1fc<6s_8i97{e{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?4<729q/>om52b18L7d53f8;47>5$3``>76?32wi8kl50;194?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e8`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`7b`<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m772<,<3j6?:4}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj=lm6=4<:183!4ek3287E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?6<729q/>om5829K6g4i:0;7?S7?i38p(87m:368 0?f2;>0qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f06629086=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1>95+58c961=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm4gc94?>=83:p(?ll:324?M4e:2c:594?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0e4g73_;3m74?<,<3j6<74}|~?l7fj3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nb:T23twvq6g>ad83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<612.>5l4>9:~yx=n9hl1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h;7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a1a`=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj1b=4=50;&1ff<61:1]>ok51zN1g5<6s_;mi74?43_;3m74?<,<3j6<74}|~?lc5290/>om5e39U6gc=9rF9o=4>{W3ea?4|,;:m6h<4V0:b>7}#=0h1=45+58c95<=zutw0eh=50;&1ffv*:9c82f>"21h0:n6sr}M0ab?2|^;3h6>uYee81!3>13o87)=<7;7:f>{Qml09w);69;3:7>"4;>0>5o5r$737>76v*:9882=6=#;:=194o4}%420?473t\95n4<{Wgg>7}#=031i>5+325917}#=031i>5+32591j3:1(?ll:3;a?S4em38p@?m?:0yU5cc=:r.95o4=5:&6=d<5=2wvqAj2.8?:4:9c9~ 3732;?0qps4i3;7>5<#:ki1>4:4V3`f>7}K:j:1=vX>fd81!47n38286X>8`81!3>j38>7);6a;06?x{zD;hm6=650;&1ff<58110qo;j0;297?6=8r.9nn4=b89K6g4i:0;7?S7?i38p(87m:0;8 0?f2830qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f0c6290:6=4?{%0ag?4d;2B9n?5`21:94?"5jj09<554}c7f7?6=;3:1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e=l>1<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo;j5;297?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m772<,<3j6?:4}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;>0(87n:368yx{z3`;j<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<5<2.>5l4=4:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95a=#=0k1=i5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>95+58c961=zutw0e8`81!3>j38?7);6a;07?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f0c?290<6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6l2.>5l4>d:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?n0:T2ac83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1>95+58c961=zutw0e8`81!3>j38?7);6a;07?x{zu2c:mk4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`6a<<7210;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74b<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9j5d6=83.9nn4>929K6gd<^;hn6i:0c3?S7?i38p(87m:368 0?f2;>0qpsr;h3bf?6=,;hh6<7<;I0af>P5jl0:wAac9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`g94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1i:0ce?S7?i38p(87m:368 0?f2;>0qpsr;h3a4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f0c529036=4?{%0ag?47?2B9n?5f18694?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mo4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c82=>"21h0:56sr}|9j5dc=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1=45+58c95<=zutw0e4ga3_;3m74?<,<3j6<74}|~?l7e83:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?m0:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo8?5;297?6=8r.9nn4=b89K6g4i:0;7?S7?i38p(87m:0;8 0?f2830qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38:7);6a;02?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f363290=6=4?{%0ag?7>82B9n?5+1c6962=n9091<7*=bb82=6=Q:ko1=vB=c182S7am38p(?>i:0;0?S7?i38p(87m:0;8 0?f2830qpsr;hg1>5<#:ki1i?5Y2cg95~J5k90:w[?ie;0x 76a2l80Z<6n:3y'1P5jl09wA=h5e29U5=g=:r.>5o4>b:&6=d<6j2wvqAk39pZhj52z&6=<544>929'761==0k0q)8>4;03?xP51j08w[kk:3y'19558`8yScb2;q/9475e39'761==0h0q)8>4;3b?xP58l09w[kk:3y'19558`8y!06<38=7psr;h0:f?6=,;hh6?7m;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:f>"4;>0>5o5r$737>730Z?lj:3yO6f6=9r\:jh4={%03b?4><2\:4l4={%7:f?423-?2m7<:;|~H7da28q]>o;52zTf`?4|,<326?7;;%103?3>j2w/:<:5249~yx=h:921<7*=bb814==53;294~"5jj09n45G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg3ai3:1=7>50z&1ff<5k:1C>o<4o32;>5<#:ki1>=64;|`6bf<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?6<729q/>om5829K6g4i:0;7?S7?i38p(87m:368 0?f2;>0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'154;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:368 0?f2;>0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`545<72<0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1o6ik0;6)P5jl0:wAac9U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'155;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:368 0?f2;>0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb721>5<2290;w);2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<@;hi7[=2\:4l4={%7:f?433-?2m7<;;|~y>o6i90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`961=#=0k1>95r}|8m4ge290/>om51818R7db28qG>n>51zTfb?4|,;:m6723-?2m7?6;|~y>{e=oh1<7650;2x 7dd2;:<7E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95<=#=0k1=45r}|8m4g7290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6il0;6)P5jl0:wAad9U5=g=:r.>5o4>9:&6=d<612wvqp5f1`d94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1i:0`3?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi9hm50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb4d4>5<4290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6??4$4;b>773-?2m7?6;|~y>{e=o<1<7850;2x 7dd283;7E4?:%0ag?7>;2\9nh4>{M0`4?7|^8ln6?u+21d95<5<^82j6?u+58`95<=#=0k1=45r}|8m`4=83.9nn4j2:T1f`<6sE8h<7?tV0df>7}#:9l1i?5Y19c96~"21k0:56*:9`82=>{zut1bi>4?:%0ag?c43_8ii74}Q9oo1>v*=0g8f7>P60h09w);6b;3a?!3>i3;i7psrL3`e>1}Q:0i1?vXjd;0x 0?>2l90(>=8:4;a?xPbm38p(876:0;0?!54?3?2n6s+606965=z^;3h6>uYee81!3>13o87)=<7;7:f>{Qml09w);69;3:7>"4;>0>5l5r$737>76v*:988f6>"4;>0>5o5r$737>4gom528`8R7db2;qG>n>51zT2b`<5s-8;j7<6b:T27?e3-98;7;6b:'242=:<1vqp5f28694?"5jj09595Y2cg96~J5k90:w[?ie;0x 76a2;3?7[?7a;0x 0?e2;?0(87n:378yx{K:kl1=vX=b481Scc2;q/94752868 6502<3i7p*915811>{zu2e9<54?:%0ag?47021vn8kk:180>5<7s-8io71<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a1`c=83;1<7>t$3``>7e43A8i>6a=0983>!4ek38;465rb4d3>5<4290;w);2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c810>"21h0986sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1>95+58c961=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd2n80;644?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=i5+58c95a=zutw0e4g73_;3m772<,<3j6?:4}|~?l7fj3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c82`>"21h0:h6sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:368 0?f2;>0qpsr;h3bb?6=,;hh6<7<;I0af>P5jl0:wAag9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1c294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg3a:3:157>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64b{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`961=#=0k1>95r}|8m4ge290/>om51818R7db28qG>n>51zTfb?4|,;:m672{zut1b=lh50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2;>0(87n:368yx{z3`;i<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6j91]=5o52z&6=g<5<2.>5l4=4:~yx=n9k;1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74d63_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm5g194?76290;w);2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0f8 0?f28n0qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:mo4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1o6io0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d`<^82j6?u+58`961=#=0k1>95r}|8m4d7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2f4<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c38R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?m2:T2b283>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6j:1]=5o52z&6=g<612.>5l4>9:~yx=n90<1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51848R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283<7[?7a;0x 0?e2830(87n:0;8yx{z3`;247>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=<<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:545Y19c96~"21k0:56*:9`82=>{zut1b=4o50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95om51818R7db28qG>n>51zTfb?4|,;:m6<7m;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e=o>1<7?>:183!4ek3287E=h51868R4>f2;q/94l51e9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e28n0(87n:0f8yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2eg<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;>0(87n:368yx{z3`;jj7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<5<2.>5l4=4:~yx=n9k:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74d73_;3m772<,<3j6?:4}|~?l7e93:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82f4=Q91k1>v*:9c810>"21h0986sr}|9j5g4=83.9nn4>929K6gd<^;hn6i:0`1?S7?i38p(87m:368 0?f2;>0qpsr;h3a7?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:5;4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=3=Q91k1>v*:9c82=>"21h0:56sr}|9j5<1=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=494V0:b>7}#=0h1=45+58c95<=zutw0e<77:18'6ge=9090Z?lj:0yO6f6=9r\nj74??3_;3m74?<,<3j6<74}|~?l7>13:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?69:T23twvq6g>9`83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61h1]=5o52z&6=g<612.>5l4>9:~yx=n90h1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518`8R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th>ik4?:783>5}#:ki1>=94H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2830(87n:0;8yx{z3`;ji7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn;>9:181>5<7s-8io7k?;I0a6>o61:0;6)=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2;%7:e?463twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj?;96=49:183!4ek3;2<6F=b39'5g2=:>1b=4=50;&1ff<61:1]>ok51zN1g5<6s_;mi74?43_;3m74?<,<3j6<74}|~?lc5290/>om5e39U6gc=9rF9o=4>{W3ea?4|,;:m6h<4V0:b>7}#=0h1=45+58c95<=zutw0eh=50;&1ffv*:9c82f>"21h0:n6sr}M0ab?2|^;3h6>uYee81!3>13o87)=<7;7:f>{Qml09w);69;3:7>"4;>0>5o5r$737>76v*:9882=6=#;:=194o4}%420?473t\95n4<{Wgg>7}#=031i>5+325917}#=031i>5+32591j3:1(?ll:3;a?S4em38p@?m?:0yU5cc=:r.95o4=5:&6=d<5=2wvqAj2.8?:4:9c9~ 3732;?0qps4i3;7>5<#:ki1>4:4V3`f>7}K:j:1=vX>fd81!47n38286X>8`81!3>j38>7);6a;06?x{zD;hm6=650;&1ff<58110qo8?7;297?6=8r.9nn4=b89K6g4<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'151;294~"5jj09o>5G2c08k76?290/>om521:8?xd18h0;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn;>m:180>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`54f<72<0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74b<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9j5d6=83.9nn4>929K6gd<^;hn6i:0c3?S7?i38p(87m:368 0?f2;>0qpsr;h3bf?6=,;hh6<7<;I0af>P5jl0:wAac9U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'156;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1>95+58c961=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:m=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1o6il0;6)P5jl0:wAad9U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'156;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1>95+58c961=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:m=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1o6il0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dc<^82j6?u+58`961=#=0k1>95r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?3<729q/>om5829K6g4i:0;7?S7?i38p(87m:368 0?f2;>0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1b=lk50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;>0(87n:368yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a246=83<1<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?433-?2m7<;;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8m4g7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2eg<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?ne:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj?:26=47:183!4ek38;;6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?l7f83:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n0:T23twvq6g>ac83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<612.>5l4>9:~yx=n9ho1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2830(87n:0;8yx{z3`;i<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn9o7:181>5<7s-8io7k?;I0a6>o61:0;6)=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'13:1om51818R7db28qG>n>51zT2b`<5s-8;j7?63:T23twvq6gj2;29 7dd2l80Z?lj:0yO6f6=9r\:jh4={%03b?c53_;3m74?<,<3j6<74}|~?lc4290/>om5e29U6gc=:rF9o=4>{W3ea?4|,;:m6h=4V0:b>7}#=0h1=o5+58c95g=zutF9nk4;{W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100:5>5+325917}#=031=4=4$214>0?f3t.==94=0:U6`5<,:9<687m;|Tfa?4|,<326h<4$214>0?e3t.==94>a:U65c=:r\nh7`5<,:9<687m;|&551<5>2wvq6g=9c83>!4ek382n6X=bd81I4d83;pZ544=9c9'761==0h0q)8>4;06?x{z3`8287>5$3``>7?33_8ii74}Q9oo1>v*=0g81=1=Q91k1>v*:9c811>"21h0996sr}M0ab?7|^;h>6?uYee81!3>138286*<3686=g=z,?;?6?;4}|8k76?290/>om521:8?xd3i00;6>4?:1y'6ge=:k30D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`7ed<7280;6=u+2ca96f5<@;h97b5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg2fl3:1?7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi8lk50;694?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5<2.>5l4=4:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5259'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th?mk4?:583>5}#:ki14>5G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0986*:9`810>{zut1b=l>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;>0(87n:368yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a0g6=83?1<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?433-?2m7<;;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8m4g7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2eg<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mo5Y19c96~"21k0986*:9`810>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg2e93:1:7>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64b{zut1b=l>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;>0(87n:368yx{z3`;jn7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<5<2.>5l4=4:~yx=n9ho1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5259'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th?n?4?:783>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64b{zut1b=l>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;>0(87n:368yx{z3`;jn7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<5<2.>5l4=4:~yx=n9ho1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5259'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th?mo4?:983>5}#:ki1>=94H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2830(87n:0;8yx{z3`;ji7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2ec<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mk5Y19c96~"21k0:56*:9`82=>{zut1b=o>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g6<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?7<729q/>om5e19K6g45$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a7db=8391<7>t$3``>7d>3A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5209'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th8mn4?:783>5}#:ki1=4>4H3`1?!7e<38<7d?63;29 7dd28387[5l4>9:~yx=nm;0;6)3twvq6gj3;29 7dd2l90Z?lj:3yO6f6=9r\:jh4={%03b?c43_;3m74d<,<3j67}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni74?43-98;7;6a:'242=:91vZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?c53-98;7;6b:'242=9h1vZ?>j:3yUaa<5s-?257k<;%103?3>j2w/:<:5279~yx=n:0h1<7*=bb81=g=Q:ko1>vB=c182S7am38p(?>i:3;a?S7?i38p(87m:378 0?f2;?0qpsC2cd95~P5j<09w[kk:3y'1=8:4;a?x"19=0996sr}:k1=1<72-8io7<64:T1f`<5sE8h<7?tV0df>7}#:9l1>4:4V0:b>7}#=0h1>85+58c960=zutF9nk4>{W0a1?4|^ln1>v*:9881=1=#;:=194l4}%420?423twv7b;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?l850;394?6|,;hh6?m<;I0a6>i5810;6)=zj:k36=4;:183!4ek3287E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;>0(87n:368yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:m14=<72-8io7{zut1vn>o6:184>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`961=#=0k1>95r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>95+58c961=zutw0e4ga3_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm3`c94?1=83:p(?ll:918L7d53`;287>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<5<2.>5l4=4:~yx=n90?1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?23_;3m772<,<3j6?:4}|~?l7f83:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c810>"21h0986sr}|9j5dd=83.9nn4>929K6gd<^;hn6i:0ca?S7?i38p(87m:368 0?f2;>0qpsr;h3ba?6=,;hh6<7<;I0af>P5jl0:wAad9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`d94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6723-?2m7?6;|~y>{e;h=1<7:50;2x 7dd2;:<7E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95<=#=0k1=45r}|8m4g7290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>{e;ho1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn>l9:185>5<7s-8io7?60:J1f7=#9k>1>:5f18194?"5jj0:5>5Y2cg95~J5k90:w[?ie;0x 76a28387[?7a;0x 0?e2830(87n:0;8yx{z3`o96=4+2ca9a7=Q:ko1=vB=c182S7am38p(?>i:d08R4>f2;q/94l5189'15l4>b:~yI4en3>pZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?7>;2.8?:4:9c9~ 3732;:0q[<6c;1xR`b=:r.>544j3:&072<21k1vZhk52z&6=<<61:1/?>9558c8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'19558`8y!06<3;j7pX=0d81Scc2;q/9475e29'761==0h0q)8>4;05?x{z3`82n7>5$3``>7?e3_8ii74}Q9oo1>v*=0g81=g=Q91k1>v*:9c811>"21h0996sr}M0ab?7|^;h>6?uYee81!3>1382n6*<3686=g=z,?;?6?;4}|8m7?3290/>om52868R7db2;qG>n>51zT2b`<5s-8;j7<64:T27?33-98;7;6b:'242=:<1vqp5`21:94?"5jj09<554}c1bb?6=;3:18`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo=m0;295?6=8r.9nn4=c29K6g4<3th8n?4?:283>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6?:4$4;b>72=2\:4l4={%7:f?433-?2m7<;;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb2`0>5<4290;w);2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<@;hi7[=2\:4l4={%7:f?433-?2m7<;;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb2`7>5<4290;w);2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?o?50;694?6|,;hh6?>8;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi8=;50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb52e>5<1290;w)N5j;1/=o:5269j5<5=83.9nn4>929U6gc=9rF9o=4>{W3ea?4|,;:m6<7<;W3;e?4|,<3i6<74$4;b>4?ok51zN1g5<6s_;mi7`4<^82j6?u+58`95<=#=0k1=45r}|8m`5=83.9nn4j3:T1f`<5sE8h<7?tV0df>7}#:9l1i>5Y19c96~"21k0:n6*:9`82f>{zuE8ij7:tV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13;2?6*<3686=g=z,?;?6?>4}W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100:5>5+325917}#=031i?5+325915l4=5:~yI4en3;pZ?l::3yUaa<5s-?257<6b:&072<21k1v(;?;:378yx{P60h09w);6b;06?!3>i38>7psrL3`e>4}Q:k?1>vXjd;0x 0?>2;3?7)=<7;7:f>{#>8>1>85r}|9l65>=83.9nn4=0998yg27>3:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'17:18'6ge=:9207pl;0883>6<729q/>om5829K6g4<2\:4l4={%7:f?433-?2m7<;;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?1<729q/>om5829K6g4i:0;7?S7?i38p(87m:0f8 0?f28n0qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:m=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`74g<72<0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74b<,<3j6=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<5<2.>5l4=4:~yx=n9hh1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ge3_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm41a94?3=83:p(?ll:918L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2=0<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;>0(87n:368yx{z3`;jn7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:m14=<72-8io7{zut1vn9>k:186>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?:4$4;b>72o6ik0;6)P5jl0:wAac9U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'1;47>56;294~"5jj09<:5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4>9:&6=d<612wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i:0cf?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?o950;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb2a2>5<1290;w)N5j;1/=o:5269j5<5=83.9nn4>929U6gc=9rF9o=4>{W3ea?4|,;:m6<7<;W3;e?4|,<3i6<74$4;b>4?ok51zN1g5<6s_;mi7`4<^82j6?u+58`95<=#=0k1=45r}|8m`5=83.9nn4j3:T1f`<5sE8h<7?tV0df>7}#:9l1i>5Y19c96~"21k0:n6*:9`82f>{zuE8ij7:tV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13;2?6*<3686=g=z,?;?6?>4}W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100:5>5+325917}#=031i?5+325915l4=5:~yI4en3;pZ?l::3yUaa<5s-?257<6b:&072<21k1v(;?;:378yx{P60h09w);6b;06?!3>i38>7psrL3`e>4}Q:k?1>vXjd;0x 0?>2;3?7)=<7;7:f>{#>8>1>85r}|9l65>=83.9nn4=0998yg5e03:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'17:18'6ge=:9207pl6<729q/>om5829K6g4<2\:4l4={%7:f?433-?2m7<;;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?6<729q/>om5829K6g4<2\:4l4={%7:f?433-?2m7<;;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?6<729q/>om5829K6g4<2\:4l4={%7:f?433-?2m7<;;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'154;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1>95+58c961=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m772<,<3j6?:4}|~?l7f83:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n0:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj:hm6=4;:183!4ek3287E=h51868R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<5<2.>5l4=4:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'13:14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6g>a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<612.>5l4>9:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a0t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj=3m6=4=:183!4ek3o;7E=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo:n7;292?6=8r.9nn4>919K6g4<,8h?6?94i0;0>5<#:ki1=4=4V3`f>4}K:j:1=vX>fd81!47n3;2?6X>8`81!3>j3;27);6a;3:?x{zu2cn>7>5$3``>`4<^;hn65<#:ki1i>5Y2cg96~J5k90:w[?ie;0x 76a2l90Z<6n:3y'1544j3:&072<21k1vZhk52z&6=<<61:1/?>9558`8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;b?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>2l80(>=8:4;a?x"19=0:m6sY21g96~Pbl38p(876:d18 6502<3i7p*915812>{zu2c95o4?:%0ag?4>j2\9nh4={M0`4?7|^8ln6?u+21d9685r}|N1fc<6s_8i97<3:1(?ll:3;7?S4em38p@?m?:0yU5cc=:r.95o4=5:&6=d<5=2wvqA<2.8?:4:9c9~ 3732;?0qps4o32;>5<#:ki1>=64;|`7=f<72:0;6=u+2ca96g?<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'12h7>51;294~"5jj09o>5G2c08k76?290/>om521:8?xd31l0;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn9o?:185>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'13:1N5j;1b=4:50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28o0(87n:0g8yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<6m2.>5l4>e:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m773<,<3j6?;4}|~?l7fj3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c811>"21h0996sr}|9j5dc=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3i;0;6;4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<6m2.>5l4>e:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c811>"21h0996sr}|9j5dc=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3i:0;6;4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<6m2.>5l4>e:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c811>"21h0996sr}|9j5dc=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3i=0;6;4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<6m2.>5l4>e:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'13:14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6g>a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<612.>5l4>9:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a7f4=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj:ii6=4<:183!4ek38i56F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m777<,<3j6??4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm3bc94?0=83:p(?ll:0;3?M4e:2.:n94=7:k2=6<72-8io7?63:T1f`<6sE8h<7?tV0df>7}#:9l1=4=4V0:b>7}#=0h1=45+58c95<=zutw0eh<50;&1ff{M0`4?7|^8ln6?u+21d9a7=Q91k1>v*:9c82=>"21h0:56sr}|9ja6<72-8io7k<;W0aa?4|D;i;68`81!3>j3;i7);6a;3a?x{zD;hm69uY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>28387)=<7;7:f>{#>8>1>=5rV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13;2?6*<3686=d=z,?;?6?>4}W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100n>6*<3686=g=z,?;?6v*:988f7>"4;>0>5o5r$737>70j2\:4l4={%7:f?423-?2m7<:;|~H7da28q]>o;52zTf`?4|,<326?7m;%103?3>j2w/:<:5249~yx=n:0>1<7*=bb81=1=Q:ko1>vB=c182S7am38p(?>i:3;7?S7?i38p(87m:378 0?f2;?0qpsC2cd95~P5j<09w[kk:3y'10(>=8:4;a?x"19=0996sr}:m14=<72-8io75Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?n:50;394?6|,;hh6?m<;I0a6>i5810;6)=zj:i=6=4;:183!4ek3287E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;>0(87n:368yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:m14=<72-8io7{zut1vn>m8:186>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4=4:&6=d<5<2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:368 0?f2;>0qpsr;h3bf?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f6e?290>6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<5<2.>5l4=4:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;>0(87n:368yx{z3`;jn7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<5<2.>5l4=4:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6g>a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd4l?0;6>4?:1y'6ge=:k30D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c815>"21h09=6sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo=k5;292?6=8r.9nn4>919K6g4<,8h?6?94i0;0>5<#:ki1=4=4V3`f>4}K:j:1=vX>fd81!47n3;2?6X>8`81!3>j3;27);6a;3:?x{zu2cn>7>5$3``>`4<^;hn65<#:ki1i>5Y2cg96~J5k90:w[?ie;0x 76a2l90Z<6n:3y'1544j3:&072<21k1vZhk52z&6=<<61:1/?>9558`8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;b?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>2l80(>=8:4;a?x"19=0:m6sY21g96~Pbl38p(876:d18 6502<3i7p*915812>{zu2c95o4?:%0ag?4>j2\9nh4={M0`4?7|^8ln6?u+21d9685r}|N1fc<6s_8i97<3:1(?ll:3;7?S4em38p@?m?:0yU5cc=:r.95o4=5:&6=d<5=2wvqA<2.8?:4:9c9~ 3732;?0qps4o32;>5<#:ki1>=64;|`0ga<72:0;6=u+2ca96g?<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'151;294~"5jj09o>5G2c08k76?290/>om521:8?xd4l90;694?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5<2.>5l4=4:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5259'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th8h<4?:783>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6?:4$4;b>72=2\:4l4={%7:f?433-?2m7<;;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i:0cf?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?i<50;494?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5<2.>5l4=4:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2;>0(87n:368yx{z3`;ji7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<5<2.>5l4=4:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'13:1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`961=#=0k1>95r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?:4$4;b>72{zut1b=ll50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2;>0(87n:368yx{z3`;ji7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:m14=<72-8io7{zut1vn>mi:186>5<7s-8io71<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2830(87n:0;8yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2eg<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mo5Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg2683:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th?=84?:783>5}#:ki1=4>4H3`1?!7e<38<7d?63;29 7dd28387[5l4>9:~yx=nm;0;6)3twvq6gj3;29 7dd2l90Z?lj:3yO6f6=9r\:jh4={%03b?c43_;3m74d<,<3j67}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni74?43-98;7;6a:'242=:91vZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?c53-98;7;6b:'242=9h1vZ?>j:3yUaa<5s-?257k<;%103?3>j2w/:<:5279~yx=n:0h1<7*=bb81=g=Q:ko1>vB=c182S7am38p(?>i:3;a?S7?i38p(87m:378 0?f2;?0qpsC2cd95~P5j<09w[kk:3y'1=8:4;a?x"19=0996sr}:k1=1<72-8io7<64:T1f`<5sE8h<7?tV0df>7}#:9l1>4:4V0:b>7}#=0h1>85+58c960=zutF9nk4>{W0a1?4|^ln1>v*:9881=1=#;:=194l4}%420?423twv7b;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd39;0;6<4?:1y'6ge=:j90D?l=;n037;:a045=8391<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?433-?2m7<;;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'1::7>52;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?3<729q/>om51828L7d53-;i87<8;h3:7?6=,;hh6<7<;W0aa?7|D;i;65Y19c96~"21k0:56*:9`82=>{zut1bi?4?:%0ag?c53_8ii7?tL3a3>4}Q9oo1>v*=0g8f6>P60h09w);6b;3:?!3>i3;27psr}:kf7?6=,;hh6h=4V3`f>7}K:j:1=vX>fd81!47n3o87[?7a;0x 0?e28h0(87n:0`8yx{K:kl18vX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;a?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>28387)=<7;7:e>{#>8>1>=5rV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13o97)=<7;7:f>{#>8>1=l5rV32f>7}Qmm09w);69;g0?!54?3?2n6s+606963=zut1b>4l50;&1ff<51k1]>ok52zN1g5<6s_;mi77?e3_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4l4$214>0?e3t.==94=5:~y>o51=0;6)P5jl09wA=h52868R4>f2;q/94l5249'19558`8y!06<38>7psr;n037;:a041=8391<7>t$3``>7d>3A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th?=54?:083>5}#:ki1>n=4H3`1?j4703:1(?ll:32;?>{e<831<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo:>c;296?6=8r.9nn4j0:J1f7=n9091<7*=bb82=6=<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`764<72?0;6=u+2ca95<6<@;h97)?m4;04?l7>;3:1(?ll:0;0?S4em3;p@?m?:0yU5cc=:r.9929U5=g=:r.>5o4>9:&6=d<612wvqp5fe383>!4ek3o97[3-?2m7?6;|~y>ob;3:1(?ll:d18R7db2;qG>n>51zT2b`<5s-8;j7k<;W3;e?4|,<3i64doh54zT1=f<4s_oo6?u+58;9a6=#;:=194l4}Wgf>7}#=031=4=4$214>0?e3t.==94=0:U6`5<,:9<687m;|Tfa?4|,<326<7<;%103?3>i2w/:<:5219~R7?d2:q]ii4={%7:=?c43-98;7;6b:Ua`<5s-?257k=;%103?3>j2w/:<:51`9~R76b2;q]ii4={%7:=?c43-98;7;6b:'242=:?1vqp5f28`94?"5jj095o5Y2cg96~J5k90:w[?ie;0x 76a2;3i7[?7a;0x 0?e2;?0(87n:378yx{K:kl1=vX=b481Scc2;q/947528`8 6502<3i7p*915811>{zu2c9594?:%0ag?4><2\9nh4={M0`4?7|^8ln6?u+21d96<2<^82j6?u+58`960=#=0k1>85r}|N1fc<6s_8i97{e<8n1<7=50;2x 7dd2;h27E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?4<729q/>om52b18L7d53f8;47>5$3``>76?32wi8<3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5<2.>5l4=4:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3:>0;6;4?:1y'6ge=90:0D?l=;%3a0?403`;2?7>5$3``>4?43_8ii7?tL3a3>4}Q9oo1>v*=0g82=6=Q91k1>v*:9c82=>"21h0:56sr}|9ja7<72-8io7k=;W0aa?7|D;i;66X>8`81!3>j3;27);6a;3:?x{zu2cn?7>5$3``>`5<^;hn6?uC2b295~P6nl09w)9558`8yScb2;q/94751818 6502<3i7p*915814>{Q:0i1?vXjd;0x 0?>2l90(>=8:4;a?xPbm38p(876:0;0?!54?3?2m6s+606965=z^;3h6>uYee81!3>13o87)=<7;7:f>{Qml09w);69;g1?!54?3?2n6s+60695d=z^;:n6?uYee81!3>13o87)=<7;7:f>{#>8>1>;5r}|9j6{W3ea?4|,;:m6?7m;W3;e?4|,<3i6?;4$4;b>73oh51zT1f0<5s_oo6?u+58;96!4ek38286X=bd81I4d83;pZ0Z<6n:3y'1544=959'761==0h0q)8>4;06?x{z3f8;47>5$3``>76?32wi8?=50;194?6|,;hh6?l6;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`761<7280;6=u+2ca96f5<@;h97b5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6l2.>5l4>d:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;>0(87n:368yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a6f2=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj;ij6=4<:183!4ek38i56F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m777<,<3j6??4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm2b;94?0=83:p(?ll:0;3?M4e:2.:n94=7:k2=6<72-8io7?63:T1f`<6sE8h<7?tV0df>7}#:9l1=4=4V0:b>7}#=0h1=45+58c95<=zutw0eh<50;&1ff{M0`4?7|^8ln6?u+21d9a7=Q91k1>v*:9c82=>"21h0:56sr}|9ja6<72-8io7k<;W0aa?4|D;i;68`81!3>j3;i7);6a;3a?x{zD;hm69uY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>28387)=<7;7:f>{#>8>1>=5rV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13;2?6*<3686=d=z,?;?6?>4}W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100n>6*<3686=g=z,?;?6v*:988f7>"4;>0>5o5r$737>70j2\:4l4={%7:f?423-?2m7<:;|~H7da28q]>o;52zTf`?4|,<326?7m;%103?3>j2w/:<:5249~yx=n:0>1<7*=bb81=1=Q:ko1>vB=c182S7am38p(?>i:3;7?S7?i38p(87m:378 0?f2;?0qpsC2cd95~P5j<09w[kk:3y'10(>=8:4;a?x"19=0996sr}:m14=<72-8io75Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>n850;394?6|,;hh6?m<;I0a6>i5810;6)=zj;i<6=4>:183!4ek38;:6F=b39l65>=83.9nn4=0998yg4b?3:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th9io4?:383>5}#:ki1i=5G2c08m4?4290/>om51818?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm2dd94?5=83:p(?ll:3`:?M4e:2c:594?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1><5+58c964=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd5ml0;6;4?:1y'6ge=90:0D?l=;%3a0?403`;2?7>5$3``>4?43_8ii7?tL3a3>4}Q9oo1>v*=0g82=6=Q91k1>v*:9c82=>"21h0:56sr}|9ja7<72-8io7k=;W0aa?7|D;i;66X>8`81!3>j3;27);6a;3:?x{zu2cn?7>5$3``>`5<^;hn6?uC2b295~P6nl09w)9558`8yScb2;q/94751818 6502<3i7p*915814>{Q:0i1?vXjd;0x 0?>2l90(>=8:4;a?xPbm38p(876:0;0?!54?3?2m6s+606965=z^;3h6>uYee81!3>13o87)=<7;7:f>{Qml09w);69;g1?!54?3?2n6s+60695d=z^;:n6?uYee81!3>13o87)=<7;7:f>{#>8>1>;5r}|9j6{W3ea?4|,;:m6?7m;W3;e?4|,<3i6?;4$4;b>73oh51zT1f0<5s_oo6?u+58;96!4ek38286X=bd81I4d83;pZ0Z<6n:3y'1544=959'761==0h0q)8>4;06?x{z3f8;47>5$3``>76?32wi>h650;194?6|,;hh6?l6;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`1a<<7280;6=u+2ca96f5<@;h97b5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0986*:9`810>{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`961=#=0k1>95r}|8m4ge290/>om51818R7db28qG>n>51zTfb?4|,;:m672i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb3g`>5<2290;w);2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:378 0?f2;?0qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:mo4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c811>"21h0996sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`1b1<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e:on1<7=50;2x 7dd2;h27E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`964=#=0k1><5r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?3<729q/>om51828L7d53-;i87<8;h3:7?6=,;hh6<7<;W0aa?7|D;i;65Y19c96~"21k0:56*:9`82=>{zut1bi?4?:%0ag?c53_8ii7?tL3a3>4}Q9oo1>v*=0g8f6>P60h09w);6b;3:?!3>i3;27psr}:kf7?6=,;hh6h=4V3`f>7}K:j:1=vX>fd81!47n3o87[?7a;0x 0?e28h0(87n:0`8yx{K:kl18vX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;a?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>28387)=<7;7:e>{#>8>1>=5rV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13o97)=<7;7:f>{#>8>1=l5rV32f>7}Qmm09w);69;g0?!54?3?2n6s+606963=zut1b>4l50;&1ff<51k1]>ok52zN1g5<6s_;mi77?e3_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4l4$214>0?e3t.==94=5:~y>o51=0;6)P5jl09wA=h52868R4>f2;q/94l5249'19558`8y!06<38>7psr;n037;:a6c7=8391<7>t$3``>7d>3A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th9j?4?:083>5}#:ki1>n=4H3`1?j4703:1(?ll:32;?>{e:o91<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m74c<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1>85+58c960=zutw0e8`81!3>j3;n7);6a;3f?x{zu2c:mh4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb3d5>5<0290;w);2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<@;hi7[=2\:4l4={%7:f?7b3-?2m7?j;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1o6io0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d`<^82j6?u+58`960=#=0k1>85r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?2<729q/>om5829K6g4i:0;7?S7?i38p(87m:0g8 0?f28o0qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:m=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c811>"21h0996sr}|9j5dd=83.9nn4>929K6gd<^;hn6i:0ca?S7?i38p(87m:378 0?f2;?0qpsr;h3ba?6=,;hh6<7<;I0af>P5jl0:wAad9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1`d94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6733-?2m7?6;|~y>{e:o21<7950;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?;4$4;b>73o6ik0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`960=#=0k1>85r}|8m4gb290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2ec<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5249'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th9j44?:983>5}#:ki14>5G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:i6*:9`82a>{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95`=#=0k1=h5r}|8m4ge290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e`<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mh5Y19c96~"21k0996*:9`811>{zut1b=lh50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2;?0(87n:378yx{z3`;i<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6j91]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'13:14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6g>a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<612.>5l4>9:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a01c=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj=?96=4=:183!4ek3o;7E=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo::9;292?6=8r.9nn4>919K6g4<,8h?6?94i0;0>5<#:ki1=4=4V3`f>4}K:j:1=vX>fd81!47n3;2?6X>8`81!3>j3;27);6a;3:?x{zu2cn>7>5$3``>`4<^;hn65<#:ki1i>5Y2cg96~J5k90:w[?ie;0x 76a2l90Z<6n:3y'1544j3:&072<21k1vZhk52z&6=<<61:1/?>9558`8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;b?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>2l80(>=8:4;a?x"19=0:m6sY21g96~Pbl38p(876:d18 6502<3i7p*915812>{zu2c95o4?:%0ag?4>j2\9nh4={M0`4?7|^8ln6?u+21d9685r}|N1fc<6s_8i97<3:1(?ll:3;7?S4em38p@?m?:0yU5cc=:r.95o4=5:&6=d<5=2wvqA<2.8?:4:9c9~ 3732;?0qps4o32;>5<#:ki1>=64;|`70c<72:0;6=u+2ca96g?<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'1><7>51;294~"5jj09o>5G2c08k76?290/>om521:8?xd3=80;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:m14=<72-8io7{zut1vn9;<:1825?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e28o0(87n:0g8yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2eg<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mo5Y19c96~"21k0:i6*:9`82a>{zut1b=lk50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dc<^82j6?u+58`95`=#=0k1=h5r}|8m4ga290/>om51818R7db28qG>n>51zTfb?4|,;:m64co6j80;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g7<^82j6?u+58`960=#=0k1>85r}|8m4d5290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f6<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c18R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?66:T29683>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=494V0:b>7}#=0h1>85+58c960=zutw0e<77:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:544?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=<=Q91k1>v*:9c811>"21h0996sr}|9j5929U6gc=9rF9o=4>{Wge>7}#:9l1=4o4V0:b>7}#=0h1>85+58c960=zutw0e<7m:18'6ge=9090Z?lj:0yO6f6=9r\nj74?e3_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm44694?76290;w);2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=h5+58c95`=zutw0e4g73_;3m74c<,<3j6ad83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<6m2.>5l4>e:~yx=n9hl1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h;7[?7a;0x 0?e28o0(87n:0g8yx{z3`;i=7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6j81]=5o52z&6=g<5=2.>5l4=5:~yx=n9k81<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51c08R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?m3:T29783>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=484V0:b>7}#=0h1>85+58c960=zutw0e<78:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:554?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9020Z<6n:3y'1i:0;:?S7?i38p(87m:378 0?f2;?0qpsr;h3:e?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:5o4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=g=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo::5;2954<729q/>om5829K6g4i:0;7?S7?i38p(87m:0g8 0?f28o0qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:m=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c82a>"21h0:i6sr}|9j5dd=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1=h5+58c95`=zutw0e4gb3_;3m74c<,<3j6b183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6j91]=5o52z&6=g<6m2.>5l4>e:~yx=n9k;1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74d63_;3m773<,<3j6?;4}|~?l7e:3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82f7=Q91k1>v*:9c82a>"21h0:i6sr}|9j5g5=83.9nn4>929K6gd<^;hn6i:0`0?S7?i38p(87m:0g8 0?f28o0qpsr;h3:2?6=,;hh6<7<;I0af>P5jl0:wA979U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18594?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<78;W3;e?4|,<3i6?;4$4;b>73{zut1b=4750;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d9585r}|8m4?f290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7n;W3;e?4|,<3i6?;4$4;b>73j2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb575>5<693:1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64co6ik0;6)P5jl0:wAac9U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1`g94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1i:0ce?S7?i38p(87m:0g8 0?f28o0qpsr;h3a4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:n<4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9k;0Z<6n:3y'1i:0`1?S7?i38p(87m:378 0?f2;?0qpsr;h3a7?6=,;hh6<7<;I0af>P5jl0:wAb29U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18494?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<79;W3;e?4|,<3i6?;4$4;b>73{zut1b=4650;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28337[?7a;0x 0?e2;?0(87n:378yx{z3`;257>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2=d<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5l5Y19c96~"21k0996*:9`811>{zut1b=4l50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?0<729q/>om52158L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95<=#=0k1=45r}|8m4ge290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>{e:jh1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn?j>:180>5<7s-8io71<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;;0(87n:338yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a6a6=83<1<7>t$3``>4?73A8i>6*>b5813>o61:0;6)P5jl0:wA=h51818R4>f2;q/94l5189'16X=bd82I4d83;pZ5l4>9:~yx=nm:0;6)`5<,:9<687m;|Tfa?4|,<326<7<;%103?3>j2w/:<:5219~R7?d2:q]ii4={%7:=?c43-98;7;6b:Ua`<5s-?257?63:&072<21h1v(;?;:328yS4>k39pZhj52z&6=<544j2:&072<21k1v(;?;:0c8yS47m38pZhj52z&6=<5<#:ki1>4l4V3`f>7}K:j:1=vX>fd81!47n382n6X>8`81!3>j38>7);6a;06?x{zD;hm64:50;&1ff<51=1]>ok52zN1g5<6s_;mi77?33_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4:4$214>0?e3t.==94=5:~y>i5810;6)=zj;ih6=4<:183!4ek38i56F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm2bf94?7=83:p(?ll:3a0?M4e:2e9<54?:%0ag?47021vn?mj:180>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4=4:&6=d<5<2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`1`7<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e:m21<7=50;2x 7dd2;h27E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`964=#=0k1><5r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?3<729q/>om51828L7d53-;i87<8;h3:7?6=,;hh6<7<;W0aa?7|D;i;65Y19c96~"21k0:56*:9`82=>{zut1bi?4?:%0ag?c53_8ii7?tL3a3>4}Q9oo1>v*=0g8f6>P60h09w);6b;3:?!3>i3;27psr}:kf7?6=,;hh6h=4V3`f>7}K:j:1=vX>fd81!47n3o87[?7a;0x 0?e28h0(87n:0`8yx{K:kl18vX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;a?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>28387)=<7;7:e>{#>8>1>=5rV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13o97)=<7;7:f>{#>8>1=l5rV32f>7}Qmm09w);69;g0?!54?3?2n6s+606963=zut1b>4l50;&1ff<51k1]>ok52zN1g5<6s_;mi77?e3_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4l4$214>0?e3t.==94=5:~y>o51=0;6)P5jl09wA=h52868R4>f2;q/94l5249'19558`8y!06<38>7psr;n037;:a6a5=8391<7>t$3``>7d>3A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th9h94?:083>5}#:ki1>n=4H3`1?j4703:1(?ll:32;?>{e:m?1<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`1`c<72:0;6=u+2ca96g?<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4=1:&6=d<592wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'156;294~"5jj0:5=5G2c08 4d32;=0e<7<:18'6ge=9090Z?lj:0yO6f6=9r\:jh4={%03b?7>;2\:4l4={%7:f?7>3-?2m7?6;|~y>ob:3:1(?ll:d08R7db28qG>n>51zT2b`<5s-8;j7k=;W3;e?4|,<3i6<74$4;b>4?ok52zN1g5<6s_;mi7`5<^82j6?u+58`95g=#=0k1=o5r}|N1fc<3s_82o7=tVdf96~"2100n?6*<3686=g=z^lo1>v*:9882=6=#;:=194l4}%420?473t\95n4<{Wgg>7}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni7`4<,:9<687m;|&551<6i2w]>=k52zTf`?4|,<326h=4$214>0?e3t.==94=6:~y>o51k0;6)P5jl09wA=h528`8R4>f2;q/94l5249'19558`8y!06<38>7psr;h0:0?6=,;hh6?7;;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:0>"4;>0>5o5r$737>737:18'6ge=:9207pl=d`83>6<729q/>om52c;8L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg4cj3:1=7>50z&1ff<5k:1C>o<4o32;>5<#:ki1>=64;|`1`f<72=0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m772<,<3j6?:4}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<5<2.>5l4=4:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd5m?0;6>4?:1y'6ge=:k30D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c815>"21h09=6sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo919K6g4<,8h?6?94i0;0>5<#:ki1=4=4V3`f>4}K:j:1=vX>fd81!47n3;2?6X>8`81!3>j3;27);6a;3:?x{zu2cn>7>5$3``>`4<^;hn65<#:ki1i>5Y2cg96~J5k90:w[?ie;0x 76a2l90Z<6n:3y'1544j3:&072<21k1vZhk52z&6=<<61:1/?>9558`8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;b?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>2l80(>=8:4;a?x"19=0:m6sY21g96~Pbl38p(876:d18 6502<3i7p*915812>{zu2c95o4?:%0ag?4>j2\9nh4={M0`4?7|^8ln6?u+21d9685r}|N1fc<6s_8i97<3:1(?ll:3;7?S4em38p@?m?:0yU5cc=:r.95o4=5:&6=d<5=2wvqA<2.8?:4:9c9~ 3732;?0qps4o32;>5<#:ki1>=64;|`1a4<72:0;6=u+2ca96g?<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'17>51;294~"5jj09o>5G2c08k76?290/>om521:8?xd5m:0;684?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0986*:9`810>{zut1b=ll50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`961=#=0k1>95r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?7<729q/>om5e19K6g45$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a7ad=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj:o96=49:183!4ek3;2<6F=b39'5g2=:>1b=4=50;&1ff<61:1]>ok51zN1g5<6s_;mi74?43_;3m74?<,<3j6<74}|~?lc5290/>om5e39U6gc=9rF9o=4>{W3ea?4|,;:m6h<4V0:b>7}#=0h1=45+58c95<=zutw0eh=50;&1ffv*:9c82f>"21h0:n6sr}M0ab?2|^;3h6>uYee81!3>13o87)=<7;7:f>{Qml09w);69;3:7>"4;>0>5o5r$737>76v*:9882=6=#;:=194o4}%420?473t\95n4<{Wgg>7}#=031i>5+325917}#=031i>5+32591j3:1(?ll:3;a?S4em38p@?m?:0yU5cc=:r.95o4=5:&6=d<5=2wvqAj2.8?:4:9c9~ 3732;?0qps4i3;7>5<#:ki1>4:4V3`f>7}K:j:1=vX>fd81!47n38286X>8`81!3>j38>7);6a;06?x{zD;hm6=650;&1ff<58110qo=k8;297?6=8r.9nn4=b89K6g4i:0;7?S7?i38p(87m:0;8 0?f2830qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f6b>290:6=4?{%0ag?4d;2B9n?5`21:94?"5jj09<554}c1ge?6=?3:1N5j;1b=4:50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5<2.>5l4=4:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m772<,<3j6?:4}|~?l7fj3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c810>"21h0986sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:368 0?f2;>0qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f6bd290=6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c82a>"21h0:i6sr}|9j5dd=83.9nn4>929K6gd<^;hn6i:0ca?S7?i38p(87m:378 0?f2;?0qpsr;h3ba?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f6bc290=6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c82a>"21h0:i6sr}|9j5dd=83.9nn4>929K6gd<^;hn6i:0ca?S7?i38p(87m:378 0?f2;?0qpsr;h3ba?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f6bb290<6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:m=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1i:0ca?S7?i38p(87m:0g8 0?f28o0qpsr;h3ba?6=,;hh6<7<;I0af>P5jl0:wAad9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1`d94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`0`c<72>0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0e4ge3_;3m773<,<3j6?;4}|~?l7fm3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c811>"21h0996sr}|9j5d`=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1>85+58c960=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd4m90;684?:1y'6ge=:9=0D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=45+58c95<=zutw0e4ge3_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm3dd94?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f6`3290=6=4?{%0ag?7>82B9n?5+1c6962=n9091<7*=bb82=6=Q:ko1=vB=c182S7am38p(?>i:0;0?S7?i38p(87m:0;8 0?f2830qpsr;hg1>5<#:ki1i?5Y2cg95~J5k90:w[?ie;0x 76a2l80Z<6n:3y'1P5jl09wA=h5e29U5=g=:r.>5o4>b:&6=d<6j2wvqAk39pZhj52z&6=<544>929'761==0k0q)8>4;03?xP51j08w[kk:3y'19558`8yScb2;q/9475e39'761==0h0q)8>4;3b?xP58l09w[kk:3y'19558`8y!06<38=7psr;h0:f?6=,;hh6?7m;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:f>"4;>0>5o5r$737>730Z?lj:3yO6f6=9r\:jh4={%03b?4><2\:4l4={%7:f?423-?2m7<:;|~H7da28q]>o;52zTf`?4|,<326?7;;%103?3>j2w/:<:5249~yx=h:921<7*=bb814==53;294~"5jj09n45G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb2d2>5<6290;w)N5j;1d>=650;&1ff<58110qo=i2;297?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;>0(87n:368yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a07>=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj=8m6=49:183!4ek3;2<6F=b39'5g2=:11b=4=50;&1ff<61:1]>ok51zN1g5<6s_;mi74?43_;3m74?<,<3j6<74}|~?lc5290/>om5e39U6gc=9rF9o=4>{W3ea?4|,;:m6h<4V0:b>7}#=0h1=45+58c95<=zutw0eh=50;&1ffv*:9c82f>"21h0:n6sr}M0ab?2|^;3h6>uYee81!3>13o87)=<7;7:f>{Qml09w);69;3:7>"4;>0>5o5r$737>76v*:9882=6=#;:=194o4}%420?473t\95n4<{Wgg>7}#=031i>5+325917}#=031i>5+32591j3:1(?ll:3;a?S4em38p@?m?:0yU5cc=:r.95o4=5:&6=d<5=2wvqAj2.8?:4:9c9~ 3732;?0qps4i3;7>5<#:ki1>4:4V3`f>7}K:j:1=vX>fd81!47n38286X>8`81!3>j38>7);6a;06?x{zD;hm6=650;&1ff<58110qo:=9;297?6=8r.9nn4=b89K6g4<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'19m7>51;294~"5jj09o>5G2c08k76?290/>om521:8?xd3:j0;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1>95+58c961=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3:m0;694?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5<2.>5l4=4:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm43`94?5=83:p(?ll:324?M4e:2c:594?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3080;6?4?:1y'6ge=m91C>o<4i0;0>5<#:ki1=4=4;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi85j50;494?6|,;hh6<7?;I0a6>"6j=09;6g>9283>!4ek3;2?6X=bd82I4d83;pZP5jl0:wA=h5e39U5=g=:r.>5o4>9:&6=d<612wvqp5fe283>!4ek3o87[4m53zTf`?4|,<326h=4$214>0?e3t\ni74?43-98;7;6b:'242=:91vZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?7>;2.8?:4:9`9~ 3732;:0q[<6c;1xR`b=:r.>544j3:&072<21k1vZhk52z&6=<544j3:&072<21k1v(;?;:348yx{P60h09w);6b;06?!3>i38>7psrL3`e>4}Q:k?1>vXjd;0x 0?>2;3i7)=<7;7:f>{#>8>1>85r}|9j6<2=83.9nn4=959U6gc=:rF9o=4>{W3ea?4|,;:m6?7;;W3;e?4|,<3i6?;4$4;b>73oh51zT1f0<5s_oo6?u+58;96<2<,:9<687m;|&551<5=2wvq6a=0983>!4ek38;465rb5:1>5<4290;w)N5j;1b=4:50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn96<:182>5<7s-8io7397>53;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1>95+58c961=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm49494?5=83:p(?ll:918L7d53`;287>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<5<2.>5l4=4:~yx=n90?1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?23_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm49594?5=83:p(?ll:918L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg2?03:1?7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi85750;194?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82`>"21h0:h6sr}|9j5<3=83.9nn4>929K6gd<^;hn6i:0;6?S7?i38p(87m:0f8 0?f28n0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi85o50;194?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1>95+58c961=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd30k0;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1>95+58c961=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd30=0;654?:1y'6ge=:9=0D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=45+58c95<=zutw0e4ge3_;3m74?<,<3j6<74}|~?l7fm3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?ne:T23twvq6g>ag83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<612.>5l4>9:~yx=n9k:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51c28R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th>5n4?:383>5}#:ki1i=5G2c08m4?4290/>om51818?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm5`294?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f0g0290=6=4?{%0ag?7>82B9n?5+1c6962=n9091<7*=bb82=6=Q:ko1=vB=c182S7am38p(?>i:0;0?S7?i38p(87m:0;8 0?f2830qpsr;hg1>5<#:ki1i?5Y2cg95~J5k90:w[?ie;0x 76a2l80Z<6n:3y'1P5jl09wA=h5e29U5=g=:r.>5o4>b:&6=d<6j2wvqAk39pZhj52z&6=<544>929'761==0k0q)8>4;03?xP51j08w[kk:3y'19558`8yScb2;q/9475e39'761==0h0q)8>4;3b?xP58l09w[kk:3y'19558`8y!06<38=7psr;h0:f?6=,;hh6?7m;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:f>"4;>0>5o5r$737>730Z?lj:3yO6f6=9r\:jh4={%03b?4><2\:4l4={%7:f?423-?2m7<:;|~H7da28q]>o;52zTf`?4|,<326?7;;%103?3>j2w/:<:5249~yx=h:921<7*=bb814==53;294~"5jj09n45G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg3>m3:1=7>50z&1ff<5k:1C>o<4o32;>5<#:ki1>=64;|`6=c<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74b<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo;n1;290?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m74c<,<3j6=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd2i;0;694?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<6m2.>5l4>e:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m74c<,<3j63twvq6sm5`194?2=83:p(?ll:918L7d53`;287>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6m2.>5l4>e:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?n0:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj=h51868R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e28o0(87n:0g8yx{z3`;j<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<6m2.>5l4>e:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6g>a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<612.>5l4>9:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:n84?:383>5}#:ki1i=5G2c08m4?4290/>om51818?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm1b394?0=83:p(?ll:0;3?M4e:2.:n94=7:k2=6<72-8io7?63:T1f`<6sE8h<7?tV0df>7}#:9l1=4=4V0:b>7}#=0h1=45+58c95<=zutw0eh<50;&1ff{M0`4?7|^8ln6?u+21d9a7=Q91k1>v*:9c82=>"21h0:56sr}|9ja6<72-8io7k<;W0aa?4|D;i;68`81!3>j3;i7);6a;3a?x{zD;hm69uY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>28387)=<7;7:f>{#>8>1>=5rV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13;2?6*<3686=d=z,?;?6?>4}W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100n>6*<3686=g=z,?;?6v*:988f7>"4;>0>5o5r$737>70j2\:4l4={%7:f?423-?2m7<:;|~H7da28q]>o;52zTf`?4|,<326?7m;%103?3>j2w/:<:5249~yx=n:0>1<7*=bb81=1=Q:ko1>vB=c182S7am38p(?>i:3;7?S7?i38p(87m:378 0?f2;?0qpsC2cd95~P5j<09w[kk:3y'10(>=8:4;a?x"19=0996sr}:m14=<72-8io75G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb0`4>5<6290;w)N5j;1d>=650;&1ff<58110qo?m9;297?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a5gg=8391<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'157;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:368 0?f2;>0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1b=lk50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;>0(87n:368yx{z3`;jj7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<5<2.>5l4=4:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5<2.>5l4=4:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m772<,<3j6?:4}|~?l7fj3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c810>"21h0986sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:368 0?f2;>0qpsr;h3bb?6=,;hh6<7<;I0af>P5jl0:wAag9U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'158;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=i5+58c95a=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m772<,<3j6?:4}|~?l7f83:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c810>"21h0986sr}|9j5dd=83.9nn4>929K6gd<^;hn6i:0ca?S7?i38p(87m:368 0?f2;>0qpsr;h3ba?6=,;hh6<7<;I0af>P5jl0:wAad9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`d94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg7em3:147>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:368 0?f2;>0qpsr;h3b4?6=,;hh6<7<;I0af>P5jl0:wAa19U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1``94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1b=lh50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2;>0(87n:368yx{z3`;i<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6j91]=5o52z&6=g<5<2.>5l4=4:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95a=#=0k1=i5r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?:4$4;b>72o6ik0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`961=#=0k1>95r}|8m4gb290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2ec<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?m0:T2b083>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=o?4V0:b>7}#=0h1>95+58c961=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd6j10;654?:1y'6ge=:9=0D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=45+58c95<=zutw0e4ge3_;3m74?<,<3j6<74}|~?l7fm3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?ne:T23twvq6g>ag83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<612.>5l4>9:~yx=n9k:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51c28R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th9jh4?:383>5}#:ki1i=5G2c08m4?4290/>om51818?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm31094?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f66f29086=4?{%0ag?4e12B9n?5f18694?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:338 0?f2;;0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?=750;494?6|,;hh6<7?;I0a6>"6j=09;6g>9283>!4ek3;2?6X=bd82I4d83;pZP5jl0:wA=h5e39U5=g=:r.>5o4>9:&6=d<612wvqp5fe283>!4ek3o87[4m53zTf`?4|,<326h=4$214>0?e3t\ni74?43-98;7;6b:'242=:91vZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?7>;2.8?:4:9`9~ 3732;:0q[<6c;1xR`b=:r.>544j3:&072<21k1vZhk52z&6=<544j3:&072<21k1v(;?;:348yx{P60h09w);6b;06?!3>i38>7psrL3`e>4}Q:k?1>vXjd;0x 0?>2;3i7)=<7;7:f>{#>8>1>85r}|9j6<2=83.9nn4=959U6gc=:rF9o=4>{W3ea?4|,;:m6?7;;W3;e?4|,<3i6?;4$4;b>73oh51zT1f0<5s_oo6?u+58;96<2<,:9<687m;|&551<5=2wvq6a=0983>!4ek38;465rb3de>5<4290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e;9:1<7?50;2x 7dd2;i87E43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<5<2.>5l4=4:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;>0(87n:368yx{z3`;jn7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e`<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mh5Y19c96~"21k0986*:9`810>{zut1b=lh50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d`<^82j6?u+58`961=#=0k1>95r}|8m4d7290/>om51818R7db28qG>n>51zTfb?4|,;:m6723-?2m7?6;|~y>{e;991<7950;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64co6ik0;6)P5jl0:wAac9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1`g94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m673{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg57<3:147>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64c=2\:4l4={%7:f?7b3-?2m7?j;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1``94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m673{zut1b=lh50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2;?0(87n:378yx{z3`;i<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6j91]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28o0(87n:0g8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0:i6*:9`82a>{zut1b=ll50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2;?0(87n:378yx{z3`;ji7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2ec<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?m0:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj::=6=46:183!4ek3287E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;?0(87n:378yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2eg<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mo5Y19c96~"21k0996*:9`811>{zut1b=lk50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;?0(87n:378yx{z3`;jj7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2f5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:n=5Y19c96~"21k0996*:9`811>{zut1b=o?50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g7<^82j6?u+58`960=#=0k1>85r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?0<729q/>om52158L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95<=#=0k1=45r}|8m4ge290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>{e;l91<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn>k7:185>5<7s-8io7?60:J1f7=#9k>1>:5f18194?"5jj0:5>5Y2cg95~J5k90:w[?ie;0x 76a28387[?7a;0x 0?e2830(87n:0;8yx{z3`o96=4+2ca9a7=Q:ko1=vB=c182S7am38p(?>i:d08R4>f2;q/94l5189'15l4>b:~yI4en3>pZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?7>;2.8?:4:9c9~ 3732;:0q[<6c;1xR`b=:r.>544j3:&072<21k1vZhk52z&6=<<61:1/?>9558c8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'19558`8y!06<3;j7pX=0d81Scc2;q/9475e29'761==0h0q)8>4;05?x{z3`82n7>5$3``>7?e3_8ii74}Q9oo1>v*=0g81=g=Q91k1>v*:9c811>"21h0996sr}M0ab?7|^;h>6?uYee81!3>1382n6*<3686=g=z,?;?6?;4}|8m7?3290/>om52868R7db2;qG>n>51zT2b`<5s-8;j7<64:T27?33-98;7;6b:'242=:<1vqp5`21:94?"5jj09<554}c1f0?6=;3:14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj:o>6=4>:183!4ek38h?6F=b39l65>=83.9nn4=0998yg5b>3:1?7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0f8 0?f28n0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>4;50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb3;b>5<1290;w)N5j;1/=o:5269j5<5=83.9nn4>929U6gc=9rF9o=4>{W3ea?4|,;:m6<7<;W3;e?4|,<3i6<74$4;b>4?ok51zN1g5<6s_;mi7`4<^82j6?u+58`95<=#=0k1=45r}|8m`5=83.9nn4j3:T1f`<5sE8h<7?tV0df>7}#:9l1i>5Y19c96~"21k0:n6*:9`82f>{zuE8ij7:tV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13;2?6*<3686=g=z,?;?6?>4}W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100:5>5+325917}#=031i?5+325915l4=5:~yI4en3;pZ?l::3yUaa<5s-?257<6b:&072<21k1v(;?;:378yx{P60h09w);6b;06?!3>i38>7psrL3`e>4}Q:k?1>vXjd;0x 0?>2;3?7)=<7;7:f>{#>8>1>85r}|9l65>=83.9nn4=0998yg4>>3:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn?78:182>5<7s-8io753;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1>95+58c961=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f6c>29096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'156;294~"5jj0:5=5G2c08 4d32;=0e<7<:18'6ge=9090Z?lj:0yO6f6=9r\:jh4={%03b?7>;2\:4l4={%7:f?7>3-?2m7?6;|~y>ob:3:1(?ll:d08R7db28qG>n>51zT2b`<5s-8;j7k=;W3;e?4|,<3i6<74$4;b>4?ok52zN1g5<6s_;mi7`5<^82j6?u+58`95g=#=0k1=o5r}|N1fc<3s_82o7=tVdf96~"2100n?6*<3686=g=z^lo1>v*:9882=6=#;:=194l4}%420?473t\95n4<{Wgg>7}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni7`4<,:9<687m;|&551<6i2w]>=k52zTf`?4|,<326h=4$214>0?e3t.==94=6:~y>o51k0;6)P5jl09wA=h528`8R4>f2;q/94l5249'19558`8y!06<38>7psr;h0:0?6=,;hh6?7;;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:0>"4;>0>5o5r$737>737:18'6ge=:9207pl6<729q/>om52c;8L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg5bj3:1=7>50z&1ff<5k:1C>o<4o32;>5<#:ki1>=64;|`0af<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m772<,<3j6?:4}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj:li6=4=:183!4ek3o;7E=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo:?4;292?6=8r.9nn4>919K6g4<,8h?6?94i0;0>5<#:ki1=4=4V3`f>4}K:j:1=vX>fd81!47n3;2?6X>8`81!3>j3;27);6a;3:?x{zu2cn>7>5$3``>`4<^;hn65<#:ki1i>5Y2cg96~J5k90:w[?ie;0x 76a2l90Z<6n:3y'1544j3:&072<21k1vZhk52z&6=<<61:1/?>9558`8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;b?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>2l80(>=8:4;a?x"19=0:m6sY21g96~Pbl38p(876:d18 6502<3i7p*915812>{zu2c95o4?:%0ag?4>j2\9nh4={M0`4?7|^8ln6?u+21d9685r}|N1fc<6s_8i97<3:1(?ll:3;7?S4em38p@?m?:0yU5cc=:r.95o4=5:&6=d<5=2wvqA<2.8?:4:9c9~ 3732;?0qps4o32;>5<#:ki1>=64;|`0bf<72:0;6=u+2ca96g?<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'151;294~"5jj09o>5G2c08k76?290/>om521:8?xd4no0;694?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28n0(87n:0f8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0986*:9`810>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg2783:197>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:368 0?f2;>0qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:mo4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo:?1;291?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l51e9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;>0(87n:368yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2eg<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mo5Y19c96~"21k0986*:9`810>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg27:3:197>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:368 0?f2;>0qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:mo4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo=ie;291?6=8r.9nn4=069K6g4i:0;7?S7?i38p(87m:0;8 0?f2830qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c82=>"21h0:56sr}|9j5dd=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3;j0;6?4?:1y'6ge=m91C>o<4i0;0>5<#:ki1=4=4;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi89?50;494?6|,;hh6<7?;I0a6>"6j=09;6g>9283>!4ek3;2?6X=bd82I4d83;pZP5jl0:wA=h5e39U5=g=:r.>5o4>9:&6=d<612wvqp5fe283>!4ek3o87[4m53zTf`?4|,<326h=4$214>0?e3t\ni74?43-98;7;6b:'242=:91vZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?7>;2.8?:4:9`9~ 3732;:0q[<6c;1xR`b=:r.>544j3:&072<21k1vZhk52z&6=<544j3:&072<21k1v(;?;:348yx{P60h09w);6b;06?!3>i38>7psrL3`e>4}Q:k?1>vXjd;0x 0?>2;3i7)=<7;7:f>{#>8>1>85r}|9j6<2=83.9nn4=959U6gc=:rF9o=4>{W3ea?4|,;:m6?7;;W3;e?4|,<3i6?;4$4;b>73oh51zT1f0<5s_oo6?u+58;96<2<,:9<687m;|&551<5=2wvq6a=0983>!4ek38;465rb51g>5<4290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e<:o1<7?50;2x 7dd2;i87E43A8i>6g>9583>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=i5+58c95a=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f12529096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'1?;7>56;294~"5jj0:5=5G2c08 4d32;=0e<7<:18'6ge=9090Z?lj:0yO6f6=9r\:jh4={%03b?7>;2\:4l4={%7:f?7>3-?2m7?6;|~y>ob:3:1(?ll:d08R7db28qG>n>51zT2b`<5s-8;j7k=;W3;e?4|,<3i6<74$4;b>4?ok52zN1g5<6s_;mi7`5<^82j6?u+58`95g=#=0k1=o5r}|N1fc<3s_82o7=tVdf96~"2100n?6*<3686=g=z^lo1>v*:9882=6=#;:=194l4}%420?473t\95n4<{Wgg>7}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni7`4<,:9<687m;|&551<6i2w]>=k52zTf`?4|,<326h=4$214>0?e3t.==94=6:~y>o51k0;6)P5jl09wA=h528`8R4>f2;q/94l5249'19558`8y!06<38>7psr;h0:0?6=,;hh6?7;;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:0>"4;>0>5o5r$737>737:18'6ge=:9207pl;4283>6<729q/>om52c;8L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg23<3:1=7>50z&1ff<5k:1C>o<4o32;>5<#:ki1>=64;|`700<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`5a?6=:3:1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd0=3:1:7>50z&1ff<6191C>o<4$0`7>71P60h09w);6b;3:?!3>i3;27psr}:kf6?6=,;hh6h<4V3`f>4}K:j:1=vX>fd81!47n3o97[?7a;0x 0?e2830(87n:0;8yx{z3`o86=4+2ca9a6=Q:ko1>vB=c182S7am38p(?>i:d18R4>f2;q/94l51c9'1k39pZhj52z&6=<544>929'761==0h0q)8>4;03?xP51j08w[kk:3y'19558`8yScb2;q/94751818 6502<3j7p*915814>{Q:0i1?vXjd;0x 0?>2l90(>=8:4;a?xPbm38p(876:d08 6502<3i7p*91582e>{Q:9o1>vXjd;0x 0?>2l90(>=8:4;a?x"19=09:6sr}:k1=g<72-8io7<6b:T1f`<5sE8h<7?tV0df>7}#:9l1>4l4V0:b>7}#=0h1>85+58c960=zutF9nk4>{W0a1?4|^ln1>v*:9881=g=#;:=194l4}%420?423twv7d<64;29 7dd2;3?7[5l4=5:~yI4en3;pZ?l::3yUaa<5s-?257<64:&072<21k1v(;?;:378yx{<3th=j7>53;294~"5jj09n45G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg17290:6=4?{%0ag?4d;2B9n?5`21:94?"5jj09<554}c51>5<0290;w);2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<@;hi7[=2\:4l4={%7:f?433-?2m7<;;|~y>o6i90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`961=#=0k1>95r}|8m4ge290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e`<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nf:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj>91<7950;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4=4:&6=d<5<2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?:4$4;b>72{zut1b=ll50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2;>0(87n:368yx{z3`;ji7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<5<2.>5l4=4:~yx=n9hl1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ga3_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm7083>6<729q/>om52158L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg1129096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'1=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo6>:185>5<7s-8io7?60:J1f7=#9k>1>:5f18194?"5jj0:5>5Y2cg95~J5k90:w[?ie;0x 76a28387[?7a;0x 0?e2830(87n:0;8yx{z3`o96=4+2ca9a7=Q:ko1=vB=c182S7am38p(?>i:d08R4>f2;q/94l5189'15l4>b:~yI4en3>pZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?7>;2.8?:4:9c9~ 3732;:0q[<6c;1xR`b=:r.>544j3:&072<21k1vZhk52z&6=<<61:1/?>9558c8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'19558`8y!06<3;j7pX=0d81Scc2;q/9475e29'761==0h0q)8>4;05?x{z3`82n7>5$3``>7?e3_8ii74}Q9oo1>v*=0g81=g=Q91k1>v*:9c811>"21h0996sr}M0ab?7|^;h>6?uYee81!3>1382n6*<3686=g=z,?;?6?;4}|8m7?3290/>om52868R7db2;qG>n>51zT2b`<5s-8;j7<64:T27?33-98;7;6b:'242=:<1vqp5`21:94?"5jj09<554}c54>5<4290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e?10;6<4?:1y'6ge=:j90D?l=;n037;:a3<<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m772<,<3j6?:4}|~?l7>=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo9m:184>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0e4ga3_;3m773<,<3j6?;4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm7b83>2<729q/>om5829K6g4<2\:4l4={%7:f?7b3-?2m7?j;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95`=#=0k1=h5r}|8m4g7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2eg<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?ne:T2ag83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'15<0290;w);2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0g8 0?f28o0qpsr;h3b4?6=,;hh6<7<;I0af>P5jl0:wAa19U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1``94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m673{zut1b=lh50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d`<^82j6?u+58`960=#=0k1>85r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m74c<,<3j6=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<6m2.>5l4>e:~yx=n9hh1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ge3_;3m773<,<3j6?;4}|~?l7fm3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c811>"21h0996sr}|9j5d`=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1>85+58c960=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd0n3:197>50z&1ff<58>1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0:56*:9`82=>{zut1b=ll50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?d`83>7<729q/>om5e19K6g45$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a5`0=83<1<7>t$3``>4?73A8i>6*>b5813>o61:0;6)P5jl0:wA=h51818R4>f2;q/94l5189'16X=bd82I4d83;pZ5l4>9:~yx=nm:0;6)`5<,:9<687m;|Tfa?4|,<326<7<;%103?3>j2w/:<:5219~R7?d2:q]ii4={%7:=?c43-98;7;6b:Ua`<5s-?257?63:&072<21h1v(;?;:328yS4>k39pZhj52z&6=<544j2:&072<21k1v(;?;:0c8yS47m38pZhj52z&6=<5<#:ki1>4l4V3`f>7}K:j:1=vX>fd81!47n382n6X>8`81!3>j38>7);6a;06?x{zD;hm64:50;&1ff<51=1]>ok52zN1g5<6s_;mi77?33_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4:4$214>0?e3t.==94=5:~y>i5810;6)=zj8ni6=4<:183!4ek38i56F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:0;8 0?f2830qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f4bd290:6=4?{%0ag?4d;2B9n?5`21:94?"5jj09<554}c3ga?6=;3:1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e9ml1<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo?j0;297?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m772<,<3j6?:4}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj8o:6=4<:183!4ek3287E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?e383>1<729q/>om5829K6g4i:0;7?S7?i38p(87m:368 0?f2;>0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`2a6<72<0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1o6ik0;6)P5jl0:wAac9U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'155;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=i5+58c95a=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:m=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1i:0ca?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi=ij50;:94?6|,;hh6?>8;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:0;8 0?f2830qpsr;h3bf?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mh4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c82=>"21h0:56sr}|9j5d`=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1=45+58c95<=zutw0e4d73_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm32:94?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f65c290=6=4?{%0ag?7>82B9n?5+1c6962=n9091<7*=bb82=6=Q:ko1=vB=c182S7am38p(?>i:0;0?S7?i38p(87m:0;8 0?f2830qpsr;hg1>5<#:ki1i?5Y2cg95~J5k90:w[?ie;0x 76a2l80Z<6n:3y'1P5jl09wA=h5e29U5=g=:r.>5o4>b:&6=d<6j2wvqAk39pZhj52z&6=<544>929'761==0k0q)8>4;03?xP51j08w[kk:3y'19558`8yScb2;q/9475e39'761==0h0q)8>4;3b?xP58l09w[kk:3y'19558`8y!06<38=7psr;h0:f?6=,;hh6?7m;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:f>"4;>0>5o5r$737>730Z?lj:3yO6f6=9r\:jh4={%03b?4><2\:4l4={%7:f?423-?2m7<:;|~H7da28q]>o;52zTf`?4|,<326?7;;%103?3>j2w/:<:5249~yx=h:921<7*=bb814==53;294~"5jj09n45G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb21b>5<6290;w)N5j;1d>=650;&1ff<58110qo=1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m74b<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82`>"21h0:h6sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo=7c;296?6=8r.9nn4j0:J1f7=n9091<7*=bb82=6=<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`0=4<72?0;6=u+2ca95<6<@;h97)?m4;04?l7>;3:1(?ll:0;0?S4em3;p@?m?:0yU5cc=:r.9929U5=g=:r.>5o4>9:&6=d<612wvqp5fe383>!4ek3o97[3-?2m7?6;|~y>ob;3:1(?ll:d18R7db2;qG>n>51zT2b`<5s-8;j7k<;W3;e?4|,<3i64doh54zT1=f<4s_oo6?u+58;9a6=#;:=194l4}Wgf>7}#=031=4=4$214>0?e3t.==94=0:U6`5<,:9<687m;|Tfa?4|,<326<7<;%103?3>i2w/:<:5219~R7?d2:q]ii4={%7:=?c43-98;7;6b:Ua`<5s-?257k=;%103?3>j2w/:<:51`9~R76b2;q]ii4={%7:=?c43-98;7;6b:'242=:?1vqp5f28`94?"5jj095o5Y2cg96~J5k90:w[?ie;0x 76a2;3i7[?7a;0x 0?e2;?0(87n:378yx{K:kl1=vX=b481Scc2;q/947528`8 6502<3i7p*915811>{zu2c9594?:%0ag?4><2\9nh4={M0`4?7|^8ln6?u+21d96<2<^82j6?u+58`960=#=0k1>85r}|N1fc<6s_8i97{e;1n1<7=50;2x 7dd2;h27E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?4<729q/>om52b18L7d53f8;47>5$3``>76?32wi?5h50;194?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82`>"21h0:h6sr}|9j5<3=83.9nn4>929K6gd<^;hn6i:0;6?S7?i38p(87m:0f8 0?f28n0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?4<50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb2;4>5<1290;w)N5j;1/=o:5269j5<5=83.9nn4>929U6gc=9rF9o=4>{W3ea?4|,;:m6<7<;W3;e?4|,<3i6<74$4;b>4?ok51zN1g5<6s_;mi7`4<^82j6?u+58`95<=#=0k1=45r}|8m`5=83.9nn4j3:T1f`<5sE8h<7?tV0df>7}#:9l1i>5Y19c96~"21k0:n6*:9`82f>{zuE8ij7:tV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13;2?6*<3686=g=z,?;?6?>4}W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100:5>5+325917}#=031i?5+325915l4=5:~yI4en3;pZ?l::3yUaa<5s-?257<6b:&072<21k1v(;?;:378yx{P60h09w);6b;06?!3>i38>7psrL3`e>4}Q:k?1>vXjd;0x 0?>2;3?7)=<7;7:f>{#>8>1>85r}|9l65>=83.9nn4=0998yg5>;3:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn>7;:182>5<7s-8io753;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:0f8 0?f28n0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4>d:&6=d<6l2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'152;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?3<729q/>om51828L7d53-;i87<8;h3:7?6=,;hh6<7<;W0aa?7|D;i;65Y19c96~"21k0:56*:9`82=>{zut1bi?4?:%0ag?c53_8ii7?tL3a3>4}Q9oo1>v*=0g8f6>P60h09w);6b;3:?!3>i3;27psr}:kf7?6=,;hh6h=4V3`f>7}K:j:1=vX>fd81!47n3o87[?7a;0x 0?e28h0(87n:0`8yx{K:kl18vX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;a?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>28387)=<7;7:e>{#>8>1>=5rV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13o97)=<7;7:f>{#>8>1=l5rV32f>7}Qmm09w);69;g0?!54?3?2n6s+606963=zut1b>4l50;&1ff<51k1]>ok52zN1g5<6s_;mi77?e3_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4l4$214>0?e3t.==94=5:~y>o51=0;6)P5jl09wA=h52868R4>f2;q/94l5249'19558`8y!06<38>7psr;n037;:a7t$3``>7d>3A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th85l4?:083>5}#:ki1>n=4H3`1?j4703:1(?ll:32;?>{e;0h1<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64b3-?2m7?6;|~y>{e;0o1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn>o<:185>5<7s-8io7?60:J1f7=#9k>1>:5f18194?"5jj0:5>5Y2cg95~J5k90:w[?ie;0x 76a28387[?7a;0x 0?e2830(87n:0;8yx{z3`o96=4+2ca9a7=Q:ko1=vB=c182S7am38p(?>i:d08R4>f2;q/94l5189'15l4>b:~yI4en3>pZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?7>;2.8?:4:9c9~ 3732;:0q[<6c;1xR`b=:r.>544j3:&072<21k1vZhk52z&6=<<61:1/?>9558c8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'19558`8y!06<3;j7pX=0d81Scc2;q/9475e29'761==0h0q)8>4;05?x{z3`82n7>5$3``>7?e3_8ii74}Q9oo1>v*=0g81=g=Q91k1>v*:9c811>"21h0996sr}M0ab?7|^;h>6?uYee81!3>1382n6*<3686=g=z,?;?6?;4}|8m7?3290/>om52868R7db2;qG>n>51zT2b`<5s-8;j7<64:T27?33-98;7;6b:'242=:<1vqp5`21:94?"5jj09<554}c1:b?6=;3:14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj:k;6=4>:183!4ek38h?6F=b39l65>=83.9nn4=0998yg5f93:1?7>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64b{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg5a=3:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th8jl4?:783>5}#:ki1=4>4H3`1?!7e<38<7d?63;29 7dd28387[5l4>9:~yx=nm;0;6)3twvq6gj3;29 7dd2l90Z?lj:3yO6f6=9r\:jh4={%03b?c43_;3m74d<,<3j67}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni74?43-98;7;6a:'242=:91vZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?c53-98;7;6b:'242=9h1vZ?>j:3yUaa<5s-?257k<;%103?3>j2w/:<:5279~yx=n:0h1<7*=bb81=g=Q:ko1>vB=c182S7am38p(?>i:3;a?S7?i38p(87m:378 0?f2;?0qpsC2cd95~P5j<09w[kk:3y'1=8:4;a?x"19=0996sr}:k1=1<72-8io7<64:T1f`<5sE8h<7?tV0df>7}#:9l1>4:4V0:b>7}#=0h1>85+58c960=zutF9nk4>{W0a1?4|^ln1>v*:9881=1=#;:=194l4}%420?423twv7b;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd4n>0;6<4?:1y'6ge=:j90D?l=;n037;:a7c>=8391<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?433-?2m7<;;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'18<7>52;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?3<729q/>om51828L7d53-;i87<8;h3:7?6=,;hh6<7<;W0aa?7|D;i;65Y19c96~"21k0:56*:9`82=>{zut1bi?4?:%0ag?c53_8ii7?tL3a3>4}Q9oo1>v*=0g8f6>P60h09w);6b;3:?!3>i3;27psr}:kf7?6=,;hh6h=4V3`f>7}K:j:1=vX>fd81!47n3o87[?7a;0x 0?e28h0(87n:0`8yx{K:kl18vX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;a?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>28387)=<7;7:e>{#>8>1>=5rV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13o97)=<7;7:f>{#>8>1=l5rV32f>7}Qmm09w);69;g0?!54?3?2n6s+606963=zut1b>4l50;&1ff<51k1]>ok52zN1g5<6s_;mi77?e3_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4l4$214>0?e3t.==94=5:~y>o51=0;6)P5jl09wA=h52868R4>f2;q/94l5249'19558`8y!06<38>7psr;n037;:a067=8391<7>t$3``>7d>3A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th???4?:083>5}#:ki1>n=4H3`1?j4703:1(?ll:32;?>{e<:91<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64b3-?2m7?6;|~y>{e<:<1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn9=m:185>5<7s-8io7?60:J1f7=#9k>1>:5f18194?"5jj0:5>5Y2cg95~J5k90:w[?ie;0x 76a28387[?7a;0x 0?e2830(87n:0;8yx{z3`o96=4+2ca9a7=Q:ko1=vB=c182S7am38p(?>i:d08R4>f2;q/94l5189'15l4>b:~yI4en3>pZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?7>;2.8?:4:9c9~ 3732;:0q[<6c;1xR`b=:r.>544j3:&072<21k1vZhk52z&6=<<61:1/?>9558c8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'19558`8y!06<3;j7pX=0d81Scc2;q/9475e29'761==0h0q)8>4;05?x{z3`82n7>5$3``>7?e3_8ii74}Q9oo1>v*=0g81=g=Q91k1>v*:9c811>"21h0996sr}M0ab?7|^;h>6?uYee81!3>1382n6*<3686=g=z,?;?6?;4}|8m7?3290/>om52868R7db2;qG>n>51zT2b`<5s-8;j7<64:T27?33-98;7;6b:'242=:<1vqp5`21:94?"5jj09<554}c603?6=;3:14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj=936=4>:183!4ek38h?6F=b39l65>=83.9nn4=0998yg2413:1?7>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64b{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg2303:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th?8i4?:783>5}#:ki1=4>4H3`1?!7e<38<7d?63;29 7dd28387[5l4>9:~yx=nm;0;6)3twvq6gj3;29 7dd2l90Z?lj:3yO6f6=9r\:jh4={%03b?c43_;3m74d<,<3j67}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni74?43-98;7;6a:'242=:91vZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?c53-98;7;6b:'242=9h1vZ?>j:3yUaa<5s-?257k<;%103?3>j2w/:<:5279~yx=n:0h1<7*=bb81=g=Q:ko1>vB=c182S7am38p(?>i:3;a?S7?i38p(87m:378 0?f2;?0qpsC2cd95~P5j<09w[kk:3y'1=8:4;a?x"19=0996sr}:k1=1<72-8io7<64:T1f`<5sE8h<7?tV0df>7}#:9l1>4:4V0:b>7}#=0h1>85+58c960=zutF9nk4>{W0a1?4|^ln1>v*:9881=1=#;:=194l4}%420?423twv7b;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd37;:a01d=83>1<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?433-?2m7<;;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8m4g7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:m14=<72-8io7{zut1vn8l8:181>5<7s-8io7k?;I0a6>o61:0;6)=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'13:1om51818R7db28qG>n>51zT2b`<5s-8;j7?63:T23twvq6gj2;29 7dd2l80Z?lj:0yO6f6=9r\:jh4={%03b?c53_;3m74?<,<3j6<74}|~?lc4290/>om5e29U6gc=:rF9o=4>{W3ea?4|,;:m6h=4V0:b>7}#=0h1=o5+58c95g=zutF9nk4;{W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100:5>5+325917}#=031=4=4$214>0?f3t.==94=0:U6`5<,:9<687m;|Tfa?4|,<326h<4$214>0?e3t.==94>a:U65c=:r\nh7`5<,:9<687m;|&551<5>2wvq6g=9c83>!4ek382n6X=bd81I4d83;pZ544=9c9'761==0h0q)8>4;06?x{z3`8287>5$3``>7?33_8ii74}Q9oo1>v*=0g81=1=Q91k1>v*:9c811>"21h0996sr}M0ab?7|^;h>6?uYee81!3>138286*<3686=g=z,?;?6?;4}|8k76?290/>om521:8?xd2j10;6>4?:1y'6ge=:k30D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo;m9;295?6=8r.9nn4=c29K6g4<3th>no4?:283>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb4``>5<4290;w);2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd2jm0;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5<2.>5l4=4:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:m14=<72-8io7{zut1vn8li:187>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`961=#=0k1>95r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?:4$4;b>72{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg3d83:1:7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<@;hi7[=2\:4l4={%7:f?7c3-?2m7?k;|~y>o6i90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`961=#=0k1>95r}|8m4ge290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e`<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5259'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th>o<4?:783>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64b=2\:4l4={%7:f?433-?2m7<;;|~y>o6i90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`961=#=0k1>95r}|8m4ge290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e`<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5259'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th>nl4?:983>5}#:ki1>=94H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2830(87n:0;8yx{z3`;ji7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2ec<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mk5Y19c96~"21k0:56*:9`82=>{zut1b=o>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g6<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?7<729q/>om5e19K6g45$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a1ac=83<1<7>t$3``>4?73A8i>6*>b5813>o61:0;6)P5jl0:wA=h51818R4>f2;q/94l5189'16X=bd82I4d83;pZ5l4>9:~yx=nm:0;6)`5<,:9<687m;|Tfa?4|,<326<7<;%103?3>j2w/:<:5219~R7?d2:q]ii4={%7:=?c43-98;7;6b:Ua`<5s-?257?63:&072<21h1v(;?;:328yS4>k39pZhj52z&6=<544j2:&072<21k1v(;?;:0c8yS47m38pZhj52z&6=<5<#:ki1>4l4V3`f>7}K:j:1=vX>fd81!47n382n6X>8`81!3>j38>7);6a;06?x{zD;hm64:50;&1ff<51=1]>ok52zN1g5<6s_;mi77?33_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4:4$214>0?e3t.==94=5:~y>i5810;6)=zj929K6gd<^;hn6i:0;7?S7?i38p(87m:0;8 0?f2830qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f0b3290:6=4?{%0ag?4d;2B9n?5`21:94?"5jj09<554}c7g2?6=;3:1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e=m=1<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo;k8;293?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l51e9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;>0(87n:368yx{z3`;j<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<6l2.>5l4>d:~yx=n9hh1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ge3_;3m772<,<3j6?:4}|~?l7fm3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c810>"21h0986sr}|9j5d`=83.9nn4>929K6gd<^;hn6i:0ce?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi9i750;594?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82`>"21h0:h6sr}|9j5<3=83.9nn4>929K6gd<^;hn6i:0;6?S7?i38p(87m:368 0?f2;>0qpsr;h3b4?6=,;hh6<7<;I0af>P5jl0:wAa19U5=g=:r.>5o4>d:&6=d<6l2wvqp5f1``94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1b=lh50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2;>0(87n:368yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a1ag=83=1<7>t$3``>=5<@;h97d?64;29 7dd28387E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;>0(87n:368yx{z3`;j<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<6l2.>5l4>d:~yx=n9hh1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ge3_;3m772<,<3j6?:4}|~?l7fm3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c810>"21h0986sr}|9j5d`=83.9nn4>929K6gd<^;hn6i:0ce?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi9il50;594?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82`>"21h0:h6sr}|9j5<3=83.9nn4>929K6gd<^;hn6i:0;6?S7?i38p(87m:368 0?f2;>0qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:mo4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1o6io0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d`<^82j6?u+58`961=#=0k1>95r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?=<729q/>om5829K6g4<2\:4l4={%7:f?7c3-?2m7?k;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>d:&6=d<6l2wvqp5f1`294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1o6il0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dc<^82j6?u+58`95a=#=0k1=i5r}|8m4ga290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2f5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c28R4>f2;q/94l5259'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th>h84?:983>5}#:ki1>=94H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2830(87n:0;8yx{z3`;ji7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2ec<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mk5Y19c96~"21k0:56*:9`82=>{zut1b=o>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g6<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?7<729q/>om5e19K6g45$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a0a2=83<1<7>t$3``>4?73A8i>6*>b5813>o61:0;6)P5jl0:wA=h51818R4>f2;q/94l5189'16X=bd82I4d83;pZ5l4>9:~yx=nm:0;6)`5<,:9<687m;|Tfa?4|,<326<7<;%103?3>j2w/:<:5219~R7?d2:q]ii4={%7:=?c43-98;7;6b:Ua`<5s-?257?63:&072<21h1v(;?;:328yS4>k39pZhj52z&6=<544j2:&072<21k1v(;?;:0c8yS47m38pZhj52z&6=<5<#:ki1>4l4V3`f>7}K:j:1=vX>fd81!47n382n6X>8`81!3>j38>7);6a;06?x{zD;hm64:50;&1ff<51=1]>ok52zN1g5<6s_;mi77?33_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4:4$214>0?e3t.==94=5:~y>i5810;6)=zj=n;6=4<:183!4ek38i56F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm4e394?7=83:p(?ll:3a0?M4e:2e9<54?:%0ag?47021vn9j=:185>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`961=#=0k1>95r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>95+58c961=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3mo0;6?4?:1y'6ge=m91C>o<4i0;0>5<#:ki1=4=4;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi8k:50;494?6|,;hh6<7?;I0a6>"6j=09;6g>9283>!4ek3;2?6X=bd82I4d83;pZP5jl0:wA=h5e39U5=g=:r.>5o4>9:&6=d<612wvqp5fe283>!4ek3o87[4m53zTf`?4|,<326h=4$214>0?e3t\ni74?43-98;7;6b:'242=:91vZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?7>;2.8?:4:9`9~ 3732;:0q[<6c;1xR`b=:r.>544j3:&072<21k1vZhk52z&6=<544j3:&072<21k1v(;?;:348yx{P60h09w);6b;06?!3>i38>7psrL3`e>4}Q:k?1>vXjd;0x 0?>2;3i7)=<7;7:f>{#>8>1>85r}|9j6<2=83.9nn4=959U6gc=:rF9o=4>{W3ea?4|,;:m6?7;;W3;e?4|,<3i6?;4$4;b>73oh51zT1f0<5s_oo6?u+58;96<2<,:9<687m;|&551<5=2wvq6a=0983>!4ek38;465rb5d3>5<4290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e43A8i>6g>9583>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1>95+58c961=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f06f29096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'156;294~"5jj0:5=5G2c08 4d32;=0e<7<:18'6ge=9090Z?lj:0yO6f6=9r\:jh4={%03b?7>;2\:4l4={%7:f?7>3-?2m7?6;|~y>ob:3:1(?ll:d08R7db28qG>n>51zT2b`<5s-8;j7k=;W3;e?4|,<3i6<74$4;b>4?ok52zN1g5<6s_;mi7`5<^82j6?u+58`95g=#=0k1=o5r}|N1fc<3s_82o7=tVdf96~"2100n?6*<3686=g=z^lo1>v*:9882=6=#;:=194l4}%420?473t\95n4<{Wgg>7}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni7`4<,:9<687m;|&551<6i2w]>=k52zTf`?4|,<326h=4$214>0?e3t.==94=6:~y>o51k0;6)P5jl09wA=h528`8R4>f2;q/94l5249'19558`8y!06<38>7psr;h0:0?6=,;hh6?7;;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:0>"4;>0>5o5r$737>737:18'6ge=:9207pl:0c83>6<729q/>om52c;8L7d53`;287>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th>5}#:ki1>n=4H3`1?j4703:1(?ll:32;?>{e=9n1<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4=4:&6=d<5<2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?:4$4;b>723-?2m7?6;|~y>{e=;:1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn8<8:185>5<7s-8io7?60:J1f7=#9k>1>:5f18194?"5jj0:5>5Y2cg95~J5k90:w[?ie;0x 76a28387[?7a;0x 0?e2830(87n:0;8yx{z3`o96=4+2ca9a7=Q:ko1=vB=c182S7am38p(?>i:d08R4>f2;q/94l5189'15l4>b:~yI4en3>pZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?7>;2.8?:4:9c9~ 3732;:0q[<6c;1xR`b=:r.>544j3:&072<21k1vZhk52z&6=<<61:1/?>9558c8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'19558`8y!06<3;j7pX=0d81Scc2;q/9475e29'761==0h0q)8>4;05?x{z3`82n7>5$3``>7?e3_8ii74}Q9oo1>v*=0g81=g=Q91k1>v*:9c811>"21h0996sr}M0ab?7|^;h>6?uYee81!3>1382n6*<3686=g=z,?;?6?;4}|8m7?3290/>om52868R7db2;qG>n>51zT2b`<5s-8;j7<64:T27?33-98;7;6b:'242=:<1vqp5`21:94?"5jj09<554}c715?6=;3:14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj<896=4>:183!4ek38h?6F=b39l65>=83.9nn4=0998yg35<3:1?7>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6?:4$4;b>72{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg35=3:1;7>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6?:4$4;b>72{zut1b=l>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;>0(87n:368yx{z3`;jn7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<5<2.>5l4=4:~yx=n9ho1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74gb3_;3m772<,<3j6?:4}|~?l7fn3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82ec=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo;=3;297?6=8r.9nn4=069K6g4i:0;7?S7?i38p(87m:0;8 0?f2830qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f04c29096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'156;294~"5jj0:5=5G2c08 4d32;=0e<7<:18'6ge=9090Z?lj:0yO6f6=9r\:jh4={%03b?7>;2\:4l4={%7:f?7>3-?2m7?6;|~y>ob:3:1(?ll:d08R7db28qG>n>51zT2b`<5s-8;j7k=;W3;e?4|,<3i6<74$4;b>4?ok52zN1g5<6s_;mi7`5<^82j6?u+58`95g=#=0k1=o5r}|N1fc<3s_82o7=tVdf96~"2100n?6*<3686=g=z^lo1>v*:9882=6=#;:=194l4}%420?473t\95n4<{Wgg>7}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni7`4<,:9<687m;|&551<6i2w]>=k52zTf`?4|,<326h=4$214>0?e3t.==94=6:~y>o51k0;6)P5jl09wA=h528`8R4>f2;q/94l5249'19558`8y!06<38>7psr;h0:0?6=,;hh6?7;;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:0>"4;>0>5o5r$737>737:18'6ge=:9207pl:2d83>6<729q/>om52c;8L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg35n3:1=7>50z&1ff<5k:1C>o<4o32;>5<#:ki1>=64;|`674<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`677<72<0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1o6ik0;6)P5jl0:wAac9U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'156;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:368 0?f2;>0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1b=lk50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;>0(87n:368yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a166=83>1<7>t$3``>7603A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a125=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj<=i6=49:183!4ek3;2<6F=b39'5g2=:>1b=4=50;&1ff<61:1]>ok51zN1g5<6s_;mi74?43_;3m74?<,<3j6<74}|~?lc5290/>om5e39U6gc=9rF9o=4>{W3ea?4|,;:m6h<4V0:b>7}#=0h1=45+58c95<=zutw0eh=50;&1ffv*:9c82f>"21h0:n6sr}M0ab?2|^;3h6>uYee81!3>13o87)=<7;7:f>{Qml09w);69;3:7>"4;>0>5o5r$737>76v*:9882=6=#;:=194o4}%420?473t\95n4<{Wgg>7}#=031i>5+325917}#=031i>5+32591j3:1(?ll:3;a?S4em38p@?m?:0yU5cc=:r.95o4=5:&6=d<5=2wvqAj2.8?:4:9c9~ 3732;?0qps4i3;7>5<#:ki1>4:4V3`f>7}K:j:1=vX>fd81!47n38286X>8`81!3>j38>7);6a;06?x{zD;hm6=650;&1ff<58110qo;84;297?6=8r.9nn4=b89K6g4i:0;7?S7?i38p(87m:0;8 0?f2830qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f012290:6=4?{%0ag?4d;2B9n?5`21:94?"5jj09<554}c743?6=;3:1N5j;1b=4:50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5<2.>5l4=4:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5<2.>5l4=4:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m772<,<3j6?:4}|~?l7fj3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj<=26=49:183!4ek3287E=h51868R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1>95+58c961=zutw0e8`81!3>j38?7);6a;07?x{zu2c:mh4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`633<72=0;6=u+2ca9651<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5f1`294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`16<<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e:;n1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn?=;:181>5<7s-8io7k?;I0a6>o61:0;6)=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l51b9'14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj;8i6=4>:183!4ek38h?6F=b39l65>=83.9nn4=0998yg45k3:1?7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>?k50;:94?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5=2.>5l4=5:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0e8`81!3>j38>7);6a;06?x{zu2c:n=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9k:0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`16c<7210;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1o6ik0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`960=#=0k1>85r}|8m4gb290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2ec<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?m0:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj;9;6=47:183!4ek3287E=h51868R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<5=2.>5l4=5:~yx=n9hh1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ge3_;3m773<,<3j6?;4}|~?l7fm3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c811>"21h0996sr}|9j5d`=83.9nn4>929K6gd<^;hn6i:0ce?S7?i38p(87m:378 0?f2;?0qpsr;h3a4?6=,;hh6<7<;I0af>P5jl0:wAb19U5=g=:r.>5o4=5:&6=d<5=2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'159;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:m=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1o6il0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dc<^82j6?u+58`960=#=0k1>85r}|8m4ga290/>om51818R7db28qG>n>51zTfb?4|,;:m673o6j80;6)P5jl0:wAb09U5=g=:r.>5o4=5:&6=d<5=2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'17>59;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:m=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c811>"21h0996sr}|9j5dd=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1>85+58c960=zutw0e8`81!3>j38>7);6a;06?x{zu2c:mk4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82ec=Q91k1>v*:9c811>"21h0996sr}|9j5g6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=o>4V0:b>7}#=0h1>85+58c960=zutw0e:18'6ge=9090Z?lj:0yO6f6=9r\nj74d63_;3m773<,<3j6?;4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm22194?0=83:p(?ll:324?M4e:2c:594?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0e4g73_;3m74?<,<3j6<74}|~?l7fj3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nb:T23twvq6g>ad83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd5;k0;6?4?:1y'6ge=m91C>o<4i0;0>5<#:ki1=4=4;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>9>50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>c:&6=d<6k2wvqp5rb315>5<4290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e::=1<7?50;2x 7dd2;i87E29086=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6l2.>5l4>d:~yx=n90?1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?23_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm22c94?5=83:p(?ll:918L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:h6*:9`82`>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg4403:1?7>50z&1ff<58>1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn?=l:18:>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:378 0?f2;?0qpsr;h3bf?6=,;hh6<7<;I0af>P5jl0:wAac9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1`g94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m673{zut1b=o>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28h;7[?7a;0x 0?e2;?0(87n:378yx{z3`;i=7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6j81]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0e4ga3_;3m773<,<3j6?;4}|~?l7e83:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?m0:T2b083>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=o?4V0:b>7}#=0h1>85+58c960=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd5;l0;644?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28o0(87n:0g8yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5=2.>5l4=5:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m773<,<3j6?;4}|~?l7fj3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c811>"21h0996sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:378 0?f2;?0qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:n=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c811>"21h0996sr}|9j5g7=83.9nn4>929K6gd<^;hn6i:0`2?S7?i38p(87m:378 0?f2;?0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>>h50;694?6|,;hh6?>8;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>9?50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb364>5<5290;w)4?:%0ag?7>;21d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg43j3:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e28i0(87n:0a8yx{z3th98?4?:283>5}#:ki1>o74H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'17:18'6ge=:9207pl=4483>6<729q/>om5829K6g4i:0;7?S7?i38p(87m:0f8 0?f28n0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'153;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1>95+58c961=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74b<,<3j63twvq6sm25694?5=83:p(?ll:324?M4e:2c:594?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd5<10;6;4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=h5+58c95`=zutw0e8`81!3>j3;n7);6a;3f?x{zu2c:mo4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c82a>"21h0:i6sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:378 0?f2;?0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>9750;494?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=h5+58c95`=zutw0e4g73_;3m74c<,<3j6ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd54?:1y'6ge=:9=0D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo<;c;296?6=8r.9nn4j0:J1f7=n9091<7*=bb82=6=<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`117<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b{e:=n1<7=50;2x 7dd2;h27E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?4<729q/>om52b18L7d53f8;47>5$3``>76?32wi>8>50;194?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1>95+58c961=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd5=80;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:m14=<72-8io7{zut1vn?:i:180>5<7s-8io71<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a605=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj;?26=4=:183!4ek3o;7E=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo<:e;296?6=8r.9nn4j0:J1f7=n9091<7*=bb82=6=<^;hn6i:32;?S7?i38p(87m:0a8 0?f28i0qpsr;|`111<72:0;6=u+2ca96g?<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'197>51;294~"5jj09o>5G2c08k76?290/>om521:8?xd5=>0;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28n0(87n:0f8yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5<2.>5l4=4:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`961=#=0k1>95r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64b3-?2m7?6;|~y>{e:<<1<7=50;2x 7dd2;:<7E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?=<729q/>om5829K6g4i:0;7?S7?i38p(87m:0g8 0?f28o0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1`294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m673{zut1b=lk50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dc<^82j6?u+58`960=#=0k1>85r}|8m4ga290/>om51818R7db28qG>n>51zTfb?4|,;:m673i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb37a>5;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1>85+58c960=zutw0e8`81!3>j38>7);6a;06?x{zu2c:mo4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i:0cf?S7?i38p(87m:378 0?f2;?0qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:n=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c811>"21h0996sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo<:c;291<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m74c<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c811>"21h0996sr}|9j5d6=83.9nn4>929K6gd<^;hn6i:0c3?S7?i38p(87m:378 0?f2;?0qpsr;h3bf?6=,;hh6<7<;I0af>P5jl0:wAac9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1`g94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1i:0ce?S7?i38p(87m:378 0?f2;?0qpsr;h3a4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f73c290?6=4?{%0ag?47?2B9n?5f18694?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f73a29096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'152;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i64e6<729q/>om52c;8L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg4193:1=7>50z&1ff<5k:1C>o<4o32;>5<#:ki1>=64;|`126<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74b<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo<94;297?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e28n0(87n:0f8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a634=8391<7>t$3``>7603A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th9:;4?:383>5}#:ki1i=5G2c08m4?4290/>om51818?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm27a94?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f71629096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'153;294~"5jj09n45G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb34;>5<6290;w)N5j;1d>=650;&1ff<58110qo<9a;297?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l51e9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?65:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj;{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95a=#=0k1=i5r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?6<729q/>om52158L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg41l3:1:7>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64c{zut1b=l>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;?0(87n:378yx{z3`;jn7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<5=2.>5l4=5:~yx=n9ho1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74gb3_;3m773<,<3j6?;4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm27g94??=83:p(?ll:918L7d53`;287>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6m2.>5l4>e:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;?0(87n:378yx{z3`;jn7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e`<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2;?0(87n:378yx{z3`;i<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2f4<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:n<5Y19c96~"21k0996*:9`811>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg41n3:157>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:378 0?f2;?0qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:mo4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c811>"21h0996sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:378 0?f2;?0qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:n=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c811>"21h0996sr}|9j5g7=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=o?4V0:b>7}#=0h1>85+58c960=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd5?90;694?:1y'6ge=:9=0D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd5?;0;6?4?:1y'6ge=m91C>o<4i0;0>5<#:ki1=4=4;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>:650;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb35g>5<5290;w)4?:%0ag?7>;21d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95f=#=0k1=n5r}|8yg40;3:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn?9;:182>5<7s-8io753;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=i5+58c95a=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm26594?5=83:p(?ll:918L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2=0<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th9;84?:283>5}#:ki1>=94H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28o0(87n:0g8yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<6m2.>5l4>e:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2;?0(87n:378yx{z3`;ji7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<5=2.>5l4=5:~yx=n9hl1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ga3_;3m773<,<3j6?;4}|~?l7e83:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c811>"21h0996sr}|9j5g7=83.9nn4>929K6gd<^;hn6i:0`2?S7?i38p(87m:378 0?f2;?0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>:o50;32>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64co6ik0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`960=#=0k1>85r}|8m4gb290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2ec<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?m0:T2b083>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=o?4V0:b>7}#=0h1>85+58c960=zutw0e6X>8`81!3>j38>7);6a;06?x{zu2c:n>4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f6=Q91k1>v*:9c82=>"21h0:56sr}|9j5<0=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=484V0:b>7}#=0h1=45+58c95<=zutw0e<78:18'6ge=9090Z?lj:0yO6f6=9r\nj74?03_;3m74?<,<3j6<74}|~?l7>03:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?68:T23twvq6g>9883>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6101]=5o52z&6=g<612.>5l4>9:~yx=n90k1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518c8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283i7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a62d=83;:6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74c<,<3j6ac83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1>85+58c960=zutw0e4gb3_;3m773<,<3j6?;4}|~?l7fn3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82ec=Q91k1>v*:9c811>"21h0996sr}|9j5g6=83.9nn4>929K6gd<^;hn6i:0`3?S7?i38p(87m:378 0?f2;?0qpsr;h3a5?6=,;hh6<7<;I0af>P5jl0:wAb09U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1c094?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6733-?2m7?6;|~y>o61?0;6)P5jl0:wA979U5=g=:r.>5o4>9:&6=d<612wvqp5f18594?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90=0Z<6n:3y'1i:0;;?S7?i38p(87m:0;8 0?f2830qpsr;h3:=?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:5l4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=d=Q91k1>v*:9c82=>"21h0:56sr}|9j5929U6gc=9rF9o=4>{Wge>7}#:9l1=4l4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd5?j0;694?:1y'6ge=:9=0D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd5?l0;6?4?:1y'6ge=m91C>o<4i0;0>5<#:ki1=4=4;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>5:50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb3:b>5<5290;w)4?:%0ag?7>;21d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95f=#=0k1=n5r}|8yg40n3:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn?6?:182>5<7s-8io77>53;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm29194?5=83:p(?ll:918L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2=0<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th94<4?:283>5}#:ki1>=94H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'13:1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd50?0;6;4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28o0(87n:0g8yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5=2.>5l4=5:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m773<,<3j6?;4}|~?l7fj3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c811>"21h0996sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:378 0?f2;?0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>5950;494?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1>85+58c960=zutw0e8`81!3>j38>7);6a;06?x{zu2c:mo4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb3:;>5<0290;w);2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<@;hi7[=2\:4l4={%7:f?7b3-?2m7?j;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1``94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m64c{zut1b=lh50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2;?0(87n:378yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a6=?=83?1<7>t$3``>7603A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2830(87n:0;8yx{z3`;jn7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn?6m:181>5<7s-8io7k?;I0a6>o61:0;6)=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd51:0;6?4?:1y'6ge=m91C>o<4i0;0>5<#:ki1=4=4;n037;W0aa?7|D;i;68`81!3>j3;h7);6a;3`?x{zu2wi>5m50;194?6|,;hh6?l6;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`15$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0986*:9`810>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg4>83:1?7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<@;hi7[=2\:4l4={%7:f?433-?2m7<;;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb3:f>5<4290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e:081<7950;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c811>"21h0996sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1>85+58c960=zutw0e4ge3_;3m773<,<3j6?;4}|~?l7fm3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?ne:T2ag83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3m<0;6?4?:1y'6ge=m91C>o<4i0;0>5<#:ki1=4=4;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi8h750;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>c:&6=d<6k2wvqp5rb5fe>5<4290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6l2.>5l4>d:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l51e9'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th?i>4?:483>5}#:ki14>5G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2=0<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?n0:T2ac83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1>95+58c961=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3m=0;654?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<6l2.>5l4>d:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m74b<,<3j6ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>95+58c961=zutw0e8`81!3>j38?7);6a;07?x{zu2c:n=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9k:0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`7a4<72=0;6=u+2ca9651<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5f1`294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`7a3<728;1<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7b3-?2m7?j;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95`=#=0k1=h5r}|8m4g7290/>om51818R7db28qG>n>51zTfb?4|,;:m64co6il0;6)P5jl0:wAad9U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1`d94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1i:0`3?S7?i38p(87m:0g8 0?f28o0qpsr;h3a5?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:n?4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f7=Q91k1>v*:9c811>"21h0996sr}|9j5g5=83.9nn4>929K6gd<^;hn6i:0`0?S7?i38p(87m:0g8 0?f28o0qpsr;h3:2?6=,;hh6<7<;I0af>P5jl0:wA979U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18594?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<78;W3;e?4|,<3i6?;4$4;b>73{zut1b=4750;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95om51818R7db28qG>n>51zTfb?4|,;:m6<7n;W3;e?4|,<3i6<74$4;b>4?j2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb5g4>5<693:1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0:i6*:9`82a>{zut1b=ll50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`95`=#=0k1=h5r}|8m4gb290/>om51818R7db28qG>n>51zTfb?4|,;:m64co6j90;6)P5jl0:wAb19U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1c394?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9k;0Z<6n:3y'1i:0`1?S7?i38p(87m:378 0?f2;?0qpsr;h3a7?6=,;hh6<7<;I0af>P5jl0:wAb29U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18494?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<79;W3;e?4|,<3i6?;4$4;b>73{zut1b=4650;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28337[?7a;0x 0?e2;?0(87n:378yx{z3`;257>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=d<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5l5Y19c96~"21k0:56*:9`82=>{zut1b=4l50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?6<729q/>om52158L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg3403:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th>?n4?:383>5}#:ki1i=5G2c08m4?4290/>om51818?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm55094?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82g>"21h0:o6sr}|9~f05>29086=4?{%0ag?4e12B9n?5f18694?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb41b>5<6290;w)N5j;1d>=650;&1ff<58110qo;1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m74b<,<3j6=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj<9o6=4<:183!4ek3287E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;?0(87n:378yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a16c=838:6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6m2.>5l4>e:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e28o0(87n:0g8yx{z3`;jn7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e`<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mh5Y19c96~"21k0:i6*:9`82a>{zut1b=lh50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d`<^82j6?u+58`95`=#=0k1=h5r}|8m4d7290/>om51818R7db28qG>n>51zTfb?4|,;:m64c{zut1b=o<50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g4<^82j6?u+58`95`=#=0k1=h5r}|8m4d4290/>om51818R7db28qG>n>51zTfb?4|,;:m64c>2\:4l4={%7:f?7b3-?2m7?j;|~y>o61>0;6)P5jl0:wA969U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18:94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9020Z<6n:3y'1i:0;:?S7?i38p(87m:0g8 0?f28o0qpsr;h3:e?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:5o4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90h0Z<6n:3y'1k2\:4l4={%7:f?7b3-?2m7?j;|~y>o61m0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d9585r}|8m4?b290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2=c<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5k5Y19c96~"21k0:56*:9`82=>{zut1b=l?50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d7<^82j6?u+58`95<=#=0k1=45r}|8m4g5290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6i=0;6)P5jl0:wAa59U5=g=:r.>5o4>9:&6=d<612wvqp5f1`794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h?0Z<6n:3y'1i:0c5?S7?i38p(87m:0;8 0?f2830qpsr;h3b3?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m54?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e==Q91k1>v*:9c82=>"21h0:56sr}|9j5d?=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l74V0:b>7}#=0h1=45+58c95<=zutw0e4gf3_;3m74?<,<3j6<74}|~?l7fk3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nc:T23twvq6g>ae83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6im1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'14?33_;3m74c<,<3j6=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<6m2.>5l4>e:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e28o0(87n:0g8yx{z3`;jj7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:n=5Y19c96~"21k0:i6*:9`82a>{zut1b=o?50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28h:7[?7a;0x 0?e28o0(87n:0g8yx{z3`;i>7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6j;1]=5o52z&6=g<6m2.>5l4>e:~yx=n9k91<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51c18R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283=7[?7a;0x 0?e28o0(87n:0g8yx{z3`;2;7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2==<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:555Y19c96~"21k0:i6*:9`82a>{zut1b=4750;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95om51818R7db28qG>n>51zTfb?4|,;:m6<7n;W3;e?4|,<3i64cj2\:4l4={%7:f?423-?2m7<:;|~y>o61j0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2=`<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h518g8R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283m7[?7a;0x 0?e2830(87n:0;8yx{z3`;j=7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e7<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m?5Y19c96~"21k0:56*:9`82=>{zut1b=l=50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d5<^82j6?u+58`95<=#=0k1=45r}|8m4g3290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6i?0;6)P5jl0:wAa79U5=g=:r.>5o4>9:&6=d<612wvqp5f1`594?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h=0Z<6n:3y'1i:0c;?S7?i38p(87m:0;8 0?f2830qpsr;h3b=?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:ml4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82ed=Q91k1>v*:9c82=>"21h0:56sr}|9j5de=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lm4V0:b>7}#=0h1=45+58c95<=zutw0e4gc3_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm55294?46290;w);2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=h5+58c95`=zutw0e8`81!3>j3;n7);6a;3f?x{zu2c:mo4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c82a>"21h0:i6sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:0g8 0?f28o0qpsr;h3bb?6=,;hh6<7<;I0af>P5jl0:wAag9U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1c294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m64c{zut1b=o<50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28h97[?7a;0x 0?e28o0(87n:0g8yx{z3`;i?7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=3<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5;5Y19c96~"21k0:i6*:9`82a>{zut1b=4950;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<1<^82j6?u+58`95`=#=0k1=h5r}|8m4??290/>om51818R7db28qG>n>51zTfb?4|,;:m6<77;W3;e?4|,<3i64c12\:4l4={%7:f?7b3-?2m7?j;|~y>o61h0;6)P5jl0:wA9`9U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18`94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90h0Z<6n:3y'1k2\:4l4={%7:f?7b3-?2m7?j;|~y>o61m0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d9585r}|8m4?b290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2=c<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5k5Y19c96~"21k0:56*:9`82=>{zut1b=l?50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d7<^82j6?u+58`95<=#=0k1=45r}|8m4g5290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6i=0;6)P5jl0:wAa59U5=g=:r.>5o4>9:&6=d<612wvqp5f1`794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h?0Z<6n:3y'1i:0c5?S7?i38p(87m:0;8 0?f2830qpsr;h3b3?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m54?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e==Q91k1>v*:9c82=>"21h0:56sr}|9j5d?=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l74V0:b>7}#=0h1=45+58c95<=zutw0e4gf3_;3m74?<,<3j6<74}|~?l7fk3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nc:T23twvq6g>ae83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6im1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6g>a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<612.>5l4>9:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th>:44?:383>5}#:ki1i=5G2c08m4?4290/>om51818?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm57f94?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82g>"21h0:o6sr}|9~f00f29086=4?{%0ag?4e12B9n?5f18694?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb44a>5<6290;w)N5j;1d>=650;&1ff<58110qo;9c;297?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m74b<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82`>"21h0:h6sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo;7e;296?6=8r.9nn4j0:J1f7=n9091<7*=bb82=6=<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`6=7<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b{e=1l1<7=50;2x 7dd2;h27E=h51868R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a1<6=83;1<7>t$3``>7e43A8i>6a=0983>!4ek38;465rb4;2>5<4290;w);2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi8o950;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb5`a>5<5290;w)4?:%0ag?7>;21d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95f=#=0k1=n5r}|8yg2e03:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn9l6:182>5<7s-8io7im7>53;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:368 0?f2;>0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'17>52;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?7<729q/>om5e19K6g45$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a14b=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<6k2.>5l4>c:~yx=zj<;86=4<:183!4ek38i56F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm50694?7=83:p(?ll:3a0?M4e:2e9<54?:%0ag?47021vn8?::180>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`652<7200;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0e8`81!3>j38>7);6a;06?x{zu2c:mh4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1o6j90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g6<^82j6?u+58`960=#=0k1>85r}|8m4d6290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:m14=<72-8io7{zut1vn8?7:18:>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64co6ik0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`960=#=0k1>85r}|8m4gb290/>om51818R7db28qG>n>51zTfb?4|,;:m673{zut1b=o>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28h;7[?7a;0x 0?e2;?0(87n:378yx{z3`;i=7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6j81]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0e4ga3_;3m773<,<3j6?;4}|~?l7e83:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?m0:T2b083>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6j81]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?;4$4;b>73{zut1b=ll50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2;?0(87n:378yx{z3`;ji7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<5=2.>5l4=5:~yx=n9hl1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h;7[?7a;0x 0?e2;?0(87n:378yx{z3`;i=7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:m14=<72-8io7{zut1vn8?m:18:>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0e4ga3_;3m773<,<3j6?;4}|~?l7e83:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?m0:T2b083>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6j81]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'13:14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6g>a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<612.>5l4>9:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a105=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=n5+58c95f=zutw0qo;:4;297?6=8r.9nn4=b89K6g4<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'197>51;294~"5jj09o>5G2c08k76?290/>om521:8?xd2=>0;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:m14=<72-8io7{zut1vn8;7:184>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95a=#=0k1=i5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0986*:9`810>{zut1b=ll50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e28n0(87n:0f8yx{z3`;ji7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<5<2.>5l4=4:~yx=n9hl1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ga3_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm54;94?>=83:p(?ll:918L7d53`;287>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6l2.>5l4>d:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l51e9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e28n0(87n:0f8yx{z3`;jn7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<5<2.>5l4=4:~yx=n9ho1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74gb3_;3m74b<,<3j6{M0`4?7|^ll1>v*=0g82ec=Q91k1>v*:9c810>"21h0986sr}|9j5g6=83.9nn4>929K6gd<^;hn6i:0`3?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi98850;694?6|,;hh6?>8;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2winh4?:383>5}#:ki1i=5G2c08m4?4290/>om51818?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6smc383>7<729q/>om5e19K6g45$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3`?!3>i3;h7psr}:afc<72:0;6=u+2ca96g?<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'1:183!4ek38h?6F=b39l65>=83.9nn4=0998yge629086=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6l2.>5l4>d:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l51e9'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3thh:7>52;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?<^;hn6i:32;?S7?i38p(87m:0a8 0?f28i0qpsr;|``3?6=;3:14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zjj21<7?50;2x 7dd2;i87Et$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7c3-?2m7?k;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>d:&6=d<6l2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'1ii7>52;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`7g1<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e4?:%0ag?7>;2\9nh4>{M0`4?7|^8ln6?u+21d95<5<^82j6?u+58`95<=#=0k1=45r}|8m`4=83.9nn4j2:T1f`<6sE8h<7?tV0df>7}#:9l1i?5Y19c96~"21k0:56*:9`82=>{zut1bi>4?:%0ag?c43_8ii74}Q9oo1>v*=0g8f7>P60h09w);6b;3a?!3>i3;i7psrL3`e>1}Q:0i1?vXjd;0x 0?>2l90(>=8:4;a?xPbm38p(876:0;0?!54?3?2n6s+606965=z^;3h6>uYee81!3>13o87)=<7;7:f>{Qml09w);69;3:7>"4;>0>5l5r$737>76v*:988f6>"4;>0>5o5r$737>4gom528`8R7db2;qG>n>51zT2b`<5s-8;j7<6b:T27?e3-98;7;6b:'242=:<1vqp5f28694?"5jj09595Y2cg96~J5k90:w[?ie;0x 76a2;3?7[?7a;0x 0?e2;?0(87n:378yx{K:kl1=vX=b481Scc2;q/94752868 6502<3i7p*915811>{zu2e9<54?:%0ag?47021vn9li:180>5<7s-8io71<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj=i;6=4>:183!4ek38h?6F=b39l65>=83.9nn4=0998yg2d:3:1?7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi8n=50;194?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c810>"21h0986sr}|9j5<3=83.9nn4>929K6gd<^;hn6i:0;6?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi8n?50;194?6|,;hh6?>8;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`7g0<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m773<,<3j6?;4}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj=i=6=4<:183!4ek3287E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`960=#=0k1>85r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?6<729q/>om52158L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg33=3:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th>844?:383>5}#:ki1i=5G2c08m4?4290/>om51818?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j7;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi99950;394?6|,;hh6?m<;I0a6>i5810;6)=zj<>36=49:183!4ek3287E=h51868R4>f2;q/94l51e9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=i5+58c95a=zutw0e8`81!3>j3;o7);6a;3g?x{zu2c:mh4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`60f<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e=<:1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn8:k:180>5<7s-8io71<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj<>n6=4>:183!4ek38h?6F=b39l65>=83.9nn4=0998yg33n3:1:7>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64b{zut1b=l>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e28n0(87n:0f8yx{z3`;jn7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<6l2.>5l4>d:~yx=n9ho1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74gb3_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm57294?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f00129096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'153;294~"5jj09n45G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg31:3:1=7>50z&1ff<5k:1C>o<4o32;>5<#:ki1>=64;|`621<72<0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82`>"21h0:h6sr}|9j5d6=83.9nn4>929K6gd<^;hn6i:0c3?S7?i38p(87m:0f8 0?f28n0qpsr;h3bf?6=,;hh6<7<;I0af>P5jl0:wAac9U5=g=:r.>5o4>d:&6=d<6l2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'155;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:0f8 0?f28n0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4>d:&6=d<6l2wvqp5f1`294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m64b{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg31;3:1?7>50z&1ff<58>1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn9j8:181>5<7s-8io7k?;I0a6>o61:0;6)=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74e<,<3j64?:1y'6ge=:k30D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo:k9;295?6=8r.9nn4=c29K6g4<3th?hl4?:083>5}#:ki1>n=4H3`1?j4703:1(?ll:32;?>{e;1h1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn>6n:181>5<7s-8io7k?;I0a6>o61:0;6)=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l51b9'14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj:296=4>:183!4ek38h?6F=b39l65>=83.9nn4=0998yg5?<3:1?7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?5;50;02>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:0f8 0?f28n0qpsr;h3bf?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:mh4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c82`>"21h0:h6sr}|9j5d`=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1=i5+58c95a=zutw0e4d73_;3m74b<,<3j6{M0`4?7|^ll1>v*=0g82f4=Q91k1>v*:9c82`>"21h0:h6sr}|9j5g4=83.9nn4>929K6gd<^;hn6i:0`1?S7?i38p(87m:0f8 0?f28n0qpsr;h3a7?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:5;4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=3=Q91k1>v*:9c82`>"21h0:h6sr}|9j5<1=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=494V0:b>7}#=0h1=i5+58c95a=zutw0e<77:18'6ge=9090Z?lj:0yO6f6=9r\nj74??3_;3m74b<,<3j613:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?69:T29`83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61h1]=5o52z&6=g<6l2.>5l4>d:~yx=n90h1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518`8R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?6c:T29e83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4j4V0:b>7}#=0h1>95+58c961=zutw0e<7j:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:5k4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=c=Q91k1>v*:9c82=>"21h0:56sr}|9j5d7=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l?4V0:b>7}#=0h1=45+58c95<=zutw0e4g53_;3m74?<,<3j6<74}|~?l7f;3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n3:T23twvq6g>a583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i=1]=5o52z&6=g<612.>5l4>9:~yx=n9h?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`78R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k=7[?7a;0x 0?e2830(87n:0;8yx{z3`;j;7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e=<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m55Y19c96~"21k0:56*:9`82=>{zut1b=l750;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d?<^82j6?u+58`95<=#=0k1=45r}|8m4gf290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6im0;6)P5jl0:wAae9U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'152083>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64b=2\:4l4={%7:f?7c3-?2m7?k;|~y>o6i90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95a=#=0k1=i5r}|8m4ge290/>om51818R7db28qG>n>51zTfb?4|,;:m64b{zut1b=lh50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e28n0(87n:0f8yx{z3`;i<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6j91]=5o52z&6=g<6l2.>5l4>d:~yx=n9k;1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74d63_;3m74b<,<3j6{M0`4?7|^ll1>v*=0g82f7=Q91k1>v*:9c82`>"21h0:h6sr}|9j5g5=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=o=4V0:b>7}#=0h1=i5+58c95a=zutw0e<79:18'6ge=9090Z?lj:0yO6f6=9r\nj74?13_;3m74b<,<3j6?3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?67:T29983>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6111]=5o52z&6=g<6l2.>5l4>d:~yx=n9031<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518;8R4>f2;q/94l51e9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283j7[?7a;0x 0?e28n0(87n:0f8yx{z3`;2n7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2=f<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h518a8R4>f2;q/94l51e9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?6d:T29d83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4k4V0:b>7}#=0h1>95+58c961=zutw0e<7i:18'6ge=9090Z?lj:0yO6f6=9r\nj74?a3_;3m74?<,<3j6<74}|~?l7f93:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n1:T23twvq6g>a383>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i;1]=5o52z&6=g<612.>5l4>9:~yx=n9h91<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`18R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k?7[?7a;0x 0?e2830(87n:0;8yx{z3`;j97>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e3<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m;5Y19c96~"21k0:56*:9`82=>{zut1b=l950;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d1<^82j6?u+58`95<=#=0k1=45r}|8m4g?290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6ih0;6)P5jl0:wAa`9U5=g=:r.>5o4>9:&6=d<612wvqp5f1`a94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hi0Z<6n:3y'1i:0cg?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?5950;02>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:0f8 0?f28n0qpsr;h3bf?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:mh4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c82`>"21h0:h6sr}|9j5d`=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1=i5+58c95a=zutw0e4d73_;3m74b<,<3j6{M0`4?7|^ll1>v*=0g82f4=Q91k1>v*:9c82`>"21h0:h6sr}|9j5g4=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=o<4V0:b>7}#=0h1=i5+58c95a=zutw0e4d43_;3m74b<,<3j6>3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?66:T29683>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61>1]=5o52z&6=g<6l2.>5l4>d:~yx=n9021<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518:8R4>f2;q/94l51e9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28327[?7a;0x 0?e28n0(87n:0f8yx{z3`;2m7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2=g<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h518`8R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?6c:T29e83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4j4V0:b>7}#=0h1>95+58c961=zutw0e<7j:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:5k4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=c=Q91k1>v*:9c82=>"21h0:56sr}|9j5d7=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l?4V0:b>7}#=0h1=45+58c95<=zutw0e4g53_;3m74?<,<3j6<74}|~?l7f;3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n3:T23twvq6g>a583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i=1]=5o52z&6=g<612.>5l4>9:~yx=n9h?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`78R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k=7[?7a;0x 0?e2830(87n:0;8yx{z3`;j;7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e=<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m55Y19c96~"21k0:56*:9`82=>{zut1b=l750;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d?<^82j6?u+58`95<=#=0k1=45r}|8m4gf290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6im0;6)P5jl0:wAae9U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'152083>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64b=2\:4l4={%7:f?7c3-?2m7?k;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4>d:&6=d<6l2wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i:0cf?S7?i38p(87m:0f8 0?f28n0qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:n=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c82`>"21h0:h6sr}|9j5g7=83.9nn4>929K6gd<^;hn6i:0`2?S7?i38p(87m:0f8 0?f28n0qpsr;h3a6?6=,;hh6<7<;I0af>P5jl0:wAb39U5=g=:r.>5o4>d:&6=d<6l2wvqp5f1c194?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9k90Z<6n:3y'1i:0;5?S7?i38p(87m:0f8 0?f28n0qpsr;h3:3?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:554?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82===Q91k1>v*:9c82`>"21h0:h6sr}|9j5929U6gc=9rF9o=4>{Wge>7}#:9l1=474V0:b>7}#=0h1=i5+58c95a=zutw0e<7n:18'6ge=9090Z?lj:0yO6f6=9r\nj74?f3_;3m74b<,<3j6j3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?6b:T29b83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4m4V0:b>7}#=0h1=i5+58c95a=zutw0e<7k:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:5h4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90o0Z<6n:3y'1i:0;e?S7?i38p(87m:0;8 0?f2830qpsr;h3b5?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m?4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e7=Q91k1>v*:9c82=>"21h0:56sr}|9j5d5=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l=4V0:b>7}#=0h1=45+58c95<=zutw0e4g33_;3m74?<,<3j6<74}|~?l7f=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n5:T23twvq6g>a783>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i?1]=5o52z&6=g<612.>5l4>9:~yx=n9h=1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`58R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k37[?7a;0x 0?e2830(87n:0;8yx{z3`;j57>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2ed<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:ml5Y19c96~"21k0:56*:9`82=>{zut1b=lm50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95de<^82j6?u+58`95<=#=0k1=45r}|8m4gc290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>{e;131<7<>:183!4ek3287E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95a=#=0k1=i5r}|8m4g7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2eg<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mo5Y19c96~"21k0:h6*:9`82`>{zut1b=lk50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e28n0(87n:0f8yx{z3`;jj7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<6l2.>5l4>d:~yx=n9k:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74d73_;3m74b<,<3j6{M0`4?7|^ll1>v*=0g82f4=Q91k1>v*:9c82`>"21h0:h6sr}|9j5g4=83.9nn4>929K6gd<^;hn6i:0`1?S7?i38p(87m:0f8 0?f28n0qpsr;h3a7?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:5;4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=3=Q91k1>v*:9c82`>"21h0:h6sr}|9j5<1=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=494V0:b>7}#=0h1=i5+58c95a=zutw0e<77:18'6ge=9090Z?lj:0yO6f6=9r\nj74??3_;3m74b<,<3j613:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?69:T29`83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61h1]=5o52z&6=g<6l2.>5l4>d:~yx=n90h1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518`8R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?6c:T29e83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4j4V0:b>7}#=0h1>95+58c961=zutw0e<7j:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:5k4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=c=Q91k1>v*:9c82=>"21h0:56sr}|9j5d7=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l?4V0:b>7}#=0h1=45+58c95<=zutw0e4g53_;3m74?<,<3j6<74}|~?l7f;3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n3:T23twvq6g>a583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i=1]=5o52z&6=g<612.>5l4>9:~yx=n9h?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`78R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k=7[?7a;0x 0?e2830(87n:0;8yx{z3`;j;7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e=<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m55Y19c96~"21k0:56*:9`82=>{zut1b=l750;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d?<^82j6?u+58`95<=#=0k1=45r}|8m4gf290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6im0;6)P5jl0:wAae9U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'157;294~"5jj09<:5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4>9:&6=d<612wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i:0cf?S7?i38p(87m:0;8 0?f2830qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~fd<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e=3:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th26=4=:183!4ek3o;7E=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=n5+58c95f=zutw0qo>50;194?6|,;hh6?l6;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`2>5<6290;w)N5j;1d>=650;&1ff<58110qo=50;194?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c810>"21h0986sr}|9j5<3=83.9nn4>929K6gd<^;hn6i:0;6?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi87>55;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:0f8 0?f28n0qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:m=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1i:0ca?S7?i38p(87m:0f8 0?f28n0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>7>53;294~"5jj09<:5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb783>77=83:p(?ll:918L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:i6*:9`82a>{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95`=#=0k1=h5r}|8m4ge290/>om51818R7db28qG>n>51zTfb?4|,;:m64co6io0;6)P5jl0:wAag9U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1c294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9k:0Z<6n:3y'1i:0`2?S7?i38p(87m:0g8 0?f28o0qpsr;h3a6?6=,;hh6<7<;W0aa?7|D;i;66X>8`81!3>j3;n7);6a;3f?x{zu2c:n>4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f6=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<0=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=484V0:b>7}#=0h1=h5+58c95`=zutw0e<78:18'6ge=9090Z?lj:0yO6f6=9r\nj74?03_;3m74c<,<3j603:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?68:T29883>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6101]=5o52z&6=g<6m2.>5l4>e:~yx=n90k1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518c8R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283i7[?7a;0x 0?e28o0(87n:0g8yx{z3`;2o7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2=a<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h518f8R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?6e:T29g83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4h4V0:b>7}#=0h1>85+58c960=zutw0e:18'6ge=9090Z?lj:0yO6f6=9r\nj74g63_;3m773<,<3j6?;4}|~?l7f:3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82e7=Q91k1>v*:9c811>"21h0996sr}|9j5d5=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l=4V0:b>7}#=0h1>85+58c960=zutw0e4g33_;3m74?<,<3j6<74}|~?l7f=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n5:T23twvq6g>a783>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i?1]=5o52z&6=g<612.>5l4>9:~yx=n9h=1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`58R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k37[?7a;0x 0?e2830(87n:0;8yx{z3`;j57>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2ed<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:ml5Y19c96~"21k0:56*:9`82=>{zut1b=lm50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95de<^82j6?u+58`95<=#=0k1=45r}|8m4gc290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>{e?3:1><4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28o0(87n:0g8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0:i6*:9`82a>{zut1b=ll50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`95`=#=0k1=h5r}|8m4gb290/>om51818R7db28qG>n>51zTfb?4|,;:m64co6j90;6)P5jl0:wAb19U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1c394?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9k;0Z<6n:3y'1i:0`1?S7?i38p(87m:0g8 0?f28o0qpsr;h3a7?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:5;4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=3=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<1=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=494V0:b>7}#=0h1=h5+58c95`=zutw0e<77:18'6ge=9090Z?lj:0yO6f6=9r\nj74??3_;3m74c<,<3j613:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?69:T29`83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61h1]=5o52z&6=g<6m2.>5l4>e:~yx=n90h1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518`8R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283h7[?7a;0x 0?e2;?0(87n:378yx{z3`;2h7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61m1]=5o52z&6=g<5=2.>5l4=5:~yx=n90o1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?b3_;3m773<,<3j6?;4}|~?l7>n3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=c=Q91k1>v*:9c811>"21h0996sr}|9j5d7=83.9nn4>929K6gd<^;hn6i:0c2?S7?i38p(87m:378 0?f2;?0qpsr;h3b6?6=,;hh6<7<;W0aa?7|D;i;66X>8`81!3>j38>7);6a;06?x{zu2c:m>4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h90Z<6n:3y'1i:0c7?S7?i38p(87m:0;8 0?f2830qpsr;h3b1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m;4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e3=Q91k1>v*:9c82=>"21h0:56sr}|9j5d1=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l94V0:b>7}#=0h1=45+58c95<=zutw0e4g?3_;3m74?<,<3j6<74}|~?l7f13:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n9:T23twvq6g>a`83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ih1]=5o52z&6=g<612.>5l4>9:~yx=n9hi1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`a8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ko7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a4?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj8=1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb0494?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82g>"21h0:o6sr}|9~fg<72:0;6=u+2ca96g?<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zjo0;694?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28n0(87n:0f8yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<6l2.>5l4>d:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l51e9'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:<7>51083>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64b=2\:4l4={%7:f?7c3-?2m7?k;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4>d:&6=d<6l2wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i:0cf?S7?i38p(87m:0f8 0?f28n0qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:n=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c82`>"21h0:h6sr}|9j5g7=83.9nn4>929K6gd<^;hn6i:0`2?S7?i38p(87m:368 0?f2;>0qpsr;h3a6?6=,;hh6<7<;I0af>P5jl0:wAb39U5=g=:r.>5o4>d:&6=d<6l2wvqp5f1c194?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9k90Z<6n:3y'1i:0;5?S7?i38p(87m:0;8 0?f2830qpsr;h3:3?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:554?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82===Q91k1>v*:9c82=>"21h0:56sr}|9j5929U6gc=9rF9o=4>{Wge>7}#:9l1=474V0:b>7}#=0h1=45+58c95<=zutw0e<7n:18'6ge=9090Z?lj:0yO6f6=9r\nj74?f3_;3m74?<,<3j6<74}|~?l7>j3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?6b:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zjm0;694?:1y'6ge=:9=0D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd6:3:1><4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28o0(87n:0g8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e28o0(87n:0g8yx{z3`;ji7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<6m2.>5l4>e:~yx=n9hl1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ga3_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c82a>"21h0:i6sr}|9j5g7=83.9nn4>929K6gd<^;hn6i:0`2?S7?i38p(87m:0g8 0?f28o0qpsr;h3a6?6=,;hh6<7<;W0aa?7|D;i;66X>8`81!3>j3;n7);6a;3f?x{zu2c:n>4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f6=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<0=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=484V0:b>7}#=0h1=h5+58c95`=zutw0e<78:18'6ge=9090Z?lj:0yO6f6=9r\nj74?03_;3m74c<,<3j603:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?68:T29883>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6101]=5o52z&6=g<6m2.>5l4>e:~yx=n90k1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518c8R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?6b:T29b83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4m4V0:b>7}#=0h1=h5+58c95`=zutw0e<7k:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:5h4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90o0Z<6n:3y'1i:0;e?S7?i38p(87m:378 0?f2;?0qpsr;h3b5?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m?4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e7=Q91k1>v*:9c82=>"21h0:56sr}|9j5d5=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l=4V0:b>7}#=0h1=45+58c95<=zutw0e4g33_;3m74?<,<3j6<74}|~?l7f=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n5:T23twvq6g>a783>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i?1]=5o52z&6=g<612.>5l4>9:~yx=n9h=1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`58R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k37[?7a;0x 0?e2830(87n:0;8yx{z3`;j57>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2ed<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:ml5Y19c96~"21k0:56*:9`82=>{zut1b=lm50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95de<^82j6?u+58`95<=#=0k1=45r}|8m4gc290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>{e9:0;6??50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0e4ge3_;3m74c<,<3j6ag83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<6m2.>5l4>e:~yx=n9k:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51c28R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h:7[?7a;0x 0?e28o0(87n:0g8yx{z3`;i>7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f6<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:n>5Y19c96~"21k0:i6*:9`82a>{zut1b=4850;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<0<^82j6?u+58`95`=#=0k1=h5r}|8m4?0290/>om51818R7db28qG>n>51zTfb?4|,;:m6<78;W3;e?4|,<3i64c02\:4l4={%7:f?7b3-?2m7?j;|~y>o6100;6)P5jl0:wA989U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18c94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90k0Z<6n:3y'1i:0;a?S7?i38p(87m:0g8 0?f28o0qpsr;h3:g?6=,;hh6<7<;I0af>P5jl0:wA9b9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18f94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7k;W3;e?4|,<3i6?;4$4;b>73{zut1b=4h50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<`<^82j6?u+58`960=#=0k1>85r}|8m4g6290/>om51818R7db28qG>n>51zTfb?4|,;:m6;W3;e?4|,<3i6?;4$4;b>733-?2m7?6;|~y>o6i:0;6)P5jl0:wAa29U5=g=:r.>5o4>9:&6=d<612wvqp5f1`694?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0c6?S7?i38p(87m:0;8 0?f2830qpsr;h3b2?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m:4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e2=Q91k1>v*:9c82=>"21h0:56sr}|9j5d>=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l64V0:b>7}#=0h1=45+58c95<=zutw0e4g>3_;3m74?<,<3j6<74}|~?l7fi3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?na:T23twvq6g>ab83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ij1]=5o52z&6=g<612.>5l4>9:~yx=n9hn1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`f8R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:87>52083>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64c=2\:4l4={%7:f?7b3-?2m7?j;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i:0cf?S7?i38p(87m:0g8 0?f28o0qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:n=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c82a>"21h0:i6sr}|9j5g7=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=o?4V0:b>7}#=0h1=h5+58c95`=zutw0e4d53_;3m74c<,<3j69783>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61?1]=5o52z&6=g<6m2.>5l4>e:~yx=n90=1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51858R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28337[?7a;0x 0?e28o0(87n:0g8yx{z3`;257>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=d<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5l5Y19c96~"21k0:i6*:9`82a>{zut1b=4l50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2=a<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h518f8R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?6e:T29g83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61o1]=5o52z&6=g<5=2.>5l4=5:~yx=n9h;1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`38R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k97[?7a;0x 0?e2830(87n:0;8yx{z3`;j?7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e1<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m95Y19c96~"21k0:56*:9`82=>{zut1b=l;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d3<^82j6?u+58`95<=#=0k1=45r}|8m4g1290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6i10;6)P5jl0:wAa99U5=g=:r.>5o4>9:&6=d<612wvqp5f1`;94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h30Z<6n:3y'1i:0cb?S7?i38p(87m:0;8 0?f2830qpsr;h3bg?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mi4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82ea=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo?::187>5<7s-8io71<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2830(87n:0;8yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vnk>50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rbg394?5=83:p(?ll:918L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;01?!3>i3897psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k09>6*:9`816>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg`5290>6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6m2.>5l4>e:~yx=n90?1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?23_;3m773<,<3j6?;4}|~?l7f83:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c811>"21h0996sr}|9j5dd=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1>85+58c960=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xda;3:1;7>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64c{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`960=#=0k1>85r}|8m4ge290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e`<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2;?0(87n:378yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:ab1<72>0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74c<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0e8`81!3>j38>7);6a;06?x{zu2c:mh4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1i:0ce?S7?i38p(87m:378 0?f2;?0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wij84?:983>5}#:ki14>5G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:i6*:9`82a>{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95`=#=0k1=h5r}|8m4ge290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e`<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nf:T2b183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6j91]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'15<693:1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64co6ik0;6)P5jl0:wAac9U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1`g94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1i:0ce?S7?i38p(87m:0g8 0?f28o0qpsr;h3a4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:n<4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9k;0Z<6n:3y'1o6j:0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g5<^82j6?u+58`960=#=0k1>85r}|8m4?1290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2=2<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5:5Y19c96~"21k0996*:9`811>{zut1b=4650;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<><^82j6?u+58`95<=#=0k1=45r}|8m4?>290/>om51818R7db28qG>n>51zTfb?4|,;:m6<76;W3;e?4|,<3i6<74$4;b>4?i2\:4l4={%7:f?7>3-?2m7?6;|~y>o61k0;6)P5jl0:wA9c9U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'1929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?l7f83:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n0:T23twvq6g>ac83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<612.>5l4>9:~yx=n9ho1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:ab=<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{en00;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;80(87n:308yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;01?!3>i3897psr}:m14=<72-8io7{zut1vnko50;32>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:0g8 0?f28o0qpsr;h3bf?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:mh4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d`=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1=h5+58c95`=zutw0e4d73_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82f4=Q91k1>v*:9c811>"21h0996sr}|9j5g4=83.9nn4>929K6gd<^;hn6i:0`1?S7?i38p(87m:0g8 0?f28o0qpsr;h3a7?6=,;hh6<7<;I0af>P5jl0:wAb29U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18494?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<79;W3;e?4|,<3i6?;4$4;b>73?2\:4l4={%7:f?423-?2m7<:;|~y>o6110;6)P5jl0:wA999U5=g=:r.>5o4>9:&6=d<612wvqp5f18;94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9030Z<6n:3y'1i:0;b?S7?i38p(87m:0;8 0?f2830qpsr;h3:f?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~fcd=83;:6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6m2.>5l4>e:~yx=n90?1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?23_;3m74c<,<3j6ac83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<6m2.>5l4>e:~yx=n9ho1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e28o0(87n:0g8yx{z3`;i<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f4<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:n<5Y19c96~"21k0:i6*:9`82a>{zut1b=o<50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g4<^82j6?u+58`960=#=0k1>85r}|8m4d4290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=3<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51848R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?67:T29983>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=464V0:b>7}#=0h1>85+58c960=zutw0e<76:18'6ge=9090Z?lj:0yO6f6=9r\nj74?>3_;3m773<,<3j6?;4}|~?l7>i3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?6a:T23twvq6g>9c83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61k1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'15<693:1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0:i6*:9`82a>{zut1b=ll50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`95`=#=0k1=h5r}|8m4gb290/>om51818R7db28qG>n>51zTfb?4|,;:m64co6j90;6)P5jl0:wAb19U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1c394?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9k;0Z<6n:3y'1i:0`1?S7?i38p(87m:378 0?f2;?0qpsr;h3a7?6=,;hh6<7<;I0af>P5jl0:wAb29U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18494?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<79;W3;e?4|,<3i6?;4$4;b>73{zut1b=4650;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28337[?7a;0x 0?e2;?0(87n:378yx{z3`;257>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2=d<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5l5Y19c96~"21k0:56*:9`82=>{zut1b=4l50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?om5829K6g4i:0;7?S7?i38p(87m:0g8 0?f28o0qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:m=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c82a>"21h0:i6sr}|9j5dd=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1=h5+58c95`=zutw0e4gb3_;3m74c<,<3j6b183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6j91]=5o52z&6=g<6m2.>5l4>e:~yx=n9k;1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74d63_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82f7=Q91k1>v*:9c82a>"21h0:i6sr}|9j5g5=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=o=4V0:b>7}#=0h1=h5+58c95`=zutw0e<79:18'6ge=9090Z?lj:0yO6f6=9r\nj74?13_;3m74c<,<3j6?3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?67:T29983>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6111]=5o52z&6=g<6m2.>5l4>e:~yx=n9031<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518;8R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283j7[?7a;0x 0?e28o0(87n:0g8yx{z3`;2n7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2=f<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h518a8R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?6d:T29d83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4k4V0:b>7}#=0h1>85+58c960=zutw0e<7i:18'6ge=9090Z?lj:0yO6f6=9r\nj74?a3_;3m773<,<3j6?;4}|~?l7f93:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n1:T23twvq6g>a383>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i;1]=5o52z&6=g<612.>5l4>9:~yx=n9h91<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`18R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k?7[?7a;0x 0?e2830(87n:0;8yx{z3`;j97>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e3<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m;5Y19c96~"21k0:56*:9`82=>{zut1b=l950;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d1<^82j6?u+58`95<=#=0k1=45r}|8m4g?290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6ih0;6)P5jl0:wAa`9U5=g=:r.>5o4>9:&6=d<612wvqp5f1`a94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hi0Z<6n:3y'1i:0cg?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wijh4?:3394?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<6m2.>5l4>e:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e28o0(87n:0g8yx{z3`;ji7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2ec<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mk5Y19c96~"21k0:i6*:9`82a>{zut1b=o>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g6<^82j6?u+58`95`=#=0k1=h5r}|8m4d6290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f7<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c08R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h87[?7a;0x 0?e28o0(87n:0g8yx{z3`;2:7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=2<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5:5Y19c96~"21k0:i6*:9`82a>{zut1b=4650;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<><^82j6?u+58`95`=#=0k1=h5r}|8m4?>290/>om51818R7db28qG>n>51zTfb?4|,;:m6<76;W3;e?4|,<3i64ci2\:4l4={%7:f?7b3-?2m7?j;|~y>o61k0;6)P5jl0:wA9c9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18a94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7l;W3;e?4|,<3i64c{zut1b=4k50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283n7[?7a;0x 0?e2;?0(87n:378yx{z3`;2j7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e4<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m<5Y19c96~"21k0:56*:9`82=>{zut1b=l<50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d4<^82j6?u+58`95<=#=0k1=45r}|8m4g4290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6i<0;6)P5jl0:wAa49U5=g=:r.>5o4>9:&6=d<612wvqp5f1`494?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h<0Z<6n:3y'1i:0c4?S7?i38p(87m:0;8 0?f2830qpsr;h3b8`81!3>j3;27);6a;3:?x{zu2c:m44?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e<=Q91k1>v*:9c82=>"21h0:56sr}|9j5dg=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lo4V0:b>7}#=0h1=45+58c95<=zutw0e4gd3_;3m74?<,<3j6<74}|~?l7fl3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nd:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zjol1<7950;2x 7dd2;:<7E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95<=#=0k1=45r}|8m4g7290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6il0;6)P5jl0:wAad9U5=g=:r.>5o4>9:&6=d<612wvqp5f1`d94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`245<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e99;1<7?>:183!4ek3287E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95`=#=0k1=h5r}|8m4g7290/>om51818R7db28qG>n>51zTfb?4|,;:m64co6il0;6)P5jl0:wAad9U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1`d94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1i:0`3?S7?i38p(87m:0g8 0?f28o0qpsr;h3a5?6=,;hh6<7<;I0af>P5jl0:wAb09U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1c094?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m64c3-?2m7?6;|~y>o61?0;6)P5jl0:wA979U5=g=:r.>5o4>9:&6=d<612wvqp5f18594?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90=0Z<6n:3y'1i:0;;?S7?i38p(87m:0;8 0?f2830qpsr;h3:=?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:5l4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=d=Q91k1>v*:9c82=>"21h0:56sr}|9j5929U6gc=9rF9o=4>{Wge>7}#:9l1=4l4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd68;0;68`81!3>j3;n7);6a;3f?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:0g8 0?f28o0qpsr;h3bf?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:mh4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d`=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1=h5+58c95`=zutw0e4d73_;3m74c<,<3j6b383>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6j;1]=5o52z&6=g<5=2.>5l4=5:~yx=n9k91<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74d43_;3m74c<,<3j6>3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=3=Q91k1>v*:9c811>"21h0996sr}|9j5<1=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=494V0:b>7}#=0h1=45+58c95<=zutw0e<77:18'6ge=9090Z?lj:0yO6f6=9r\nj74??3_;3m74?<,<3j6<74}|~?l7>13:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?69:T23twvq6g>9`83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61h1]=5o52z&6=g<612.>5l4>9:~yx=n90h1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518`8R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:<>4?:0394?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=h5+58c95`=zutw0e4g73_;3m74c<,<3j6ad83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<6m2.>5l4>e:~yx=n9hl1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h;7[?7a;0x 0?e28o0(87n:0g8yx{z3`;i=7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f7<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:n?5Y19c96~"21k0996*:9`811>{zut1b=o=50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28h87[?7a;0x 0?e28o0(87n:0g8yx{z3`;2:7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61?1]=5o52z&6=g<5=2.>5l4=5:~yx=n90=1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51858R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28337[?7a;0x 0?e2830(87n:0;8yx{z3`;257>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=d<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5l5Y19c96~"21k0:56*:9`82=>{zut1b=4l50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?0583>1<729q/>om52158L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?0483>7<729q/>om5e19K6g45$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a550=838:6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6m2.>5l4>e:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e28o0(87n:0g8yx{z3`;jn7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e`<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mh5Y19c96~"21k0:i6*:9`82a>{zut1b=lh50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d`<^82j6?u+58`95`=#=0k1=h5r}|8m4d7290/>om51818R7db28qG>n>51zTfb?4|,;:m64c{zut1b=o<50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g4<^82j6?u+58`95`=#=0k1=h5r}|8m4d4290/>om51818R7db28qG>n>51zTfb?4|,;:m64c>2\:4l4={%7:f?7b3-?2m7?j;|~y>o61>0;6)P5jl0:wA969U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18:94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9020Z<6n:3y'1i:0;:?S7?i38p(87m:0g8 0?f28o0qpsr;h3:e?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:5o4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90h0Z<6n:3y'1k2\:4l4={%7:f?7b3-?2m7?j;|~y>o61m0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d9585r}|8m4?b290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2=c<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5k5Y19c96~"21k0:56*:9`82=>{zut1b=l?50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d7<^82j6?u+58`95<=#=0k1=45r}|8m4g5290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6i=0;6)P5jl0:wAa59U5=g=:r.>5o4>9:&6=d<612wvqp5f1`794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h?0Z<6n:3y'1i:0c5?S7?i38p(87m:0;8 0?f2830qpsr;h3b3?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m54?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e==Q91k1>v*:9c82=>"21h0:56sr}|9j5d?=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l74V0:b>7}#=0h1=45+58c95<=zutw0e4gf3_;3m74?<,<3j6<74}|~?l7fk3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nc:T23twvq6g>ae83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6im1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'14?33_;3m74c<,<3j6=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0e4ge3_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d`=83.9nn4>929K6gd<^;hn6i:0ce?S7?i38p(87m:0g8 0?f28o0qpsr;h3a4?6=,;hh6<7<;I0af>P5jl0:wAb19U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1c394?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6;W3;e?4|,<3i64co6j:0;6)P5jl0:wAb29U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18494?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90<0Z<6n:3y'1i:0;4?S7?i38p(87m:0g8 0?f28o0qpsr;h3:8`81!3>j3;n7);6a;3f?x{zu2c:544?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=<=Q91k1>v*:9c82a>"21h0:i6sr}|9j5929U6gc=9rF9o=4>{Wge>7}#:9l1=4o4V0:b>7}#=0h1=h5+58c95`=zutw0e<7m:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:5n4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90i0Z<6n:3y'1l2\:4l4={%7:f?423-?2m7<:;|~y>o61l0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d9585r}|8m4?a290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7i;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>o6i;0;6)P5jl0:wAa39U5=g=:r.>5o4>9:&6=d<612wvqp5f1`194?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h90Z<6n:3y'1i:0c7?S7?i38p(87m:0;8 0?f2830qpsr;h3b1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m;4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e3=Q91k1>v*:9c82=>"21h0:56sr}|9j5d1=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l94V0:b>7}#=0h1=45+58c95<=zutw0e4g?3_;3m74?<,<3j6<74}|~?l7f13:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n9:T23twvq6g>a`83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ih1]=5o52z&6=g<612.>5l4>9:~yx=n9hi1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`a8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ko7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a55>=838:6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6m2.>5l4>e:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?n0:T2ac83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<6m2.>5l4>e:~yx=n9ho1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74gb3_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82ec=Q91k1>v*:9c82a>"21h0:i6sr}|9j5g6=83.9nn4>929K6gd<^;hn6i:0`3?S7?i38p(87m:0g8 0?f28o0qpsr;h3a5?6=,;hh6<7<;I0af>P5jl0:wAb09U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1c094?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9k80Z<6n:3y'1i:0`0?S7?i38p(87m:0g8 0?f28o0qpsr;h3:2?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:5:4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=2=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<>=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=464V0:b>7}#=0h1=h5+58c95`=zutw0e<76:18'6ge=9090Z?lj:0yO6f6=9r\nj74?>3_;3m74c<,<3j6i3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?6a:T29c83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4l4V0:b>7}#=0h1>85+58c960=zutw0e<7l:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:5i4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90n0Z<6n:3y'1m2\:4l4={%7:f?423-?2m7<:;|~y>o61o0;6)P5jl0:wA9g9U5=g=:r.>5o4>9:&6=d<612wvqp5f1`394?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h;0Z<6n:3y'1i:0c1?S7?i38p(87m:0;8 0?f2830qpsr;h3b7?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m94?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e1=Q91k1>v*:9c82=>"21h0:56sr}|9j5d3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l;4V0:b>7}#=0h1=45+58c95<=zutw0e4g13_;3m74?<,<3j6<74}|~?l7f?3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n7:T23twvq6g>a983>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i11]=5o52z&6=g<612.>5l4>9:~yx=n9h31<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`;8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kj7[?7a;0x 0?e2830(87n:0;8yx{z3`;jo7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2ea<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mi5Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg7713:187>50z&1ff<58>1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg77i3:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:5}#:ki14>5G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:i6*:9`82a>{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95`=#=0k1=h5r}|8m4ge290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e`<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mh5Y19c96~"21k0996*:9`811>{zut1b=lh50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2;?0(87n:378yx{z3`;i<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6j91]=5o52z&6=g<5=2.>5l4=5:~yx=n9k;1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51c38R4>f2;q/94l5249'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:<3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<6m2.>5l4>e:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e28o0(87n:0g8yx{z3`;ji7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2ec<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mk5Y19c96~"21k0:i6*:9`82a>{zut1b=o>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g6<^82j6?u+58`95`=#=0k1=h5r}|8m4d6290/>om51818R7db28qG>n>51zTfb?4|,;:m6;W3;e?4|,<3i64co6j:0;6)P5jl0:wAb29U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18494?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90<0Z<6n:3y'1i:0;4?S7?i38p(87m:0g8 0?f28o0qpsr;h3:8`81!3>j3;n7);6a;3f?x{zu2c:544?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=<=Q91k1>v*:9c82a>"21h0:i6sr}|9j5929U6gc=9rF9o=4>{Wge>7}#:9l1=4o4V0:b>7}#=0h1=h5+58c95`=zutw0e<7m:18'6ge=9090Z?lj:0yO6f6=9r\nj74?e3_;3m74c<,<3j6k3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=f=Q91k1>v*:9c82a>"21h0:i6sr}|9j5929K6gd<^;hn6i:0;g?S7?i38p(87m:378 0?f2;?0qpsr;h3:a?6=,;hh6<7<;I0af>P5jl0:wA9d9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18d94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7i;W3;e?4|,<3i6?;4$4;b>73o6i;0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d4<^82j6?u+58`960=#=0k1>85r}|8m4g4290/>om51818R7db28qG>n>51zTfb?4|,;:m6733-?2m7?6;|~y>o6i<0;6)P5jl0:wAa49U5=g=:r.>5o4>9:&6=d<612wvqp5f1`494?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h<0Z<6n:3y'1i:0c4?S7?i38p(87m:0;8 0?f2830qpsr;h3b8`81!3>j3;27);6a;3:?x{zu2c:m44?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e<=Q91k1>v*:9c82=>"21h0:56sr}|9j5dg=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lo4V0:b>7}#=0h1=45+58c95<=zutw0e4gd3_;3m74?<,<3j6<74}|~?l7fl3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nd:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj8:o6=4=1;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74c<,<3j6ac83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<6m2.>5l4>e:~yx=n9ho1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e28o0(87n:0g8yx{z3`;i<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f4<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:n<5Y19c96~"21k0:i6*:9`82a>{zut1b=o<50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g4<^82j6?u+58`95`=#=0k1=h5r}|8m4d4290/>om51818R7db28qG>n>51zTfb?4|,;:m64c>2\:4l4={%7:f?7b3-?2m7?j;|~y>o61>0;6)P5jl0:wA969U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18:94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9020Z<6n:3y'1i:0;:?S7?i38p(87m:0g8 0?f28o0qpsr;h3:e?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:5o4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=g=Q91k1>v*:9c82a>"21h0:i6sr}|9j5929K6gd<^;hn6i:0;`?S7?i38p(87m:0g8 0?f28o0qpsr;h3:`?6=,;hh6<7<;I0af>P5jl0:wA9e9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18g94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7j;W3;e?4|,<3i6?;4$4;b>73{zut1b=l?50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d7<^82j6?u+58`960=#=0k1>85r}|8m4g5290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e6<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m>5Y19c96~"21k0996*:9`811>{zut1b=l:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d2<^82j6?u+58`95<=#=0k1=45r}|8m4g2290/>om51818R7db28qG>n>51zTfb?4|,;:m64?2\:4l4={%7:f?7>3-?2m7?6;|~y>o6i>0;6)P5jl0:wAa69U5=g=:r.>5o4>9:&6=d<612wvqp5f1`:94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h20Z<6n:3y'1i:0c:?S7?i38p(87m:0;8 0?f2830qpsr;h3be?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mn4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82ef=Q91k1>v*:9c82=>"21h0:56sr}|9j5db=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lj4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd68l0;6??50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0e4ge3_;3m74c<,<3j6ag83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<6m2.>5l4>e:~yx=n9k:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51c28R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h:7[?7a;0x 0?e28o0(87n:0g8yx{z3`;i>7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f6<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:n>5Y19c96~"21k0:i6*:9`82a>{zut1b=4850;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<0<^82j6?u+58`95`=#=0k1=h5r}|8m4?0290/>om51818R7db28qG>n>51zTfb?4|,;:m6<78;W3;e?4|,<3i64c02\:4l4={%7:f?7b3-?2m7?j;|~y>o6100;6)P5jl0:wA989U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18c94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90k0Z<6n:3y'1i:0;a?S7?i38p(87m:0g8 0?f28o0qpsr;h3:g?6=,;hh6<7<;I0af>P5jl0:wA9b9U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18f94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7k;W3;e?4|,<3i6?;4$4;b>73{zut1b=4h50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283m7[?7a;0x 0?e2;?0(87n:378yx{z3`;j=7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6i81]=5o52z&6=g<5=2.>5l4=5:~yx=n9h81<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`08R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?n3:T2a583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i=1]=5o52z&6=g<612.>5l4>9:~yx=n9h?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`78R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k=7[?7a;0x 0?e2830(87n:0;8yx{z3`;j;7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e=<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m55Y19c96~"21k0:56*:9`82=>{zut1b=l750;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d?<^82j6?u+58`95<=#=0k1=45r}|8m4gf290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6im0;6)P5jl0:wAae9U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'152083>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64c=2\:4l4={%7:f?7b3-?2m7?j;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i:0cf?S7?i38p(87m:0g8 0?f28o0qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:n=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c82a>"21h0:i6sr}|9j5g7=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=o?4V0:b>7}#=0h1=h5+58c95`=zutw0e4d53_;3m74c<,<3j69783>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61?1]=5o52z&6=g<6m2.>5l4>e:~yx=n90=1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51858R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28337[?7a;0x 0?e28o0(87n:0g8yx{z3`;257>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=d<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5l5Y19c96~"21k0:i6*:9`82a>{zut1b=4l50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95om51818R7db28qG>n>51zTfb?4|,;:m6<7l;W3;e?4|,<3i6?;4$4;b>73{zut1b=4k50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283n7[?7a;0x 0?e2;?0(87n:378yx{z3`;2j7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61o1]=5o52z&6=g<5=2.>5l4=5:~yx=n9h;1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`38R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?n2:T2a283>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i:1]=5o52z&6=g<5=2.>5l4=5:~yx=n9h>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`68R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k>7[?7a;0x 0?e2830(87n:0;8yx{z3`;j:7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e2<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m:5Y19c96~"21k0:56*:9`82=>{zut1b=l650;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d><^82j6?u+58`95<=#=0k1=45r}|8m4g>290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6ij0;6)P5jl0:wAab9U5=g=:r.>5o4>9:&6=d<612wvqp5f1`f94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hn0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`255<72?0;6=u+2ca9651<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5f1`294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1i:0ca?S7?i38p(87m:0;8 0?f2830qpsr;h3ba?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f47629096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'17>53;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1>?5+58c967=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m774<,<3j6?<4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm10194?2=83:p(?ll:918L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0996*:9`811>{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`960=#=0k1>85r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?1583>1<729q/>om5829K6g4i:0;7?S7?i38p(87m:378 0?f2;?0qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:m=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c811>"21h0996sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo?>5;290?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;?0(87n:378yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:m14=<72-8io7{zut1vn5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:378 0?f2;?0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi=<950;694?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5=2.>5l4=5:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m773<,<3j6?;4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm10:94?1=83:p(?ll:324?M4e:2c:594?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0e4g73_;3m74?<,<3j6<74}|~?l7fj3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nb:T23twvq6g>ad83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<612.>5l4>9:~yx=n9hl1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:=44?:383>5}#:ki1i=5G2c08m4?4290/>om51818?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm10c94?5=83:p(?ll:918L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;01?!3>i3897psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k09>6*:9`816>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg76j3:1:7>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64c{zut1b=l>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;?0(87n:378yx{z3`;jn7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e`<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mh5Y19c96~"21k0996*:9`811>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg76k3:1:7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<@;hi7[=2\:4l4={%7:f?423-?2m7<:;|~y>o6i90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`960=#=0k1>85r}|8m4ge290/>om51818R7db28qG>n>51zTfb?4|,;:m673i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb03g>5;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<@;hi7[=2\:4l4={%7:f?7b3-?2m7?j;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1``94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m64c{zut1b=lh50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2;?0(87n:378yx{z3`;i<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:m14=<72-8io7{zut1vn5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0996*:9`811>{zut1b=ll50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`960=#=0k1>85r}|8m4gb290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2ec<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h;7[?7a;0x 0?e2;?0(87n:378yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a54`=8331<7>t$3``>=5<@;h97d?64;29 7dd28387E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95`=#=0k1=h5r}|8m4g7290/>om51818R7db28qG>n>51zTfb?4|,;:m64c{zut1b=lk50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e28o0(87n:0g8yx{z3`;jj7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<5=2.>5l4=5:~yx=n9k:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74d73_;3m773<,<3j6?;4}|~?l7e93:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?m1:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj88;6=48:183!4ek38;;6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?l7f83:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n0:T23twvq6g>ac83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<612.>5l4>9:~yx=n9ho1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a577=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj8896=4=1;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74c<,<3j6ac83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<6m2.>5l4>e:~yx=n9ho1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e28o0(87n:0g8yx{z3`;i<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f4<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c38R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h97[?7a;0x 0?e28o0(87n:0g8yx{z3`;i?7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=3<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5;5Y19c96~"21k0:i6*:9`82a>{zut1b=4950;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<1<^82j6?u+58`95`=#=0k1=h5r}|8m4??290/>om51818R7db28qG>n>51zTfb?4|,;:m6<77;W3;e?4|,<3i64c12\:4l4={%7:f?7b3-?2m7?j;|~y>o61h0;6)P5jl0:wA9`9U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18`94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7m;W3;e?4|,<3i6?;4$4;b>73{zut1b=4j50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283o7[?7a;0x 0?e2;?0(87n:378yx{z3`;2i7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61l1]=5o52z&6=g<5=2.>5l4=5:~yx=n90l1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518d8R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k:7[?7a;0x 0?e2830(87n:0;8yx{z3`;j>7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e6<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m>5Y19c96~"21k0:56*:9`82=>{zut1b=l:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d2<^82j6?u+58`95<=#=0k1=45r}|8m4g2290/>om51818R7db28qG>n>51zTfb?4|,;:m64?2\:4l4={%7:f?7>3-?2m7?6;|~y>o6i>0;6)P5jl0:wAa69U5=g=:r.>5o4>9:&6=d<612wvqp5f1`:94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h20Z<6n:3y'1i:0c:?S7?i38p(87m:0;8 0?f2830qpsr;h3be?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mn4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82ef=Q91k1>v*:9c82=>"21h0:56sr}|9j5db=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lj4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd6::0;6??50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d6=83.9nn4>929K6gd<^;hn6i:0c3?S7?i38p(87m:0g8 0?f28o0qpsr;h3bf?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:mh4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1o6j90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g6<^82j6?u+58`95`=#=0k1=h5r}|8m4d6290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f7<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c08R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h87[?7a;0x 0?e28o0(87n:0g8yx{z3`;2:7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=2<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5:5Y19c96~"21k0:i6*:9`82a>{zut1b=4650;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<><^82j6?u+58`95`=#=0k1=h5r}|8m4?>290/>om51818R7db28qG>n>51zTfb?4|,;:m6<76;W3;e?4|,<3i64ci2\:4l4={%7:f?7b3-?2m7?j;|~y>o61k0;6)P5jl0:wA9c9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18a94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7l;W3;e?4|,<3i64c{zut1b=4k50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283n7[?7a;0x 0?e2;?0(87n:378yx{z3`;2j7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e4<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m<5Y19c96~"21k0:56*:9`82=>{zut1b=l<50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d4<^82j6?u+58`95<=#=0k1=45r}|8m4g4290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6i<0;6)P5jl0:wAa49U5=g=:r.>5o4>9:&6=d<612wvqp5f1`494?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h<0Z<6n:3y'1i:0c4?S7?i38p(87m:0;8 0?f2830qpsr;h3b8`81!3>j3;27);6a;3:?x{zu2c:m44?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e<=Q91k1>v*:9c82=>"21h0:56sr}|9j5dg=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lo4V0:b>7}#=0h1=45+58c95<=zutw0e4gd3_;3m74?<,<3j6<74}|~?l7fl3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nd:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj88?6=4=1;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74c<,<3j6ac83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<6m2.>5l4>e:~yx=n9ho1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e28o0(87n:0g8yx{z3`;i<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f4<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c38R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h97[?7a;0x 0?e28o0(87n:0g8yx{z3`;i?7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=3<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5;5Y19c96~"21k0:i6*:9`82a>{zut1b=4950;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<1<^82j6?u+58`95`=#=0k1=h5r}|8m4??290/>om51818R7db28qG>n>51zTfb?4|,;:m6<77;W3;e?4|,<3i64c12\:4l4={%7:f?7b3-?2m7?j;|~y>o61h0;6)P5jl0:wA9`9U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18`94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7m;W3;e?4|,<3i6?;4$4;b>73{zut1b=4j50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283o7[?7a;0x 0?e2;?0(87n:378yx{z3`;2i7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61l1]=5o52z&6=g<5=2.>5l4=5:~yx=n90l1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518d8R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k:7[?7a;0x 0?e2830(87n:0;8yx{z3`;j>7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e6<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m>5Y19c96~"21k0:56*:9`82=>{zut1b=l:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d2<^82j6?u+58`95<=#=0k1=45r}|8m4g2290/>om51818R7db28qG>n>51zTfb?4|,;:m64?2\:4l4={%7:f?7>3-?2m7?6;|~y>o6i>0;6)P5jl0:wAa69U5=g=:r.>5o4>9:&6=d<612wvqp5f1`:94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h20Z<6n:3y'1i:0c:?S7?i38p(87m:0;8 0?f2830qpsr;h3be?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mn4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82ef=Q91k1>v*:9c82=>"21h0:56sr}|9j5db=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lj4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd6:<0;6??50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d6=83.9nn4>929K6gd<^;hn6i:0c3?S7?i38p(87m:0g8 0?f28o0qpsr;h3bf?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:mh4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1o6j90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g6<^82j6?u+58`95`=#=0k1=h5r}|8m4d6290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f7<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:n?5Y19c96~"21k0:i6*:9`82a>{zut1b=o=50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g5<^82j6?u+58`95`=#=0k1=h5r}|8m4?1290/>om51818R7db28qG>n>51zTfb?4|,;:m6<79;W3;e?4|,<3i64c?2\:4l4={%7:f?7b3-?2m7?j;|~y>o6110;6)P5jl0:wA999U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18;94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9030Z<6n:3y'1i:0;b?S7?i38p(87m:0g8 0?f28o0qpsr;h3:f?6=,;hh6<7<;I0af>P5jl0:wA9c9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18a94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7l;W3;e?4|,<3i64c{zut1b=4k50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283n7[?7a;0x 0?e2;?0(87n:378yx{z3`;2j7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e4<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m<5Y19c96~"21k0:56*:9`82=>{zut1b=l<50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d4<^82j6?u+58`95<=#=0k1=45r}|8m4g4290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6i<0;6)P5jl0:wAa49U5=g=:r.>5o4>9:&6=d<612wvqp5f1`494?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h<0Z<6n:3y'1i:0c4?S7?i38p(87m:0;8 0?f2830qpsr;h3b8`81!3>j3;27);6a;3:?x{zu2c:m44?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e<=Q91k1>v*:9c82=>"21h0:56sr}|9j5dg=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lo4V0:b>7}#=0h1=45+58c95<=zutw0e4gd3_;3m74?<,<3j6<74}|~?l7fl3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nd:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj88=6=4=1;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c82a>"21h0:i6sr}|9j5dd=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1=h5+58c95`=zutw0e8`81!3>j3;n7);6a;3f?x{zu2c:mk4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1o6j80;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g7<^82j6?u+58`95`=#=0k1=h5r}|8m4d5290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f6<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:n>5Y19c96~"21k0:i6*:9`82a>{zut1b=4850;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<0<^82j6?u+58`95`=#=0k1=h5r}|8m4?0290/>om51818R7db28qG>n>51zTfb?4|,;:m6<78;W3;e?4|,<3i64c02\:4l4={%7:f?7b3-?2m7?j;|~y>o6100;6)P5jl0:wA989U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18c94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90k0Z<6n:3y'1i:0;a?S7?i38p(87m:378 0?f2;?0qpsr;h3:g?6=,;hh6<7<;I0af>P5jl0:wA9b9U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18f94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7k;W3;e?4|,<3i6?;4$4;b>73{zut1b=4h50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<`<^82j6?u+58`960=#=0k1>85r}|8m4g6290/>om51818R7db28qG>n>51zTfb?4|,;:m6;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>o6i:0;6)P5jl0:wAa29U5=g=:r.>5o4>9:&6=d<612wvqp5f1`694?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0c6?S7?i38p(87m:0;8 0?f2830qpsr;h3b2?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m:4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e2=Q91k1>v*:9c82=>"21h0:56sr}|9j5d>=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l64V0:b>7}#=0h1=45+58c95<=zutw0e4g>3_;3m74?<,<3j6<74}|~?l7fi3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?na:T23twvq6g>ab83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ij1]=5o52z&6=g<612.>5l4>9:~yx=n9hn1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`f8R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:>:4?:783>5}#:ki1>=94H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2830(87n:0;8yx{z3`;ji7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn<<7:181>5<7s-8io7k?;I0a6>o61:0;6)=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'14?33_;3m74c<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0e4ge3_;3m74c<,<3j6ag83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<6m2.>5l4>e:~yx=n9k:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51c28R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h:7[?7a;0x 0?e28o0(87n:0g8yx{z3`;i>7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2f6<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c18R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?66:T29683>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=494V0:b>7}#=0h1>85+58c960=zutw0e<77:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:544?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9030Z<6n:3y'1i:0;b?S7?i38p(87m:378 0?f2;?0qpsr;h3:f?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f44f290:=7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<@;hi7[=2\:4l4={%7:f?7b3-?2m7?j;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i:0cf?S7?i38p(87m:0g8 0?f28o0qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:n=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c82a>"21h0:i6sr}|9j5g7=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=o?4V0:b>7}#=0h1=h5+58c95`=zutw0e4d53_;3m773<,<3j6?;4}|~?l7e;3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?m3:T29783>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=484V0:b>7}#=0h1=h5+58c95`=zutw0e<78:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:554?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9020Z<6n:3y'112\:4l4={%7:f?423-?2m7<:;|~y>o61h0;6)P5jl0:wA9`9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18`94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90h0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`26g<728;1<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7b3-?2m7?j;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95`=#=0k1=h5r}|8m4g7290/>om51818R7db28qG>n>51zTfb?4|,;:m64co6il0;6)P5jl0:wAad9U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1`d94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1i:0`3?S7?i38p(87m:0g8 0?f28o0qpsr;h3a5?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:n?4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f7=Q91k1>v*:9c811>"21h0996sr}|9j5g5=83.9nn4>929K6gd<^;hn6i:0`0?S7?i38p(87m:0g8 0?f28o0qpsr;h3:2?6=,;hh6<7<;I0af>P5jl0:wA979U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18594?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<78;W3;e?4|,<3i6?;4$4;b>73{zut1b=4750;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28327[?7a;0x 0?e2;?0(87n:378yx{z3`;2m7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2=g<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5o5Y19c96~"21k0996*:9`811>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg75k3:1=<4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28o0(87n:0g8yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<6m2.>5l4>e:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e28o0(87n:0g8yx{z3`;ji7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2ec<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mk5Y19c96~"21k0:i6*:9`82a>{zut1b=o>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g6<^82j6?u+58`95`=#=0k1=h5r}|8m4d6290/>om51818R7db28qG>n>51zTfb?4|,;:m6;W3;e?4|,<3i64co6j:0;6)P5jl0:wAb29U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18494?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<79;W3;e?4|,<3i64c{zut1b=4650;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28337[?7a;0x 0?e2;?0(87n:378yx{z3`;257>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6101]=5o52z&6=g<5=2.>5l4=5:~yx=n90k1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518c8R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283i7[?7a;0x 0?e2;?0(87n:378yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a57b=83?1<7>t$3``>7603A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2830(87n:0;8yx{z3`;jn7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn<5<7s-8io7k?;I0a6>o61:0;6)=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`967=#=0k1>?5r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?<4$4;b>743-?2m7?6;|~y>{e9::1<7750;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c811>"21h0996sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0e8`81!3>j38>7);6a;06?x{zu2c:mh4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1o6j90;6)P5jl0:wAb19U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1c394?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6;W3;e?4|,<3i6?;4$4;b>733-?2m7?6;|~y>{e9:;1<7?>:183!4ek3287E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e28o0(87n:0g8yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2eg<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e28o0(87n:0g8yx{z3`;jj7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<5=2.>5l4=5:~yx=n9k:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74d73_;3m773<,<3j6?;4}|~?l7e93:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82f4=Q91k1>v*:9c811>"21h0996sr}|9j5g4=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=o<4V0:b>7}#=0h1>85+58c960=zutw0e8`81!3>j38>7);6a;06?x{zu2c:5;4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=3=Q91k1>v*:9c82=>"21h0:56sr}|9j5<1=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=494V0:b>7}#=0h1=45+58c95<=zutw0e<77:18'6ge=9090Z?lj:0yO6f6=9r\nj74??3_;3m74?<,<3j6<74}|~?l7>13:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?69:T23twvq6g>9`83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61h1]=5o52z&6=g<612.>5l4>9:~yx=n90h1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518`8R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:??4?:0394?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=h5+58c95`=zutw0e4g73_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c82a>"21h0:i6sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:0g8 0?f28o0qpsr;h3bb?6=,;hh6<7<;I0af>P5jl0:wAag9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1c294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m673{zut1b=o<50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g4<^82j6?u+58`960=#=0k1>85r}|8m4d4290/>om51818R7db28qG>n>51zTfb?4|,;:m673>2\:4l4={%7:f?7>3-?2m7?6;|~y>o61>0;6)P5jl0:wA969U5=g=:r.>5o4>9:&6=d<612wvqp5f18:94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9020Z<6n:3y'1i:0;:?S7?i38p(87m:0;8 0?f2830qpsr;h3:e?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:5o4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=g=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo?<3;2954<729q/>om5829K6g4i:0;7?S7?i38p(87m:0g8 0?f28o0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1`294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1i:0ca?S7?i38p(87m:378 0?f2;?0qpsr;h3ba?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:mk4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1o6j80;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g7<^82j6?u+58`960=#=0k1>85r}|8m4d5290/>om51818R7db28qG>n>51zTfb?4|,;:m6735Y19c96~"21k0996*:9`811>{zut1b=4850;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<0<^82j6?u+58`95<=#=0k1=45r}|8m4?0290/>om51818R7db28qG>n>51zTfb?4|,;:m6<78;W3;e?4|,<3i6<74$4;b>4?02\:4l4={%7:f?7>3-?2m7?6;|~y>o6100;6)P5jl0:wA989U5=g=:r.>5o4>9:&6=d<612wvqp5f18c94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90k0Z<6n:3y'1i:0;a?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi=>:50;32>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64co6ik0;6)P5jl0:wAac9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1`g94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m64c{zut1b=o>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28h;7[?7a;0x 0?e2;?0(87n:378yx{z3`;i=7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6j81]=5o52z&6=g<5=2.>5l4=5:~yx=n9k81<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51c08R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h87[?7a;0x 0?e2;?0(87n:378yx{z3`;2:7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=2<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5:5Y19c96~"21k0:56*:9`82=>{zut1b=4650;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<><^82j6?u+58`95<=#=0k1=45r}|8m4?>290/>om51818R7db28qG>n>51zTfb?4|,;:m6<76;W3;e?4|,<3i6<74$4;b>4?i2\:4l4={%7:f?7>3-?2m7?6;|~y>o61k0;6)P5jl0:wA9c9U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'157;294~"5jj09<:5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4>9:&6=d<612wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i:0cf?S7?i38p(87m:0;8 0?f2830qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f45129096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'157;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:0g8 0?f28o0qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:m=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1o6il0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dc<^82j6?u+58`960=#=0k1>85r}|8m4ga290/>om51818R7db28qG>n>51zTfb?4|,;:m6733-?2m7?6;|~y>{e9:21<7950;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1o6ik0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`960=#=0k1>85r}|8m4gb290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2ec<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mk5Y19c96~"21k0996*:9`811>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg7413:1;7>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64c=2\:4l4={%7:f?7b3-?2m7?j;|~y>o6i90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95`=#=0k1=h5r}|8m4ge290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e`<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2;?0(87n:378yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a56g=8321<7>t$3``>=5<@;h97d?64;29 7dd28387E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e28o0(87n:0g8yx{z3`;j<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<6m2.>5l4>e:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?ne:T2ag83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1>85+58c960=zutw0e4d73_;3m773<,<3j6?;4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm12`94?>=83:p(?ll:918L7d53`;287>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6m2.>5l4>e:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e28o0(87n:0g8yx{z3`;jn7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e`<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nf:T2b183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6j91]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'13:14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6g>a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<612.>5l4>9:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a56b=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj89n6=4<:183!4ek3287E6*:9`816>{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`967=#=0k1>?5r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?3g83>6<729q/>om5829K6g4i:0;7?S7?i38p(87m:0g8 0?f28o0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=5:&6=d<5=2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'153;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:0g8 0?f28o0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=5:&6=d<5=2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'153;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:378 0?f2;?0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=5:&6=d<5=2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'17>54;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:0g8 0?f28o0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1`294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m64c3-?2m7?6;|~y>{e9=91<7:50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64c{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg73<3:1;7>50z&1ff<58>1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0:56*:9`82=>{zut1b=ll50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`95<=#=0k1=45r}|8m4gb290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb066>5<5290;w)4?:%0ag?7>;21d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg73>3:187>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64c{zut1b=l>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e28o0(87n:0g8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a511=83>1<7>t$3``>=5<@;h97d?64;29 7dd28387E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e28o0(87n:0g8yx{z3`;j<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28o0(87n:0g8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l51d9'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:844?:583>5}#:ki14>5G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:i6*:9`82a>{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95`=#=0k1=h5r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?4`83>0<729q/>om52158L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95<=#=0k1=45r}|8m4ge290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>{e9=h1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn<:l:187>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64c{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg73l3:187>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64c=2\:4l4={%7:f?7b3-?2m7?j;|~y>o6i90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95`=#=0k1=h5r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?4d83>1<729q/>om5829K6g4<2\:4l4={%7:f?7b3-?2m7?j;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1`294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m64c3-?2m7?6;|~y>{e9=l1<7;50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64c{zut1b=ll50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`95`=#=0k1=h5r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?5183>0<729q/>om5829K6g4<2\:4l4={%7:f?7b3-?2m7?j;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1`294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1i:0ca?S7?i38p(87m:378 0?f2;?0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi=8?50;494?6|,;hh6?>8;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:0;8 0?f2830qpsr;h3bf?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mh4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo?:2;296?6=8r.9nn4j0:J1f7=n9091<7*=bb82=6=<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`216<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m774<,<3j6?<4}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj8??6=4;:183!4ek3287E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;?0(87n:378yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:m14=<72-8io7{zut1vn<;::187>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`960=#=0k1>85r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?;4$4;b>73{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg72>3:187>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6?;4$4;b>73=2\:4l4={%7:f?423-?2m7<:;|~y>o6i90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`960=#=0k1>85r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?5683>0<729q/>om5829K6g4<2\:4l4={%7:f?7b3-?2m7?j;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95`=#=0k1=h5r}|8m4g7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2eg<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5249'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:954?:483>5}#:ki14>5G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=0<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?n0:T2ac83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1>85+58c960=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd6=00;6:4?:1y'6ge=:9=0D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=45+58c95<=zutw0e4ge3_;3m74?<,<3j6<74}|~?l7fm3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?ne:T23twvq6g>ag83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd6=k0;684?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<6m2.>5l4>e:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c811>"21h0996sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo?:c;291?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m74c<,<3j6=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0e8`81!3>j38>7);6a;06?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f43c290>6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c82a>"21h0:i6sr}|9j5dd=83.9nn4>929K6gd<^;hn6i:0ca?S7?i38p(87m:378 0?f2;?0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi=8k50;794?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=h5+58c95`=zutw0e8`81!3>j3;n7);6a;3f?x{zu2c:mo4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`21c<72<0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0e8`81!3>j38>7);6a;06?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f407290=6=4?{%0ag?47?2B9n?5f18694?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mo4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c82=>"21h0:56sr}|9j5dc=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd6>80;6?4?:1y'6ge=m91C>o<4i0;0>5<#:ki1=4=4;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi=;<50;194?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5:2.>5l4=2:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0e8`81!3>j38>7);6a;06?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f403290<6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6m2.>5l4>e:~yx=n90?1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?23_;3m74c<,<3j6ac83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1>85+58c960=zutw0e8`81!3>j38>7);6a;06?x{zu2c:mk4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`220<72>0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74c<,<3j6=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0e8`81!3>j38>7);6a;06?x{zu2c:mh4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb045>5<0290;w);2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1>85+58c960=zutw0e4g73_;3m773<,<3j6?;4}|~?l7fj3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c811>"21h0996sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:378 0?f2;?0qpsr;h3bb?6=,;hh6<7<;I0af>P5jl0:wAag9U5=g=:r.>5o4=5:&6=d<5=2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'157;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:0g8 0?f28o0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1`294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m64c{zut1b=lk50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;?0(87n:378yx{z3`;jj7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6g>a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<612.>5l4>9:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2830(87n:0;8yx{z3`;jj7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn<86:181>5<7s-8io7k?;I0a6>o61:0;6)=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2;?0(87n:378yx{z3`;ji7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2ec<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mk5Y19c96~"21k0996*:9`811>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg71j3:1;7>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64c{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`960=#=0k1>85r}|8m4ge290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e`<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nf:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj8{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;?0(87n:378yx{z3`;j<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<5=2.>5l4=5:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;?0(87n:378yx{z3`;jj7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:m14=<72-8io7{zut1vn<8k:184>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1o6ik0;6)P5jl0:wAac9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1`g94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1i:0ce?S7?i38p(87m:378 0?f2;?0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi=;k50;:94?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1>85+58c960=zutw0e8`81!3>j38>7);6a;06?x{zu2c:mo4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i:0cf?S7?i38p(87m:378 0?f2;?0qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:n=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c811>"21h0996sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo?9f;292?6=8r.9nn4=069K6g4i:0;7?S7?i38p(87m:0;8 0?f2830qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c82=>"21h0:56sr}|9j5dd=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1=45+58c95<=zutw0e4gb3_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm16294?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f41629086=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<5:2.>5l4=2:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5239'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:;?4?:983>5}#:ki14>5G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=0<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;?0(87n:378yx{z3`;jn7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<6m2.>5l4>e:~yx=n9ho1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74gb3_;3m773<,<3j6?;4}|~?l7fn3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82ec=Q91k1>v*:9c811>"21h0996sr}|9j5g6=83.9nn4>929K6gd<^;hn6i:0`3?S7?i38p(87m:378 0?f2;?0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi=:=50;:94?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<3=83.9nn4>929K6gd<^;hn6i:0;6?S7?i38p(87m:0g8 0?f28o0qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:mo4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c811>"21h0996sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:378 0?f2;?0qpsr;h3bb?6=,;hh6<7<;I0af>P5jl0:wAag9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1c294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6733-?2m7?6;|~y>{e9>>1<7650;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1o6ik0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`960=#=0k1>85r}|8m4gb290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2ec<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?m0:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj8=>6=47:183!4ek3287E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e28o0(87n:0g8yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2eg<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?ne:T2ag83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1>85+58c960=zutw0e8`81!3>j38>7);6a;06?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f41129036=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6m2.>5l4>e:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?n0:T2ac83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1>85+58c960=zutw0e8`81!3>j38>7);6a;06?x{zu2c:mk4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb054>5<0290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>o6ik0;6)P5jl0:wAac9U5=g=:r.>5o4>9:&6=d<612wvqp5f1`g94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1i:0ce?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi=:650;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb05:>5<0290;w);2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c811>"21h0996sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=h5+58c95`=zutw0e4g73_;3m74c<,<3j6ad83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<5=2.>5l4=5:~yx=n9hl1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5249'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:;l4?:683>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6?;4$4;b>73=2\:4l4={%7:f?7b3-?2m7?j;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i:0cf?S7?i38p(87m:378 0?f2;?0qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f41e290<6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1>85+58c960=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:m=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c82a>"21h0:i6sr}|9j5dd=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1>85+58c960=zutw0e4gb3_;3m773<,<3j6?;4}|~?l7fn3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nf:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj8=h6=48:183!4ek3287E=h51868R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<6m2.>5l4>e:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;?0(87n:378yx{z3`;jj7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:m14=<72-8io7{zut1vn<9k:184>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`960=#=0k1>85r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0:i6*:9`82a>{zut1b=ll50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`960=#=0k1>85r}|8m4gb290/>om51818R7db28qG>n>51zTfb?4|,;:m673i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb05f>5<1290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>o6ik0;6)P5jl0:wAac9U5=g=:r.>5o4>9:&6=d<612wvqp5f1`g94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`23c<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e91:1<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3897);6a;01?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c816>"21h09>6sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo?71;297?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?65:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj8296=4<:183!4ek3287E=h51868R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;?0(87n:378yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a5=5=8391<7>t$3``>=5<@;h97d?64;29 7dd28387E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`960=#=0k1>85r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?8583>3<729q/>om5829K6g4i:0;7?S7?i38p(87m:0g8 0?f28o0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1`294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m673{zut1b=lk50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;?0(87n:378yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a5=3=83<1<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7b3-?2m7?j;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1`294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m673{zut1b=lk50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;?0(87n:378yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a5=0=83=1<7>t$3``>7603A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2830(87n:0;8yx{z3`;jn7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e`<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mh5Y19c96~"21k0:56*:9`82=>{zut1b=lh50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d`<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?;2wxh94?:04x9a2=:9201>8k:0;7?851m3;2863<6g82=1=:;>:1=4:4=4af>4?3349397?64:?0<3<61=16:7?64:?4>4?334;96<7;;<30>4?334;?6<7;;4?334;;:7?64:?242<61=16==m51868946c283?70??e;3:0>;68o0:595213095<2<58886<7;;|qa4?6=;r7i=7;c;3;2?6s|d283>40|5m91>=64=252>4?3348<:7?64:?67`<61=169>h518689027283?70=77;3:0>;4010:595239;95<2<5?0:58527;3:1>;6;3;2963>4;3:1>;am3;2863>0982=1=:99i1=4;4=02g>4?234;;i7?65:?24c<61<16=?:518689442283?70?=6;3:0>{t0o0;6>u291814<=Y0o16n44>929~wg?=8389w0l6:32;?851l3;2963<6d82=0=:;?l1=4;4=253>4?2349<=7?65:?126<61=169>k51878905a283>70;;0;3:1>;40<0:585239495<3<5:2<6<7:;<1;=278444>949>2?7f827<64?234;864g734lo6<7:;4?234;;:7?65:?242<61<16==651878946d28k;70??d;3b4>;68l0:m=5211d95d6<58896<7:;<317?7>=27:>94>949>573=90?01<<9:0;6?xu?l3:1?v37e;03=>X?l27i47?63:pf=<72:;p1o6521:890>1283?70=94;3:0>;4>m0:m=5237g95d6<5:a19>005=90>019;;:0;7?85cm3;2863=4982=1=::<=1=4:4=5g5>4?334?8i7?n0:?67c<6i91699>51`2896>228k;70=76;3b4>;40>0:m=5239:95d6<5:2260:mo521382e5=:9:0:mo521582eg=:n?0:5952fc82=1=:nm0:m=52fd82e5=:99;1=4:4=021>4?334;;:7?n0:?242<6i916==651`28946d28ki70??d;3bf>;68l0:mo5211d95dd<5889694>a19>573=9h:01<<9:0c3?87513;2863>2`82=1=:9:k1=4:4=06e>4?33ty3n7>53z?;g?4712T3n63m7;3:7>{tj>0;6>?t=c5965><5<2=6<7:;<151?7><278:i4>ac9>73c=9hh01>8i:0ca?85083;jn63<7082eg=:<4?3349oi7?65:?10=<61<16>8>5186891c0283?70;;2;o0:mo5255295dd<5:2>6ac9>7=>=9hh01>66:0ca?80=9ho01:4>ad9>55<61=16=?4>ac9>56<6il16=94>ad9>bd<61=16jn4>959>ba<6ik16jh4>ac9>555=90>01<>9:0ca?877?3;jn63>0982eg=:99i1=lk4=02g>4gb34;;i7?ne:?24c<6il16=?<51``8944428ki70?=4;3bf>;6:<0:mo5213495dd<588i6<7;;<31g?7><27:?l4>949>51`=90?0q~66:1808>f2;:27S66;<`5>4?43tyi:7>523y>f3<58116?;j51`g8960b28kn70=9f;3ba>;4?90:mh5236395dc<5;>>6<7;;<70a?7fm27>?k4>ad9>116=9ho01>6::0cf?85?>3;ji63<8682e`=:;121=lk4=2::>4gb34<1=lh4=682ec=:9;0:mh521282ec=:9=0:mk52fe82e`=:nl0:mh5211495dc<58:<6ag9>55b=9hl01<>j:0ce?877n3;jj63>2382e`=:9;91=lk4=007>4gb34;997?ne:?263<6il1v5950;1x9=>=:930R594=c795<56=4=2z?a1?470278:i4>ag9>73c=9hl01>8i:0ce?85083;jj63<7082ec=:::31=4:4=41f>4ga34?8j7?nf:?605<6io16?5;51`d896>128km70=77;3bb>;4010:mk5239;95d`<5?0:n=527;3a4>;6:3;jj63>3;3a4>;6<3;i<63id;3bb>;am3;jj63>0782ec=:99=1=lh4=02;>4ga34;;o7?m0:?24a<6j916==k51c28946a28h;70?=2;3bb>;6::0:mk5213695d`<588>6<3<5801U4852b582=6=z{k>1<7<={<`7>76?3498>7?64:?02a<6j916?;k51c28960a28h;70=80;3a4>;4?80:n=5252g95g6<5<9m6b19>7=0=9k:01>68:0`3?85?03;i<63<8882f5=:>3;i=638:0`2?87528h;70?<:0`2?87328h:70hk:0`3?8`b28h;70??6;3a4>;68>0:n=5211:95g6<58:h6;<33`?7e927:

b09>55`=9k;01<<=:0`3?875;3;i<63>2582f5=:9;?1=o>4=005>4d73tyj>7>53z?b7?4712Tj>63lc;3:7>{tkj0;65kt=ba965><5<2=6<27>mh4>959>1g6=90>018l>:0;7?83e:3;2863;9482=1=:<0<1=4:4=0ge>4?334;m<7?64:?2b4<61=16???518689645283?70=;4;3:0>;4<278:i4>b09>73c=9k;01>8i:0`2?85083;i=63<7082f4=:4?334?ho7?64:?6a2<61=169h65186890c>283?70;i1;3:0>;2n;0:59525g195<2<5<27?n<4>959>0d6=90>019o>:0;7?82f:3;2863;a282=1=:1=4:4=3d6>4?3348m:7?64:?1b<<61=16?im5186896bc283?70=ke;3b4>;4lo0:595249;95<2<5<27>m>4>959>1d2=90>01>><:0;7?857<3;2863<0482=1=:?k0:59527b82=1=:?m0:59527d82=1=:9l91=4:4=4a3>4?334?h=7?64:?6`f<61=16>9651`28972>283?70<89;3:0>;5?h0:595226`95<2<5;236<7;;<6f0?7><27>?h4>b09>16`=9k;018:?:0`2?836?3;2863:1982=1=:=<21=4:4=47:>4?334?=87?64:?620<61=16?5;51c3896>128h:70=77;3a5>;4010:n<5239;95g7<5=0:59526;3a6>;028h970?=:0`2?87428h970?;:0`1?8`5283?70h<:0;7?8`2283?70hk:0`2?8`b28h:70??6;3a5>;68>0:n<5211:95g7<58:i6<7;;<33g?7e:27:b39>55c=9k801<>i:0`1?876l3;2863>1d82=1=:98l1=4:4=001>4d634;9?7?m1:?261<6j816=?;51c38944128h:70?<0;3:0>;6;>0:595212:95<2<58926<7;;<30e?7f827:?o4>959>514=90>01<:<:0;7?873>3;2863>4682=1=:9=21=4:4=06:>4?334;?o7?64:?20a<61=16=9k51868942a28k;70?:0;3:0>;6==0:595214595<2<58?36<7;;<36f?7><27:9n4>959>50b=90>01<;j:0;7?872n3;2863>6`82=1=:9>81=4:4=050>4?334;387?64:?2<0<61=1v4h50;1x9d6=:930R4h4=b`95<54:4>949>1dc=90?018l?:0;6?83e93;2963:b382=0=:<0=1=4:4=5;;>4?33499=7?65:?067<61<16??:518689642283?70=;4;3:1>;4<>0:595234:95<3<5:?26<7:;<157?7><278:94>949>733=90?01>8k:0`1?851m3;i>63<6g82f7=:;>:1=o<4=252>4d534?ho7?65:?6b4<61<169k<5187890`4283>70;i4;3:1>;3i90:58524`395<3<5;l>6<7:;<0e2?7>=279j:4>959>6c>=90>01?h6:0;6?822;3;2963;5582=0=:<4?2349oj7?65:?046<61<16?=:518789662283>709m:0;6?81d283>70;k8;3:0>;2l00:59525ea95<3<5;8n6<7;;<01b?7><279?=4>959>61?=90?01?;n:0;7?842j3;2863=5b82=1=::?n1=4:4=35:>4?2348565187891c3283>70:j6;3:1>;3m>0:585252g95g4<5<9m6=:4>949>14>=90?018?6:0;7?836i3;2863:1c82=1=:=<21=4;4=47:>4?234?=87?65:?0<0<6j;16?5851c0896>028h970=78;3a6>;4000:n?524;3:1>;128h870951c189c<61=16==4>949>57<6j;16=>4>b29>51<6j:16j>4>949>b1<61=16j84>949>b3<61<16jl4>949>bg<61<16jn4>949>ba<6j;16jh4>b39>557=90?01<>=:0;6?877;3;2963>0782f7=:99=1=o<4=02;>4d534;;n7?65:?24f<6j:16==j51c18946b28h870??f;3a7>;69m0:585210g95<3<58;m6<7:;<316?7e:27:>>4>b39>572=9k801<<::0`1?875>3;i>63>2882=0=:9;k1=4;4=00a>4?234;9o7?65:?274<61=16=><518689454283?70?<4;3:0>;6;k0:585215095<3<58>86<7:;<372?7>=27:8:4>949>506=90?01<;8:0;6?87203;2963>6282=1=:9?>1=4:4=046>4?334;=:7?64:?222<61=16=;l518689415283>70?83;3:1>;6?=0:595216795<2<58==6<7;;|q:`?6=;r72i7;d=3;2?6s|c483>2c|5j?1>=64=4:5>4ge34?3;7?n0:?6f4<6i9169o<51`28964628k;70==2;3b4>;4:=0:585235695d6<5:><6<7:;<16=?7f8278:>4>949>73b=9k901>8j:0`0?851n3;i?63<7182f6=:;>;1=o=4=54a>4?234?m?7?n0:?6b1<6i9168l>51`2891g5283>70:n3;3:1>;3i=0:58522g;95d6<5:nh6<7:;<1g`?7>=278hh4>ac9>7a`=9h:018o>:0;6?83f:3;2963:a282=0=:=h>1=4;4=227>4g7349;97?n0:?4f?7f827=27>hl4>959>1ad=90>018jl:0c3?84303;jn63=4882e5=::4g7348;2;o0:n>5255295g5<5<;<6=44>949>10?=9h:01n7518689f?=90?0188;:0c3?831=3;2963<8482f6=:;1<1=o=4=2:4>4d4349347?m3:?0<<<6j:1687?n0:?5>4?134=1=484=g82=0=:9;0:n>521282=3=:9=0:5;52f582=0=:n<0:m=52fc82e5=:nj0:m=52fe82f6=:nl0:n>5211095d6<58:86b29>55>=9k901<>m:0c3?877k3;2:63>0e82=3=:99o1=484=02e>4?134;:j7?n0:?267<6j:16=?=51c18944328h870?=5;3a7>;6:?0:n>5213;95d6<588j6n4>a19>567=90?01<==:0;6?874;3;2963>3582=0=:9:=1=4;4=01;>4?234;857?65:?27d<6ik16=>l51`28942528k;70?;6;3b4>;6<10:585215;95<3<58>h6<7:;<37`?7>=27:8h4>949>51`=9hh01<;?:0c3?872?3;j<63>5c82=0=:94?234;>i7?65:?21c<61<16=;=518789403283>70?84;3:1>;6?<0:585rs8`94?5|50i1>=74^8`89f2=9090q~m;:187e~;d<38;463;9782=0=:;;;1=ll4=201>4ge349?87?nb:?002<6i916?;=51`28960328k;70=95;3b4>;4>m0:5;5237g95<0<5:>278;<4>979>03?=90?019l>:0;6?822;3;j<63;5582e5=:<4=575>4g734>357?65:?2a6<61<16>5h5186891c328ki70:j6;3bf>;3m>0:mo5252g95<0<5<9m6<79;<774?7>>27>:94>ac9>133=9h:01>6::0;5?85?>3;2:63<8682=3=:;121=484=2::>4?134>1=ll4=782=2=:?3;2;63i:0c3?87728k;70?=:0;5?874283<70?;:0;4?8`328k;70h9:0c3?8`f28k;70hm:0ca?8`d28ki70hk:0;5?8`b283=70??1;3b4>;68;0:mo5211195dd<58:=6<79;<333?7>>27:<54>979>55e=90=01<>k:0;4?877m3;2;63>0g82=2=:9;81=484=000>4?134;987?66:?260<61?16=?851848944>28ki70?=a;3bf>;6:k0:mo5213a95dd<589:64>a19>562=9h:0q~78:1808??2;:27S78;<`g>4?43tyih7>53ey>fa<58116?;:51``8960228ki70=9d;3:3>;4>l0:5:5237d95<1<5:=;6<78;<145?7>?27?m?4>a19>005=9hh019;;:0ca?822=3;jn63;5782eg=:=h;1=l>4=6f95d6<5;3;6<7;;<6f2?7fm27?i:4>ad9>16c=90=018=i:0;4?83383;2;63l1;3:0>;d93;2963<8482=2=:;1<1=494=2:4>4?0349347?67:?0<<<61>16:7?68:?4>4??34;;64?034;86<77;<37>4??34l=64ge34li64gb34lo6<78;4?034;;=7?nb:?247<6il16===51`g89461283<70??7;3:3>;6810:5:5211a95<><58:o6<77;<33a?7>027:999>574=90=01<<<:0;4?875<3;2;63>2482=2=:9;<1=494=00:>4gb34;9m7?ne:?26g<6il16=?m51`g8942?28k;70?:b;3b4>{t1<0;6>u297814<=Y1<16nn4>929~wge=839iw0ll:32;?851<3;ji63<6482e`=:;?n1=464=24f>4??349=j7?68:?035<61116?:?518:8913428kn70::4;3ba>;3=<0:mh5244495dc<5:nh64>959>0`0=9hl019k8:0ce?834m3;2463:3g82===:==:1=464=2:6>4??3493:7?68:?0<2<61116?56518:896>>2833708518;892<61016==4>ad9>57<61116=>4>989>51<61016j;4>ad9>bd<6il16jo4>ag9>bf<6io16ji4>999>b`<61116==?51`g8946528km70??3;3bb>;68?0:555211595<><58:36<77;<33g?7>127:989>55c=90301<>i:0;:?875:3;2463>2282===:9;>1=464=006>4??34;9:7?68:?26<<6io16=?o51`d8944e28km70?=c;3bb>;6;>0:m=5215a95d6<58?h6;ej3;2?6s|bc83>6d|5kh1>=64=247>4ga349=97?nf:?02a<61016?;k518;8960a283270=80;3:=>;4?80:545244195d`<5=??6ag9>7ab=9h:018o<:0c3?840?3;2863;e782f5=:4=41f>4?>34?8j7?69:?605<61016?5;518;896>1283270=77;3:=>;4010:545239;95;683;jj63>2;3:=>;6;3;2m63>4;3:e>;a>3;jj63ia;3bb>;aj3;i<63ic;3a4>;al3;2563ie;3:=>;6880:mk5211095g6<58:86127:<:4>989>55>=90301<>l:0;b?877l3;2m63>0d82=d=:99l1=4o4=001>4?>34;9?7?69:?261<61016=?;518;89441283270?=9;3a4>;6:h0:n=5213`95g6<588h6a19>50b=9h:0q~7>:1808?52;:27S7>;<`b>4?43tyim7>53cy>fd<58116?;:51c28960228h;70=9d;3:e>;4>l0:5l5237d95i27?m>4>a19>005=9k:019;;:0`3?822=3;i<63;5782f5=:=h>1=l>4=34b>4?334>n:7?m1:?7a2<6j8169>k518c8905a283j70;;0;3:e>;40<0:5l5239495i278444>9`9>2?7>j27<6<7m;<33>4d734;96<7n;<30>4?e34;?6<7m;4d734lj64d634lh6;4?f34ln6<7n;<335?7e827:b09>555=9k;01<>9:0;b?877?3;2m63>0982=d=:99i1=4l4=02g>4?e34;;i7?6b:?24c<61k16=?<518c89444283j70?=4;3:e>;6:<0:5l5213495;<31e?7e927:>o4>b09>57e=9k;01<=6:0c3?873m3;j<63>5d82e5=z{l>1<7:t=d7965?01<6i:0;0?87?k3;2?6s|19d94?7>s4;3j7:4j3:?0a7k4j3:?2g4:d1896?62l901>7k:d1891522l9019j;:d18906a2l9018=::d18901e2l90q~k9:1878c02;:27Sk9;<3;a?7>;27:4o4>929~w4>b2908=v3>8d814==:;:<1i>525c79a6=:;881i>5248c9a6=:ll0n?63>f28f7>;4:00n?63>d88f7>;6nm0n?63=a78f7>;5j90n?63<1c8f7>;4;4=k0n?63<668f7>;4?:0n?63<818f7>;3>90n?63;6e8f7>;3?10n?63;818f7>;2l90n?63:028f7>;2mk0n?639058f7>;2n?0n?639138f7>;3j=0n?63;a68f7>;5k00n?63=ed8f7>;5nj0n?63;588f7>;5l90n?63=d68f7>;5ll0n?63=e48f7>;30m0n?63:a68f7>;4800n?63=9`8f7>;?93o870?j6;g0?823l3o870;l3;g0?83cm3o870:l9;g0?xu60k0;6>u219`965><5:9o6h=4=5d7>`5hm7>53z?7gg<5801U8no4=5a`>4?43ty?on4?:4fx91ed2;:370==d;3:0>;2im0:59525`g95dd<5<27o57?64:?gf?7><27:ik4>949>5c6=90?01><>:0cf?855>3;2863=a382=1=::h91=4:4=3c`>4?3348jh7?64:?052<61=16?<6518689625283?70=;6;3:1>;4<>0:mo5235d95<2<5:?<6<7;;<155?7><278;l4>959>72d=90>01>9l:0;7?850l3;2863<7d82=1=:<>91=4:4=556>4?334?h57?64:?6gd<61=169nm51``891`c283?70;j7;3:1>;2n80:mo525g195dc<5=h96<7;;<0e1?7fj27?9>4>b39>003=9k80196n:0;7?83>n3;2863<0582e`=:;9<1=4:4=0g7>4?33498n7?64:?07g<61<169n>5187890b?28k;70;k9;3b4>;2lh0:m=525ea95dc<5;8m6<279??4>959>63c=90>01?9n:0cf?84?=3;2863=8782=1=::1=1=4:4=3:;>4ge34>n>7?64:?7a7<61<169>l51868905c283?70;9c;3:0>;2180:595250595dc<5854>959>11`=90>01<>l:0;`?877l3;2o63>0d82=f=:98h1=4:4=03g>4ge34;:j7?ne:?26<<6j:16=?l51c18945628ki70?<2;3bf>;6;o0:595217195d6<58<>6959>524=9hh01<9;:0c3?870>3;j<63>8582=0=z{<3=6=4<{<7:3?4712T>5;5258:95<5540y>1<>=:9201f082e5=:;=<1=l>4=264>4gb349=87?m2:?020<6j;16?;j518a8960b283h70=9f;3:g>;4?90:5n52363954>b29>002=9k9019;::0`0?822>3;i?638e;3b4>;3m?0:n>524d595g5<5<9i6<7:;<70a?7>k27>?k4>9b9>116=90i0188l:0;6?83303;j<63:4g82e5=:;1?1=4m4=2:5>4?d3493;7?6c:?0<=<61j16?57518a8946=9k801<<518a89c0=9k801ko51c089cd=9k901km51c189cb=90i01kk518a8946628h970??2;3a7>;68:0:n>5211495k27:>?4>9b9>575=90i01<<;:0;`?875=3;2o63>2782=f=:9;31=484=00b>4?134;9n7?66:?26f<61?16=>>51`28945628kn70?<2;3ba>;6;:0:mh5212695dc<58>;6<7;;<37=?7f827:9k4>a19~w1cf2908w0:jb;03=>X3mh168hm51818yv2bk3:1hv3;eb814==:9j=1=4;4=0a;>4?234;h57?65:?0;6?00:585216c95<3<58=i6<7:;<34g?7>=27:;i4>949~w`>=83>p1h7521;8Z`><5lk1=4=4=0:g>4?43tynm7>51cy>ad<58116=n951`2894e?28k;70?l9;3b4>;6kk0:59521ba95<2<5=:j6<7;;<63f?7><27?959>05b=90>019<::0;7?825l3;2863>be82=1=:9ko1=4:4=0`e>4?3349mj7?64:?745<61=168=?518689165283?70<;5;m0:595222g95<2<58=26a19>52e=9h:01<9k:0c3?xu60m0;6?u219f965><5:o36h=4}r76f?6=9n4=089]10d<5;2wx98j50;7x903c2;:370:<3;3:0>;3;:0:585242;95<2<5=926<7:;|q2=4<72;q6=4?521:8964f283?7p}:2983>6}:=;31>=74^40;?835i3;2?6s|53c94?3|5<8j6?>7;<3g2?7>=27?9h4>959>00c=90?018:7:0ca?xu2>l0;6>u257d965?{t=>:1<7;t=453>76?34;mm7?65:?73`<61=168:k51878902a28ki7p}>8683>6}:9121>=74^0:4?87?13;2?6s|19;94?5|58226?>7;<1f2?7><278i;4>949~w0?42908w0;64;03=>X21:1694;51818yv3>=3:19v3:94814==:<:l1=4:4=51e>4?234>?97?64:?700<61<1v8>::180837>38;56P:049>151=9090q~;?7;291~;28>09<55238`95<2<5:3i6<7:;<1b5?7><278m<4>949~w5<5s4i86?>7;<107?7>=2wxm94?:2y>e0<5801Um952ce82=6=z{jn1<7<5;9j6<7:;|qb2?6=;r7j;7;dm3;2?6s|cd83>7}:kl09<55225495<376>3Wk370mi:0;0?xudn3:1>v3lf;03<>;5=80:585rs`c94?5|5hh1>=74^`c89a6=9090q~j?:1818b72;:370<:8;3:1>{tij0;6>u2ae814<=Yij16h<4>929~wa7=838p1i?521:89703283>7p}ne;297~;fn38;56Pne:?g6?7>;2wxh?4?:3y>`7<58116>;l51878yv30k3:1?v3:7e814<=Y=>i0189l:32;?xu2?o0;6?u256a95<5<5<=m6?>7;|q7b0<72:q68k8521;8Z1`234>m976;_6``>;3km09<55rs5ae>5<5s4>hh7?63:?7gc<5811v9kk:18082bm38;56P;ee9>0`b=:920q~:jf;296~;3mm0:5>524dd965>53z?64<<5801U9=64=42;>76?3ty>15>=909018>n:32;?xu29l0;6>u250d965?e;03<>{t=;:1<74?434?9<7>o4=099~w04c2909w0;=b;3:7>;2:m09<55rs452>5<4s4?<>7;1>=64}r747?6=:r7>;<4>929>125=:920q~<>0;297~;59809<45Q202897772;:37p}=2883>7}::8:1=4=4=30:>76?3ty9=:4?:2y>64>=:930R??8;<023?4702wx>>;50;0x9770283870<<5;03<>{t:831<7=t=33b>76>3W8:563=18814==z{;>:6=4={<02=?7>;2798<4=099~w77e2908w0<>c;03=>X59k16>v3=1c82=6=::=i1>=64}r02`?6=;r79=h4=089]64b<5;;o6?>7;|q116<72;q6>6}::;:1>=74^33e?846n38;46s|24d94?4|5;;m6<7<;<06b?4702wx>??50;1x97452;:27S<=1:?164<5811v?89:18184593;2?63=67814==z{;886=4<{<010?4712T9>>52231965>7>52z?166<61:16>:<521:8yv45=3:1?v3=27814<=Y:;?01?<::32;?xu5?l0;6?u223795<5<5;=n6?>7;|q162<72:q6>?6521;8Z7403489;76;_6gg>;3lj09<55rs5ff>5<5s4>oo7?63:?7``<5811v8=9:180834?38;56P:379>160=:920q~;<8;296~;2;?0:5>5252:965>53z?62=<5801U9;94=444>76?3ty>:44?:3y>131=90901886:32;?xu20j0;6>u259f965?{t=1o1<74?434?3i7;3j>09<55rs433>5<4s4?:=7=64}r726?6=:r7>==4>929>144=:920q~;:1;297~;2=;09<45Q543890362;:37p}:5283>7}:=<;1=4=4=470>76?3ty9=84?:2y>640=:930R??:;<021?4702wxnh4?:3y>643=90901ok521:8yv46:3:1?v3=12814<=Y:8801??=:32;?xud>3:1>v3=1382=6=:k?09<55rs5``>5<4s4>ih7=64}r6aa?6=:r7?nn4>929>0gc=:920q~;;3;297~;2<=09<45Q551890242;:37p}:4483>7}:==91=4=4=466>76?3ty>8l4?:2y>11d=:930R8:n;<77e?4702wx99m50;0x902f283870;;c;03<>{t=76>3W?>i63:5d814==z{<<;6=4={<76a?7>;27>:=4=099~w1b22908w0:k6;03=>X3l<168i;521:8yv2c?3:1>v3;d482=6=:=64}r7;=?6=;k4>929>12c=9090186m:0;6?83?i38;46s|56g94?2|5<=n6?>7;<7;7?7><27>494>959>7ag=90>0q~;78;296~;20<0:5>5259:965>53z?6<0<58116=>k51868945b283>7p}:8183>7}:=1h1=4:4=4:3>76?3ty>4o4?:3y>1=d=:920186n:0;0?xu61;0;6l7t=0;1>76?34?3m7<6b:?68528`896512;3?70;m5;0:f>;2j<09595230096j27?5l4=959>``<51k16hh4=959>5f`=:0h01f281=1=:;;k1=4;4=20:>7?e34;o57<6b:?2`<<51=16=kj528`894`c2;3?70;5i?0959522c296j278=o4=959>71g=:0h01>:n:3;7?852:382n63<5381=1=:;

4l4=27a>7?3349=;7<6b:?022<51=16?:=528`896142;3?70=70;0:f>;40909595247296j27?:i4=959>02>=:0h01997:3;7?82?8382n63;8181=1=:=m:1>4l4=4f3>7?334?;?7<6b:?646<51=169hl528`890ce2;3?708?4;0:f>;18=0959525g496j27==?4=959>0g2=:0h019l;:3;7?85fk382n634l4=2`5>7?334>;j7<6b:?74c<51=16?n?528`896e62;3?70:n7;0:f>;3i>0959523bc96j278h84=959>043=:0h019?::3;7?826j382n63;1c81=1=:<;;1>4l4=502>7?334>9;7<6b:?762<51=16>n7528`897e>2;3?70;5ml0959522ga96j27?944=959>6a6=:0h01?j?:3;7?84c?382n63=d681=1=::mo1>4l4=3ff>7?3348n97<6b:?1a0<51=16?h<528`896c52;3?70=i4;0:f>;4n=09595243d96j27?4i4=959>1d1=:0h018o8:3;7?87d9382n63>c081=1=:;931>4l4=22:>7?3349n47<6b:?0a=<51=16>4o528`897?f2;3?70=je;0:f>;4ml09595241696j27?8<4=959>011=:0h019:8:3;7?8122;3i709::3;7?8>62;3i706>:3;7?87b>382n63>e781=1=:;:n1>4l4=21g>7?33492=7<6b:?0=4<51=16?49528`896?02;3?70=6d;0:f>;41m0959523`196j278jl4=959>063=:0h019=::3;7?824j382n63;3c81=1=:<=n1>4l4=56g>7?334?h?7<6b:?6g6<51=169ik528`890bb2;3?70:k4;0:f>;3l=0959524g696j27>171=:0h018<8:3;7?834=382n63:3481=1=:=>h1>4l4=45a>7?334>h57<6b:?7g<<51=1v?l;:1813<}::k>1>=64=4:b>`4<5<2?6>27>494>969>1=2=9020186;:0;:?83?<3;2m63:8582=g=:=k?1i?525c295j27>n<4>9`9>1g7=90h018l=:0;b?83e:3;2n63<138f6>;31h0n>63ke;g1?87dn3o970?i3;g1?85513o9704??348j?7?69:?1e6<61h16>l=518`897g328h870;5i=0:5:522`695<><5;k?6<76;<0b0?7>i279m94>9c9>6g6=m;16>lj5185897gc283370;5im0:5l522`f950279mh4>989>6dc=90k01?oj:0;a?856j3o970=>8;3:2>;4910:5:5230:95<><5:;36<76;<12i278=54>9c9>74?=90<01>?6:0;4?85613;2463<1882=<=:;831=4o4=23:>4?e349?m7k=;<166?c5349>n7k=;<153?c5349=87?67:?021<61116?;:518;89603283j70=94;3:f>;4><0:5:5237795<><5:<>6<76;<151?7>i278:84>9c9>725=m;16?;j518d8960c28k:70=9d;3b6>;4>m0:m>5237f95d2<5:278:i4>a69>73b=9h201>8k:0c:?851l3;jm63<6e82ef=:;?n1=lj4=24f>4?a349=i7?n1:?02`<6i;16?;k51`18960b28k?70=9e;3b1>;4>l0:m;5237g95d1<5:a`9>73c=9hi01>8j:0cg?851n3;2j63<6g82e4=:;?l1=l<4=24e>4g4349=j7?n4:?02c<6i<16?;h51`48960a28k<70=9f;3b<>;4>o0:m45237d95dg<5:9g9>726=9h;01>9?:0c1?85083;j?63<7182e1=:;>:1=l;4=253>4g1349<<7?n7:?035<6i116?:>51`;8961728kj70=80;3bg>;4?90:mi5236395<`<5:=:6;<145?7f:278;<4>a29>727=9h>01>9>:0c6?85093;j:63<7082e2=:;>;1=l64=252>4g>349<=7?na:?034<6ij16?:?51`f896>72l80198k:d08911?2l8018j?:d0890ef283=70;la;3:3>;2kh0:55525bc95j27>oo4>979>1fd=90=018mm:0;;?83dj3;2563:cc82=d=:=jh1=4l4=4a`>4?>34?ho7?6a:?6gf<61k169==5e39>1`d=m;16:=:5e39>1c0=m;169k=51c1890`4283=70;i3;3:3>;2n:0:55525g195j27>j94>b29>1c2=90<018h;:0;4?83a<3;2463:f582=<=:=o>1=4o4=4d7>4?e34<:>7k=;<6a0?c5349jo7k=;<1a2?c534>;j7k=;<1`5?c534>j;7k=;<1`e?c5349o97k=;<621?c534>:n7k=;<615?c534>9;7k=;<0`=?c5348ni7k=;<0eg?c534>>57k=;<667?7>j27?994>9c9>003=90h019;9:0;a?84c83o970i3o970=je;g1?827<3o970:;1;g1?823?3o9709::d089=7=m;16=h85e39>76b=m;16?4?5e39>7<1=m;16?4j5e39>7d5=m;16?ko5e39>063=m;168>l5e39>01b=m;169n=5e39>1ac=m;168i:5e39>0c2=m;169=h5e39>171=m;169>;5e39>12d=m;16>:o51c18971f283=70<8a;3:3>;5?h0:555226c95j279;o4>b29>62d=90<01?9m:0;4?840j3;2463=7c82=<=::>h1=4o4=35a>4?e34>n:7?69:?7a3<61h168h8518`891c0283270:j7;3:e>;3m>0:5o5252g95<`<5<9n6;<70a?7f:27>?h4>a29>16c=9h>018=j:0c6?834m3;j:63:3d82e2=:=:o1=l64=41f>4g>34?8i7?na:?67`<6ij169>k51`f8905a283m70;;2;o0:m?5252d95d5<5<9m6?k4>a79>16`=9h=018=i:0c;?834n3;j563:3g82ed=:=:l1=lm4=41e>4gc34??<7?6f:?605<6i81699>51`08902728k870;;0;3b0>;2<90:m85255295d0<5<>;68=4>a89>116=9hk018:?:0c`?83383;jh63;c88f6>;40<0:5k5239795d7<5:2>6a59>7=3=9h?01>6::0c5?85?=3;j;63<8482e==:;1?1=l74=2:6>4gf349397?nc:?0<0<6im16?58518d896>128k:70=76;3b6>;40?0:m>5239495d2<5:2=62784;4>a69>7=0=9h201>69:0c:?85?>3;jm63<8782ef=:;1<1=lj4=2:4>4?a3493;7?n1:?0<2<6i;16?5951`1896>028k?70=77;3b1>;40>0:m;5239595d1<5:2<6a`9>7=1=9hi01>68:0cg?85?03;2j63<8982e4=:;121=l<4=2:;>4g4349347?n4:?0<=<6i<16?5651`4896>?28k<70=78;3b<>;4010:m45239:95dg<5:2369g9>7=?=9h;01>66:0c1?85?13;j?63<8882e1=:;131=l;4=2::>4g1349357?n7:?0<<<6i116?5751`;896>>28kj70=79;3bg>;4000:mi526;3b0>;128k>70851`4893<6i>16:7?n8:?5>4g>34<1=lo4=782ef=:>3;jh638:0c7?81=9h?01:4>a79>3?7f?27<60:ml527;3bg>;028ko70??:0`0?877283=70??:0;4?877283370??:0;:?877283j70??:0;a?87528k:70?=:0c1?87528k870?=:0c7?87528k>70?=:0c5?87528k<70?=:0c;?87528k270?=:0cb?87528kh70?=:0cg?87428k970?<:0c0?87428k?70?<:0c6?87428k=70?<:0c4?87428k370?<:0c:?87428kj70?<:0c`?87428ko70?;:0c1?87328k870?;:0c7?87328k>70?;:0c5?87328k<70?;:0c;?87328k270?;:0cb?87328kh70?;:0cg?8`1283370h9:0;:?8`1283j70h9:0;a?8`f283370hn:0;:?8`f283j70hn:0;a?8`e283j70hm:0;a?8`d283j70hl:0;a?8`c28k:70hk:0c1?8`c28k870hk:0c7?8`c28k>70hk:0c5?8`c28k<70hk:0c;?8`c28k270hk:0cb?8`c28kh70hk:0cg?8`b28k:70hj:0c1?8`b28k870hj:0c7?8`b28k>70hj:0c5?8`b28k<70hj:0c;?8`b28k270hj:0cb?8`b28kh70hj:0cg?87793;i?63>0082=3=:99;1=494=022>4??34;;=7?69:?244<61h16==?518`89465283<70??2;3:<>;68;0:545211095?27:<>4>999>555=90301<><:0;b?877;3;2n63>0782=c=:99<1=l?4=025>4g534;;:7?n3:?243<6i=16==851`78946128k=70??6;3b3>;68?0:m55211495d?<58:=6ae9>551=90l01<>8:0c2?877?3;j>63>0682e6=:99=1=l:4=024>4g234;;;7?n6:?242<6i>16==951`:8946028k270??7;3be>;68>0:mn5211595db<58:36<7i;<33a39>55>=9h901<>7:0c7?87703;j963>0982e3=:9921=l94=02;>4g?34;;47?n9:?24=<6ih16==651`a8946?28ko70??c;3b0>;68j0:m85211a95d0<58:h6a89>55e=9hk01<>l:0c`?877k3;jh63>0e82e1=:99n1=l;4=02g>4g134;;h7?n7:?24a<6i116==j51`;8946c28kj70??d;3bg>;68m0:mi5211g95d2<58:n627:

a69>55c=9h201<>j:0c:?877m3;jm63>0d82ef=:99o1=lj4=02e>4g334;;j7?n5:?24c<6i?16==h51`58946a28k370??f;3b=>;68o0:ml5211d95de<58:m6?4>a39>574=9h901<<=:0c7?875:3;j963>2382e3=:9;81=l94=001>4g?34;9>7?n9:?267<6ih16=?<51`a8944528ko70?=3;3b5>;6::0:m?5213195d5<58886>4>a79>575=9h=01<<<:0c;?875;3;j563>2282ed=:9;91=lm4=000>4gc34;987?n1:?261<6i;16=?:51`18944328k?70?=4;3b1>;6:=0:m;5213695d1<588?694>a`9>572=9hi01<<;:0cg?875=3;j=63>2482e7=:9;?1=l=4=006>4g334;997?n5:?260<6i?16=?;51`58944228k370?=5;3b=>;6:<0:ml5213795de<588>6;4>a39>570=9h901<<9:0c7?875>3;j963>2782e3=:9;<1=l94=005>4g?34;9:7?n9:?263<6ih16=?851`a8944128ko70?<1;3:2>;6;80:5:5212395<><589:6<76;<305?7>i27:?<4>9c9>564=90<01<==:0;4?874:3;2463>3382=<=:9:81=4o4=011>4?e34;8?7?66:?276<61>16=>=518:89454283270?<3;3:e>;6;:0:5o5212695<0<589?6<78;<300?7>027:?94>989>562=90k01<=;:0;a?xu2080;6?u259295<2<5<2:6?>7;|q6<7<72;q695>5187890>52;:37p}46|5<286<7:;<7;0?7>=278m94=099>7d>=90>01>o6:0;7?85fi3;28634?3349ii7?64:?0g2<61=16?n65186896b6283?70=k2;3:0>;4l:0:59523ec95<35fz?6<6<6i91695:51`2896g>283>70=na;3:1>;4il09<5523c095<3<5:h86<7:;<1ag?7><278nh4>949>7f1=90?01>m7:0;6?85c93;29634g73ty8n:4?:gy>1=5=9hh0186;:0ca?85f13;j<63=64=2`a>4?2349io7?65:?0f`<6i916?oh5186896e028k;70=l8;3b4>;4l80:m=523e095d6<5:n86428kn70;74;3ba>;4i00:mo523`c95dd<5:hm6<7:;<1`6?470278o;4>959>7a7=9hh01>j=:0ca?85c;3;jn63494>ag9>7d?=9ho01>on:0cf?85en3;j<634gb349om7?nf:p1=5=838p186<:32;?83?:3;286s|1c794?2|5<2?6<27?9<4>949>5g3=:920q~:?>7>52z?6<1<6j;1689<521:8yv3?<3:1>v3:85814==:=181=4;4}r7;2?6=:r7>4;4=099>1=>=90>0q~==c;292`}:=1=1=ll4=20`>76?34?ji7?n0:?6f5<6i9169o?51``890d528ki70==5;3:1>;4=10:m=5234;95dd<5:;<151?7e9278:i4>9c9>73c=90h01>8i:0;a?85083;2n63<7082=g=:=ji1=l>4=4d2>4g734?m>7?n0:?6b6<6ik169k:51``891g628k;70;5n?0:m=522g595<3<5;l36<7:;<0e=?7fj27?9>4>b09>002=9k;019;::0`2?822>3;i=634=227>4ge349;97?nb:?4g?7f827>h54>949>1a?=90?018jn:0;6?83cj3;2963:db82eg=::;o1=4;4=30e>4?23488<7?65:?10<<6ik16>8l51878973d283>70<9d;3:1>;5?00:m=5226c95dd<5;=i6b39>0`1=9k8018=j:0;a?834n3;2n63:4182=g=:=8=1=ll4=43;>4ge34?:m7?65:?65g<61<1698651`28903>28ki70;95;3bf>;40<0:5o5239495j278444>9c9>55<6j816=?4>9c9>b6<6i916j84>ac9>b3<6j816jl4>b09>bg<6j;16jn4>b39>ba<61k16jh4>9c9>557=9k;01<>=:0`1?877;3;i>63>0782=g=:99=1=4l4=02;>4?e34;;n7?nb:?25a<6i916=;6::0:5o52136956<7m;<312?7>j27:>44>b39>57g=9k801<63>3c82eg=:9=91=l>4=064>4g734;><7?nb:?21=<6i916=;;518789401283>70?97;3:1>;6>k0:585216095d6<58=86=2wx95950;0x90>02;:370;78;3:1>{t;:?1<74?43498:7=>:0;0?854<38;46s|32394?5|5:9:6?>7;<01g?7><279>n4>949~w64b2909w0=<6;3:7>;4:l09<55rs20g>5<5s498:7k=;<11`?4702wx??h50;0x964b283?70==f;03<>{t;::1<74?23498<7=?:0;7?85483;2963<1e814==:;;;1=lh4=201>4gb3ty>hk4?:05x9655283>70=<3;3:0>;2kl0:58525ed965><5=l96<7;;<6e6?7>=279?44>949>66g=90>01?:::0;6?843>3;2863=5182=0=::<;1=4:4=374>4?2348>47?64:?126<61<16>;:51868970f283>70<9b;3:0>;5??0:585226595<3<5;286<7:;<0;b?7>=2795=4>949~w6552909w0=<2;03<>;4;=0:595rs210>5<5s498?7=7:1864~;4:m0:58525`f95<3<5949>`<<61<16hn4>959>5c7=90?01><=:0ce?855>3;2963=a382=0=::h>1=4:4=3c`>4?2348ji7?64:?052<61<16?<7518689625283>70=;f;3:1>;4=>0:585237395<3<5==86<7:;<642?7><27>o44>949>1fd=90>019hk:0;6?83b03;2963:f382eg=:=o>1=lk4=5`1>4?2348m:7?nb:?1b2<6i916>k651`2897`>28kn70::4;3a6>;3=?0:n?5249c95<3<5<3m6<7:;<137?7fj278<84>ad9>750=90?014=30f>4g73488<7?n0:?174<61<16>><51878970a283?70<89;3bf>;5?k0:mh5229795<3<5;2=6<7:;<0;3?7>=27>?i4>949>14>=9ho01;4>9b9>3?7>k27:ad9>55`=90i011482=1=:98<1=4:4=034>4?334;:o7?64:?25`<6ik16=?o51c18944d28h870?<0;3:1>;6;:0:mo5212695dd<589m6<7:;<350?7f827::;4>a19>53d=9h:01<8j:0;6?870;3;jn63>7482e5=:91?1=4;4}r7a0?6=;r7>m54>929>1g0=90?018l::32;?xu2i10;676?34?jh7?n0:?6e`<6il169o>51`g890d628km70;m2;3bb>;2kh0:58525b`95<3<5j?4>ad9>1c5=9hl018h;:0ce?807l3;286390d82=1=:>9l1=4:4=733>4?3348m97?ne:?1b3<6il16>k751`d890bd28km70<89;3ba>;5?h0:mk5226`95d`<5<;<6959>524=9ho01<9<:0cf?xu2j:0;6?u25`d95<5<57;|q6ec<72:q69lh521:890e?283?70;l8;3:1>{t=h31<74?334?j57283>70;nb;03<>{t:>21<7=t=4c`>4?334?jo7?65:?13=<5811v8ol:18183fk38;463:ac82=1=z{mo4>949~w0`?2908:v3:ad82ec=:=k:1=lh4=4`2>4d734?i>7?m0:?061<6i916??;51`28964128k;70;la;3b4>;2kk0:m=525ba95d`<56<7;;<7f2?7><27>i:4>a19>1`>=9h:018k6:0;6?83a038;463:fd82=1=:=o:1=4:4=4d2>4ga34?m>7?nf:?6b6<6j9169k:51c28936d283>708?d;3:1>;18l0:585261d95<3<5?;;6<7:;<132?7f8279554>959>1gb=90>018li:0;7?845m3;jn63=2g82eg=::::1=ll4=312>4g7348=h7?n0:?12`<61<16>;h51878971>28km70<8a;3a4>;5?k0:n=5250595g6<5<;36=l4>a19>14d=9h:01<8k:0;7?870:3;jj63>7282ec=:9>>1=ll4=056>4ge34;<:7?nb:?2<4<61=16=5<51868yv3bk3:194u25`g95g6<5n?4>b09>772=9hh01><::0ca?855>3;jn63:c`82eg=:=jh1=ll4=4a`>4d734?n:7?65:?6a2<6ik169h651``890c>28k;70;if;3:0>;1890:595261395<2<5?:96<7;;<7fg?47027>j<4>b19>1c4=9k:018h<:0`2?83a<3;i=6390b82e5=:>9n1=l>4=72f>4g734<;j7?n0:?555<6i916>k;51`d897`128km70;5n10:mo522g;95g6<5::86ag9>750=9hh01?77:0;6?83el3;2963:bd82=1=:=kl1=4;4=4a3>4g734?h=7?n0:?6`=<6ik169i751``890bf28ki70;kb;3bf>;2lj0:n=5223g95dc<5;8m6ac9>664=9h:01?;n:0c3?842j3;j<63=5b82e5=::?n1=ll4=34f>4g7348=j7?n0:?13<<6j916>:o51c38971e28h:70<75;3b4>;50?0:m=5229595d6<5;236=54>b09>14?=9hh018?n:0ca?836j3;jn63>6282eg=:9?>1=ll4=046>4ge34;=:7?nb:?222<6ik16=;o51878940e28ki70?9c;3:1>;6>l0:m=5216095g6<58=86ad9>520=9ho01<6=:0;6?87?;3;2863>8582e5=:91?1=l>4}r432?6=:oq69lk51c3890d728h:70;m1;3a6>;2j;0:n?5233695dc<5:8>6ol4>ad9>1fd=9ho018ml:0`2?83b=3;2963:e782e5=:=oo1=4;4=4de>4?234<;<7?65:?544<61<16:=<5187890`7283>70;i1;3a5>;2n;0:n<525g195g4<5ac9>25b=9hh01;>j:0ca?807n3;jn6391182eg=:;991=lh4=227>4d7349;97?m0:?6f`<61<169oh51`28975528ki70<9d;3ba>;5>l0:mo5227d95dd<5;=26;<04e?7e:279;o4>b39>6=3=9hh01?69:0ca?84??3;jn63=8982ec=:9?n1=4;4=0:2>4?234;3?7?65:p1dc=838p18oj:32;?83fj3;j<6s|31`94?54s4?i<7?m2:?6f4<6j:169o<51c18966e2;:370=?f;3:0>;2kh0:mk525b`95d`<5i54>ad9>1`?=9hh018hi:0c3?807l3;ji63=eb82=1=::o=1=lk4=3d;>4gb349;:7?ne:?6g5<6ik169n?51``890b?28kn70;k9;3ba>;2lh0:mh525e`95dc<5;8n6ag9>667=9ho01?==:0cf?842i3;jn63=5c82eg=::4gb348=j7?ne:?65<<6il169;6>=0:mh5217795dc<58<=6a19>53d=9ho01<8l:0c3?871l3;j<63>6d82eg=:9>>1=lh4=056>4ga34;<:7?nf:?2<1<6ik16=5;51``8yv3d<3:1ov3:b182f6=:=k;1=484=4`1>4?134?h87;2=10:mh5254;95d`<5<>36<7:;<77b?7>=2wx>h950;0783e83;2:63:b082=2=:=k81=494=233>4?3348j?7?65:?1e1<61<16>lj5187897gb283>70=>8;3:1>;4900:58525bc95g7<5;<7`g?7>>27>i44>ad9>256=9h:01;>j:0cf?84b?38;463=eb82=0=:;9;1=4:4=225>4ga3488=7?nf:?177<6io16>8o51`g8973e28kn70<:c;3ba>;5>l0:mk5227d95d`<5;2>6<27>=44>ag9>14g=9hl018?m:0ce?871i3;jn63>6b82eg=:9?n1=ll4=04f>4gb3ty9j=4?:31x90d7283<70;m1;3:<>;2j;0:555230295<3<5;k86a19>6dc=9h:01>?7:0c3?85613;j<63:c`82f7=:=jh1=o<4=4a`>4?034?n57?nf:?544<6i916:=h51`g897cd28k;70;4880:585231495g6<5;9:6ag9>60d=9hl01?;l:0ce?841m3;i<63=6g82f5=::1<1=lk4=43:>4d734?:m7?m0:?65g<6j916=;o51`g8940d28kn70?9d;3ba>;6>l0:mk5rs3df>5<58r7>n=4>999>1g7=903018l=:0;:?85683;j<63=ae82eg=::ho1=ll4=23;>4ge349:57?nb:?6gd<6j:169nl51c1890ed283370;j9;3a4>;18;0:m=5260295dc<5;oh6b09>667=9k;01?==:0`2?842i3;i<63=5c82f5=::4=34f>4d6348=j7?m1:?1<2<6il169<751c38907f28h:70;>b;3a5>;6>h0:mk5217a95d`<5850;0x90d72;:370;m3;3:0>{t=k;1<776?34?i?7?65:p1g4=838p18l=:32;?83e;3;j<6s|30394?4|5::i6<7<;<126?4702wx?=m50;0x9675283870=?c;03<>{t;9n1<74?3349;h7>l:0;6?857m38;46s|28794?2|5::m6<7:;<0:1?47027>9:4>959>101=90?0q~=?f;296~;48o09<55231g95<2511y>746=9hh01?o<:0ca?84f<3;jn63=ae82e`=::ho1=lk4=23;>4gb349:57?ne:?1g1<58116>ho5186897eb283?70;5l<0:59522ea95<2<5;o86<7;;<135?7f82795?4>949~w7ee290nw0=>0;3ba>;5i:0:mh522`695dc<5;ko6ag9>74?=9hl01?kn:0;6?84dj38;463=d482=0=::mi1=4;4=3g0>4?2349;=7?nb:?1=7<6i91v?j=:18g85683;jj63=a282ec=::h>1=lh4=3cg>4d7348ji7?m0:?05=<6j916?<751c2897cf28k;70;5lj0:m=522d195d6<5:::6i750;ax967728h;70;5i=0:n=522`f95g7<5;kn6;<12b09>6`g=9hh01?j6:32;?84b;3;jn63<0082ec=::081=lk4}r0f4?6=jr78==4>b09>6d5=9k;01?o;:0`2?84fl3;i>63=ad82f7=:;821=o<4=23:>4d5348nm7?ne:?1a5<58116?=?51c2897?528km7p}<1183>7}:;8:1>=64=22f>4?23ty?544?:3y>0=c=9090197n:32;?xu30l0;6?jt=5:f>76?34>297?65:?7=3<6i9168495187891??283>70jn:0;7?8be283>70jl:0;6?87bn3;jn63>f182eg=:9o;1=ll4=546>4?334>=97?65:?731<61=168:;518789111283>70:m0;3:0>;3=:0:5;5244695<0<5=?>6<79;<662?7>>27?484>959>0=3=90?014?034;9n7?67:?26f<61>16=>>51``8945628km70?<2;3bb>;6;:0:mk5212695d`<58??6<7:;<361?7><27:9;4>959>501=9hh01<;7:0ca?872j3;jn63>5b82eg=:94ge34;>j7?nb:p0=`=838p197n:0;0?82?n38;46s|48294?4|5=2m6<7;;<6:4?4702wx84?50;0x91>a283>70:61;03<>{t9<81<7=t=5;1>4?334>2>7?65:?217<5811v97=:18182>:38;463;9082=1=z{8?j6=4<{<6:7?7><27?5>4>949>50g=:920q~:63;296~;31:09<55248395<3287>52z?7=1<5811684?51`28yv2f03:1?ku248795d6<5=3<64?234ni64g734;nh7?64:?2a`<61=16=hh51`g894`728kn70?i1;3ba>;6l;0:59521g495<2<5==?6<7:;<641?7f827?;;4>a19>0d>=:92019oj:0;7?82fn3;2863;b182=0=:4=5`1>4g734>j<7?nb:?7e4<6ik168l<51``891g428ki70:n4;3bf>;3=:0:5:5244695<1<5=?>6<78;<662?7>?278hn4>ac9>7ab=9hh01>jj:0cf?85cn3;ji63;8c82=1=:?00:59527c82eg=:?j0:mo527e82eg=:?l0:mo521d295<2<58o96<7:;<3f7?7f827:i94>a19>01d=90>019m::0;7?82d=3;2963>2882===:9;k1=464=00a>4??34;9o7?68:?275<6il16=>?51c28945528h;70?<3;3a4>;6;=0:n=5212595dd<58936ad9>56d=9ho01<:>:0;7?872=3;296s|48794?4|5=3>6?>7;<6:5?7fj2wx84850;0x91?12;:370:61;3ba>{t<0=1<776?34>2=7?nf:p0;6mm0:58521dg95<3<58om6ag9>5a4=90?014=5`2>4ge34>i>7?nb:?7=g<5811688=518:89133283370::5;3:<>;3=?0:555249`95<3<5>31=4;4=6`95dc<5>i1=lk4=6f95dc<5>o1=lk4=0g3>4?234;n=7?64:?70g<61<168n851868944>283270?=a;3:=>;6:k0:545213a95b09>565=9k;01<=;:0`2?874?3;ji63>3982e`=:9:31=lk4=01b>4ga34;8n7?nf:?204<61<16=8:51`289431283>7p};9983>7}:<021>=64=5;2>4d73tyoh7>53z?g1?7>;27oj7?65:?ga?4702wxh84?:01x9a3=:9201i751`289ag=9h:01il51``89ae=9hh01>;?:0;7?850i3;2963;fd82=1=:4gb34<1=4j4=682=a=:9:0:5n521582=f=:99i1=4j4=02g>4?c34;;i7?6d:?24c<61m16=<=51878yvb12909w0ji:0;7?8b12;:37p}kf;296~;cn38;463ke;3:7>{tl>0;6?u2d782=1=:l>09<55rse:94?4|5m<1=4;4=e:965>76?34n36<7;;|q2g7<72oq6hl4>ac9>`g<6il16hn4>ad9>5f4=:9201c882=1=:<>>1=l>4=556>4ge34><:7?nb:?23<<61=16=:o51868941e283?70?8c;3:0>;6?m0:595rs56f>54ga34nh6ad9>020=9ho019oj:0c3?82fn3;j<63;4d814==:9l;1=4;4=5a5>4?23ty3>7>542y>`d<6io16ho4>b19>`f<6j916=hj51`2894cb28k;70?jf;3a4>;6n90:n=521g395g6<58n=6<7;;<3g3?7><27:jl4>959>5cd=90>01989:0;7?821>3;2963;7582e`=:<>?1=lh4=555>4ga34>i<7?nb:?7f4<6il168o<51`g891g728kn70:n1;3ba>;3i;0:mh524`195dc<5=k?6127?994>989>003=903019;9:0;:?85ck3;ji634ga34>3:7?64:?7<3<61<16;o4>ag9>3f<6io16;i4>ag9>3`<6io16=h<51`2894c428ki70?j4;3bf>;376?34>h?7?64:?ef?7>>27mo7?66:?247<61?16===51848944>283j70?=a;3:e>;6:k0:5l5213a95b39>565=9k801<=;:0`1?874?3;jj63>3982ec=:9:31=lh4=01b>4d734;8n7?m0:?210<6i916=8851`28yvbf2909w0jn:32;?8b?283>7p}kb;296~;cj38;463k8;3b4>{tlj0;6?u2db814==:l10:mo5rs0af>5<4s4;h>7?63:?2`5<61<16=nh521:8yv7dl3:1>v3>c`82=6=:9jn1>=64}r3`e?6=;r7:ol4=099>5g?=90>017;|q2`5<72;q6=i>521:894ea28387p}>c583>7}:9j91=4:4=0a7>76?3ty:o84?:3y>5f5=90?01u21b495<2<58i=6<7:;<34{t<9?1<7?6{<3`3?7fj27:o54>ac9>5f?=9hh019>::32;?82713;2863>bc82=1=:9ki1=4:4=2de>4?234>;<7?65:?744<61<168=<51878924=90>01:=5186891b5283?70;?d;3:0>;2:<0:595252095<2<5<986<7;;<74<27>;44>959>52?=9hh01<9n:0ca?870j3;jn63>7b82eg=:9>n1=ll4}r1g3?6=?r7:o:4>ad9>5f>=9ho019>n:0;6?85c?38;463>be82=0=:9ko1=4;4=2de>4g73ty:o:4?:3y>5f1=:9201<58i>6q6=n751`g8916e283>70?mf;3:1>;3890:m=5239a965><5;9h6<7:;<34f?7fm2wx?4<50;5x94e>28km70:?b;3b4>;6jo0:m=5241295dd<5:396?>7;<00g?7f827:;o4>ag9~w4e>2909w0?l9;03<>;6k<0:mo5rs533>5<61r7:oo4>949>5fe=90?019>6:0;6?827i3;j<63;0c82eg=:<9i1=4;4=52g>4?234>:<7;6jo0:mo527382=0=:?:0:58524e095<3<5<:o6<7:;<711?7>=27>??4>949>165=90?01897:0;6?83013;2963=3b82eg=:::n1=4;4=31f>4?23ty?=;4?:07x94ee28k;70?lc;3b4>;39:0:595240195<3<5=;=6?>7;<3af?7f827:nn4>a19>5gb=9hh01;0;3;j<63;d382e5=:=;?1=l>4=411>4g734?8?7?n0:?63=<6i9169:751`28975d28kn70<;5;l0:m=5rs53`>5<6;r7:oo4>ac9>5fe=9hh019?6:0;7?82613;2963;1b814==:9kh1=ll4=0``>4ge34;ih7?ne:?2f`<6il16=oh51`d8924=9hh01:=51``891b528ki70;=5;3bf>;2;:0:mo5256;95dd<5;9h6ac9~w145290:?v3>cc82e`=:9ji1=lk4=53e>4?334>:j7?65:?767<58116=ol51`g894dd28kn70?md;3bb>;6jl0:mk521cd95g6<5>81=lk4=6195dc<5=n96?>4>ad9>12?=9ho01?=l:0`3?844l3;ji63=3d82e`=z{:om6=46{<3`f?7fn27:on4>ag9>073=90?01>ki:32;?825l3;2963>bc82ec=:9kn1=o>4=0`e>4d634=96q6=nl51c28916d28k;70:?1;3b4>;41109<55222f95d`<58=26;3880:mo5238g965><5;9o6ag9~w4ee2909w0?lb;03<>;6km0:595rs513>5<0s4;ho7?m0:?74a<6i9168=<51`2891572;:370<;6?h0:mh5216f95dc8:7>57z?2gf<6j8168=j51``8916528ki70:<6;03<>;5;l0:n=5216c95d`<58=o67p}>f383>6}:9l=1=4=4=0d7>4?234;m?7;6mm0:mo521dg95dd<58om6;<3e4?7e927:j<4>b09>005=90k019;;:0;b?822=3;2m63;5782=d=:=;>1=4:4=407>4?234?8>7?nb:?63=<6ik16=?7518`8944f283i70?=b;3:f>;6:j0:5o5212295g7<589:64>b29>562=9k90q~?j8;296~;6n=0:59521d:965>52z?2b1<58116=k=51818yv7b13:1>v3>e982=1=:9l31>=64}r3fe?6=:r7:i54>949>5`g=:920q~?=e;297~;6mk0:59521d`95<3<588n6?>7;|q2ag<72;q6=hl521:894cf283?7p};5383>6}:9li1=4:4=0g`>4?234>>>703g=90?0198m:0c3?87383;296s|1df94?4|58oo6?>7;<3fe?7f82wx=hk50;0x94cb2;:370?ja;3bf>{t9ll1<776?34;nm7?ne:p5c6=838p17;<3fe?7e82wx??650;1x967c283870==b;3:1>;4:009<55rs204>5<5s499?7?63:?062<5811v><<:180855;38;46390`82=1=:>9k1=4;4}r12a?6=:r78>o4>959>74c=:920q~==b;296~;4:k09<55233;95<552z?06d<58116??752868yv56n3:1>v3<1d82=1=:;8l1>=64}r114?6=:r78=h4>949>776=:920q~==1;296~;4:809<55233295<27>52z?067<58116??>51878yv55<3:1>v3<25814==:;;=1=4:4}r111?6=:r78>84=099>771=90?0q~==6;296~;4:?09<55233595d652z?2`4<61:16=i7521:8yv7c93:1?v3>d0814==:=:;1=4:4=412>4?23ty:h>4?:3y>5a?=90901=64}r3g0?6=:r7:h>4>959>5a2=:920q~?k5;296~;6l:0:58521e7965>52z?2`3<58116=i;51868yv22i3:1>v3>d682=0=:<=64}r3g3?6=:r7:h:4=099>5a3=90?0q~?ic;296~;6n<0:5>521gf965>53z?2b0<581169:9518689010283>7p}>f683>7}:9on1=4=4=0d4>76?3ty:j;4?:3y>5cb=m;16=k8521:8yv7a03:1>v3>f682=1=:9o21>=64}r3e=?6=:r7:j:4>949>5c?=:920q~?ia;296~;6nh09<5521g;95<252z?2bg<61<168:o521:8yv7aj3:1>v3>fc814==:9o31=4;4}r0b1?6=;r795h4>929>6d1=90?01?o9:32;?xu51l0;676?348j>7?n0:?1e6<6j;16>l:51c08960c283o70=9e;3:`>;4>o0:5i5236295l27>?k4>9e9>116=90n01>6::0;g?85?>3;2h63<8682=a=:;121=4j4=2::>4?c34;96<7k;4?c34ln6<7k;<332?7>l27:<:4>9e9>55>=90n01<<=:0;g?875;3;2h63>2582=a=:9;?1=4j4=005>4?c3ty95k4?:3y>6d1=90>01?7i:32;?xu5i>0;6?u22`5965><5;k=6<7<;|q1e5<72;q6>4h5186897g72;:37p}=a083>7}::0l1=4;4=3c2>76?3ty9m?4?:3y>6d4=:9201?o>:0;7?xu5i:0;6?u22`1965><5;k:6<7:;|q1e1<72;q6>l:521:897g628k;7p}=ag83>6}::h21=4=4=3`2>4?2348i<7=832p1?o7:32;?84fk3;j<63=ae82f6=::ho1=o=4=260>4?334>n?7?65:?0>4?33491=4;4}r0b=?6=:r79n<4>959>6d?=:920q~52z?1e<<61=16>lo521:8yv4fj3:1>v3=a882=0=::hh1>=64}r0bg?6=:r79mn4=099>6dd=90>0q~=>3;292~;5im0:5;522`g95<0<5:;86?>7;<123?7f8278=54>b29>74?=9k90q~52z?1e`<58116>ll51`28yv56i3:1?v3<1282=6=:;8i1=4;4=23a>76?3ty8=94?:3y>74e=90>01>?;:32;?xu49j0;6?u230a965><5:;i6<7<;|q050<72;q6?<:5186896722;:37p}<1783>7}:;8>1=4;4=235>76?3ty8=:4?:3y>741=:9201>?9:0;7?xu4910;6?u230:965><5:;=6<7:;|q05<<72;q6?<7521:8967128k;7p}<4883>7}:;:o1=4=4=26b>76?3ty8?h4?:02x965b2;:370=;3;3:1>;4<=0:mh5236`95<3<5=lm6<7;;<6f7?7f827?i94>ag9>2?7>m27<6<7j;<30>4?c34;?6<7k;<33g?7>m27:9d9>55c=90o01<>i:0;f?876<3;296s|35:94?4|5:>>6<7<;<175;3:0>;29<0:585rs21e>5<5s49?m7?63:?07c<5811v>:?:181854n3;2863<41814==z{:>:6=4={<10b?7>=2788<4=099~w6252909w0=;2;03<>;4<80:595rs260>5<5s49??7:;:181853<38;463<4082e5=z{:>=6=4={<172?470278854>959~w6202909w0=;7;03<>;4<10:585rs272>5<5s49?n7?63:?017<5811v>:m:18e853j38;463<5182=0=:;>i1=4;4=423>4?334>n?7?nb:?7a1<6j916:7?6f:?4>4?a34;86<7j;<37>4?b34;;o7?6f:?24a<61o16==k518d8946a283m70?>5;3:1>{t;=i1<74?4349?o7:l:0;7?853l38;46s|35g94?4|5:>h6<7:;<17a?4702wx?9h50;0x962a2;:370=;e;3:0>{t;<:1<776?349?i7?65:p70g=838p1>;<:0;0?852j38;46s|34194??|5:?86?>7;<16ad9>b6<6ik16j84>ad9>55d=9hl011g82ec=z{:??6=4={<16f?7>;278994=099~w6322909w0=:4;3:0>;4=<09<55rs275>5<5s49>87?65:?013<5811v>;8:181852?38;463<5782=1=z{ag9>1a4=:9201k=51`g89c3=9hl01<>m:0`3?876l3;jj63>1d82ec=:98l1=o>4}r16700=90?0q~=:9;296~;4=009<55234495d652z?01f<61:16?;9521:8yv52k3:1=?u234a965><5:<96<7:;<157?7fj278:94>b29>733=9k9019k9:0;4?82b?3;2;63>3;3:b>;a:3;2963i4;3bf>;a>3;i?63ia;3a7>;aj3;2;63ic;3:3>;68j0:m<5211d95d7<58;i6<7:;<32g?7>=2wx?8j50;0x9600283870=:d;03<>{t;4?3349>i7;k:0;6?852n38;46s|4d794?5|5:<;6<7;;<154?7>=27?i84=099~w6072909w0=90;03<>;4=o0:595rs242>5<5s49==7;0:m=5237195dc<5:>27?:k4=099>025=9h:0199;:0ce?820=3;i<63;7782f5=:4??34<1=l?4=0695<`<5o81=l>4=g695dc<5o<1=484=gc95<0<5oh1=464=ga95<><58:o6;<32f?7f827:=n4>a19~w6052909w0=92;03<>;4=o0:m=5rs240>5<5s49=?78;:181851<38;463<5g82e`=z{:<>6=4={<151?4702789k4>ag9~w6152909w0=98;3:7>;4?:09<55rs24;>5<61r78:54=099>73b=90o01>8j:0;f?851n3;2i63<7182=`=:;>;1=4k4=41f>4?b34?8j7?6e:?605<61l16?5;518g896>1283n70=77;3:a>;4010:5h5239;954?b34;;;7?6e:?24=<61l16=?<518g89444283n70?=4;3:a>;6:<0:5h521349552z?036<61:16?;7521:8yv51i3:1>v3<6882=1=:;?k1>=64}r15f?6=:r78:44>949>73d=:920q~;j0:595237a95<3<5<9h6?>7;|q02f<72;q6?;m521:8960e283?7p}<6e83>7}:;?n1>=64=24a>4?23ty8:h4?:3y>73c=:9201>8m:0c3?xu4>o0;6?u237d965><5:521:8960e28kn7p}<7083>7}:;>;1>=64=24a>4ga3ty8;k4?:3y>722=90901>6?:32;?xu4?=0;6<76?34970=8e;3:1>;2180:585211`95g7<58;86a19>540=90?011b82eg=:98n1=o>4=03f>4d734;:j7?m1:p723=838p1>6?:0;0?850=38;46s|36494?4|5:=>6<7;;<142?4702wx?:950;0x9612283>70=87;03<>{t98;1<7=t=25;>4?3349<47?65:?254<5811v>97:181850038;463<7682=1=z{8;26=4<{<14=?7><278;44>949>54?=:920q~=89;296~;4?009<55236595<352z?03d<58116?:951`28yv50j3:1>v3<7c814==:;>=1=ll4}r14g?6=:r78;n4=099>721=9ho0q~=7b;29=~;4?m0:m=5239`965><5?0:m?527;3b5>;68j0:m?5211f95d4<58:n6;<33b?7f:27:=;4>a19~w61c2909w0=8d;03<>;4?>0:mk5rs`83>f}:;>o1=l>4=422>4?334k1>=64=782e6=:?3;j>63>3;3b5>;6<3;j=63>0b82e6=:99n1=l=4=02f>4g534;;j7?n3:?252<6i91v>9j:181850m38;463<7682f5=z{=?m6=4={<66e?7>;27?:=4=099~w13d2909w0:90;3:7>;3=j09<55rs57a>5<5s4>=<7k=;<66f?4702wx88j50;0x913d283?70::d;03<>{t<4?234>>i7h1=4;4=5:2>76?34>h>7?64:?7g7<61<1v98l:18082193;2?63;6d82=0=:=64}r656?6=:r7?:h4>959>034=:920q~:9e;296~;3>l09<55247f95<5=?7>52z?727<61=168;=521:8yv21<3:1>v3;6382=0=:1>=64}r651?6=:r7?:84=099>032=90>0q~:96;296~;3>?09<55247695<353z?722<61=168;951878945c2;:37p};6683>7}:=64=547>4g73ty>m=4?:2y>03>=90>01987:0;6?83f838;46s|47:94?4|5=<36?>7;<650?7fj2wx8;750;0x910>2;:370:94;3ba>{t76?34>=87?nf:p03d=838p198m:32;?821<3;i<6s|46594?5|5==27?;54=099~w1172909w0:89;3:0>;3?909<55rs55:>5<5s4><57:18182083;2863;70814==z{==96=4={<644?7>=27?;?4=099~w1142909w0:83;03<>;3?;0:595rs557>5<5s4><87ac9~w11a2909w0:8a;3:7>;30909<55rs55`>5<5s4>3<7?63:?73f<5811v99m:18182?83o970:8b;03<>{t<>n1<74?334>=27>h=4=099~w0eb2909w0;ld;3:7>;2kl09<55rs4ag>5<4s4?hh75<51878yv3d=3:1>v3:d082=1=:=j?1>=64}r7g5?6=:r7>h<4=099>1a6=9090q~;l6;296~;2k<0:59525b4965>52z?6g0<61<169n9521:8yv3d03:1>v3:c9814==:=j=1=4:4}r7`=?6=:r7>o44=099>1f1=90?0q~;la;296~;2kh09<5525b595d652z?6gg<581169n951``8yv3dk3:1>v3:cb814==:=j=1=lk4}r736?6=;r7?j:4>929>152=909018><:32;?xu28=0;6<;t=427>76?34>mi7?65:?7bc<61<169=>518789066283>70?=:0;e?8`528ki70h<:0ce?8`328km70h::0`3?8`1283<70hn:0;4?8`e283270hl:0;:?8`c283m70hj:0;e?875:3;2j63>2282=c=:9;>1=4h4=006>4?a34;9:7?6f:p0c>=838p18><:0;0?82a038;46s|4g;94?4|5=l36<7;;<6e=?4702wx8ko50;0x91`?283>70:ia;03<>{tn90;6>u24g`95<2<5=li6<7:;76?3ty?jo4?:3y>0cd=:92019hn:0;7?xua03:1?v3;fb82=1=:mo7>52z?7bf<581168ko51878yv2al3:1>v3;fe814==:4}r6ea?6=:r7?jh4=099>0cg=9hh0q~:if;296~;3no09<5524gc95dc52z?645<581168ko51`d8yv3793:1>v3:00814==:4}r7fe?6=:r7>hk4>929>1`d=:920q~;j0;296~;2mk0:5>525d2965>52z?6a5<61=169h?521:8yv3b:3:1>v3:e182=0=:=l81>=64}r01`?6=;r7>i>4>959>1`5=90?01?<570?80;03<>{t=l>1<776?34?n>7?65:p1`3=838p18k::32;?83b:3;j<6s|5d494?4|57;<7f6?7fj2wx94m50;3`83b?3;jj63:e982ec=:>9:1=ll4=722>4ge34<;>7?nb:?1b2<6io16>k651`d890?d2;:370;l0;3ba>;2k80:mh525e:95d`<5ho4>ag9>67c=9k:01?6282ec=:9?>1=lh4=046>4ga34;=:7?nf:?222<6io16=;l51`d8941328h;70?85;3a4>;6??0:n=5219695dc<582>67}:=l21>=64=4g1>4ga3ty>i44?:3y>1`?=:92018k=:0`3?xu18:0;6>u25g:95<5<5?:>6<7:;<430?4702wx9k750;0x9362283?70;i9;03<>{t>9?1<776?34<;87?63:p1cg=838p18h6:0;7?83ai38;46s|5g`94?4|55:50;1x90`d283?70;ic;3:1>;50=09<55rs4d`>5<5s4?mo7>=:18083al3;2863:fe82=0=:;981>=64}r7e`?6=:r7>ji4=099>1cd=90?0q~;ie;296~;2nl09<5525g`95d652z?6bc<581169kl51``8yv0783:1>v3901814==:=oh1=lk4}r435?6=:r7=<<4=099>1cd=9hl0q~8?2;296~;18;09<5525g`95g653z?6af<61:169k95187890`12;:37p}:ee83>7}:=o=1=4:4=4gg>76?3ty>j:4?:3y>1c1=:92018h9:0;0?xu2ml0;6?u25df95<2<57;|q6ac<72;q69hj5187890ca2;:37p}:f183>7}:=o:1>=64=4ge>4?33ty>j<4?:3y>1c7=:92018ki:0;6?xu2n;0;6?u25g0965><57}:=o>1>=64=4ge>4gb3ty==<4?:2y>250=90901;?<:0;6?806:38;46s|61594?4|5?;86<7;;<433?4702wx:<=50;0x93742;:3708>2;3:7>{t>921<74?334<;478:0;6?807138;46s|61c94?4|5?:j6?>7;<43=?7><2wx>;m50;1x936e283?708?b;3:1>;5>j09<55rs72a>5<5s4<;n7l:181807k38;46390882e5=z{?:o6=4={<43`?47027=<44>ac9~w36b2909w08?e;03<>;1800:mh5rs72e>5<5s4<;j7;27?n94=099~w1g>2909w0:m4;3:7>;3i009<55rs5cb>5<5s4>j57?64:?7ed<5811v9om:18182f13;2963;ac814==z{>k1<7=t=5c`>4?334>jo7?65:?4e?4702wx8lm50;0x91gd2;:370:nb;3:0>{t9:<1<7=t=5cg>4?334>jh7?65:?273<5811v9ok:18182fl38;463;ac82=0=z{=kn6=4={<6ba?47027?mo4>a19~w1ga2909w0:nf;03<>;3ik0:mo5rs5`3>5<5s4>i<7:18182e938;463;ac82ec=z{=h96=4={<6a6?47027?mo4>b19~w6ge2908w0=n4;3:7>;4im0:58523`a965>52z?0ea<61=16?l;521:8yv5fl3:1>v3959>7d0=:920q~=n7;296~;4i<0:58523`5965>5bz?0e=<61<16?l751`d896d3283?70=md;3:0>;4k?0:58523b595dd<5:n;6<7:;<1g5?7fm278i>4=099>7`e=90>01>kl:0;6?xu4m00;64u23`:95d6<5:kj6=278ni4>949>7f0=9h:01>m7:0ca?85c83;j<63=64}r1b7d1=90>0q~=n9;296~;4i009<5523`595<352z?0ed<58116?l951`28yv5e=3:1>v3=64}r1bb?6=:r78n;4>929>7d`=:920q~=m0;296~;4io0:59523c2965>52z?0ec<61<16?o?521:8yv5e:3:1>v34=099>7g7=90?0q~=m4;296~;4j=09<5523c395d6;i7>52z?740<61:168=h521:8yv27>3:1>v3;0g82=6=:<9<1>=64}r633?6=:r7?<;4>959>051=:920q~:?8;296~;38?0:585241:965>;57>52z?74<<581168=651868yv27i3:1>v3;0`814==:<921=4;4}r63f?6=:r7?05>=9h:0q~:?c;296~;38j09<55241:95dd;h7>52z?74a<581168=651`g8yv5d83:1>v3=64}r1a929>7g>=:920q~=m9;296~;4j10:59523c;965>52z?0f=<61<16?oo521:8yv5ej3:1>v37gg=90?0q~=md;296~;4jm09<5523cc95d652z?0f`<58116?oo51``8yv5en3:1>v3929>0d1=:920q~:n5;296~;31o0:5>524`7965>2j7>53z?7=c<58116=ik5186894bb283>7p};9b83>7}:76?3ty?5i4?:3y>00197k:32;?xu31l0;6?u248a95<3<5=3n6?>7;|q7g1<72:q684k5186891?b283>70:l4;03<>{t76?34>j97?64:p0d7=838p19o>:32;?82f=3;296s|4`094?4|5=k96?>7;<6b1?7f82wx8l=50;0x91g42;:370:n5;3bf>{t1<776?34>j97?ne:p7f?=839p1>m=:0;0?85dj3;2963<278o>4=099~w6ee2909w0=lb;03<>;4kh0:5>5rs2a7>5<5s49h?7?64:?0g1<5811v>m::18185d;3;2963959~w6e02909w0=l7;03<>;4k<0:585rs2a;>5<5s49h47j;:18085dk3;2?63=64}r1``?6=:r78h;4>959>7fb=:920q~=k6;296~;4l?09<5523e795<552z?0ga<61=16?nk521:8yv5dn3:1>v3=64}r1g4?6=:r78h=4=099>7f`=90>0q~=k1;296~;4l809<5523bd95<37>52z?0`7<58116?nh51`28yv5c;3:1>v3929>043=:920q~:>1;296~;39<0:5>52403965>:>7>52z?754<61=168<<521:8yv26;3:1>v3;1082=0=:<891>=64}r62e?6=:r7?=;4>929>04d=:920q~:>7;296~;39k0:5>52405965>:47>52z?752<61=168<6521:8yv2613:1>v3;1682=0=:<831>=64}r614?6=:r7?=n4>929>077=:920q~:>d;296~;3:80:5>5240f965>:i7>52z?75a<61=168v3;1e82=0=:<8l1>=64}r612?6=:r7?>?4>929>071=:920q~:=3;296~;3:>0:5>52431965>987>52z?766<61=168?:521:8yv25=3:1>v3;2282=0=:<;?1>=64}r6184>a19>07>=:92019bd82f5=:?:0:mk5222a95g7<5;9o6;<00a?7e92wx>n650;1x97e3283870;5k009<55rs3a6>5<5s48hm7?64:?1g0<5811v?mn:18184di38;463=c882=6=z{;i=6=4={<0`1?7><279o;4=099~w7e02909w0;5k>09<55rs3gg>5<4s48n;7?63:?1ac<61<16>hk521:8yv4bk3:1>v3=ec82=6=::li1>=64}r0ff?6=;r79io4=099>5=6=90>01<6?:0;6?xu5m10;6?u22dd95<2<5;o36?>7;|q1ac<72;q6>hh521:897cb28387p}=e883>7}::l21=4:4=3g:>76?3ty9il4?:3y>6`>=90?01?kn:32;?xu5nk0;6>u22g295<5<5;lo6<7:;<0eg?4702wx>ko50;0x97`3283870{t:o>1<7=t=3d7>76?34?o:7?64:?6`3<61<1v?h>:18184al3;2863=f0814==z{;lo6=4={<0e`?470279jn4>929~w7`52909w0;5n;09<55rs3d0>5<5s48m=7?65:?1b6<5811v?7>:18084a;3;2863=f282=0=::0;1>=64}r0e1?6=:r79j84=099>6cg=90>0q~52z?1b2<58116>ko51`28yv4a03:1>v3=f9814==::ok1=ll4}r0e=?6=:r79j44=099>6cg=9ho0q~::8;296~;35244;965>>;7>52z?717<61:16889521:8yv23n3:1>v3;5882=6=:<=l1>=64}r664?6=:r7?8k4>959>006=:920q~::1;296~;3>?7>52z?716<5811688951868yv22<3:1>v3;55814==:<<=1=4;4}r661?6=:r7?984=099>001=9h:0q~::6;296~;3=?09<55244595dd53z?1gg<61:16>i?5187897b72;:37p}=cb83>7}::m;1=4:4=3a`>76?3ty9h<4?:3y>6a7=:9201?j?:0;0?xu5km0;6?u22ba95<2<5;io6?>7;|q1g`<72;q6>nm5187897eb2;:37p}=d783>6}::m81=4=4=3f;>4?2348o;77;<0g3?7>;2wx>i:50;0x97b4283?70{t:m?1<74?2348o97<279hl4=099~w7ba2909w0;5ll0:5>5rs3fa>5<5s48om7?64:?1`g<5811v?jl:18184ci3;2963=db814==z{;o?6=4<{<0f4?7>;279i;4>949>6`3=:920q~52z?1a3<58116>h;51818yv4b:3:1>v3=e082=1=::l81>=64}r0f7?6=:r79i<4>949>6`5=:920q~=j1;296~;4l>0:5>523d0965>52z?0`g<61:16?h>521:8yv5cj3:1?v34?23ty8h54?:3y>7`4=90901>j7:32;?xu4l00;6?u23e:95<2<5:n26?>7;|q0`d<72;q6?i65187896bf2;:37p}7}:;mi1>=64=2g3>4?33ty8hi4?:3y>7ab=:9201>k?:0;6?xu4ll0;6?u23eg965><5:o;67}:;ll1=4=4=2d7>76?3ty8j=4?:3y>7c2=90901>h?:32;?xu4n80;6?u23g295<2<5:l:6?>7;|q0b7<72;q6?k>5187896`52;:37p}>d`83>6}:;o81=4:4=2d1>4?234;om7283?70:=a;03<>{t<;h1<74?234>9n7o4>959~w14c2909w0:=d;03<>;3:k0:585rs5:`>5<5s4>3=7?63:?7<27?4>4=099~w1>32909w0:72;3:1>;30=09<55rs5:6>5<5s4>39738;463;8582=0=z{8>>6=4<{<6;3?7><27?4:4>949>513=:920q~:77;296~;30>09<55249695d653z?7<=<61=1685651878942e2;:37p};8983>7}:<121>=64=5:7>4ge3ty?444?:3y>0=?=:920196;:0cf?xu30h0;6?u249c965><5=2?6328h;7p}:a783>7}:=0i1=4=4=4c4>76?3ty>m84?:3y>1d6=909018o::32;?xu21m0;6?u25`595<5<5<3o6?>7;|q6=`<72;q694j5186890?b2;:37p}:9g83>7}:=0n1=4;4=4;e>76?3ty>m<4?:3y>1d7=:92018o::0;7?xu2i;0;6?u25`0965><56<7:;|q6e6<72;q69l=521:890g228k;7p}:a583>7}:=h>1>=64=4c6>4ge3ty:o=4?:3y>5g3=90901:32;?xu6j?0;6?u21b395<5<58h=6?>7;|q2f2<72;q6=o85186894d02;:37p}>b983>7}:9k<1=4;4=0`;>76?3ty:n44?:3y>5g?=:9201u21cc95<2<58hj6<7:;<00f?4702wx=oo50;0x94df2;:370?m8;3:1>{t9kh1<776?34;i47?n0:p5ge=838p17;<3a{t9kl1<776?34;i47?m0:p75>=839p1?hj:0;0?857i3;2963<08814==z{::<6=4={<136?7>;278<:4=099~w7`a2909w0=?a;3:0>;5no09<55rs22b>5<5s49;m7>?:18184an3;2863<01814==z{:::6=4={<0eb?7>=278<<4=099~w6642909w0=?3;03<>;48>0:595rs227>5<5s49;87>::181857=38;463<0682e5=z{::=6=4={<132?470278<:4>ac9~w6c02909w0=j3;3:7>;4m109<55rs2g7>5<5s49n47?63:?0a1<5811v>k::18185b<3;2863=278i;4=099~w7?>2909w0<65;3:7>;51h09<55rs3;5>5<5s482m7?63:?1=3<5811v?78:18184>>3;2863=96814==z{;336=4={<0:2?7>=279554=099~w6cc2909w0=j9;3:7>;4ml09<55rs2gb>5<5s49ni7?63:?0ad<5811v>km:18185bi3;2863=278in4=099~w1642909w0=ib;3:7>;38=09<55rs2da>5<3s49mn77}:<9>1=4=4=2d`>76?3ty8ji4?:3y>7ce=90>01>hk:32;?xu4nl0;6?u23ga95<3<5:ln6?>7;|q0bc<72;q6?kh521:896`b283?7p};0183>7}:<9:1>=64=2df>4?23ty?<<4?:3y>057=:9201>hj:0c3?xu38;0;6?u2410965><5:ln6m5181891262;:37p};3e83>7}:<=;1=4=4=51g>76?3ty??h4?:3y>06b=90>019=j:32;?xu3;o0;6?u242f95<3<5=9m6?>7;|q703<72;q689<5181891202;:37p};4283>7}:<==1=4=4=560>76?3ty?894?:3y>015=90>019:;:32;?xu3<<0;6?u245195<3<5=>>6?>7;|q40?6=:r7=i7?63:?41?4702wx:h4?:3y>2`<581168oo51868yv0a2909w09::0;0?80a2;:37p}80;296~;1n3;286380;03<>{t?80;6?u26g82=0=:?809<55rs6094?4|5>81>=64=6395<276?34=:6<7:;|q;4?6=:r7<:7?63:?;5?4702wx;;4?:3y>33<581168oo51878yv1a2909w09n:0;0?81a2;:37p}87;296~;?93;2?6387;03<>{t?10;6?u27682=1=:?109<55rs6;94?4|5>=1=4;4=6;965>76?34=m6<7;;|q4g?6=:r7=2wx;i4?:3y>3a<58116;k4>a19~w2c=838p1:k521:892`=9hh0q~?j5;296~;6lh0:5>521d4965>52z?2a3<61:16=il521:8yv7ck3:1>v3>dc82=1=:9mi1>=64}r3g`?6=:r7:ho4>949>5ab=:920q~?ke;296~;6ll09<5521ef95<252z?2`c<58116=ij51878yv7b83:1>v3>e1814==:9mn1=l>4}r3f5?6=:r7:i<4=099>5ab=9hh0q~?j2;296~;6m;09<5521ef95dc52z?2a6<58116=ij51`d8yv7b<3:1>v3>e5814==:9mn1=o>4}r10g?6=:r78?54>929>76b=:920q~=<9;296~;4;m0:5>5232;965>52z?07<<61=16?>o521:8yv54j3:1>v3<3882=0=:;:h1>=64}r1:4?6=:r784n4>929>7<7=:920q~=7d;296~;4180:5>5239f965>52z?0v3<8e82=0=:;1l1>=64}r1:2?6=:r785?4>929>7<1=:920q~=63;296~;41>0:5>52381965>52z?0=6<61=16?4:521:8yv5>=3:1>v3<9282=0=:;0?1>=64}r1:g?6=:r78554>929>75238;965>52z?0=<<61=16?4o521:8yv5>j3:1>v3<9882=0=:;0h1>=64}r1b6?6=:r785h4>929>7d5=:920q~=6f;296~;4i:0:5>5238d965>52z?0=c<61=16?l>521:8yv5f93:1>v3<9g82=0=:;h;1>=64}r1e=?6=:r78j84>929>7cg=:920q~=i6;296~;4nh0:5>523g4965>52z?0b3<61=16?k9521:8yv5a03:1>v3=64}r600?6=:r7??=4>929>063=:920q~:<1;296~;3;<0:5>52423965>8>7>52z?774<61=168><521:8yv24;3:1>v3;3082=0=:<:91>=64}r60e?6=:r7??;4>929>06d=:920q~:<7;296~;3;k0:5>52425965>847>52z?772<61=168>6521:8yv2413:1>v3;3682=0=:<:31>=64}r67g?6=:r7?854>929>01b=:920q~:;8;296~;3<109<5524b195<3?57>52z?70a<61:16897521:8yv23i3:1>v3;4882=1=:<=k1>=64}r67f?6=:r7?844>949>01d=:920q~;l2;296~;2j>0:5>525b1965>55z?6f2<5811698651`d8903>28h;70;;8;3ba>;25<5s4?h?7?63:?6f=<5811v8l6:18183e03;2863:b8814==z{=27>nl4=099~w4062908w0;mb;3:0>;2jk0:5852173965>52z?6fg<581169oo51868yv7113:1?v3:bb82=1=:=ki1=4;4=04:>76?3ty>nn4?:3y>1ge=:92018ln:0;6?xu2jm0;6?u25cf965><57}:=kl1>=64=4`b>4gb3ty>o=4?:3y>1f6=:92018ln:0ce?xu2k80;6?u25b3965><57}:=mo1=4=4=4f0>76?3ty>h94?:3y>1a5=90>018j;:32;?xu2l<0;6?u25e195<3<56?>7;|q6`3<72;q69i8521:890b2283?7p}>7g83>6}:=m=1=4:4=4f4>4?234;7;<7g1?7f82wx9i750;0x90b>2;:370;k5;3bf>{t=mk1<776?34?o97?ne:p1ad=838p18jm:32;?83c=3;jj6s|5ea94?4|57;<7g1?7e82wx8i=50;0x91ea283870:k4;03<>{t4?434>o<7{t4?434>m<7{t=9h1<74?434?;n7m:0;7?837k38;46s|51f94?4|5<:i6<7:;<73`?4702wx9?850;0x9047283870;=7;03<>{t=;;1<74?434?9=7:0;7?835:38;46s|53194?4|5<8:6<7:;<717?4702wx9?:50;0x90432;:370;=3;3:0>{t=;?1<776?34?9?7?65:p162=838p186<7<;<71a?4702wx9?h50;0x904b283?70;=f;03<>{t=::1<74?234?8<7:32;?83483;286s|52094?4|5<996?>7;<704?7>=2wx9>=50;0x90542;:370;<0;3b4>{t=>k1<74?434?70;86;03<>{t=>=1<776?34?<:7?64:p12>=838p1897:32;?830>3;296s|56;94?4|5<=26?>7;<742?7f82wx>>:50;0x974>283870<<4;03<>{t::91<74?43488?7?m50;0x974f283>70<=c;03<>{t:;o1<776?3488?7?64:p67`=838p1?7;<007?7f82wx>>?50;0x97562;:370<<3;3bf>{t::81<776?3488?7?ne:p616=838p1?=::0;0?843838;46s|22d94?4|5;9i6<7<;<00b?4702wx>>850;0x9727283870<<6;03<>{t::=1<74?33488;7=838p1?=9:0;6?844038;46s|22;94?4|5;926?>7;<00<2wx>>o50;0x975f2;:370<<8;3:1>{t::i1<776?3488j7?64:p66b=838p1?=k:32;?844n3;296s|22g94?4|5;9n6?>7;<00b?7f82wx>9l50;0x9726283870<;b;03<>{t:=k1<74?4348?m75282=0=z{;>96=4={<07f?7>;2798?4=099~w7242909w0<;2;3:0>;5<:09<55rs367>5<5s48?>7?65:?101<5811v?:::181843=38;463=4582=1=z{;>=6=4={<072?470279894>949~w72?2909w0<;8;03<>;55<5s48?57o6=4={<066?7>;2798i4=099~w72b2909w0<;d;3:0>;55<5s48?h7?65:?10c<5811v?;?:181842838;463=4g82=1=z{;?:6=4={<065?4702798k4>949~w73b2909w0<:3;3:7>;5=l09<55rs37g>5<5s48>57?63:?11a<5811v?;6:180842138;463>6382=1=:9?81=4;4}r060?6=:r799h4>929>602=:920q~<:5;296~;5==0:5952247965>:7>52z?111<61<16>88521:8yv42?3:1>v3=56814==::<<1=4:4}r06600=90?0q~<:a;296~;5=h09<55224f95<2n7>52z?11g<58116>8j51878yv42k3:1>v3=5b814==::4}r051?6=:r799k4>929>633=:920q~<90;296~;5><0:5>52272965>52z?125<61=16>;?521:8yv41:3:1>v3=6182=0=::?81>=64}r057?6=:r79:>4=099>634=90>0q~<94;296~;5>=09<55227095<352z?123<61:16>:?521:8yv4083:1>v3=6b82=6=::>:1>=64}r053?6=:r79;<4>929>631=:920q~<98;296~;5>>0:595227:965>52z?122<61<16>;7521:8yv41i3:1>v3=6`814==::?31=4:4}r05f?6=:r79:o4=099>63?=90?0q~<9d;296~;5>m09<55226295<252z?12`<58116>:>51878yv41n3:1>v3=6g814==::>:1=l>4}r04`?6=:r79;?4>929>62b=:920q~<8c;296~;5?10:5>5226a965>52z?13a<61:16>:=521:8yv40<3:1>v3=7282=1=::>>1>=64}r041?6=:r79;>4>949>623=:920q~<86;296~;5??09<55226795<252z?132<58116>:;51878yv4013:1>v3=78814==::>i1=4:4}r04e?6=:r79;l4=099>62e=90?0q~<8b;296~;5?k09<55226a95d652z?13`<61:16>5o521:8yv4?13:1>v3=8582=6=::131>=64}r04b?6=:r794l4>929>62`=:920q~<70;296~;5?o0:5952292965>52z?13c<61<16>5?521:8yv4?:3:1>v3=83814==::1;1=4:4}r0;7?6=:r794>4=099>6=7=90?0q~<75;296~;50<09<55229;95<252z?1<3<58116>5751878yv4??3:1>v3=86814==::131=l>4}r0;6=?=9hh0q~<63;296~;50k0:5>52281965>7>52z?1=4<61:16>4<521:8yv4?k3:1>v3=9282=6=::1i1>=64}r0;`?6=:r794n4>959>6=b=:920q~<7e;296~;50j0:585229g965>52z?15k51868yv4>83:1>v3=91814==::1o1=4;4}r6f=?6=:r7?hh4>929>0`?=:920q~:j8;296~;3m<0:5>524d:965>oj7>52z?7a<<61:168ih521:8yv2b83:1>v3;dg82=1=:=64}r6f5?6=:r7?hk4>949>0`7=:920q~:j2;296~;3m;09<5524d395<2n?7>52z?7a6<581168h?51878yv2b<3:1>v3;e5814==:4}r6f2?6=:r7?i;4=099>0`>=90>0q~:j7;296~;3m>09<5524d:95<37>52z?67=<61:1699<521:8yv3393:1>v3:3b82=6=:==;1>=64}r70=?6=:r7>8?4>929>16?=:920q~;52z?67<<61<169>l521:8yv34l3:1>v3:3e814==:==;1=4:4}r70a?6=:r7>?h4=099>117=90?0q~;52z?605<5811699?51``8yv31l3:1>v3:6882=6=:=?n1>=64}r75e?6=:r7>:i4>929>13g=:920q~;9b;296~;2>h0:595257`965>52z?62d<61<169;m521:8yv3>:3:1>v3:8d82=6=:=081>=64}r7;b?6=:r7>5?4>929>1=`=:920q~;60;296~;20o0:5952582965>52z?6v3;b682=6=:=64}r6a929>0g>=:920q~:m9;296~;3j10:59524c;965>im7>52z?7f=<61<168oo521:8yv36l3:1>v3:1382=6=:=8n1>=64}r72g?6=:r7>=;4>929>14e=:920q~;>6;297~;29?09<55216395<2<58=:6<7:;|q656<72;q697}:=891=4:4=437>76?3ty>=84?:3y>145=90?018?::32;?xu29>0;6?u2505965><5<;h6<7;;|q65=<72;q69<6521:8907d283>7p}:1883>7}:=831>=64=43`>4g73ty>=l4?:3y>14g=:92018?l:0ca?xu29k0;6?u250`965><5<;h67}:=76?3ty>984?:3y>102=90>018;::32;?xu2=?0;6?u254695<3<57;|q612<72;q6989521:89031283?7p}:5983>7}:=<21>=64=475>4?23ty>944?:3y>10?=:92018;9:0c3?xud:3:1>v3me;3:7>;d:38;46s|bg83>7}:k;0:5>52bg814==z{j:1<7=64}ra2>5<5s4hm6<7:;76?3tyhm7>52z?`2?7>;27hm7929>g2<5811vn650;0x9f1=90>01n6521:8yve>2909w0m8:0;6?8e>2;:37p};c983>6}:7;|q7g2<72;q68n:5181891e02;:37p};bg83>7}:76?3ty?o=4?:3y>0g`=90>019m?:32;?xu3k80;6?u24cd95<3<5=i:6?>7;|q7g7<72;q68n<521:891e6283?7p};c283>7}:=64=5a2>4?23ty?o84?:3y>0f3=:92019m8:0;7?xu3k?0;6?u24b4965><5=i<6<7:;|q60<<72;q699;51818902>2;:37p}:4783>7}:==31=4=4=465>76?3ty>8:4?:3y>110=90>018:8:32;?xu2<10;6?u255495<3<5<>36?>7;|q615<72;q699m5181890372;:37p}:4e83>7}:=<:1=4=4=46g>76?3ty>8h4?:3y>11b=90>018:j:32;?xu2m6?>7;|q623<72;q69;>5181890012;:37p}:6083>7}:=?<1=4=4=442>76?3ty>:?4?:3y>137=90>0188=:32;?xu2>:0;6?u257395<3<5<<86?>7;|q621<72;q69;:521:89004283?7p}:6483>7}:=??1>=64=440>4?23ty?ho4?:3y>0a1=909019jm:32;?xu3l10;6?u24e`95<5<5=n36?>7;|q7`<<72;q68i65186891b>2;:37p};d`83>7}:76?3ty84l4?:3y>7=d=90901>6n:32;?xu4080;6?u239c95<5<5:2:6?>7;|q0<7<72;q6?5?5186896>52;:37p}<8283>7}:;1;1=4;4=2:0>76?3ty:<84?:2y>7=2=90>01>6;:0;6?877=38;46s|39694?4|5:2?6?>7;<1;7?7><2wx?5;50;0x96>22;:370=73;3:1>{t;1<1<776?3493?7?n0:p7=1=838p1>68:32;?85?;3;jn6s|39:94?4|5:236?>7;<1;7?7fm2wx?5750;0x96>>2;:370=73;3bb>{t13:1>v3n:0;0?8?=:920q~650;0x90<61:16476?>7;<32e?7><27:=l4>949~w5<72;q657?63:?3>76?3ty:6=4={<295<2<5809<55rs383>7}:83;2963=:32;?xu42909w0=521:897<61=1v94?:3y>0?4702796<7:;|q5>5<5s4<1>=64=982=1=z{8=1<7;t=682e6=:9>09<55211g95d5<58;i652z?4>76?3421=4;4}r35>5<5s4;<6<7<;<35>76?3ty:97>52z?25?7>;27:97b<<61=16j44>949~wg<72;q6=;4>929>f?4702wxo7>52z?a>4?334i1>=64}rf94?4|5k0:5852d;03<>{t99:1<7=t=d82=1=:m3;2963>01814==z{l0;6?u2e;03<>;c283?7p}i:1818`=:9201i4>949~w46=838p1<>521:89a<6i91v<<50;0x944=:9201<;51868yv742909w0?<:32;?872283>7p}>4;296~;6<38;463>5;3b4>{tn>0;6?u2f182=6=:n>09<55rs002>5<4s4l:6<7;;4?234;9=7b2<61=1vk<50;0x9c4=:9201k951878yv`42909w0h<:32;?8`028k;7p}i4;296~;a<38;463i7;3bf>{tn<0;6?u2f4814==:n>0:mh5rsg494?4|5o<1>=64=g595d`4?434lm6?>7;|qe=?6=:r7m57<2wxjl4?:3y>bd<58116jk4>949~wcd=838p1kl521:89c`=9h:0q~hl:1818`d2;:370hi:0ca?xual3:1>v3id;03<>;an3;ji6s|fd83>7}:nl09<552fg82ec=z{8:?6=4={<334?7>;27:<94=099~w4662909w0??1;03<>;68=0:595rs021>5<5s4;;>7<:181877;38;463>0582e5=z{8:26=4={<331?7>;27:<44=099~w4612909w0??6;03<>;6800:595rs024>5<5s4;;;77:181877038;463>0882e5=z{8;;6=4={<33e?7>;27:==4=099~w46f2908w0??a;03<>;69;0:595210095<352z?24g<58116=<>51868yv77k3:1>v3>0b814==:98:1=4;4}r33`?6=:r7:546=9h:0q~??e;296~;68l09<55210295dd52z?24c<58116=<>51`g8yv7603:1>v3>1082=6=:9821>=64}r326?6=:r7:=?4=099>54>=90>0q~?>3;296~;69:09<55210:95<352z?251<58116=<651`28yv76=3:1>v3>14814==:9821=ll4}r322?6=:r7:=;4=099>54>=9ho0q~?>7;296~;69>09<55210:95d`52z?25<<61:16=?>521:8yv76i3:1>v3>1`814==:9;:1=4:4}r32f?6=:r7:=o4=099>576=90?0q~?>c;296~;69j09<55213295d652z?25a<58116=?>51``8yv76m3:1>v3>1d814==:9;:1=lk4}r32b?6=:r7:=k4=099>576=9hl0q~?=7;296~;6:80:5>52135965>7>52z?267<58116=?951868yv75;3:1>v3>22814==:9;=1=4;4}r310?6=:r7:>94=099>571=9h:0q~?=5;296~;6:<09<55213595dd52z?263<58116=?951`g8yv75l3:1>v3>2982=6=:9;n1>=64}r3154=099>57`=90>01<<588o6<7;;|q26d<72;q6=?o521:8944c283>7p}>2c83>7}:9;h1>=64=00g>4g73ty:>n4?:3y>57e=:9201<6?>7;|q26c<72;q6=?h521:89452283?7p}>3183>7}:9::1>=64=016>4?23ty:?<4?:3y>567=:9201<=::0c3?xu6;;0;6?u2120965><589>6=521:8945228kn7p}>3583>7}:9:>1>=64=016>4ga3ty:?n4?:3y>560=90901<=l:32;?xu6;>0;6?u2125965><589h6<7;;|q27=<72;q6=>6521:8945d283>7p}>3883>7}:9:31>=64=01`>4g73ty:?l4?:3y>56g=:9201<=l:0ca?xu6;k0;6?u212`965><589h6j5181894232;:37p}>3d83>7}:9:o1>=64=067>4?33ty:?k4?:3y>56`=:9201<:;:0;6?xu6<90;6?u2152965><58>?64383>7}:9=81>=64=067>4gb3ty:8>4?:3y>515=:9201<:;:0ce?xu6j6?>7;|q203<72;q6=98521:8942f283?7p}>4683>7}:9==1>=64=06b>4?23ty:854?:3y>51>=:9201<:n:0c3?xu6<00;6?u215;965><58>j64b83>7}:9=i1>=64=072>4?33ty:8i4?:3y>51b=:9201<;>:0;6?xu6<58?:65183>7}:9<:1>=64=072>4gb3ty:944?:3y>504=90901<;6:32;?xu6=:0;6?u2141965><58?26<7;;|q211<72;q6=8:521:8943>283>7p}>5483>7}:9=64=07:>4g73ty:9;4?:3y>500=:9201<;6:0ca?xu6=>0;6?u2145965><58?2628km7p}>6183>7}:976?3ty:9o4?:3y>50d=:9201<8?:0;7?xu6=j0;6?u214a965><58<;6<7:;|q21a<72;q6=8j521:8940728k;7p}>5d83>7}:9=64=043>4ge3ty:9k4?:3y>50`=:9201<8?:0cf?xu6>10;6?u217395<5<58<36?>7;|q227<72;q6=;<521:8940?283?7p}>6283>7}:9?91>=64=04;>4?23ty::94?:3y>532=:9201<87:0c3?xu6><0;6?u2177965><58<366683>7}:9?=1>=64=04;>4ga3ty::k4?:3y>53?=90901<8i:32;?xu6>h0;6?u217c965><587p}>6b83>7}:9?i1>=64=04e>4g73ty::i4?:3y>53b=:9201<8i:0ca?xu6>l0;6?u217g965><585181894102;:37p}>7083>7}:9>;1>=64=054>4?33ty:;?4?:3y>524=:9201<98:0;6?xu6?:0;6?u2161965><58=<67483>7}:9>?1>=64=054>4gb3ty:;;4?:3y>520=:9201<98:0ce?xu6?l0;6?u216:95<5<58=n6?>7;|q23<<72;q6=:7521:8941b283?7p}>7`83>7}:9>k1>=64=05f>4?23ty:;o4?:3y>52d=:9201<9j:0c3?xu6?j0;6?u216a965><58=n68783>7}:9>l1=4=4=0:5>76?3ty:4=4?:3y>5=6=:9201<69:0;7?xu6080;6?u2193965><582=6<7:;|q2<7<72;q6=5<521:894>128k;7p}>8283>7}:9191>=64=0:5>4ge3ty:494?:3y>5=2=:9201<69:0cf?xu60<0;6?u2197965><582=65<6std<;>h50;3xyk10<90;6>=6=4>{|l4311=83;pqc984983>4}zf>=?57>51zm322f290:wp`875`94?7|ug=<8n4?:0y~j213l3:1=vsa766f>5<6std<;9h50;3xyk10=90;6?=6=4>{|l4301=83;pqc985983>4}zf>=>57>51zm323f290:wp`874`94?7|ug=<9n4?:0y~j212l3:1=vsa767f>5<6std<;8h50;3xyk10>90;6<=6=4>{|l4331=83;pqc986983>4}zf>==57>51zm320f290:wp`877`94?7|ug=<:n4?:0y~j211l3:1=vsa764f>5<6std<;;h50;3xyk10?90;691<7?t}o5431<728qvb:985;295~{i?>==6=4>{|l4321=83;pqc987983>4}zf>=<57>51zm321f290:wp`876`94?7|ug=<;n4?:0y~j210l3:1=vsa765f>5<6std<;:h50;3xyk10090;62=6=4>{|l43=1=83;pqc988983>4}zf>=357>51zm32>f290:wp`879`94?7|ug=<4n4?:0y~j21?l3:1=vsa76:f>5<6std<;5h50;3xyk10190;63=6=4>{|l43<1=83;pqc989983>4}zf>=257>51zm32?f290:wp`878`94?7|ug=<5n4?:0y~j21>l3:1=vsa76;f>5<6std<;4h50;3xyk10i90;6k=6=4>{|l43d1=83;pqc98a983>4}zf>=j57>51zm32gf290:wp`87``94?7|ug=5<6std<;lh50;3xyk10j90;6h=6=4>{|l43g1=83;pqc98b983>4}zf>=i57>51zm32df290:wp`87c`94?7|ug=5<6std<;oh50;3xyk10k90;6i=6=4>{|l43f1=83;pqc98c983>4}zf>=h57>51zm32ef290:wp`87b`94?7|ug=5<6std<;nh50;3xyk10l90;6n=6=4>{|l43a1=83;pqc98d983>4}zf>=o57>51zm32bf290:wp`87e`94?7|ug=5<6std<;ih50;3xyk10m90;6o=6=4>{|l43`1=83;pqc98e983>4}zf>=n57>51zm32cf290:wp`87d`94?7|ug=5<6std<;hh50;3xyk10n90;6l=6=4>{|l43c1=83;pqc98f983>4}zf>=m57>51zm32`f290:wp`87g`94?7|ug=5<6std<;kh50;3xyk1?890;6=:182xh00991<7?t}o5;41<728qvb:6?5;295~{i?1:=6=4>{|l4<51=83;pqc970983>4}zf>2;57>51zm3=6f290:wp`881`94?7|ug=37l3:1=vsa792f>5<6std<4=h50;3xyk1?990;65;295~{i?1;=6=4>{|l4<41=83;pqc971983>4}zf>2:57>51zm3=7f290:wp`880`94?7|ug=3=n4?:0y~j2>6l3:1=vsa793f>5<6std<4{|l4<71=83;pqc972983>4}zf>2957>51zm3=4f290:wp`883`94?7|ug=3>n4?:0y~j2>5l3:1=vsa790f>5<6std<4?h50;3xyk1?;90;6{|l4<61=83;pqc973983>4}zf>2857>51zm3=5f290:wp`882`94?7|ug=3?n4?:0y~j2>4l3:1=vsa791f>5<6std<4>h50;3xyk1?<90;6=6=4>{|l4<11=83;pqc974983>4}zf>2?57>51zm3=2f290:wp`885`94?7|ug=38n4?:0y~j2>3l3:1=vsa796f>5<6std<49h50;3xyk1?=90;6{|l4<01=83;pqc975983>4}zf>2>57>51zm3=3f290:wp`884`94?7|ug=39n4?:0y~j2>2l3:1=vsa797f>5<6std<48h50;3xyk1?>90;6{|l4<31=83;pqc976983>4}zf>2=57>51zm3=0f290:wp`887`94?7|ug=3:n4?:0y~j2>1l3:1=vsa794f>5<6std<4;h50;3xyk1??90;691<7?t}o5;31<728qvb:685;295~{i?1==6=4>{|l4<21=83;pqc977983>4}zf>2<57>51zm3=1f290:wp`886`94?7|ug=3;n4?:0y~j2>0l3:1=vsa795f>5<6std<4:h50;3xyk1?090;6{|l4<=1=83;pqc978983>4}zf>2357>51zm3=>f290:wp`889`94?7|ug=34n4?:0y~j2>?l3:1=vsa79:f>5<6std<45h50;3xyk1?190;6{|l4<<1=83;pqc979983>4}zf>2257>51zm3=?f290:wp`888`94?7|ug=35n4?:0y~j2>>l3:1=vsa79;f>5<6std<44h50;3xyk1?i90;6{|l44}zf>2j57>51zm3=gf290:wp`88``94?7|ug=3mn4?:0y~j2>fl3:1=vsa79cf>5<6std<4lh50;3xyk1?j90;6{|l44}zf>2i57>51zm3=df290:wp`88c`94?7|ug=3nn4?:0y~j2>el3:1=vsa79`f>5<6std<4oh50;3xyk1?k90;6{|l44}zf>2h57>51zm3=ef290:wp`88b`94?7|ug=3on4?:0y~j2>dl3:1=vsa79af>5<6std<4nh50;3xyk1?l90;6{|l44}zf>2o57>51zm3=bf290:wp`88e`94?7|ug=3hn4?:0y~j2>cl3:1=vsa79ff>5<6std<4ih50;3xyk1?m90;6{|l4<`1=83;pqc97e983>4}zf>2n57>51zm3=cf290:wp`88d`94?7|ug=3in4?:0y~j2>bl3:1=vsa79gf>5<6std<4hh50;3xyk1?n90;6{|l44}zf>2m57>51zm3=`f290:wp`88g`94?7|ug=3jn4?:0y~j2>al3:1=vsa79df>5<6std<4kh50;3xyk1>890;6=:182xh01991<7?t}o5:41<728qvb:7?5;295~{i?0:=6=4>{|l4=51=83;pqc960983>4}zf>3;57>51zm3<6f290:wp`891`94?7|ug=25<6std<5=h50;3xyk1>990;65;295~{i?0;=6=4>{|l4=41=83;pqc961983>4}zf>3:57>51zm3<7f290:wp`890`94?7|ug=2=n4?:0y~j2?6l3:1=vsa783f>5<6std<5:90;6{|l4=71=83;pqc962983>4}zf>3957>51zm3<4f290:wp`893`94?7|ug=2>n4?:0y~j2?5l3:1=vsa780f>5<6std<5?h50;3xyk1>;90;6{|l4=61=83;pqc963983>4}zf>3857>51zm3<5f290:wp`892`94?7|ug=2?n4?:0y~j2?4l3:1=vsa781f>5<6std<5>h50;3xyk1><90;6=6=4>{|l4=11=83;pqc964983>4}zf>3?57>51zm3<2f290:wp`895`94?7|ug=28n4?:0y~j2?3l3:1=vsa786f>5<6std<59h50;3xyk1>=90;6{|l4=01=83;pqc965983>4}zf>3>57>51zm3<3f290:wp`894`94?7|ug=29n4?:0y~j2?2l3:1=vsa787f>5<6std<58h50;3xyk1>>90;6{|l4=31=83;pqc966983>4}zf>3=57>51zm3<0f290:wp`897`94?7|ug=2:n4?:0y~j2?1l3:1=vsa784f>5<6std<5;h50;3xyk1>?90;691<7?t}o5:31<728qvb:785;295~{i?0==6=4>{|l4=21=83;pqc967983>4}zf>3<57>51zm3<1f290:wp`896`94?7|ug=2;n4?:0y~j2?0l3:1=vsa785f>5<6std<5:h50;3xyk1>090;6{|l4==1=83;pqc968983>4}zf>3357>51zm3<>f290:wp`899`94?7|ug=24n4?:0y~j2??l3:1=vsa78:f>5<6std<55h50;3xyk1>190;6{|l4=<1=83;pqc969983>4}zf>3257>51zm3l3:1=vsa78;f>5<6std<54h50;3xyk1>i90;6{|l4=d1=83;pqc96a983>4}zf>3j57>51zm35<6std<5lh50;3xyk1>j90;6{|l4=g1=83;pqc96b983>4}zf>3i57>51zm35<6std<5oh50;3xyk1>k90;6{|l4=f1=83;pqc96c983>4}zf>3h57>51zm35<6std<5nh50;3xyk1>l90;6{|l4=a1=83;pqc96d983>4}zf>3o57>51zm35<6std<5ih50;3xyk1>m90;6{|l4=`1=83;pqc96e983>4}zf>3n57>51zm35<6std<5hh50;3xyk1>n90;6{|l4=c1=83;pqc96f983>4}zf>3m57>51zm3<`f290:wp`89g`94?7|ug=2jn4?:0y~j2?al3:1=vsa78df>5<6std<5kh50;3xyk1f890;6=:182xh0i991<7?t}o5b41<728qvb:o?5;295~{i?h:=6=4>{|l4e51=83;pqc9n0983>4}zf>k;57>51zm3d6f290:wp`8a1`94?7|ug=j5<6std5;295~{i?h;=6=4>{|l4e41=83;pqc9n1983>4}zf>k:57>51zm3d7f290:wp`8a0`94?7|ug=j=n4?:0y~j2g6l3:1=vsa7`3f>5<6std{|l4e71=83;pqc9n2983>4}zf>k957>51zm3d4f290:wp`8a3`94?7|ug=j>n4?:0y~j2g5l3:1=vsa7`0f>5<6std{|l4e61=83;pqc9n3983>4}zf>k857>51zm3d5f290:wp`8a2`94?7|ug=j?n4?:0y~j2g4l3:1=vsa7`1f>5<6stdh50;3xyk1f<90;6=6=4>{|l4e11=83;pqc9n4983>4}zf>k?57>51zm3d2f290:wp`8a5`94?7|ug=j8n4?:0y~j2g3l3:1=vsa7`6f>5<6std{|l4e01=83;pqc9n5983>4}zf>k>57>51zm3d3f290:wp`8a4`94?7|ug=j9n4?:0y~j2g2l3:1=vsa7`7f>5<6std90;6{|l4e31=83;pqc9n6983>4}zf>k=57>51zm3d0f290:wp`8a7`94?7|ug=j:n4?:0y~j2g1l3:1=vsa7`4f>5<6std91<7?t}o5b31<728qvb:o85;295~{i?h==6=4>{|l4e21=83;pqc9n7983>4}zf>k<57>51zm3d1f290:wp`8a6`94?7|ug=j;n4?:0y~j2g0l3:1=vsa7`5f>5<6std{|l4e=1=83;pqc9n8983>4}zf>k357>51zm3d>f290:wp`8a9`94?7|ug=j4n4?:0y~j2g?l3:1=vsa7`:f>5<6std{|l4e<1=83;pqc9n9983>4}zf>k257>51zm3d?f290:wp`8a8`94?7|ug=j5n4?:0y~j2g>l3:1=vsa7`;f>5<6std{|l4ed1=83;pqc9na983>4}zf>kj57>51zm3dgf290:wp`8a``94?7|ug=jmn4?:0y~j2gfl3:1=vsa7`cf>5<6std{|l4eg1=83;pqc9nb983>4}zf>ki57>51zm3ddf290:wp`8ac`94?7|ug=jnn4?:0y~j2gel3:1=vsa7``f>5<6std{|l4ef1=83;pqc9nc983>4}zf>kh57>51zm3def290:wp`8ab`94?7|ug=jon4?:0y~j2gdl3:1=vsa7`af>5<6std{|l4ea1=83;pqc9nd983>4}zf>ko57>51zm3dbf290:wp`8ae`94?7|ug=jhn4?:0y~j2gcl3:1=vsa7`ff>5<6std{|l4e`1=83;pqc9ne983>4}zf>kn57>51zm3dcf290:wp`8ad`94?7|ug=jin4?:0y~j2gbl3:1=vsa7`gf>5<6std{|l4ec1=83;pqc9nf983>4}zf>km57>51zm3d`f290:wp`8ag`94?7|ug=jjn4?:0y~j2gal3:1=vsa7`df>5<6std=:182xh0j991<7?t}o5a41<728qvb:l?5;295~{i?k:=6=4>{|l4f51=83;pqc9m0983>4}zf>h;57>51zm3g6f290:wp`8b1`94?7|ug=i5<6std5;295~{i?k;=6=4>{|l4f41=83;pqc9m1983>4}zf>h:57>51zm3g7f290:wp`8b0`94?7|ug=i=n4?:0y~j2d6l3:1=vsa7c3f>5<6std{|l4f71=83;pqc9m2983>4}zf>h957>51zm3g4f290:wp`8b3`94?7|ug=i>n4?:0y~j2d5l3:1=vsa7c0f>5<6std{|l4f61=83;pqc9m3983>4}zf>h857>51zm3g5f290:wp`8b2`94?7|ug=i?n4?:0y~j2d4l3:1=vsa7c1f>5<6stdh50;3xyk1e<90;6=6=4>{|l4f11=83;pqc9m4983>4}zf>h?57>51zm3g2f290:wp`8b5`94?7|ug=i8n4?:0y~j2d3l3:1=vsa7c6f>5<6std{|l4f01=83;pqc9m5983>4}zf>h>57>51zm3g3f290:wp`8b4`94?7|ug=i9n4?:0y~j2d2l3:1=vsa7c7f>5<6std90;6{|l4f31=83;pqc9m6983>4}zf>h=57>51zm3g0f290:wp`8b7`94?7|ug=i:n4?:0y~j2d1l3:1=vsa7c4f>5<6std91<7?t}o5a31<728qvb:l85;295~{i?k==6=4>{|l4f21=83;pqc9m7983>4}zf>h<57>51zm3g1f290:wp`8b6`94?7|ug=i;n4?:0y~j2d0l3:1=vsa7c5f>5<6std{|l4f=1=83;pqc9m8983>4}zf>h357>51zm3g>f290:wp`8b9`94?7|ug=i4n4?:0y~j2d?l3:1=vsa7c:f>5<6std{|l4f<1=83;pqc9m9983>4}zf>h257>51zm3g?f290:wp`8b8`94?7|ug=i5n4?:0y~j2d>l3:1=vsa7c;f>5<6std{|l4fd1=83;pqc9ma983>4}zf>hj57>51zm3ggf290:wp`8b``94?7|ug=imn4?:0y~j2dfl3:1=vsa7ccf>5<6std{|l4fg1=83;pqc9mb983>4}zf>hi57>51zm3gdf290:wp`8bc`94?7|ug=inn4?:0y~j2del3:1=vsa7c`f>5<6std{|l4ff1=83;pqc9mc983>4}zf>hh57>51zm3gef290:wp`8bb`94?7|ug=ion4?:0y~j2ddl3:1=vsa7caf>5<6std{|l4fa1=83;pqc9md983>4}zf>ho57>51zm3gbf290:wp`8be`94?7|ug=ihn4?:0y~j2dcl3:1=vsa7cff>5<6std{|l4f`1=83;pqc9me983>4}zf>hn57>51zm3gcf290:wp`8bd`94?7|ug=iin4?:0y~j2dbl3:1=vsa7cgf>5<6std{|l4fc1=83;pqc9mf983>4}zf>hm57>51zm3g`f290:wp`8bg`94?7|ug=ijn4?:0y~j2dal3:1=vsa7cdf>5<6std=:182xh0k991<7?t}o5`41<728qvqpsO@By;4a6=nk8j959i}ABA5{GHYqvLM \ No newline at end of file diff --git a/cpld/XC95144XL/MXSE 2.ngc b/cpld/XC95144XL/MXSE 2.ngc new file mode 100644 index 0000000..46db088 --- /dev/null +++ b/cpld/XC95144XL/MXSE 2.ngc @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$53;4=792;:>6?=:NWWTPR=ZH6:=7>111922?OIX\^1M1<<:9<24>462@D[YY4N<3395;733;91EC^ZT;C\BVD;::0:285N<02=1>G;984>7L2>2?78E9746<1J0<:15:C?50823H6::3;4A=34:0=F482596O318<7?D:66<1J0?>17:C?64<66<1J0??15:C?67803H69?7615:C?66833H69295N<2<7?D:36=1J080;;@>5:1=F4>4?7L27>59B8<833HFN<85NLD2N1>GKM9Yh7LBJ0^ov|5678m1J@H>Pmtz34566l2KGI=Qbuy23454c3HFNGIL=>0MCJ:4:CM@32374A^DPF974601JSK]M<06==>GXNZH7=806;@]EWG:6>730MRH\B=34:<=FWOYI0<619:C\BVD;90437LQISC>2:<=FWOYI0?>19:C\BVD;:8427LQISC>16;d546OPFR@?1;>GXNZH753j4A^DPFZ77W@H^Ji5N_GQA[47XAK_Mh6OPFR@\57YNJ\Lo7LQISC]27ZOE]On0MRH\B^37[LDRNm1JSK]M_07\MGSAl2KTJ^LP17]JFP@c3HUM_OQ>7^KAQCbGXNZHT=RGMUGf8EZ@TJV8;SDLZFe9B[CUEW;;TEO[Id:C\BVDX:;UBNXHk;@]EWGY5;VCIYKm4A^DPFZ4XAK_Mo6OPFR@\7ZOE]Oi0MRH\B^6\MGSAk2KTJ^LP5^KAQCeGXNZHT;RGMUGa8EZ@TJV2TEO[Ic:C\BVDX1VCIYK:4B@AW1>DFK];>7OOLT378FDESz=1II_\:;CGQV50=5:@FVW713KOY^<|j;CGQV4tXWfx;<=>i;CGQV4tXWfx;<=>>f:@FVW7uWVey<=>?249AAWT5=2HN^_=:;CGQV13DBZ[UTc>?010g?GCUZVUd~=>?02f8F@TUWVey<=>?4e9AAWTXWfx;<=>:d:@FVWYXg{:;<=89;CGQVw`>3KOY^hPN1c8F@TUzoUE<<84BDPQvwcE6>D90O5C;;BC@P7=DM:1H@F74CMI1\ZOHJl1H@F1H@FQISCa8GIMXNZHTEO[I7:AOOZOHJj1H@FQFOC]JFP@43JFY?6MAT89@KHKN\]OO;6M]E@VF@7=D[?1H`ho}1g9@h`gu9VUjbi>?01d8Gicfz8Ujbi>?0132?A3Bf|h6:2<5J5:GP85823LY7=3;4ER>1:0=B[59596K\<5<7?@UX8m1N_R>P_`lg4567m2OXS=QPaof34566m2OXS=QPaof34565m2OXS=QPaof34564m2OXS=QPaof34563m2OXS=QPaof34562m2OXS=QPaof34561<2OXS95JS^0g?@UX:VUjbi>?01g8AVY5WVkeh=>?00g8AVY5WVkeh=>?0368AVY4l2OXS>QPaof3456b3LYT?RQnne23457b3LYT?RQnne2345433LYT8i5JS^6\[dhc89:;i6K\_5]\ekb789::i6K\_5]\ekb789:9=k5JS^Ob`aYXimn;<=>PMymq[Wct}e~7=3?i;DQ\IdbcWVkoh=>?0^O{kwYUmzgx1<11g9FWZKflmUTmij?012\I}iuW[oxyaz33?3e?@UXEhnoSRokd1234ZKg{UYi~{ct=6=5<=B[VGjhiQPaef3456XWhdo<=>?1`9FWZKflmUTmij?012\[dhc89:;=<74ER]NeabXWhno<=>?_^cm`56798k0I^QBaef\[dbc89:;SRoad123547>3LYTAljk_^cg`5678VUjbi>?033b?@UXEhnoSRokd1234ZYffm:;i;DQ\UZ7Xg{:;<=?>f:GP[TY6Wfx;<=>>2d9FWZWX9Vey<=>?2d9FWZWX9Vey<=>?3d9FWZWX9Vey<=>?4d9FWZWX9Vey<=>?5d9FWZWX9Vey<=>?6d9FWZWX9Vey<=>?7d9FWZWX9Vey<=>?8d9FWZWX9Vey<=>?9d9FWZYflm:;<=2>>d9FWZYflm:;<=2=>d9FWZYflm:;<=2<>d9FWZYflm:;<=2;>49FPDELl2O_MNEPaof3456b3L^JOFQnne23457b3L^JOFQnne23454b3L^JOFQnne23455b3L^JOFQnne23452b3L^JOFQnne23453b3L^JOFQnne2345023LUBCOo4E^KLFZOE]O80I=4Es0a?@tXKeaTECX>5:Gq[K633OIGG?5ID59E@FC43OYI=6I<;FLG5>O53@:97D?=;H01?L553@>97D;=;H41?L133@KH_85FABQ21>OFKZ8>7DOLS268MGSA12CEEY][AUG0?LHQ=2CDMNZk;HMBGQYj}q:;<=k4INC@PZkrp9:;<i;HMBGQYj}q:;<=?>f:KLEFRXe|r;<=>>2d9JKDESWds<=>?2d9JKDESWds<=>?3d9JKDESWds<=>?4d9JKDESWds<=>?5d9JKDESWds<=>?6d9JKDESWds<=>?7d9JKDESWds<=>?8d9JKDESWds<=>?979JKDESz?1BCOK]Rd9JKGCUZVddx=>?1g9JKGCUZVddx=>?10d8MJDBZ[Uecy>?000e?LIEM[XTbbz?01300>OHJD>0EBL\4:KLGV3?0e9JKI6Xe|r;<=>>d:KLH5Yj}q:;<=j4INN3[hs89:;8i5FOM2\ip~789:>86G@L0a8MJJ6Wge<=>>d:KLH4Yig}:;<1BC]YLS578MJTBYm1BC_K^_lw{4567m2CD^H_Pmtz34566n2CD^H_Pmtz345668l1BC_K^_lw{4567:l1BC_K^_lw{4567;l1BC_K^_lw{4567l1BC_K^_lw{4567?l1BC_K^_lw{45670l1BC_K^_lw{45671?1BC_K^r49JKWQ7l2CD^Z>Pmtz3456b3@EY[=Qbuy23457b3@EY[=Qbuy23454b3@EY[=Qbuy23455b3@EY[=Qbuy23452c3@EY[=Qaou2344ci6G@RV3\ekb789:=;6G@Rdcg}46=c:KLWZ@TEVLMh4INQ\BVKXNOn9!D`>119JKVYA[DUMJi<"Io024>OH[VLXARHId3/Jj6eOH[VLXARHId2/Jj46??;HMP[CUJWOLo? Ga4028MJUXNZGTJKj<-Hl655=NGZUM_@QIFe1.Mk0682CD_RH\M^DE`6+Nf>;;7DA\_GQN[C@c;$Ce4<>4INQ\BVKXNOn8!D`6c:KLWZ@TEVLMh9m4INQ\BVKXNOn>o6G@S^DPIZ@Al?i0EB]PFRO\BCb0n2CD_RH\M^DE`2+Nf8:0EB]PFRO\BCb0%@d:==5FOR]EWHYANm=&Ec<>0:KLWZ@TEVLMh:#Fn2a8MJUXNZGTJKj7f:KLWZ@TEVLMh5#Fn028MJUXNZGTJKj7-Hl255=NGZUM_@QIFe:.Mk4682CD_RH\M^DE`=+Nf:;;7DA\_GQN[C@c0$Ce895FOT2`?LIR8Vg~t=>?0e9JKP6Xe|r;<=>>d:KLQ5Yj}q:;<=j4INW3[hs89:;8i5FOT2\ip~789:>86G@U0a8MJS6Wge<=>>d:KLQ4Yig}:;<;N68KGSA;2EY>>5@R218KW233Fdhin5@nbg\[jt789:o7B`le^]lv56788n0Ccmj_^mq4567:m1DbnkP_np34564l2EeohQPos23452c3FdhiRQ`r12340bIiklUTc>?01:g?JhdmVUd~=>?0838Tf=W&;::?<>>3^N7?UGU\h1[ECQMURKG\g=WAGUIY^@NMD`8TVYA[DUMJi?j;QQ\BVKXNOn:!D`i;QQ\BVKXNOn:!D`>f:RP[CUJWOLo= Ga2c9SWZ@TEVLMh?k4PR]EWHYANm8&Ech4PR]EWHYANm8&Ec?>0:RP[CUJWOLo> Ga1133?UUXNZGTJKj=-Hl2546 Ga7g9SWZ@TEVLMh?#Fn9d8TVYA[DUMJi<"Io;a?UUXNZGTCxz?c:RP[CUJWF<3:3=UI5;;255]A=32>5813[K7=<0:;SC?5;3TF4=4>7_O35?78VD:16<1YM1915:PB8=823[K753=4R@O6?WGJKZ<0^LCLS048VDKD[;<0^LCLS248VDKD[=<0^LCLS448VDKD[?<0^LCLS648VDKD[1<0^LCKIR58VDKCAZ;m7_OBDHQ2[hs89:;==5]ALFJW4Yj}q:;<=?>1:PBIAOT9Vg~t=>?00225>TFEMCX=Rczx123447692XJAIG\1^ov|567888:=6\NMEKP5Zkrp9:;<<=>1:PBIAOT9Vg~t=>?00625>TFEMCX=Rczx123443692XJAIG\1^ov|56788<:=6\NMEKP5Zkrp9:;<<9>1:PBIAOT9Vg~t=>?00:25>TFEMCX=Rczx12344?682XJAIG\1^ov|5678;;;7_OBDHQ2[hs89:;?<>4R@OGMV7Xe|r;<=>;119QEHBN[8Ufyu>?01724>TFEMCX=Rczx12343773[KFHD]>_lw{4567?8:0^LCKIR3\ip~789:3==5]ALFJW4Yj}q:;<=78;SCN@LU5n2XJAIG\2^]lv56788:0^LCKIR0\[jt789::=<5]ALFJW7YXg{:;<=??119QEHBN[;UTc>?01024>TFEMCX>RQ`r12346773[KFHD]=_^mq4567<8:0^LCKIR0\[jt789:>==5]ALFJW7YXg{:;<=8>0:PBIAOT:VUd~=>?0633?WGJL@Y9SRa}0123<46?2XJAIG\369QEHBN[==0^LCKIR7;?WGJZlkou<>4R@OQadb~Wds<=>?109QEHTbimsTaxv?012257=UIDXnmiwPmtz345668880^LC]e`fz[hs89:;=?00026>TFE[ojhtQbuy2345749;1YM@\jae{\ip~789::8<<4R@OQadb~Wds<=>?1431?WGJZlkouRczx123440692XJA_kndx]nq}67898:=6\NMSgb`|Yj}q:;<==>1:PBIWcflpUfyu>?01625>TFE[ojhtQbuy23453692XJA_kndx]nq}6789<:=6\NMSgb`|Yj}q:;<=9>1:PBIWcflpUfyu>?01:25>TFE[ojhtQbuy2345?23[KXIAj4R@QFHZkrp9:;>3g9QEVCKWds<=>?15d8VDUBDVg~t=>?007e?WGTMEUfyu>?0135b>TF[LFTaxv?01223c=UIZOGS`{w01235=`;k4R@QFHZkrp9:;<>k4R@QFHZkrp9:;<9k4R@QFHZkrp9:;<8k4R@QFHZkrp9:;<;k4R@QFHZkrp9:;<:k4R@QFHZkrp9:;<5k4R@QFHZkrp9:;<474R@]3[JDRNj1YMR>Pmtz3456c3[KTTFW9Ufyu>?016b?WGX99UDNXHn;SC\54YHJ\L27_OP1^MAQCeTFW8Ufyu>?013g?WGX9Vg~t=>?03f8VDY6Wds<=>?3e9QEZ7Xe|r;<=>;9:PB[7YHJ\Lh7_OP2^ov|5678m1YMRRczx12346bTaxv?012g?WGX?00f8VDY3Wds<=>?2e9QEZ2Xe|r;<=>S`{w01237a=UIV?Taxv?0127=>TFW?UDNXHl;SC\2Zkrp9:;TFW>Ufyu>?01f8VDY0Wds<=>?1e9QEZ1Xe|r;<=>=d:PB[2Yj}q:;<==k;SC\3Zkrp9:;<974R@];[JDRNj1YMR6Pmtz3456c3[KT4Rczx12344bTFW1Ufyu>?016:?WGX1VEIYKm4R@]:[hs89:;h6\N_8]nq}6789;o7_OP9^ov|5678;n0^LQ6_lw{4567;m1YMR7Pmtz34563;2XN_95]ER36?WCTzo?0^H]}re9QAVtuWge<=>>e:PFWwtXff~;<=?>5:PLIFU13[EFO^?9;SMNGV413[EFO^=9;SMNGV213[EFO^;9;SMNGV013[EFO^9m;SQ\BVKXNOn:i6\\_GQN[C@c9$Cej6\\_GQN[C@c9$Ce=<>4RR]EWHYANm;&Ec??119QWZ@TEVLMh<#Fn0324>TTWOYFSKHk1,Km57773[YTJ^CPFGf2)Lh6;8:0^^QISL]EBa7*Ag;?j6\\_GQN[C@c9$Ce>k5]S^DPIZ@Al8'Bb>h4RR]EWHYANm;&Ec:i;SQ\BVKXNOn:!D`:f:PP[CUJWOLo= Ga6g9QWZ@TEVLMh<#Fn6d8VVYA[DUMJi?"Io:e?WUXNZGTJKj>-Hl:f>TTWOYFSKHk2d9QWZ@TEVLMh?#Fng9QWZ@TEVLMh?#Fn033?WUXNZGTJKj=-Hl2446??;SQ\BVKXNOn9!D`>4028VVYA[DUMJi<"Io3655=U[VLXARHId3/Jj40682XXSK]B_GDg6(Oi9>;;7_]PFRO\BCb5%@d:4<>4RR]EWHYANm8&Ec?6f:PP[CUJWOLo> Ga2g9QWZ@TEVLMh?#Fn2d8VVYA[DUMJi<"Io6e?WUXNZGTJKj=-Hl6b>TTWOYFSKHk2,Km2c=U[VLXARHId3/Jj2`a3[YTJ^CPFGf1)Lh>j2XXSK]B_GDg7`=U[VLXARHId2/Jjc=U[VLXARHId2/Jj4773[YTJ^CPFGf0)Lh68o1Y_RH\M^DE`6+Nf;l0^^QISL]EBa5*Ag9m7_]PFRO\BCb4%@d?j6\\_GQN[C@c;$Ce9k5]S^DPIZ@Al:'Bb;h4RR]EWHYANm9&Ec9i;SQ\BVKXNOn8!D`7f:PP[CUJWOLo? Ga9c9QWZ@TEVE~x5m4RR]EWHYH}}2:o6\\_GQN[Jss0;?0^hoky79Qadb~8>1Yiljv0sd8V`gcq9xTSb|?01224>Tbims;~RQ`r12344773[ojht>}_^mq4567:?1Yiljv169Qadb~9{l0^hoky0p\[jt789::<6\jae{2vZYhz9:;<?279Qadb~:>1Yiljv2sd8V`gcq;xTSb|?01224>Tbims9~RQ`r12344773[ojht<}_^mq4567:?1Yiljv379Qadb~TbnJd0>06;SgeGkr;<730^hhLnu>6:<=UmoIex1819:PfbFhs4>437_kiCov\4==UmoIexR?7;SgeGkrX:11YikMat^1;?WcaKg~T855]egAmpZ3?3[omOczP699QacEi|V=9?6\jfBlw[HgclVUjhi>?01]N|jtXZly~`y2>>318V``Df}UFmijP_`fg4567WDrd~R\jstnw8785;2XnjN`{_Lcg`ZYflm:;<=QBxnp\V`urd}682?=4Rdd@jqYJimnTSljk0123[H~hzVXnxb{<5<17>TbnJdS@okd^]b`a6789UFtb|PRdqvhq:26;90^hhLnu]NeabXWhno<=>?_LzlvZTb{|f0;0=3:PfbFhsWDkohRQnde2345YJpfxT^h}zlu>4:70d:PfbFhsWDkohRQnde2345YXign;<=?>e:PfbFhsWDkohRQnde2345YXign;<=?>1e9QacEi|VGjhiQPaef3456XWhdo<=>=1d9QacEi|VGjhiQPaef3456XWhdo<=>=10f8V``Df}UFmijP_`fg4567WVkeh=>?30g8V``Df}UFmijP_`fg4567WVkeh=>?303g?WcaKg~TAljk_^cg`5678VUjbi>?053f?WcaKg~TAljk_^cg`5678VUjbi>?0532`>TbnJdS@okd^]b`a6789UTmcj?0172a>TbnJdS@okd^]b`a6789UTmcj?017257=UmoIexRQnde2345:76880^hhLnu]\eab789:7=3?=;SgeGkrXWhno<=>?<3<26>TbnJdSRokd12349599;1YikMat^]b`a67896?2<<4Rdd@jqYXimn;<=>35?31?WcaKg~TSljk01238386:2XnjN`{_^cg`56785=5;6\jfEmma464RddGkkcXWfx;<=>>139QacBhflUha}Qjq123445?2018V``CggoTo`~Pep234556;2XnjIaae^antZcv89:;8<=4RddGkkcXkdzTi|>?01727>TbnMeeiRmbp^gr4567>890^hhKoog\ghvXmx:;<=9>3:PfbAiimVif|Rk~0123<45Tbn\xliczn;SgeQwabf};j7_kiUsefjq4f3[omYijnu1b?Wca]{mnby:9;Sgpqir13ZIXEN]8;RAPMFU6?2YH_DM\269PGVOD[:=0_N]FCR64?VETAJY>:6]@USAFe>Uil[KFO^Y]b:Qm`WGJKZ]Y=n5\nePBIFUPZ8:h7^`kR@O@WRT69j1Xbi\NMBQTV44e3Zdo^LCLSVP1f>Uil[KFO^Y]3c9PjaTFEJY\^9l4SofQEHET_[?i7^`kR@O@WRT1j2Yeh_OBCRUQ3g=TfmXJAN]XR9`8WkbUIDIX[_7;;UPVA1=SQYO37YgbenwwE46<\`gncxzN_^mq4567981_e`k`uuC\[jt789::=?5[ilglqqGXWfx;<=>>0038Plkbg|~JSRa}0123647<\`gncxzN_^mq4567;8;0XdcjotvB[Ziu89:;8?5038Plkbg|~JSRa}0123247<\`gncxzN_^mq4567?8;0XdcjotvB[Ziu89:;4?999Wmhch}}Hi7YgbenwwFUtb9:1_e`k`uu@Sv`YXg{:;<=?;;UknajssJYxnSRa}0123542<\`gncxzMPsg\[jt789:9==5[ilglqqDXWfx;<=>>1:Vji`ir|KUTc>?01325>Rnele~xOQPos23454692^bahaztC]\kw67899h7X]JR^TJWLDKM:1]ON74VHGT[Q_WM:1]\Lm4VQC\[dhc89:;h6X_A^]bja6789;i7[^N_^mq4567k2\[MRQ`r12344e<^YKTSb|?0121g>PWIVUd~=>?02a8RUGXWfx;<=>;c:TSEZYhz9:;<8m4VQC\[jt789:=96X_Asd6?SVFz{n0Z]O}r^llp5679l1]\L|}_omw45669m1]ei\NMBQTV32lm2\bh_OBCRUQ21m6m2\bh_OBCRUQ21m5m2\bh_OBCRUQ21m4m2\bh_OBCRUQ21m3m2\bh_OBCRUQ21m2m2\bh_OBCRUQ21m1m2\bh_OBCRUQ21m0m2\bh_OBCRUQ21m?9m1SEAGAX,ZGF%6)9)Y_YO.?.0"BWFON<2RD^?84XRVOMG12RonRGk119[`hYJiceyZh||inl24>^ceVGbbb|Yesqjkk0?010;?Zkrp9:;<>5lnu08gv5?01310>ohjd>0ebl|9:lB@jssDL:j7cOKotvOA57e3gKOcxzCE132f>hFLf@H>>2c9mEAir|EO;=>k4n@FlqqJB8VEIYK74n@FlqqJB9h1eMIaztMG25`=iIMe~xAK>_N@VB6=iIZ=0bL]PFR@`?kGTWOYISDLZF69mEVYNGKi0bL]PIN@\KGSAj2dJ_R``t1235f=iIZUecy>?003`?kGTWge<=>>2b9mEVYig}:;<<=l;oCP[kis89::8n5aAR]mkq6788?h7cO\_omw4566>>1eMb{{ODa8jDir|FOTCO[I5:lAAWT13gHN^_?6;o@FVWYA[Ko0bOK]R^DPFZIE]O30bOK]R^KLF`=iJLXYSDAM_H@VB1=iKHYo7cMNS^AooZOI^8=0bNO\_O2:?kEF[VEIYKm4nBCP[kis89::h6`LAR]mkq6788;=7cJ[ABIb?kBSIJATJ^Li;oFWEFMXNZHTCO[Ia:lGPDELW@EIj6`KT@AH[LIEW@H^Jh5aDUC@OZYhz9:;hCagFNSb|?012f?kBnfEOTc>?0135?kBnfFO<7cJfnNG23>hCagEN>:5aDhlLA6d>2028jAir|FOTbbz?013055=iLfCHQaou23442682dOcxz@E^llp5679<;;7cJ`uuMF[kis89:::<>4nEmvpJCXff~;<=?8119m@jssGLUecy>?00:24>hCg|~DIR``t1235<2hKLZUd~=>?10a8jIBTWfx;<=?=c:lO@VYhz9:;=>m4nMFP[jt789;?o6`CDR]lv5679hKLZUd~=>?1868jIQB=2dG[H?l;oNTAZgil9:;hHM;20bBKPOCWE=>hH~lxgmt>>3:lLr`tkip:TMnb}_HLU5f=iGoy`lw?_O225>hH~lxgmt>Paof34566:2dDzh|cax2\ekb789::=?5aOwgqhd7Whdo<=>?2008jJpbzekr:>6`@vdpoe|6Xign;<=>:139mKscudhs;Sl`k01232<=iGoy`lw>159mKscudhs:SNbdEo]JJS7d3gE}ibny0]M42=iZHGG[Hm4nSCNHRCXGK_M;6`]ALWTAf=iZHG^[HQ@BTD7?kTF[<1e^L]>5:lQEV423gXJ_>;4nSCP00=iZHY>56`]AR]LFP@33gXN_45aRDQ\MGSA>2dYC@M\7:lQKHET9k1e^BCLS^MAQC0hRLZ20bXJ\_GQA`>hRLZUM_OQFBTD;?kSC[VCDNi5aUEQ\MJDXGK_Mo6`ZDR]bja6789n0bXJ\_`lg45679m1eYI]Paof34565k2d^H^Qaou2344b7c[XE0a8jPQBWhdo<=>?d:lVS@Yffm:;<=?k;oWTAZgil9:;hQEHUTc>?017g?kPJIVUd~=>?07f8jSKFWVey<=>?7e9mRHGXWfx;<=>7d:lUIDYXg{:;<=7l;oTNEZgil9:;hQXHUM_OQ@BTD;?kPWIVCDNi5aVQC\MJDXAK_M?6`XE69mS@YA[Ki0bZKPFR@\MGSA;2xja55wc8734}jzHIz:>>o4@Az2=44g1289?:;>m:0`451}i;<>1=6`<5485?!52;39?i6s\968012<6i?0:?9890c82f2713m;2=7>51;3xW<>=;<=1=l85126525d=9k=:86x[31d94?7=938m8v]68;163?7f>3;88;8?b;3a342<,:9=6<6k;%3b3?26?2h:5<4?:51914v<55;'5=e=;8n0e4=50;9l7=?=831b?;h50;9l05`=831b?h;50;9l7`7=831d?i;50;9j7=6=831d?i?50;9l7=4=831b?im50;9j7=d=831d?:?50;9l72g=831d?i=50;9j047=831d?h950;9l7f6=831d?;;50;9l73d=831b?l850;9j5;n1ga?6=3`o<6=44o2;3>5<5<5<5<6=4+1`;95f25<#9h31=n:4n0c;>4=5<#9h31=n:4n0c;>6=5<#9h31=n:4n0c;>0=5<#9h31=n:4n0c;>2=5<#9h31=n:4n0c;><=5<#9h31=n:4n0c;>g=a8870>h6i10;76g;3;29 4g>2=>0b5$0c:>1254i2d94?"6i00?86`>a987?>o4m3:1(j50;&2e<<3<2d:m549;:k0g?6=,8k269:4n0c;>2=a8870>h6i10376g2=>0b5$0c:>12a98`?>o4>3:1(c=a8870>h6i10:<65f4`83>!7f13>?7c?n8;32?>o313:1(40<3k9>h7>51c87f?3asA9886*<1d8:=>\?03;p?7s+19a974b<0;66g>i6900;66g;1283>>i6l90;66a=7183>>iak3:17d?9:18'5d?=9<1e=l650:9j51<72-;j57?:;o3b65f1083>!7f13;>7c?n8;18?l`=83.:m44>5:l2e=<332cn6=4+1`;950=i9h21965fd;29 4g>28?0ba8821>h6i10376gn:18'5d?=9<1e=l659:9j=?6=,8k26<;4n0c;>d=5<#9h31=85a1`:9`>=n9l0;6)?n9;36?k7f03o07d?k:18'5d?=9<1e=l65f:9a707=83;1<7>t$23f>c4<@:?;7E=<4:me5?6=3th89?4?:083>5}#;8o1?>;4H273?M54<2e:m84?::a7f2=83?1<7>t$23f>``<@:?;7E=<4:&244<73`2o6=44i8794?=n000;66g6d;29?j7d93:17pl0<729q/?5<52;294~"49l0:5<52;294~"49l0:5<5;hdb>5<;?;I100>oa<3:17dh::188mc0=831bj:4?::ke9483>>{eno0;6>4?:1y'74c=:h1C?8>4H217?l`32900ek;50;9l5<3=831vn>>n:180>5<7s-9:i7N4;=1bj94?::ke1?6=3f;297>5;|`04g<72;0;6=u+30g9554<@:?;7E=<4:ke7?6=3f;297>5;|`04f<72;0;6=u+30g9554<@:?;7E=<4:ke7?6=3f;297>5;|`04a<72:0;6=u+30g96d=O;<:0D>=;;hd7>5<=3:17pl>3783>7<729q/?=3:17pl>3683>7<729q/?=3:17pl>3983>7<729q/?=3:17pl>3883>1<729q/?5;hd6>5<6=44}c1`=?6=:3:1=;;hd0>5<6=44}c1`e?6=:3:1=;;hd0>5<6=44}c1`f?6=:3:1=;;hd0>5<6=44}c1`g?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{e;jn1<7:50;2x 67b2;h0D>;?;I100>oa<3:17dh::188mc0=831d=4;50;9~f4b629096=4?{%12a?77:2B89=5G3268mc5=831d=4;50;9~f4b529086=4?{%12a?4f3A9><6F<359jb1<722cm97>5;n3:1?6=3th:h>4?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th:h94?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th:h84?:283>5}#;8o1>l5G3428L6533`l?6=44ig794?=h90?1<75rb0f5>5<4290;w)=>e;0b?M5282B8?95ff583>>oa=3:17b?65;29?xd6l>0;6>4?:1y'74c=90=0D>;?;I100>oa<3:17dh::188k4?22900qo?k8;297?6=8r.8=h4=a:J015=O;:>0ek:50;9jb0<722e:584?::a627=8381<7>t$23f>4653A9><6F<359jb6<722e:584?::a624=8381<7>t$23f>4653A9><6F<359jb6<722e:584?::a625=8381<7>t$23f>4653A9><6F<359jb6<722e:584?::a622=8381<7>t$23f>4653A9><6F<359jb6<722e:584?::a623=8391<7>t$23f>4?03A9><6F<359jb1<722cm97>5;n3:1?6=3th9;;4?:283>5}#;8o1>l5G3428L6533`l?6=44ig794?=h90?1<75rb354>5<3290;w)=>e;0a?M5282B8?95ff583>>oa=3:17dh9:188k4?22900qo=;6;291?6=8r.8=h4=c:J015=O;:>0ek:50;9jb0<722cm:7>5;hd4>5<6=44}c173?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{e;=21<7=50;2x 67b2:>m7E=:0:J071=nn=0;66gi5;29?j7>=3:17pl<4883>7<729q/?=3:17pl<4`83>7<729q/?=3:17pl<4c83>6<729q/?<6F<359jb1<722cm97>5;n3:1?6=3th88i4?:583>5}#;8o1>o5G3428L6533`l?6=44ig794?=nn?0;66a>9483>>{e;8;1<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo=>5;297?6=8r.8=h4>969K706<@:9?7dh;:188mc3=831d=4;50;9~f671290>6=4?{%12a?4d3A9><6F<359jb1<722cm97>5;hd5>5<6F<519K7626F<519K7626F<519K7626F<519K762=3:17pl<1b83>0<729q/?5;|`057<72:0;6=u+30g96d=O;<:0D>=;;hd7>5<1<75ff483>>i61<0;66sm30694?5=83:p(>?j:3c8L6373A9886gi4;29?l`22900c<7::188yg76i3:1>7>50z&05`<68;1C?8>4H217?l`42900c<7::188yg75:3:197>50z&05`<5k2B89=5G3268mc2=831bj84?::ke2?6=3`l<6=44o0;6>5<53;294~"49l0:5:5G3428L6533`l?6=44ig794?=h90?1<75rb007>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rb006>5<4290;w)=>e;0b?M5282B8?95ff583>>oa=3:17b?65;29?xd6:?0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd6:>0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd6:10;6>4?:1y'74c=:h1C?8>4H217?l`32900ek;50;9l5<3=831vn<<6:180>5<7s-9:i7N4;=1bj94?::ke1?6=3f;297>5;|`25g<72:0;6=u+30g96d=O;<:0D>=;;hd7>5<1<75ff483>>i61<0;66sm10f94?2=83:p(>?j:3`8L6373A9886gi4;29?l`22900ek850;9l5<3=831vn5<7s-9:i7N4;=1bj94?::ke1?6=3f;297>5;|`25c<72:0;6=u+30g95<1<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<53;294~"49l09m6F<519K7621<75ff483>>i61<0;66sm13394?5=83:p(>?j:0;4?M5282B8?95ff583>>oa=3:17b?65;29?xu1290?wS84=gf9b3=:;8<1j9523059b6=z{>0;69uQ7:?e`?`0349::7h:;<1274?=n:1v44?:5y]=>;al3l270=>1;d6?875;3l?7p}n:186[g<5on1jl523049b2=:;8k1j>521319b0=z{k0;6>uQb:?e`?`e349:=7h;;|q`>5<3sWi01kk5f59>710=n<16=?<5f59~wa<72=qUh63ie;d6?853>3l?70?=2;d6?xub290?wSk4=gg9b3=:;=<1j;521309b3=z{o0;69uQf:?ea?`0349?:7h8;<316?`03ty:=7>57z\25>;am3l370=?a;d7?85d13l870<82;d0?853?3l?70?=0;d7?xu6;3:15vP>3:?ea?`>349;n7h<;<1`e?`434;o>7h:;<047?`4349?;7h:;<32e?`434;997h:;|q20?6=krT:863ie;db?857i3l>70?<6;d0?85d03l>70?k1;d0?87c:3l?70<85;d7?85303l>70?=6;d0?87503l?70?=9;d7?xu6>3:15vP>6:?ea?`e349;o7h<;<30e;297~X6m27mh7h:;<121?`23ty=97>53z\51>;4ko0m?63{t0l0;6>uQ8d9>7f2=0016?nk5889~wce=838pRkm4=gd95<34?234lm6k:4}rdf>5<5s4ln6<7:;c352z\25<=:9;;1=4;4}r32e?6=:r7:=l4>949>57>=n<1v1b8e1>{t98i1<74?234;:h7h;;|q25a<72;q6=e;296~;69l0:585210d9b0=z{8;m6=4={<32b?7>=27:>=4i5:p576=838p1<7p}>2383>7}:9;81=4;4=03f>c252z?266<61<16=;6:00m96s|13794?4|588>6<7:;<32f?`33ty:>;4?:3y>570=90?01v3>2682=0=:98n1j;5rs00;>5<5s4;947?65:?25c283>70?>c;d7?xu6;<0;6?uQ1278945>283>7p}>3783>7}:9:<1=4;4=01:>c352z?272<61<16=>75f59~w45?2909w0?<8;3:1>;6;00m:6s|18094?4|5:?:6k?4=2af><352z?017<6i<16?n:59e9~w4b72909wS?k0:?2`=<61<1v:18187c93;2963>d48e1>{t9m81<74?234;o:7h;;|q2`6<72;q6=i=5187894b12o?0q~?k4;296~;6l=0:58521e:9b0=z{8n>6=4={<3g1?7>=27:h:4i4:p5a0=838p17p}>d683>7}:9m=1=4;4=0f;>c252z\135=::>=1=4;4}r045?6=:r79;<4>949>623=n<1v?9=:181840:3;2963=768e0>{t:>91<74?2348<:7h;;|q131<72;q6>::5187897112o?0q~<85;296~;5?<0:58522659b0=z{;==6=4={<042?7>=279;:4i6:p75?=838pR>>6;<13a?7>=2wx?=o50;0x966f283>70=?e;d7?xu48k0;6?u231`95<3<5::o6k:4}r13g?6=:r78949>75b=n<1v>>k:181857l3;2963<0d8e1>{t;8:1<7744=90?01>?;:g68yv56;3:1>v3<1282=0=:;8>1j85rs236>5<5s49:97?65:?05g70=>3;d7?xu49>0;6?u230595<3<5:;h6k:4}r12949>74e=n<1v>?6:18185613;2963<1b8e2>{t;8k1<74?2349:o7h8;|q05g<72;q6?c;296~;49j0:58523019b0=z{:>>6=4={<17`?7>=278=o4i4:p710=838p1>:9:0;6?853l3l>7p}<4683>7}:;==1=4;4=26`>c252z?00=<61<16?9l5f59~w62>2909w0=;9;3:1>;4j6<7:;<17`?`13ty88o4?:3y>71d=90?01>:l:g78yv53k3:1>v3<4b82=0=:;=n1j95rs2a7>5<5s49h87?l1:?0g`<>l2wx?n850;0x96e320?01>m::0;6?xu4k>0;6?u23b69949>7fb=n<1v>m6:18185d13;2963{t;jk1<74?2349ho7h:;|q0gg<72;q6?nl5187896ec2o<0q~=lc;296~;4kj0:58523bf9b1=z{:in6=48{<1`a?7d927:h>4i3:?2`094i3:?26050;0x96eb21n01>mi:0;6?xu4lj0;6?uQ3ea896e22o90q~:>3;290~X39:16=>95f29>71g=n:16=?95f29~yg26>3:1?94l8;g4M54<2.8=h4>cg9Y<=<5s;0?6p*>8b805a=n0l0;66g<0283>>i5k=0;66a>o4>l0;66a>i4l<0;66a<8383>>o4880;66g95;29?j5d83:17d=n5;29?l26;3:17d=j4;29?l7c83:17b=ke;29?l4083:17b28i?7c?n8;28?j7d;3:1(28i?7c?n8;08?j7dl3:1(28i?7c?n8;68?j7dj3:1(28i?7c?n8;48?j7d13:1(28i?7c?n8;:8?j7d?3:1(28i?7c?n8;c8?j7d:3:1(a8826>h6i10976gj:18'5d?=9;1e=l653:9j`?6=,8k26<<4n0c;>1=5<#9h31=?5a1`:93>=n13:1(6`>a98b?>o1290/=l75139m5d>=j21b=h4?:%3b=?753g;j47m4;h3g>5<#9h31=?5a1`:9`>=n9j0;6)?n9;31?k7f03o07d?m:18'5d?=9;1e=l65f:9j5d<72-;j57?=;o3b5$0c:>445<#9h31=?5a1`:957=a8826>h6i10:?65f1183>!7f13;97c?n8;37?>d4=80;6<4?:1y'74c=n;1C?8>4H217?j`62900qo?jf;291?6=8r.8=h4jf:J015=O;:>0(<>>:19j5;h::>5<=;;h::>5<:188yg4683:1?7>50z&05`5;|`2`d<72:0;6=u+30g9a`=O;<:0D>=;;%335?6>i6k80;66sm31294?5=83:p(>?j:dg8L6373A9886*>0083?l>>2900e4j50;9l5f7=831vn>6=:180>5<7s-9:i7kj;I164>N4;=1b444?::k:`?6=3f;h=7>5;|`13=<72:0;6=u+30g9a`=O;<:0D>=;;%335?6>i6k80;66sm29:94?5=83:p(>?j:dg8L6373A9886*>0083?l>>2900e4j50;9l5f7=831vn?7k:180>5<7s-9:i7kj;I164>N4;=1/==?50:k;=?6=3`3o6=44o0a2>5<7>52;294~"49l0:5<53;294~"49l0:5:5G3428L6533`l?6=44ig794?=h90?1<75rb2ge>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rb2`b>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rb2fe>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rb0da>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rb0d`>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rb0dg>5<4290;w)=>e;0b?M5282B8?95ff583>>oa=3:17b?65;29?xd40>0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd5ih0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd5ik0;6>4?:1y'74c=:h1C?8>4H217?l`32900ek;50;9l5<3=831vn>6<:181>5<7s-9:i7??2:J015=O;:>0ek=50;9l5<3=831vn>k=:180>5<7s-9:i7?67:J015=O;:>0ek:50;9jb0<722e:584?::a7f7=8381<7>t$23f>4653A9><6F<359jb6<722e:584?::a7f4=8391<7>t$23f>4?03A9><6F<359jb1<722cm97>5;n3:1?6=3th8j<4?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th8j?4?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th8j>4?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th8j94?:483>5}#;8o1>n5G3428L6533`l?6=44ig794?=nn?0;66gi7;29?j7>=3:17pl7<729q/?=3:17pl7<729q/?=3:17pl7<729q/?=3:17pl0<729q/?5;|`16`<72:0;6=u+30g96d=O;<:0D>=;;hd7>5<6F<519K762=3:17pl=3083>6<729q/?3:1?7>50z&05`<5i2B89=5G3268mc2=831bj84?::m2=0<722wi>>950;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722wi>>650;194?6|,:;n6?o4H273?M54<2cm87>5;hd6>5<6=44}c00=?6=;3:1=;;hd7>5<=3:17pl=3e83>7<729q/?=3:17pl=3d83>6<729q/??2B89=5G3268mc2=831bj84?::m2=0<722wi>9<50;194?6|,:;n6?o4H273?M54<2cm87>5;hd6>5<6=44}c077?6=:3:1=;;hd0>5<6=44}c070?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{e:=?1<7=50;2x 67b283<7E=:0:J071=nn=0;66gi5;29?j7>=3:17pl=4983>6<729q/?29096=4?{%12a?77:2B89=5G3268mc5=831d=4;50;9~f72f29086=4?{%12a?4f3A9><6F<359jb1<722cm97>5;n3:1?6=3th98o4?:283>5}#;8o1=494H273?M54<2cm87>5;hd6>5<6=44}c07a?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{e:=l1<7<50;2x 67b28:97E=:0:J071=nn:0;66a>9483>>{e:<:1<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo<:1;297?6=8r.8=h4>969K706<@:9?7dh;:188mc3=831d=4;50;9~f73329086=4?{%12a?4f3A9><6F<359jb1<722cm97>5;n3:1?6=3th9984?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th99;4?:283>5}#;8o1>l5G3428L6533`l?6=44ig794?=h90?1<75rb374>5<4290;w)=>e;3:3>N4=91C?>:4ig694?=nn<0;66a>9483>>{e:;?;I100>oa<3:17dh::188k4?22900qo<:b;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo<:c;297?6=8r.8=h4=a:J015=O;:>0ek:50;9jb0<722e:584?::a60b=8391<7>t$23f>4?03A9><6F<359jb1<722cm97>5;n3:1?6=3th9:=4?:283>5}#;8o1>l5G3428L6533`l?6=44ig794?=h90?1<75rb342>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rb341>5<4290;w)=>e;0b?M5282B8?95ff583>>oa=3:17b?65;29?xd5>:0;6>4?:1y'74c=90=0D>;?;I100>oa<3:17dh::188k4?22900qo<96;297?6=8r.8=h4=a:J015=O;:>0ek:50;9jb0<722e:584?::a631=8381<7>t$23f>4653A9><6F<359jb6<722e:584?::a63>=8391<7>t$23f>7g<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<53;294~"49l0:5:5G3428L6533`l?6=44ig794?=h90?1<75rb3;e>5<4290;w)=>e;3:3>N4=91C?>:4ig694?=nn<0;66a>9483>>{e:h;1<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo039K706<@:9?7dh<:188k4?22900qo039K706<@:9?7dh<:188k4?22900qo0ek:50;9jb0<722cm:7>5;hd4>5<6=44}c0b1?6=;3:1=;;hd7>5<=3:17pl=a683>6<729q/?50z&05`<5i2B89=5G3268mc2=831bj84?::m2=0<722wi>l>50;194?6|,:;n6<78;I164>N4;=1bj94?::ke1?6=3f;297>5;|`15;|`1=0<72:0;6=u+30g95<1<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<52;294~"49l0:5<53;294~"49l09m6F<519K7621<75ff483>>i61<0;66sm28:94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm28;94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm28c94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm28`94?5=83:p(>?j:3c8L6373A9886gi4;29?l`22900c<7::188yg4>k3:1>7>50z&05`<68;1C?8>4H217?l`42900c<7::188yg4?j3:1?7>50z&05`<5i2B89=5G3268mc2=831bj84?::m2=0<722wi>5m50;194?6|,:;n6?o4H273?M54<2cm87>5;hd6>5<6=44}c0;`?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{e:1o1<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo<7f;297?6=8r.8=h4>969K706<@:9?7dh;:188mc3=831d=4;50;9~f7?729086=4?{%12a?4f3A9><6F<359jb1<722cm97>5;n3:1?6=3th95<4?:283>5}#;8o1=494H273?M54<2cm87>5;hd6>5<6=44}c0:6?6=;3:1=;;hd7>5<=3:17pl=9583>1<729q/?5<7s-9:i7??2:J015=O;:>0ek=50;9l5<3=831vn?6?:181>5<7s-9:i7??2:J015=O;:>0ek=50;9l5<3=831vn?6>:180>5<7s-9:i7N4;=1bj94?::ke1?6=3f;297>5;|`1<7<72;0;6=u+30g9554<@:?;7E=<4:ke7?6=3f;297>5;|`1<6<72:0;6=u+30g96d=O;<:0D>=;;hd7>5<6F<519K7626=4=:183!56m3;;>6F<519K7626F<519K7621<75ff483>>i61<0;66sm26`94?5=83:p(>?j:3c8L6373A9886gi4;29?l`22900c<7::188yg40k3:1?7>50z&05`<5i2B89=5G3268mc2=831bj84?::m2=0<722wi>:j50;194?6|,:;n6?o4H273?M54<2cm87>5;hd6>5<6=44}c04a?6=<3:1N4=91C?>:4ig694?=nn<0;66gi6;29?j7>=3:17pl=7g83>1<729q/?5<7s-9:i7??2:J015=O;:>0ek=50;9l5<3=831vn<7k:181>5<7s-9:i7??2:J015=O;:>0ek=50;9l5<3=831vn<7j:181>5<7s-9:i7??2:J015=O;:>0ek=50;9l5<3=831vn<7i:181>5<7s-9:i7??2:J015=O;:>0ek=50;9l5<3=831vn5<7s-9:i7N4;=1bj94?::ke1?6=3`l=6=44ig594?=h90?1<75rb0c2>5<4290;w)=>e;3:3>N4=91C?>:4ig694?=nn<0;66a>9483>>{e:881<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo<>d;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo<=5;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo<=6;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo<=7;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo<=8;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo<=9;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo<=a;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo<=b;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo<>3;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo<>4;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo<>5;297?6=8r.8=h4=a:J015=O;:>0ek:50;9jb0<722e:584?::a640=8391<7>t$23f>7g<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<53;294~"49l09m6F<519K7621<75ff483>>i61<0;66sm20:94?2=83:p(>?j:3`8L6373A9886gi4;29?l`22900ek850;9l5<3=831vn??6:180>5<7s-9:i7?67:J015=O;:>0ek:50;9jb0<722e:584?::a64g=8391<7>t$23f>4?03A9><6F<359jb1<722cm97>5;n3:1?6=3th9=o4?:283>5}#;8o1=494H273?M54<2cm87>5;hd6>5<6=44}c02g?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{e:8o1<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo<>f;297?6=8r.8=h4=a:J015=O;:>0ek:50;9jb0<722e:584?::a676=8391<7>t$23f>4?03A9><6F<359jb1<722cm97>5;n3:1?6=3th9><4?:283>5}#;8o1>l5G3428L6533`l?6=44ig794?=h90?1<75rb301>5<4290;w)=>e;3:3>N4=91C?>:4ig694?=nn<0;66a>9483>>{e:;91<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo<=4;297?6=8r.8=h4>969K706<@:9?7dh;:188mc3=831d=4;50;9~f4bd29086=4?{%12a?7>?2B89=5G3268mc2=831bj84?::m2=0<722wi=h950;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722wi=h650;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722wi=h750;194?6|,:;n6?o4H273?M54<2cm87>5;hd6>5<6=44}c3fe?6=:3:1=;;hd0>5<6=44}c3ff?6=:3:1=;;hd0>5<6=44}c3fg?6=:3:1=;;hd0>5<6=44}c3f`?6=<3:1N4=91C?>:4ig694?=nn<0;66gi6;29?j7>=3:17pl>ed83>7<729q/?=3:17pl>de83>7<729q/?=3:17pl>dd83>1<729q/?5<7s-9:i7N4;=1bj94?::ke1?6=3f;297>5;|`2a5<72=0;6=u+30g96g=O;<:0D>=;;hd7>5<>i61<0;66sm1d394?5=83:p(>?j:3c8L6373A9886gi4;29?l`22900c<7::188yg7b:3:1?7>50z&05`<5i2B89=5G3268mc2=831bj84?::m2=0<722wi=h=50;194?6|,:;n6<78;I164>N4;=1bj94?::ke1?6=3f;297>5;|`2a1<72:0;6=u+30g95<1<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<53;294~"49l09m6F<519K7621<75ff483>>i61<0;66sm1d494?2=83:p(>?j:0;;?M5282B8?95ff583>>oa=3:17dh9:188k4?22900qo=k6;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo=k7;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo=k8;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo=k9;290?6=8r.8=h4>999K706<@:9?7dh;:188mc3=831bj;4?::m2=0<722wi?io50;194?6|,:;n6?o4H273?M54<2cm87>5;hd6>5<6=44}c3e5?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{e9o91<7:50;2x 67b2;h0D>;?;I100>oa<3:17dh::188mc0=831d=4;50;9~f4`329096=4?{%12a?77:2B89=5G3268mc5=831d=4;50;9~f4`229096=4?{%12a?77:2B89=5G3268mc5=831d=4;50;9~f4`129096=4?{%12a?77:2B89=5G3268mc5=831d=4;50;9~f4`029096=4?{%12a?77:2B89=5G3268mc5=831d=4;50;9~f4`?290>6=4?{%12a?4d3A9><6F<359jb1<722cm97>5;hd5>5<1<75ff483>>i61<0;66sm1gc94?5=83:p(>?j:3c8L6373A9886gi4;29?l`22900c<7::188yg7a:3:1?7>50z&05`<61>1C?8>4H217?l`32900ek;50;9l5<3=831vn?>?:187>5<7s-9:i7N4;=1bj94?::ke1?6=3`l=6=44o0;6>5<53;294~"49l09m6F<519K7621<75ff483>>i61<0;66sm21;94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm21c94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm21`94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm21a94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm21f94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm21g94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm21d94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm21394?5=83:p(>?j:0;4?M5282B8?95ff583>>oa=3:17b?65;29?xd58;0;6>4?:1y'74c=:h1C?8>4H217?l`32900ek;50;9l5<3=831vn?><:180>5<7s-9:i7N4;=1bj94?::ke1?6=3f;297>5;|`141<72=0;6=u+30g95<><@:?;7E=<4:ke0?6=3`l>6=44ig494?=h90?1<75rb326>5<3290;w)=>e;0a?M5282B8?95ff583>>oa=3:17dh9:188k4?22900qo969K706<@:9?7dh;:188mc3=831d=4;50;9~f76029086=4?{%12a?4f3A9><6F<359jb1<722cm97>5;n3:1?6=3ty=6=4={_4897572o>0q~950;0xZ2=:::21j95rs983>7}Y0279?h4i4:p=?6=:rT270<;4;d7?xuf2909wSo4=36b>c2606=n=1vn4?:3y]g>;5=?0m86s|d;296~Xc348>o7h;;|qf>5<5sWo01?8=:g68yv772909wS??;<01a?`23ty:=7>52z\25>;5>?0m96s|1683>7}Y9>16>>85f49~w4>=838pR<64=31`>c37p}>a;296~X6i279854i5:p5g<72;qU=o5225g9b0=z{8i1<7m7h:;|q2a?6=:rT:i63=618e1>{t><0;6<=1m16=hh59e9>5l?5f49>6d2=n=16>485f29>6<1=n<16>4m5f29>6=5=n<16=4h5f29>64b=n:16>?o5f29>64`=n=16=h95f29>5`?=n=16=k95f29>65d=n:1v;650;7x93>=9j;01?77:g18974>2o9010q~6j:18`[>b34;nj766;<3:e?>>34<36574=0df>=?<5;;;6574=0fb>=?<5::;6574=35;>=?<5;236574=3;g>=?<5:286k=4}r3:6?6=;r789<4i1:?2ac<>=27:5l465:p570949>5d6=n<1v<7k:18187>l3;2963>a18e2>{t90o1<74?234;j<7h8;|q2=c<72;q6=4h5187894g62o?0q~?n0;296~;6i90:58521`39b1=z{8i96=4={_3`6>;5;80:585rs0a0>5<5sW;87S?l3:p5f3=839pRk5Q1b78970?2o>0q~?l6;296~X6k?16>>751878yv7d?3:1>vP>c69>66`=90?0q~?l8;296~X6k116>9;51878yv7d13:1>vP>c89>61d=90?0q~?la;296~X6kh16>8?51878yv7dj3:1>vP>cc9>601=90?0q~?lc;296~X6kj16>8j51878yv7dl3:1>vP>ce9>635=90?0q~?le;296~X6kl16>;751878yv7c83:1jvP>d19>6g4=n:16>l?5f59>65=5f59>5d6=n=16>?65f29>67d=n:16><95f59>5`>=n:16=hl5f29>7a0=n:16=k65f59>65>=n<16>=o5f29~w4b>290?w0?id;3:1>;4n=0m863;4l>0m?6s|1ec94?4|58nj6;<3eg?`43ty:ho4?:3y>5ag=1m16=h851878yv7ck3:1>v3>db82=0=:9l>1j95rs0fg>5<5s4;oh7?65:?2a52wx=ik50;0x94bb283>70?j1;d6?xu6lo0;6?u21ed95<3<58o96k;4}r3f4?6=:r7:i=4>949>5`0=n?1v:18187b93;2963>e28e0>{t9l81<74?234;n?7h:;|q2a6<72;q6=h=5187894c32o?0q~?j4;296~;6m=0:58521d79b1=z{8o>6=4={<3f1?7>=27:i;4i5:p5`1=838p1e983>7}:9l21=4;4=0ff>c052z?2a<<61<16=h<5f59~w4cf2909w0?ja;3:1>;6lo0m86s|1d`94?4|58oi6<7:;<3gb?`23ty:in4?:3y>5`e=90?01v3>ee82=0=:9l<1j95rs0gf>5<5s4;ni7?65:?2a5949>5cg=n=1vf88e0>{t9o>1<74?234;m47h:;|q2b0<72;q6=k;5187894`?2o<0q~?i6;296~;6n?0:58521g:9b2=z{8l<6=4={<3e3?7>=27:j?4i5:p5c>=838p17p}>f883>7}:9o31=4;4=0db>c352z?2bd<61<16=k<5f59~w4`e2909w0?ib;3:1>;6nm0m86s|1ga94?4|58lh6<7:;<3e`?`23ty:jh4?:3y>5cc=9j;01?l8:g68yv7an3:1>v3>fd8:`>;58>0:585rs323>5<5s48;<7?65:?143=?50;0x9766283>706k84}r037?6=:r79<>4>949>652=n=1v?>;:181847<3;2963=048e1>{t:9?1<74?2348;:7h:;|q143<72;q6>=85187897602o>0q~=279<<4i5:p65g=838p1?>n:0;6?847;3l>7p}=0c83>7}::9h1=4;4=327>c052z?14f<61<16>=;5f59~w76c2909w0;58;0m86s|21g94?4|5;:n6<7:;<036?`23ty965`=90?01?>8:g78yv4683:1=;u220295f7<5:2<6k=4=30f>c2<5;8m6k=4=315>c2<5;9<6k=4=31`>c2<5;9o6k=4=361>c2<5;>86k=4=36;>c2<5;>26k=4=36f>c2<5;>m6k=4=377>c2<5;?>6k=4=37b>c2<5;?i6k=4=343>c2<5;<:6k=4=345>c2<5;<<6k=4}r025?6=:r79==46d:?161<61<1v??=:181846:3;2963=148e0>{t:891<74?23489=7h:;|q151<72;q6><:5187897442o?0q~<>5;296~;59<0:585220c9b1=z{;;=6=4={<022?7>=279>?4i4:p641=838p1??8:0;6?846j3l?7p}=1983>7}::821=4;4=33a>c352z?15<<61<16>a;3:1>;59l0m96s|20`94?4|5;;i6<7:;<02b?`23ty9=n4?:3y>64e=90?01?v3=1e82=0=::8?1j85rs33f>5<5s48:i7?65:?16170<=0;d7?xu5:90;6?u223295<3<5;8:6k:4}r015?6=:r79><4>949>674=n<1v?<=:181845:3;2963=228e0>{t:;91<74?2348987h:;|q160<72;q6>?;51878977f2o?0q~<=6;296~;5:?0:58522049b0=z{;8<6=4={<013?7>=279=:4i5:p67>=838p1?<7:0;6?84603l?7p}=2883>7}::;31=4;4=33;>c052z?16d<61<16><75f59~w74e2909w0<=b;3:1>;5900m96s|23f94?4|5;8n6<7:;<005?`33ty9>h4?:3y>67`=90?01?=?:g78yv45n3:1>v3=3182=0=:::;1j85rs316>5<5s488:7?65:?17<>850;0x9750283>70<<8;d6?xu5;>0;6?u222:95<3<5;926k;4}r00f?6=:r79?n4>949>66`=n=1v?=l:181844l3;2963=3d8e1>{t::n1<74?23488j7h:;|q104<72;q6>9<5187897222o>0q~<;2;296~;5<:0:58522569b0=z{;>86=4={<070?7>=279884i5:p611=838p1?:7:0;6?843j3l?7p}=4983>7}::=31=4;4=36b>c352z?10d<61<16>9l5f49~w72c2909w0<;e;3:1>;5=80m86s|25g94?4|5;>m6<7:;<064?`23ty98k4?:3y>606=90?01?;>:g78yv42;3:1>v3=5582=0=::<=1j95rs377>5<5s48>97?65:?1138;50;0x9731283>70<:7;d6?xu5=00;6?u224c95<3<5;?o6k:4}r06e?6=:r799o4>949>60e=n<1v?;m:181842k3;2963=5e8e1>{t:4?2348=?7h;;|q125<72;q6>;?5187897052o?0q~<91;296~;5>;0:58522719b0=z{;<>6=4={<052?7>=279:44i4:p630=838p1?88:0;6?84103l>7p}=6683>7}::?21=4;4=34:>c352z\135=:;ml1j>5rs35;>5<69r79;54>c09>6dg=n:16>4h5f49>6d2=n?16>4;5f59>65<5f29>62b=n=16=4k5f29>644=n<16><:5f29>5`e=n:16=h>5f49>5c5=n=16=k:5f29>656=n=16>=m5f29~w71>2909w0<88;;g?840n3;296s|26c94?4|5;=j6<7:;<0;3?`33ty9;o4?:3y>62d=90?01?9i:g68yv40k3:1>v3=7b82=0=::>o1j95rs35g>5<5s48:k50;0x971b283>70<8f;d5?xu5090;6?u229295<3<5;2<6k;4}r0;5?6=:r794<4>949>62d=n=1v?6=:18184?:3;2963=7c8e1>{t:191<74?23485:51878971d2o>0q~<75;296~;50<0:585226a9b0=z{;2=6=4={<0;2?7>=279;h4i6:p6=1=838p1?68:0;6?840l3l>7p}=8983>42|5;236;<0bf?`33482j7h;;<0b0?`03482m7h<;<0;f?`33486=>=1m16>4:51878yv4?i3:1>v3=8`82=0=::1h1j85rs3:a>5<5s483n7?65:?1=15m50;0x97>d283>70<61;d7?xu50m0;6?u229f95<3<5;2m6k;4}r0;a?6=:r794h4>949>6<2=n?1v?6i:18184?n3;2963=918e0>{t:0:1<74?23482=7h:;|q1=4<72;q6>4?5187897?52o?0q~<62;296~;51;0:58522819b1=z{;386=4={<0:7?7>=279594i4:p6<3=838p1?7::0;6?84>:3l?7p}=9783>7}::0<1=4;4=3:`>c352z?1=2<61<16>5j5f59~w7??2909w0<68;3:1>;50m0m96s|28;94?4|5;326<7:;<0:4?`23ty95l4?:3y>6j3:1>v3=9c82=0=::1o1j95rs3;`>5<5s482o7?65:?1<`4j50;3284>l3;h=63=a28e7>;50h0m?63=948e1>;5090m?63=808e1>;50?0m?63>9b8e7>;5:?0m?63=1d8e0>;6lj0m963>ee8e2>;6lm0m?63>f28e2>;6n?0m?63=018e2>;58l0m?6s|28g94?4|5;3o64j4=3c3>4?23ty95k4?:3y>6<`=90?01?o8:g68yv4f93:1>v3=a082=0=::h<1j95rs3c1>5<5s48j>7?65:?1e0l=50;0x97g4283>70949>6d0=n<1v?o9:18184f>3;2963=a68e1>{t:h=1<74?2348j47h;;|q1e=<72;q6>l65187897g72o>0q~;5j>0:585rs3`1>5<5s48i>7?65:?1f250;1xZ7e3349;<7?l1:?0`=4?:by]755<5;k?6k;4=3c6>c2<5;3i6k:4=3:`>c2<5;2m6k:4=331>c2<5;;h6k:4=0gg>c2<58o:6k:4=0d2>c2<5;:m6k=4}r16f?6=:r78<=46d:?1eg<61<1v>8j:185[51m278i?4i5:?0g76=;<1;6?7d92wx?5:50;0x96>521301>6<:0;6?xu40?0;6?u23909=a=:;1=1=4;4}r1b1?6=:rT8m8523cg9b6=z{:h26=4={_1a=>;4jh0:585rs2`a>5<5s49im7h<;<1ab?7>=2wx?om50;0x96dd283>70=mf;d6?xu4jm0;6?u23cf95<3<5:hm6k84}r1aa?6=:r78nh4>949>7g`=n>1v>m?:181[5d8278o?4>949~w6e62909w0=l1;3:1>;4k;0m96s|3e794?4|V:n>70=ka;3:1>{t;m<1<74?2349o57h;;|q0`2<72;q6?i95187896b>2o<0q~=k8;296~;4l10:58523ec9b0=z{:n26=4={<1g=?7>=278hl4i4:p7ac=838pR>jj;<1gb?7>=2wx?h?50;0xZ6c6349n>7?65:p7`2=838pR>k;;<1e7?`43ty8ih4?:3y]7`c<5:om6<7:;|q0b5<72;q6?hh5f29>7c2=90?0q~=i1;296~;4n80:58523g69b0=z{:l96=4={<1e6?7>=278j94i6:p7c5=838p1>h<:0;6?85a<3l<7p};1283>0}Y<8901>k=:g6896e62o901>h=:g1896dc2o90qpl<6583>45=><0=jvF<359'74c=9820(<6l:23g?l>b2900e<:188m60b2900c;750;9j20<722e:?l4?::k0e0<722c:=44?::m202<722c?=>4?::k0a1<722e:>l4?::m1ef<722e8594?::m2<5<722e:j7>5;n04>5<:183!56m3l97E=:0:J071=hn80;66sm14f94?3=83:p(>?j:dd8L6373A9886g7d;29?l?22900e5750;9j=a<722e:o<4?::a2<<72<0;6=u+30g9ac=O;<:0D>=;;h:g>5<>o>l3:17b?l1;29?xd61h0;684?:1y'74c=mo1C?8>4H217?!7793:0e5j50;9j=0<722c357>5;h;g>5<5<2290;w)=>e;ge?M5282B8?95f8e83>>o>=3:17d66:188mt$23f>`c<@:?;7E=<4:k;=?6=3`3o6=44o0a2>5<53;294~"49l0ni6F<519K762>i6k80;66sm1g83>6<729q/?<6F<359j<<<722c2h7>5;n3`5?6=3th:=<4?:283>5}#;8o1ih5G3428L6533-;;=7>4i9;94?=n1m0;66a>c083>>{e91:1<7;50;2x 67b2ll0D>;?;I100>o?l3:17d7::188m=?=831b5i4?::m2g4<722wi=?o50;794?6|,:;n6hh4H273?M54<2c3h7>5;h;6>5<>i6k80;66sm15594?3=83:p(>?j:dd8L6373A9886g7d;29?l?22900e5750;9j=a<722e:o<4?::a5=1=83?1<7>t$23f>``<@:?;7E=<4:k;`?6=3`3>6=44i9;94?=n1m0;66a>c083>>{e9:;1<7;50;2x 67b2ll0D>;?;I100>o?l3:17d7::188m=?=831b5i4?::m2g4<722wi=8;50;794?6|,:;n6hh4H273?M54<2c3h7>5;h;6>5<>i6k80;66sm1`c94?5=83:p(>?j:dg8L6373A9886*>0083?l>>2900e4j50;9l5f7=831vn5<7s-9:i7kj;I164>N4;=1/==?50:k;=?6=3`3o6=44o0a2>5<=3:17pl>3283>7<729q/?=3:17pl>8883>7<729q/?=3:17pl8a;297?6=8r.8=h4=a:J015=O;:>0ek:50;9jb0<722e:584?::a50`=8381<7>t$23f>4653A9><6F<359jb6<722e:584?::a536=8391<7>t$23f>7g<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<1<75ff483>>i61<0;66sm1cg94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm1cd94?5=83:p(>?j:3c8L6373A9886gi4;29?l`22900c<7::188yg5>=3:1?7>50z&05`<5i2B89=5G3268mc2=831bj84?::m2=0<722wi?4850;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722wi?4950;194?6|,:;n6?o4H273?M54<2cm87>5;hd6>5<6=44}c37=?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{e9=k1<7<50;2x 67b28:97E=:0:J071=nn:0;66a>9483>>{e9=h1<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo?;c;297?6=8r.8=h4>969K706<@:9?7dh;:188mc3=831d=4;50;9~f44d29086=4?{%12a?4f3A9><6F<359jb1<722cm97>5;n3:1?6=3th:>i4?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th:>h4?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th:>k4?:283>5}#;8o1>l5G3428L6533`l?6=44ig794?=h90?1<75rb013>5<4290;w)=>e;3:3>N4=91C?>:4ig694?=nn<0;66a>9483>>{e9181<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo?73;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo?74;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo?75;297?6=8r.8=h4=a:J015=O;:>0ek:50;9jb0<722e:584?::a5=0=8391<7>t$23f>4?03A9><6F<359jb1<722cm97>5;n3:1?6=3th:n=4?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th:n84?:283>5}#;8o1=494H273?M54<2cm87>5;hd6>5<6=44}c3a2?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{e9k=1<7<50;2x 67b28:97E=:0:J071=nn:0;66a>9483>>{e9k21<7<50;2x 67b28:97E=:0:J071=nn:0;66a>9483>>{e9k31<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo?ma;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo?mb;297?6=8r.8=h4=a:J015=O;:>0ek:50;9jb0<722e:584?::a5ge=8391<7>t$23f>7g<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<53;294~"49l09m6F<519K7621<75ff483>>i61<0;66sm1c094?5=83:p(>?j:0;4?M5282B8?95ff583>>oa=3:17b?65;29?xd6j:0;6>4?:1y'74c=:h1C?8>4H217?l`32900ek;50;9l5<3=831vn5<7s-9:i7?68:J015=O;:>0ek:50;9jb0<722cm:7>5;n3:1?6=3th:mn4?:283>5}#;8o1>l5G3428L6533`l?6=44ig794?=h90?1<75rb0cg>5<4290;w)=>e;3:3>N4=91C?>:4ig694?=nn<0;66a>9483>>{e:k81<7<50;2x 67b28:97E=:0:J071=nn:0;66a>9483>>{e:k=1<7<50;2x 67b28:97E=:0:J071=nn:0;66a>9483>>{e:ki1<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo999K706<@:9?7dh;:188mc3=831bj;4?::m2=0<722wi=>m50;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722wi=>k50;194?6|,:;n6<78;I164>N4;=1bj94?::ke1?6=3f;297>5;|`27c<72:0;6=u+30g96d=O;<:0D>=;;hd7>5<;6=4=:183!56m3;;>6F<519K762:6=4=:183!56m3;;>6F<519K76296=4<:183!56m38j7E=:0:J071=nn=0;66gi5;29?j7>=3:17pl>4283>6<729q/??2B89=5G3268mc2=831bj84?::m2=0<722wi=9;50;194?6|,:;n6?o4H273?M54<2cm87>5;hd6>5<6=44}c30`?6=;3:1=;;hd7>5<=3:17pl>5983>6<729q/?7>50z&05`<68;1C?8>4H217?l`42900c<7::188yg72i3:1>7>50z&05`<68;1C?8>4H217?l`42900c<7::188yg72j3:1?7>50z&05`<5i2B89=5G3268mc2=831bj84?::m2=0<722wi=8m50;694?6|,:;n6?l4H273?M54<2cm87>5;hd6>5<n6=4<:183!56m38j7E=:0:J071=nn=0;66gi5;29?j7>=3:17pl>4g83>7<729q/?=3:17pl>5183>7<729q/?=3:17pl>5083>7<729q/?=3:17pl>5383>6<729q/??2B89=5G3268mc2=831bj84?::m2=0<722wi=8:50;694?6|,:;n6?l4H273?M54<2cm87>5;hd6>5<i1<7<50;2x 67b28:97E=:0:J071=nn:0;66a>9483>>{e?m0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd0m3:1>7>50z&05`<68;1C?8>4H217?l`42900c<7::188yg1a29096=4?{%12a?77:2B89=5G3268mc5=831d=4;50;9~f=6=83?1<7>t$23f>7e<@:?;7E=<4:ke0?6=3`l>6=44ig494?=nn>0;66a>9483>>{e080;6>4?:1y'74c=90=0D>;?;I100>oa<3:17dh::188k4?22900qo?6c;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo?6d;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo?6e;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo?6f;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo?n0;297?6=8r.8=h4=a:J015=O;:>0ek:50;9jb0<722e:584?::a5d7=8391<7>t$23f>7g<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<7>53;294~"49l0:5:5G3428L6533`l?6=44ig794?=h90?1<75rb0c0>5<4290;w)=>e;0b?M5282B8?95ff583>>oa=3:17b?65;29?xd6i=0;6>4?:1y'74c=90=0D>;?;I100>oa<3:17dh::188k4?22900q~?i:181[7a34;m6;|q13?6=99qU>:522682g4=:1j0m963>488e0>;62b8e0>;6:m0m?63>838e0>;60:0m?63>b48e1>;5j;0m?63>3d8e1>;6=00m?63>528e0>;0m3l870?6c;d0?xu503:1>v3=7;:g?84>283>7p}95;29e~X1=27:5l46d:?4e?`334;=<7h;;<3a2?`234;8j7h:;<363?`334;?i7h:;<5e>c5<583m6k=4}r4:>5<5sW<27086:0a2?xu013:1>v399;;g?81f283>7p}8b;297~;1132o70?:d;:g?8>6283>7p}8c;296~;0k3;296370;d6?xu0l3:1>v38d;3:1>;?83l=7p}8e;296~;0m3;296370;d4?xu0n3:1>v38f;3:1>;?93l>7p}70;296~;?83;296371;d7?xu?m3:1=?uQ8d9>50b=0016:4479:?2=d=?<582m6574=0d9<<=:9:k144521039<<=:91:1445213c9<<=:9==144521959<<=:9:;144521479<<=:9hk144521`g9<<=z{0k1<7;69802h6s|10394?0|58;:6;<3a4?`434;i57h:;<3bg?`234;8o7h<;<5g>c57>53z\257=:?h0m963>5g8e7>{t9831<76t^03:?85>=3l?70?m6;d7?84e?3l870?70?;e;d7?87f;3l?7p}>2`83>7}Y9;k01<n4>949>566=n=1v<2g8e0>{t9;o1<74?234;9j7h:;|q26c<72;q6=?h5187894572o?0q~?<1;296~;6;80:o<5213a9b0=z{8996=4={<305??c34;8?7?65:p563=839pR<=:;<0ag?`334;j<7h;;|q27d<72;qU=>o4=01b>4e63ty:?o4?:3y>56g=1m16=>j51878yv74k3:1>v3>3b82=0=:9=81j85rs01f>5<5s4;8i7?65:?201h50;0x945a283>70?;3;d7?xu6<90;6?u215295<3<58>86k;4}r375?6=:r7:8<4>949>513=n<1v<:=:181873:3;2963>3e8e0>{t9=91<74?234;?87h:;|q201<72;q6=9:5187894222o>0q~?;5;296~;6<<0:585212f9b0=z{8><6=4={_373>;6<>0:o<5rs06;>5<5s4;?;77k;<37g?7>=2wx=9750;0x942>283>70?;c;d7?xu6i6k;4}r37f?6=:r7:8o4>949>51e=n<1v<:k:181873?32o70?:4;3:1>{t9=o1<74?234;>>7h;;|q20c<72;q6=9h5187894352o?0q~?:0;296~;6=90:58521469b1=z{8?:6=4={<365?7>=27:994i6:p504=838p1<;=:0;6?872;3l>7p}>5283>7}:9<91=4;4=077>c397>52z?210<6k816=975f49~w4312909w0?:7;3:1>;6=j0m96s|14594?4|58?36<7:;<36f?`33ty:954?:3y>50?=90?01<;m:g78yv7213:1>v3>5`82=0=:95<5s4;>n7?65:?21f949>536=n<1v<6?:181[7?827:4=4>c09~w4>62909w0?70;;g?87?>3;296s|19094?4|58296<7:;<3;2?`33ty:4>4?:3y>5=5=90?01<6::g68yv7?<3:1>v3>8582=0=:91?1j85rs0:6>5<5s4;397?65:?2<3028i:70?72;d6?xu6010;6?u21959=a=:9131=4;4}r3;b?6==r79;77k;<3;b?7d927:4:47d:?274503=0m16=8m51878yv7>:3:1nv3<508e5>;6=m0296399;;6?87>i33>70<8:87894>720?01<i3:1:v3>9`82g4=:9k=1j>521529b6=:95215d9b6=:090m86s|18`94?4|583j65j4=0c7>4?23ty:5n4?:3y>5l3:1>v3>9e82=0=:9h;1j95rs0;f>5<5s4;2i7?65:?2e470?n4;d6?xu6i90;6?u21`295<3<58k96k:4}r3b5?6=:r7:m<4>949>5d4=n<1va28e1>{t9h91<74?234;j87h;;|q2ed<720q6=lo51b3894db2o9012o>01v3>a`8:`>;6im0:585rs0c`>5<5s4;jo7?65:?2ea70?:0;d0?81d2o901<7j:g18yv7fn3:1>v3>ad8:`>;6j=0:585rs0`3>5<5s4;i<7?65:?2fg70?m4;d5?xu6j;0;6?u21c095<3<58h86k:4}r3a7?6=:r7:n>4>949>5g2=n=1vb38e0>{t9k<1<74?234;io7h;;|q2f2<72;q6=o95187894dd2o?0q~?m8;296~;6j10:58521c19b0=z{8h26=4={<3a=?7>=27:n<4i4:p5gg=838p17p}>bc83>7}:9kh1=4;4=0`7>c352z?2ff<61<16=o<5f49~w4dc2909w0?me;3:1>;6jo0m96s|1b294?3|58l15i521929;6jo0:585rs3c`>5<5sW8jo63=be82=0=z{;h96=4={<0a6?7>=279nn4i5:p6g1=838p1?l8:0;6?84el3l=7p}=bb83>7}::ki1=4;4=3`g>c252z\02`=:;0<1j>5rs2;7>5<5sW92863<9682=0=z{:3>6=4={<1:1?7>=2785:4i4:p7<0=838p1>79:0;6?85>?3l>7p}6}Y;h?01<=<:g18944b2o90q~=j4;297~X4m=16=575f29>5=2=n:1v9?<:187[26;27:9846d:?0=04$0:`>67c3f>;o7>5;n1;5;n46>5<>o1n3:17d<6F<359lb4<722wi?5650;794?6|,:;n6hh4H273?M54<2c3h7>5;h;6>5<>i6k80;66sm2`g94?3=83:p(>?j:dd8L6373A9886g7d;29?l?22900e5750;9j=a<722e:o<4?::a6g5=83?1<7>t$23f>``<@:?;7E=<4:k;`?6=3`3>6=44i9;94?=n1m0;66a>c083>>{e:k21<7;50;2x 67b2ll0D>;?;I100>o?l3:17d7::188m=?=831b5i4?::m2g4<722wi:o4?:483>5}#;8o1ik5G3428L6533`2o6=44i8794?=n000;66g6d;29?j7d93:17pl80;291?6=8r.8=h4jf:J015=O;:>0e5j50;9j=0<722c357>5;h;g>5<N4=91C?>:4i9f94?=n1<0;66g79;29?l?c2900c:188yg5629086=4?{%12a?cb3A9><6F<359'557=82c357>5;h;g>5<5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rb7594?5=83:p(>?j:0;4?M5282B8?95ff583>>oa=3:17b?65;29?xd5k80;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd5k;0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd5k:0;6>4?:1y'74c=:h1C?8>4H217?l`32900ek;50;9l5<3=831vn>;50;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722wi?5k50;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722wi?5h50;194?6|,:;n6<78;I164>N4;=1bj94?::ke1?6=3f;297>5;|`07<<72:0;6=u+30g96d=O;<:0D>=;;hd7>5<81<7<50;2x 67b28:97E=:0:J071=nn:0;66a>9483>>{e?:0;6>4?:1y'74c=90=0D>;?;I100>oa<3:17dh::188k4?22900qo8k:181>5<7s-9:i7??2:J015=O;:>0ek=50;9l5<3=831vn;k50;194?6|,:;n6<78;I164>N4;=1bj94?::ke1?6=3f;297>5;|`1fd<72;0;6=u+30g9554<@:?;7E=<4:ke7?6=3f;297>5;|`1fg<72:0;6=u+30g95<1<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<52;294~"49l0:5<53;294~"49l0:5:5G3428L6533`l?6=44ig794?=h90?1<75rb3`3>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rb3`2>5<4290;w)=>e;3:3>N4=91C?>:4ig694?=nn<0;66a>9483>>{e;;0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd38m0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd38l0;6>4?:1y'74c=90=0D>;?;I100>oa<3:17dh::188k4?22900qo=8d;297?6=8r.8=h4>969K706<@:9?7dh;:188mc3=831d=4;50;9~f3`=8381<7>t$23f>4653A9><6F<359jb6<722e:584?::a31<72;0;6=u+30g9554<@:?;7E=<4:ke7?6=3f;297>5;|`41?6=:3:1=;;hd0>5<6=44}c55>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rb6594?3=83:p(>?j:3a8L6373A9886gi4;29?l`22900ek850;9jb2<722e:584?::a76d=8391<7>t$23f>4?03A9><6F<359jb1<722cm97>5;n3:1?6=3th8?n4?:283>5}#;8o1=494H273?M54<2cm87>5;hd6>5<6=44}c10`?6=:3:1=;;hd0>5<6=44}c10a?6=:3:1=;;hd0>5<6=44}c10b?6==3:1N4=91C?>:4ig694?=nn<0;66gi6;29?l`02900c<7::188yg5383:1?7>50z&05`<61>1C?8>4H217?l`32900ek;50;9l5<3=831v>?50;0x967=9j;01;95f59~w65=838p1>?5889>77<61<1v>:50;0x967=1m16?84>949~w33=83lpR;;4=629=a=:>k02h63=b98:`>;5j:02h63=ad8:`>;1?3;2963<8d8e7>;4;00m86382;d0?80c2o901?ln:g1897d22o901?l?:g18965b2o90q~89:181801283>7088:g78yv0>2908w0=8d;d7?810283>70=5<5s4;<4e>c5=b<5?o1=4;4}r4g>5<5s4c301::5f29~w26=838p1:>51b38923=n:1v:?50;0x926=0m16;>4>949~w24=838p1:<51878925=n<1v::50;0x93`=90?01:95f59~w23=838p1::51878921=n<1v:850;0x923=90?01:95f79~w21=838p1:851878921=n>1vhj50;;xZ`b<5:236574=3cf>=?<5;h86574=3`;>=?<5?h14452718;=>;4;>03563<2;d0?xuak3:1?vPic:?0;>:g3896>?20?01?oj:87897d420?01?l7:87893d=1<16;=465:?072<>=2wx>lj50;1xZ7gc348i=7h;;<10g?`33ty9mh4?:3y>6dc=9j;01>=l:g78yv4fn3:1>v3=ad8;`>;5j80:585rs3`3>5<5s48i<7?65:?1f4o<50;1xZ7d5348h>7h<;<0a2?`33ty9n>4?:3y>6g5=9j;01?m>:g18yv4e<3:1>v3=b28;`>;5j?0:585rs3`6>5<5s48i97?65:?1f3o950;1xZ7d0348in7h;;<10f?`33ty9n54?:3y>6g>=9j;01>=m:g78yv4e13:1>v3=b98;`>;5jk0:585rs3`b>5<5s48im7?65:?1fgn>50;0x97e4283>70=949>6f5=n<1v>=8:181854?3;h=63;0e8e7>{t;:21<7958e9>7=>=0m16?9>51878yv54j3:1>v3<3c82=0=:;:l1j85rs21`>5<5s498o7?65:?07c2wx?>j50;0x965c283>70=;6k;4}r10b?6=:r78?k4>949>716=n=1v>8j:186[51m27=:7h<;<16>c5<5=:n6k:4=25g>c352z\03f=:;>n1=4;4}r1;52z?0<=<>l2784k4>949~w6>b2909w0=7e;3:1>;40o0m96s|41a94?4|V=:h70:?e;3:1>{t<9n1<74?234>;i7h:;|a735=83;>6l75b5yK762<,:;n64?::m02`<722cj:7>5;h1gg?6=3`;8m7>5;n14e?6=3`2i6=44i9c94?=h;h?1<75f12694?=h;l>1<75f19c94?=n;>i1<75`38294?=h;031<75`1g83>>i4n<0;66l<5083>4<729q/?:188yg5a=3:197>50z&05`5<0e5750;9j=a<722e:o<4?::aff<72:0;6=u+30g9a`=O;<:0D>=;;h::>5<;?;I100>o?13:17d7k:188k4e62900qol;:180>5<7s-9:i7kj;I164>N4;=1b444?::k:`?6=3f;h=7>5;|`0=<<72:0;6=u+30g9a`=O;<:0D>=;;h::>5<;?;I100>o?13:17d7k:188k4e62900qo??3;297?6=8r.8=h4je:J015=O;:>0e5750;9j=a<722e:o<4?::aad<72:0;6=u+30g9a`=O;<:0D>=;;h::>5<0e5750;9j=a<722e:o<4?::a714=8391<7>t$23f>`c<@:?;7E=<4:k;=?6=3`3o6=44o0a2>5<6<729q/?<6F<359j<<<722c2h7>5;n3`5?6=3th8:h4?:283>5}#;8o1ih5G3428L6533`226=44i8f94?=h9j;1<75rb2;3>5<4290;w)=>e;gf?M5282B8?95f8883>>o>l3:17b?l1;29?xdb13:1?7>50z&05`;m50;194?6|,:;n6hk4H273?M54<2c357>5;h;g>5<5<4290;w)=>e;gf?M5282B8?95f8883>>o>l3:17b?l1;29?xd4<80;6>4?:1y'74c=ml1C?8>4H217?l>>2900e4j50;9l5f7=831vnl>50;194?6|,:;n6hk4H273?M54<2c357>5;h;g>5<N4=91C?>:4i9f94?=n1<0;66g79;29?l?c2900c:188yg73>3:1?7>50z&05`5;|`gb?6=;3:1N4=91C?>:4$022>5=n000;66g6d;29?j7d93:17pl>6083>6<729q/?5<:188yg70:3:1?7>50z&05`5;|`236<72:0;6=u+30g9a`=O;<:0D>=;;%335?6>i6k80;66sm16694?5=83:p(>?j:dg8L6373A9886*>0083?l>>2900e4j50;9l5f7=831vn<9::180>5<7s-9:i7kj;I164>N4;=1/==?50:k;=?6=3`3o6=44o0a2>5<53;294~"49l0ni6F<519K762<,8::6<5f8883>>o>l3:17b?l1;29?xdd?3:1?7?53zJ071=#;8o1=5k4i`694?=ni<0;66a<0983>>d4=?0;6>4?:1y'74c=;=l0D>;?;I100>oa<3:17dh::188k4?22900q~o;:181[g3349>:7h:;|qb1?6=:rTj963<578e0>{t;921<73;296srbb494?5=939pD>=;;%12a?7?m2cj87>5;hc6>5<5<4290;w)=>e;17b>N4=91C?>:4ig694?=nn<0;66a>9483>>{ti=0;6?uQa59>700=n<1vl;50;0xZd3<5:?=6k:4}r1353;397~N4;=1/?5}#;8o1?9h4H273?M54<2cm87>5;hd6>5<6=44}rc7>5<5sWk?70=:6;d6?xuf=3:1>vPn5:?013:7?65:~ff2=8391=7=tH217?!56m3;3i6gn4;29?lg22900c>>7:188f63129086=4?{%12a?53n2B89=5G3268mc2=831bj84?::m2=0<722wxm94?:3y]e1=:;<<1j85rs`794?4|Vh?01>;9:g68yv5703:1>vP<099>700=90?0qpl=6c83>6<729q/?t$23f>7g<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<=3:17pl<9283>6<729q/?7>50z&05`<68;1C?8>4H217?l`42900c<7::188yg5fk3:1?7>50z&05`<5i2B89=5G3268mc2=831bj84?::m2=0<722wi?ho50;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722wi?hl50;194?6|,:;n6?o4H273?M54<2cm87>5;hd6>5<6=44}cc0>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rb267>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rb9594?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm27d94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm3`g94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm3df94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm3g;94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66smc383>7<729q/?=3:17pll3;297?6=8r.8=h4=a:J015=O;:>0ek:50;9jb0<722e:584?::af`<72;0;6=u+30g9554<@:?;7E=<4:ke7?6=3f;297>5;|`ab?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{ejh0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xdej3:1?7>50z&05`<5i2B89=5G3268mc2=831bj84?::m2=0<722win;4?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3thi;7>53;294~"49l09m6F<519K7621<75ff483>>i61<0;66smc883>6<729q/?t$23f>7g<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<=3:17pljb;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo6;:181>5<7s-9:i7??2:J015=O;:>0ek=50;9l5<3=831vn?=50;794?6|,:;n6<76;I164>N4;=1bj94?::ke1?6=3`l=6=44ig594?=h90?1<75rb3694?5=83:p(>?j:3c8L6373A9886gi4;29?l`22900c<7::188yg4229086=4?{%12a?7>?2B89=5G3268mc2=831bj84?::m2=0<722wi>;4?:283>5}#;8o1=494H273?M54<2cm87>5;hd6>5<6=44}c34g?6=:3:1=;;hd0>5<6=44}c34`?6=;3:1=;;hd7>5<=3:17pl>7g83>6<729q/?50z&05`<5i2B89=5G3268mc2=831bj84?::m2=0<722wi=:650;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722wi=:750;194?6|,:;n6?o4H273?M54<2cm87>5;hd6>5<6=44}c35=?6=:3:1=;;hd0>5<6=44}c35e?6=:3:1=;;hd0>5<6=44}c35f?6=:3:1=;;hd0>5<6=44}c35g?6=:3:1=;;hd0>5<6=44}c35`?6=:3:1=;;hd0>5<6=44}c35a?6==3:1N4=91C?>:4ig694?=nn<0;66gi6;29?l`02900c<7::188yg71n3:1?7>50z&05`<61>1C?8>4H217?l`32900ek;50;9l5<3=831vn<9?:180>5<7s-9:i7N4;=1bj94?::ke1?6=3f;297>5;|`234<72:0;6=u+30g95<1<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<53;294~"49l09m6F<519K7621<75ff483>>i61<0;66sm17794?3=83:p(>?j:0;:?M5282B8?95ff583>>oa=3:17dh9:188mc1=831d=4;50;9~f40129086=4?{%12a?4f3A9><6F<359jb1<722cm97>5;n3:1?6=3th8;94?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th8;84?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th8;;4?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th8;:4?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th8;54?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th8;44?:783>5}#;8o1>i5G3428L6533`l?6=44ig794?=nn?0;66gi7;29?l`?2900c<7::188ygc629096=4?{%12a?77:2B89=5G3268mc5=831d=4;50;9~f`4=8381<7>t$23f>4653A9><6F<359jb6<722e:584?::aa6<72;0;6=u+30g9554<@:?;7E=<4:ke7?6=3f;297>5;|`f0?6=:3:1=;;hd0>5<6=44}cg6>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rbd494?1=83:p(>?j:3g8L6373A9886gi4;29?l`22900ek850;9jb2<722cm47>5;hd:>5<6=44}c631?6==3:1N4=91C?>:4ig694?=nn<0;66gi6;29?l`02900c<7::188yg27>3:1?7>50z&05`<5i2B89=5G3268mc2=831bj84?::m2=0<722wi8=950;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722wi8=650;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722wi8=750;194?6|,:;n6?o4H273?M54<2cm87>5;hd6>5<6=44}c63e?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{e<9h1<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo=6c;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo=6d;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo=6e;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo=6f;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo=n0;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo=n1;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo=n2;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo=n3;293?6=8r.8=h4=e:J015=O;:>0ek:50;9jb0<722cm:7>5;hd4>5<>i61<0;66sm3`694?5=83:p(>?j:0;4?M5282B8?95ff583>>oa=3:17b?65;29?xd4nk0;6:4?:1y'74c=:l1C?8>4H217?l`32900ek;50;9jb3<722cm;7>5;hd;>5<6F<519K7626F<519K7626F<519K7626F<519K7626F<519K76250z&05`<61>1C?8>4H217?l`32900ek;50;9l5<3=831vn9><:187>5<7s-9:i7N4;=1bj94?::ke1?6=3`l=6=44o0;6>5<52;294~"49l0:5<52;294~"49l0:5<7>52;294~"49l0:5<52;294~"49l0:5<52;294~"49l0:5<53;294~"49l09m6F<519K7621<75ff483>>i61<0;66sm3c494?5=83:p(>?j:3c8L6373A9886gi4;29?l`22900c<7::188yg5e?3:1?7>50z&05`<61>1C?8>4H217?l`32900ek;50;9l5<3=831vn>l7:187>5<7s-9:i7N4;=1bj94?::ke1?6=3`l=6=44o0;6>5<53;294~"49l09m6F<519K7621<75ff483>>i61<0;66sm10794?3=83:p(>?j:0;:?M5282B8?95ff583>>oa=3:17dh9:188mc1=831d=4;50;9~f47129086=4?{%12a?4f3A9><6F<359jb1<722cm97>5;n3:1?6=3thhj7>52;294~"49l0:5<t$23f>4653A9><6F<359jb6<722e:584?::a`3<72;0;6=u+30g9554<@:?;7E=<4:ke7?6=3f;297>5;|`g3?6=:3:1=;;hd0>5<6=44}cf;>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rbe;94?5=83:p(>?j:3c8L6373A9886gi4;29?l`22900c<7::188ygbf290?6=4?{%12a?4e3A9><6F<359jb1<722cm97>5;hd5>5<6=44}cf3>5<4290;w)=>e;3:3>N4=91C?>:4ig694?=nn<0;66a>9483>>{el80;694?:1y'74c=:k1C?8>4H217?l`32900ek;50;9jb3<722e:584?::a`7<72:0;6=u+30g95<1<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<6F<519K76250;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722win<4?:283>5}#;8o1>l5G3428L6533`l?6=44ig794?=h90?1<75rbc094?5=83:p(>?j:3c8L6373A9886gi4;29?l`22900c<7::188ygd429086=4?{%12a?7>?2B89=5G3268mc2=831bj84?::m2=0<722wi==;50;794?6|,:;n6<76;I164>N4;=1bj94?::ke1?6=3`l=6=44ig594?=h90?1<75rb02:>5<4290;w)=>e;0b?M5282B8?95ff583>>oa=3:17b?65;29?xd68h0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd68k0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd68j0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd68m0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd68l0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd68o0;684?:1y'74c=:j1C?8>4H217?l`32900ek;50;9jb3<722cm;7>5;n3:1?6=3th:==4?:283>5}#;8o1=494H273?M54<2cm87>5;hd6>5<6=44}c332?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{e99=1<7=50;2x 67b283<7E=:0:J071=nn=0;66gi5;29?j7>=3:17pl>0983>6<729q/?4e63ty9>7>52z?2b??c348=6<7:;|q17?6=:r79?7?65:?12?`33ty987>52z?10?7>=27997h:;|q11?6=:r7997?65:?12?`23ty=57>55z?5b?7>=27::44i3:?220<7<6k816:k4i5:p<6<72hq64?479:?0a14?23ty397>52z?;1?7d927=j7h;;|q;2?6=az\;e>;4n<03563nc;::?8e721301om5889>f=>34;m6574=020>=?<5lk1445227f9<<=:0<03563<438;=>;f932270?>2;::?8ba21301<8>:9;8940521301<88:9;8941521301<9<:9;8941321301<9::9;8941f213015:5f29~w=d=832pR5l4=d`9b6=:9>n1j95216:9b6=:9?n1j>521769b0=:98>1j85211g9b6=z{0o1<7;t=8d95<3<58c3<58;>6k;4=02a>c54e6343m6k;4}rc2>5<5s4k:6;<;e>c2g<7}:ij02h63m3;3:1>{til0;6?u2ad82=0=:j80m96s|ag83>7}:io0:5852b38e0>{tj90;6?u2b182=0=:j;0m96s|b083>7}:j80:5852b28e0>{tj;0;6?u2b382=0=:j:0m96s|a983>d}:j=0:o<52c58b1>;d13l?70k<:g1891612o>01>hm:g7896`d2o901i=5f49>`14?23tyi:7>52z?a2?7>=27i;7h:;|qb=?6=1r7i47?l1:?`1?g234ii6k:4=d79b6=:<9<1j8523g`9b3=:;oo1j>52d98e7>;fn3l>7p}m9;296~;e033o70lm:0;6?xuei3:1>v3ma;3:1>;ej3l>7p}na;29<~;ek3;h=63l6;c6?8ec2o>01h:5f29>051=n:168=>5f29>`24?23tyii7>52z?aa?7>=27ij7h:;|qbf?6=0r7h<7?l1:?`3?g234o=6k;4=52;>c5<5:lm6k=4=e19b3=:l?0m?63nf;d4?xud93:1>v3l0;;g?8e4283>7p}l2;296~;d:3;2963l3;d6?xud03:1?v3l5;c7?8e>283>70mm:g78yvef2908w0m9:`689fd=90?01nj5f49~wfe=838p1n95a59>ga<61<1vnk50;7x9f4=n:16nh4i3:?ae?`434h=6k=4=e095<34?234n26k;4}rf3>5<5s4n;6<7:;c34?234n96k;4}rf0>5<5s4n86<7:;c24?234nj6k;4}rf6>5<5s4n>6<7:;c24?234nj6k84}rf4>5<5s4n<6<7:;c24?234n:6k84}rf:>5<5s4n26<7:;c24?234n;6k;4}rfa>5<5s4i?6>>7;<`4>c266?34hi6k:4}rfg>5<5s4i=6>>7;<`e>c266?34i86k:4}rfe>5<2s4nm6;<35f?`434;=97h9;<321?`134;;o7h<;|qf4?6=:r7oj77k;4?23tyn=7>52z?f5?7>=27n:7h;;|qf6?6=:r7n>7?65:?f2?`13tyn?7>52z?f7?7>=27n:7h7;|qf0?6=:r7n87?65:?f2?`03tyn97>52z?f1?7>=27n:7h6;|qf=?6=4e634im6k=4=`g9b6=z{lk1<7=t=dc95f7<5m31j952b08e0>{tmj0;6?u2e88;=>;bj3;296s|11194?2|V8:870??3;3`5>;38<0m863{t99>1<70q~??6;296~;68?0:58521159b0=z{8:<6=4={<333?7>=27:<54i5:p55?=838p1<>6:0;6?877?3l?7p}>0`83>7}:99k1=4;4=02e>c252z?24g<61<16==h5f49~w46d2909w0??c;3:1>;68o0m:6s|11f94?4|58:o6<7:;<33b?`03ty:55c=90?01v3>0g82=0=:98:1j95rs033>5<5s4;:<7?65:?2437?l1:p545=838p17p}>1583>7}:98>1=4;4=035>c252z?250<61<16=<85f49~w4532909wS?<4:?0efo50;0xZ45f34;?:77k;|q203<72521=n=16==75f59~w4062909w0?91;3`5>;6?o0m86s|17094?5|58<:64j4=041>4e6349j<7h<;|q226<72;q6=;<59e9>530=90?0q~?94;296~;6>=0:58521749b1=z{8<>6=4={<351?7>=27::;4i5:p531=83kp1<88:0a2?85>;3l?70<<:g5894172o>01<8;:g6896102o901>7j:g1896d32o9010q~?98;296~;6>>02h63>7082=0=z{8<26=4={<35=?7>=27::h4i4:p53g=838p1<8n:0;6?871m3l>7p}>6c83>7}:9?h1=4;4=04f>c052z?22f<61<16=;k5f69~w40c2909w0?9d;3:1>;6>o0m96s|17g94?4|5853`=90?01<9?:g78yv7083:1>v3>7182=0=:9>;1j85rs051>57?l1:?0=64?:6y>524=1m16=:=51b38975=n?16?::5f29>7553=n?1v<9;:184870;33o70?84;3`5>;5;3l?70=85;d0?85f93l870=m1;d0?877=3l?7p}>7483>2}:9>>15i5216795f7<5;91j85236:9b6=:;0l1j>523c29b6=:99?1j85rs055>5<5s4;<977k;<34=?7>=2wx=:950;0x9410283>70?89;d7?xu6?10;6?u216:95<3<58=26k;4}r34e?6==r7:;l4>c09>617p}>7b83>7}:9>i1=4;4=05g>c352z?23a<61<16=:k5f49~w41b2909w0?8e;3:1>;6?o0m96s|19c94?4|V82j70=jb;d7?xu60k0;6>uQ19`896g52o901>l8:g68yv41i3:19v3=6c82=0=:9?i1j>521779b2=:98?1j:5211f9b6=z{;6}::?n15i5227a9=a=::?l1=4;4}r175?6=;r788<4>c09>053=n?16?kl5f99~w6252908w0=;2;3`5>;38<0m963{t;=91<7=t=261>:64j4=267>4?23ty8:h4?:3y]73c<5:;|q036<72;q6?;k59e9>72?=90?0q~=84;296~;4?=0:585236;9b0=z{:=>6=4={<141?7>=278;44i4:p720=838p1>99:0;6?85013l=7p}<7683>7}:;>=1=4;4=25:>c152z?03=<61<16?:75f99~w61f290?wS=8a:?014=2wx?:m50;0xZ61d342<6k=4}r1;{t;0:1<783;h=6s|38094?4|5:3;64j4=2;0>4?23ty8544?:3y]7;|q0=g<72;q6?4759e9>7d2=90?0q~=6c;296~;41j0:58523`19b0=z{:3o6=4={<1:`?7>=278m>4i4:p77j:0;6?85f;3l=7p}<9g83>7}:;0l1=4;4=2c0>c>52z?0e5<61<16?l=5f69~w6g62909w0=n1;3:1>;4i:0m56s|3`094?4|5:k96<7:;<1b0?`23ty8m>4?:3y>7d5=90?01>o;:g68yv5f=3:1>vP7d3=9j;0q~=na;296~;4ij0:58523`g9b6=z{:ki6=4={<1bf?7>=278mn4i5:p7db=838p1>o::8f896gb283>7p}6}:;hh1j>523dc9b6=:;k21=4;4}r1a4?6=:r78n=4>949>7g3=n=1v>l>:18185e93;2963{t;k81<74?2349i47h;;|q0f6<72;q6?o=5187896d12o>0q~=m4;296~;4j=0:58523c49b0=z{:h>6=4={<1a1?7>=278n:4i5:p7g0=838p1>l9:0;6?85e03l=7p}7}:;k=1=4;4=2`;>c352z\0`f=::?l1j>5rs2g7>5<5sW9n863=278ii4i3:p7`g=838p1>kn:0;6?85bj3l>7p}7}:;l>15i523df95<353z\0b0=:;o?1=n?4=d09b6=z{:l36=4={<1e1??c349m57?65:p7cg=838p1>h::9f89164283>7p}7}:;oh1=4;4=521>c252z?0bf<61<168=?5f49~w6`c2909w0=id;3:1>;3880m86s|3gg94?4|5:ln6<7:;<635?`13ty8jk4?:3y>7c`=90?019><:g68yv2783:1>v3;0182=0=:<991j;5rs522>5<5s4>;=7?65:?74770:?3;d6?xu38=0;6?u23g;9b6=:<9h1=4;4}r631?6=:r7?<84>949>05d=n=1v9>9:181827>3;2963;0`8e0>{t<9=1<74?234>;57h;;|q74=<72;q68=651878916>2o?0q~:?9;296~;3800:585241c9b0=z{=:j6=4={<63e?7>=27?l;<170?`43twi?8m50;597d<4lrB8?95+30g9=3=#91i1?5<5<>d4=80;6<4?:1y'74c=n;1C?8>4H217?j`62900qo=>f;291?6=8r.8=h4jf:J015=O;:>0e5j50;9j=0<722c357>5;h;g>5<N4=91C?>:4i9f94?=n1<0;66g79;29?l?c2900c:188yg4a93:197>50z&05`5<2290;w)=>e;ge?M5282B8?95f8e83>>o>=3:17d66:188m<6F<359'557=82c357>5;h;g>5<N4=91C?>:4$022>5=n000;66g6d;29?j7d93:17pl=cg83>6<729q/?i>50;194?6|,:;n6hk4H273?M54<2.:<<4?;h::>5<:188yg4c:3:1?7>50z&05`5;|`1`6<72:0;6=u+30g9a`=O;<:0D>=;;%335?6>i6k80;66sm2e694?5=83:p(>?j:dg8L6373A9886*>0083?l>>2900e4j50;9l5f7=831vn?jm:180>4<4sA9886*<1d82<`=ni=0;66gn5;29?j5703:17o=:6;297?6=8r.8=h4<4g9K706<@:9?7dh;:188mc3=831d=4;50;9~wd2=838pRl:4=275>c36=4={_c6?852>3l?7p}<0983>7}Y;9201>;9:0;6?x{e:mk1<7=51;1xL6533-9:i7?7e:kb0?6=3`k>6=44o22;>5<1<75ff483>>i61<0;66s|a583>7}Yi=16?885f49~wd3=838pRl;4=275>c252z\04==:;<<1=4;4}|`1`<<72:0:6>uG3268 67b282n7do;:188md3=831d?=650;9a700=8391<7>t$23f>62a3A9><6F<359jb1<722cm97>5;n3:1?6=3tyj87>52z\b0>;4=?0m96s|a483>7}Yi<16?885f59~w66?2909wS=?8:?013<61<1vqo1<75fa483>>i4810;66l<5783>6<729q/?52z\b1>;4=?0m86s|31:94?4|V::370=:6;3:1>{zj;n<6=4<:080M54<2.8=h4>8d9je1<722cj97>5;n13:7>53;294~"49l088k5G3428L6533`l?6=44ig794?=h90?1<75rs`694?4|Vh>01>;9:g78yvg22909wSo:;<162?`33ty8<54?:3y]75><5:?=6<7:;|a6a0=8391=7=tH217?!56m3;3i6gn4;29?lg22900c>>7:188f63129086=4?{%12a?53n2B89=5G3268mc2=831bj84?::m2=0<722wxm94?:3y]e1=:;<<1j85rs`794?4|Vh?01>;9:g68yv5703:1>vP<099>700=90?0qpl=d483>6<62:qC?>:4$23f>4>b3`k?6=44i`794?=h;921<75m34494?5=83:p(>?j:26e?M5282B8?95ff583>>oa=3:17b?65;29?xuf<3:1>vPn4:?0135<5sW9;463<5782=0=zuk9;>7>52;294~"49l0:5<52;294~"49l0:5<53;294~"49l0:5:5G3428L6533`l?6=44ig794?=h90?1<75rb3d6>5<4290;w)=>e;3:3>N4=91C?>:4ig694?=nn<0;66a>9483>>{e:o91<7<50;2x 67b28:97E=:0:J071=nn:0;66a>9483>>{e:mo1<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo0ek:50;9jb0<722e:584?::a6`4=8391<7>t$23f>7g<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<53;294~"49l09m6F<519K7621<75ff483>>i61<0;66sm2d494?5=83:p(>?j:3c8L6373A9886gi4;29?l`22900c<7::188yg4b03:1?7>50z&05`<5i2B89=5G3268mc2=831bj84?::m2=0<722wi>im50;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722wi?=:50;194?6|,:;n6?o4H273?M54<2cm87>5;hd6>5<6=44}c131?6=:3:1=;;hd0>5<6=44}c132?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{e;9=1<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo039K706<@:9?7dh<:188k4?22900qo039K706<@:9?7dh<:188k4?22900qo039K706<@:9?7dh<:188k4?22900qo039K706<@:9?7dh<:188k4?22900qo039K706<@:9?7dh<:188k4?22900qo039K706<@:9?7dh<:188k4?22900qo039K706<@:9?7dh<:188k4?22900qo039K706<@:9?7dh<:188k4?22900qo0ek:50;9jb0<722cm:7>5;hd4>5<>oai3:17dhm:188k4?22900qo==1;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo==3;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo==4;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo==5;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo==6;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo==7;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo==8;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo==9;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo==a;290ek:50;9jb0<722cm:7>5;hd4>5<>oai3:17b?65;29?xd4:;0;6>4?:1y'74c=90=0D>;?;I100>oa<3:17dh::188k4?22900qo=<1;297?6=8r.8=h4=a:J015=O;:>0ek:50;9jb0<722e:584?::a764=8381<7>t$23f>4653A9><6F<359jb6<722e:584?::a765=8391<7>t$23f>4?03A9><6F<359jb1<722cm97>5;n3:1?6=3ty3o7>57z\;g>;4:j02h63<1g8:`>;4:k02h63<2d8e7>;4:00m?63<338e7>{tmm0;6iuQee9>74`=0016??m5889>6c7=0016??l5889>6fb=0016>nk5889>6f`=0016>i>5889>6a7=0016>i<5889>6a5=0016>i:5889~w4?5290>w0=:1;d2?856n33>70==c;;6?84a933>70==b;;6?xu5k=0;6?uQ2b6897`22o>0q~523339b6=z{;i=6=4:{<0`a?7d9279h84n5:?1``n950;7x97ea28i:700}::m:1=n?4=3f4>d3<5;o96k:4=3db>c5<5:8>6k=4}r0`=?6==r79h<4>c09>6a>=i<16>h:5f59>6cd=n:16??95f29~w7ef290=w0;5l00j963=e78e0>;48?0m863=fb8e7>;4:?0m?6s|2b`94?0|5;n86;<0ge?g2348n47h;;<130?`2348mh7h<;<116a2=9j;01?jm:`7896632o>01?hj:g18yv4cl3:1?v3=d78b0>;5ll0:58522d29b0=z{;nm6=4<{<0g3?g3348n<7?65:?1a7h?50;1x97b?2h>01?k=:0;6?84b<3l>7p}=e283>6}::m31m9522d695<3<5;o=6k;4}r0f1?6=;r79hl4n4:?1a3<61<16>h65f49~w7c02909w04?23ty9il4?:3y>6fc=1m16>i;531:8yv4bj3:1>v3=cg8:`>;5l?08<55rs3g`>5<5s48o<77k;<0g3?5702wx>hj50;0x97b620n01?j7:22;?xu5ml0;6?u22e09=a=::m31?=64}r0fb?6=:r79h>46d:?1`d<4811v?h?:18184c<33o70{t:o;1<7=t=3d2>4e6349;>7h<;<131?`43ty9j?4?:3y>6c7=1m16>k=51878yv4a<3:1>v3=f08;`>;5n<0:585rs3d5>5<2s499j7h;;<0e1?`2348m?7h<;<0eb?7>=278?<4i4:p6c1=838p1?h8:0;6?84an3l?7p}=f983>7}::o21=4;4=3de>c352z?1b<<61<16>kh5f79~w7`f2909w0;5no0m;6s|2g`94?4|5;li6<7:;<0eb?`?3ty9jn4?:3y>6ce=90?01?hi:g;8yv4al3:1>v3=fe82=0=::ol1jl5rs3df>5<5s48mi7?65:?1bc7?65:p755=838pR>><;<133?7>=2wx?=:50;0x9663283>70=?7;d7?xu48<0;6?u231795<3<5::=6k;4}r132?6=:r78<;4>949>751=n<1v>?i:181[56n278=k4>c09~w6472909w0=>f;:g?855:3;296s|33394?4|5:8:6<7:;<11e?`33ty8>>4?:3y>775=90?01>v3<2582=0=:;;k1j;5rs206>5<5s49997?65:?06d70==a;d:?xu4:>0;6?u233595<3<5:8j6k64}r1154>949>77g=nh1v><6:18185513;2963<238e1>{t;;k1<74?23499>7h;;|q06g<72;qU??l4=20a>4e63ty8>n4?:3y>77e=9j;01>=>:g78yv55l3:1>v3<2b8;`>;4:o0:585rs20f>5<5s499i7?65:?06c>50;0x964e21n01>=<:0;6?xu4;80;6?u232395<3<5:986k:4}r106?6=:r78??4>949>765=n<1vqo=9c;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo=:9;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo=:a;297?6=8r.8=h4=a:J015=O;:>0ek:50;9jb0<722e:584?::a731=8381<7>t$23f>4653A9><6F<359jb6<722e:584?::a73>=8381<7>t$23f>4653A9><6F<359jb6<722e:584?::a73?=8391<7>t$23f>7g<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<52;294~"49l0:5<7>53;294~"49l0:5:5G3428L6533`l?6=44ig794?=h90?1<75rb8694?4=83:p(>?j:023?M5282B8?95ff283>>i61<0;66sm36294?4=83:p(>?j:023?M5282B8?95ff283>>i61<0;66sm3d494?4=83:p(>?j:023?M5282B8?95ff283>>i61<0;66sm39394?4=83:p(>?j:023?M5282B8?95ff283>>i61<0;66sm3ef94?4=83:p(>?j:023?M5282B8?95ff283>>i61<0;66sm39a94?4=83:p(>?j:023?M5282B8?95ff283>>i61<0;66sm40094?4=83:p(>?j:023?M5282B8?95ff283>>i61<0;66sm3`594?4=83:p(>?j:023?M5282B8?95ff283>>i61<0;66sm9183>7<729q/?=3:17pl62;296?6=8r.8=h4>019K706<@:9?7dh<:188k4?22900qok7:181>5<7s-9:i7??0:J015=O;:>0ek=50;9l5<3=831vn9?::181>5<7s-9:i7??0:J015=O;:>0ek=50;9l5<3=831vn8m50;094?6|,:;n6<>?;I164>N4;=1bj>4?::m2=0<722wi9o4?:383>5}#;8o1==>4H273?M54<2cm?7>5;n3:1?6=3th>m7>52;294~"49l0:<=5G3428L6533`l86=44o0;6>5<9483>>{e=?0;6?4?:1y'74c=99:0D>;?;I100>oa;3:17b?65;29?xd2=3:1>7>50z&05`<6891C?8>4H217?l`42900c<7::188yg3329096=4?{%12a?7782B89=5G3268mc5=831d=4;50;9~f05=8381<7>t$23f>4673A9><6F<359jb6<722e:584?::a17<72;0;6=u+30g9556<@:?;7E=<4:ke7?6=3f;297>5;|`65?6=:3:1=;;hd0>5<6=44}c73>5<5290;w)=>e;334>N4=91C?>:4ig194?=h90?1<75rb5d94?4=83:p(>?j:023?M5282B8?95ff283>>i61<0;66sm4d83>7<729q/?=3:17pl94;296?6=8r.8=h4>019K706<@:9?7dh<:188k4?22900qo8<:181>5<7s-9:i7??0:J015=O;:>0ek=50;9l5<3=831vn;<50;094?6|,:;n6<>?;I164>N4;=1bj>4?::m2=0<722wi:<4?:383>5}#;8o1==>4H273?M54<2cm?7>5;n3:1?6=3th=<7>52;294~"49l0:<=5G3428L6533`l86=44o0;6>5<9483>>{e=m0;6?4?:1y'74c=99:0D>;?;I100>oa;3:17b?65;29?xd203:1>7>50z&05`<6891C?8>4H217?l`42900c<7::188yg5?i3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg2683:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5b;3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5cj3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5c:3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5?=3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg50:3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg50j3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5c<3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5b03:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5d;3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg51i3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg51l3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5b83:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5>93:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5f13:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5a?3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5>03:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5>i3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg50n3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg44;3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg44:3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg41<3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg42m3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg4203:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg42:3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg43k3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg43>3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg4383:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg44i3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg44<3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg45k3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yv472909w0=93;3e?851?3l87p}=1;296~;4>=0:j63<698e7>{t:>0;6?u2376962=:;?i1j>5rs2494?4|V:<019k5f29~w61=838pR>94=5d9b6=z{:21<7750;0xZ6?<5<;1j>5rs2c94?4|V:k018<5f29~w6d=838pR>l4=419b6=z{:i1<7j50;0xZ6b<55rs2g94?4|V:o01885f29~w6`=838pR>h4=459b6=z{=:1<7=n:1v9?50;0xZ17<5<31j>5rs5094?4|V=8018o5f29~w15=838pR9=4=4`9b6=z{=?1<75rs5594?4|V==018k5f29~w1>=838pR964=4d9b6=z{=31<75rs5`94?4|V=h01;<5f29~w1e=838pR9m4=719b6=z{=n1<7=2wx8k4?:2y>70b=?27?=;48;<6e>4?23ty><7>53z?01a::764=4295<3d=:<8<1m63:2;3:1>{t=:0;6>u234f9f>;39?0i70;<:0;6?xu2<3:1?v3<5e8`?826>3i018:51878yv322908w0=:d;f891712m16984>949~w00=839p1>;k:d9>040=m27>:7?65:p12<72:q6?8j5f:?7530:?6=2wx944?:2y>70b=98168<85109>1<<61<1v8o50;1x963c289019?9:01890g=90?0q~;m:181852l3;?70;m:0;6?xu2k3:1>v3<5e822>;2k3;296s|5e83>7}:<8<1=:525e82=0=z{4><55<5s4>::7?6;<7e>4?23ty=<7>52z?753<6i27=<7?65:p24<72;q68<851c9>24<61<1v;<50;0x917128i01;<51878yv042908w0=:d;3g?826>3;o708<:0;6?xu1<3:1?v3<5e82a>;39?0:i6394;3:1>{t><0;68u234f920=:<8<1:852376920=:;21<73?<5:?n6;h4}r:e>5<5sW2m707?:g18yv?72909w0=93;:b?8?7283>7p}61;296~X>9272>7h<;|q:6?6=>r789i47e:?753=2wx5>4?:3y]=6=:1=0m?6s|9583>7}:;?914o529582=0=z{l=1<7=n:1vh650;0x96042h<01h651878yv`d2909w0=:d;d`?852m3lh7p}>0283>7}:;?>1===4=240>4643ty:=?4?:3y>732=98801>8<:031?xu6900;6?u234f954?<5:3483>7}:;;4=247>4523ty:?l4?:3y>732=9:k01>8<:01b?xu6<>0;6?u23769511<5:<86<6m;|q2<5<72;q6?;:519289604282j7p}>c383>7}Y9j801?7p}>c483>7}Y9j?01?=<:0;6?xu6k?0;6?uQ1b489753283>7p}>c683>7}Y9j=01?=n:0;6?xu6k10;6?uQ1b:89727283>7p}>c883>7}Y9j301?:9:0;6?xu6kh0;6?uQ1bc8972d283>7p}>cc83>7}Y9jh01?;=:0;6?xu6kj0;6?uQ1ba8973?283>7p}>ce83>7}Y9jn01?;j:0;6?xu6kl0;6?uQ1bg89703283>7p}>d183>7}:;4=535>4b73ty9>n4?:3y>040=9j801?v3;1782g6=:::81j>5rs310>5<5s4>::7?l5:?176>:50;0x917128i=70<<4;d0?xu5;h0;6?u240495f1<5;9j6k=4}r074?6=:r7?=;4>c99>616=n:1v?:9:181826>3;h563=478e7>{t:=i1<74ef348?o7h<;|q117<72;q68<851b`897352o90q~<:8;296~;39?0:on5224:9b6=z{;?n6=4={<622?7dl2799h4i3:p632=838p19?9:0af?841<3l87p}=7183>7}:;:>4=535>7173ty9nh4?:3y>732=:hi01>;j:3`1?xu5jo0;6?u240496de<5:?n6?ok;|q1g1<72;q68<852b68963d2;i?7p}<0083>7}:<8<1?=?4=27`>6663ty8<>4?:3y>040=;9901>;l:220?xu4800;6?u234f975?<5:?26k=4}r124?6=:r789i4<119>737=n:1v>?i:181852k39:j63<638e0>{t;;h1<764e349>m7h;;|q01=<72;q6?8k56`9>70g=90?0q~=:9;296~;4=00:585234c9b0=z{:?m6=4={<16a?4e?278:?4>949~w6072909w0=91;3:1>;4>;0m96s|37794?4|V:<>70=9a;3:1>{t;?<1<74?2349=57h;;|q022<72;q6?;651878960>2o?0q~=9a;296~;4>00:585237c9b6=z{:;4>m0:585rs24g>5<5s49=o7?65:?02a;4?90:585rs252>5<5sW9<=63<7382=0=z{:=96=4={<157?51m278;?4i3:p72g=838pR>9n;<14f?7>=2wx?:l50;0x96042:=j70=8b;d0?xu4?l0;6?uQ36g8961a283>7p}<7g83>7}:;c552z\0<5=:;1;1j>5rs2:2>5<5s49=?7=8c:?0<4<61<1v>6=:181[5?:278484>949~w6>22909w0:>6;1;6>;40<0m?6s|39;94?4|V:2270=7a;3:1>{t;1k1<76>?3493m7h<;|q0c552z?026<40116?5m51878yv5>83:1>vP<919>7<7=90?0q~=61;296~;4>:085=523839b6=z{:3?6=4={_1:0>;4110:585rs2;;>5<5s49=87=64:?0==3492m7?65:p78<:2;:?85>i3l87p}7}Y;h<01>o8:g18yv5f?3:1?v3;1780e0=:;?>1?l;4=2c4>4?23ty8m54?:3y]7d><5:k26<7:;|q0e<<72;q6?;=53`7896g>2o90q~=l0;296~X4k916?n=51878yv5d;3:1>v3;1780g5=:;j91j>5rs2f2>5<5sW9o=63j<;<1g0?7>=2wx?i:50;0x91712:on70=k4;d0?xu4l<0;6?uQ3e7896be283>7p}7}:<8<1?i;4=2fa>c552z\0`f=:;mn1j>5rs2fg>5<4s49>h7=kc:?026<4lj16?ij51878yv5cm3:1>vP7`6=90?0q~=j0;296~;39?08hh523d29b6=z{:o:6=4={_1f5>;4m:0:585rs2g0>5<5s4>::7=j1:?0a6{t;l=1<7k;;<1f51878yv2683:1>v3<5d874f=:<8:1j>5rs532>5<5sW>:=63;138e7>{t<881<716d34>:>7?65:p042=838pR9?;;<621?`43ty?=84?:5y>70b=<89019?9:530?851<3>:?63;1482=0=zugoj=7>52zJ071=zflk96=4={I100>{imh91<7=;;|lfe=<728qC?>:4}ogb=?6=9rB8?95rndcb>5<6sA9886sae``94?7|@:9?7p`jab83>4}O;:>0qcknd;295~N4;=1vbhoj:182M54<2weilh50;3xL6533tdnn=4?:0yK76251zJ071=zflh96=4>{I100>{imk91<7?tH217?xhbj=0;6=;;|lff=<728qC?>:4}oga=?6=9rB8?95rnd`b>5<6sA9886saec`94?5|@:9?7p`jbb83>6}O;:>0qckmd;297~N4;=1vbhlj:180M54<2weioh50;1xL6533tdno=4?:2yK76253zJ071=zfli96=4<{I100>{imj91<7=tH217?xhbk=0;69uG3268ykcd=3:1>vF<359~j`e12908wE=<4:maf1=83>pD>=;;|lfg=<72;qC?>:4}og`=?6=:rB8?95rndab>5<5sA9886saeb`94?4|@:9?7p`jcb83>7}O;:>0qckld;296~N4;=1vbhmj:181M54<2weinh50;0xL6533tdnh=4?:2yK76253zJ071=zfln96=49{I100>{imm91<7=tH217?xhbl=0;6vF<359~j`b1290:wE=<4:maa1=83=;;|lf`=<728qC?>:4}ogg=?6=:rB8?95rndfb>5<6sA9886saee`94?4|@:9?7p`jdb83>6}O;:>0qckkd;297~N4;=1vbhjj:180M54<2weiih50;1xL6533tdni=4?:2yK76253zJ071=zflo96=4<{I100>{iml91<7=tH217?xhbm=0;6>uG3268ykcb=3:1=vF<359~j`c1290:wE=<4:ma`1=83;pD>=;;|lfa=<728qC?>:4}ogf=?6=9rB8?95rndgb>5<6sA9886saed`94?7|@:9?7p`jeb83>4}O;:>0qckjd;295~N4;=1vbhkj:182M54<2weihh50;3xL6533tdnj=4?:0yK76253zJ071=zfll96=4={I100>{imo?1<7vF<359~j``?2909wE=<4:mac?=838pD>=;;|lfbd<72;qC?>:4}ogef?6=:rB8?95rndd`>5<5sA9886saegf94?4|@:9?7p`jfd83>6}O;:>0qckif;297~N4;=1vbk>?:180M54<2wej=?50;1xL6533tdm53zJ071=zfo:?6=4={I100>{in9?1<7vF<359~jc6?2909wE=<4:mb5?=83;pD>=;;|le4d<72;qC?>:4}od3f?6=9rB8?95rng2`>5<6sA9886saf1f94?7|@:9?7p`i0d83>4}O;:>0qch?f;295~N4;=1vbk??:182M54<2wej54zJ071=zfo;?6=4>{I100>{in8?1<7vF<359~jc7?290:wE=<4:mb4?=838pD>=;;|le5d<728qC?>:4}od2f?6=:rB8?95rng3`>5<6sA9886saf0f94?4|@:9?7p`i1d83>4}O;:>0qch>f;296~N4;=1vbk?4?:0yK76252zJ071=zfo8?6=4>{I100>{in;?1<7vF<359~jc4?290:wE=<4:mb7?=839pD>=;;|le6d<728qC?>:4}od1f?6=:rB8?95rng0`>5<6sA9886saf3f94?4|@:9?7p`i2d83>4}O;:>0qch=f;296~N4;=1vbk=?:182M54<2wej>?50;0xL6533tdm??4?:0yK76252zJ071=zfo9?6=4>{I100>{in:?1<7=tH217?xha;?0;6vF<359~jc5?290:wE=<4:mb6?=838pD>=;;|le7d<728qC?>:4}od0f?6=;rB8?95rng1`>5<6sA9886saf2f94?4|@:9?7p`i3d83>4}O;:>0qch52zJ071=zfo>?6=4>{I100>{in=?1<7:tH217?xh6i=0;6=83;pD>=;;|l2e<<728qC?>:4}o3be?6=9rB8?95rn0ca>5<6sA9886sa1`a94?7|@:9?7p`>ae83>4}O;:>0qc?ne;295~N4;=1vb50;3xL6533td:n<4?:0yK7627>51zJ071=zf8h86=4>{I100>{i9k>1<7?tH217?xh6j<0;6=;;|l2fd<728qC?>:4}o3af?6=9rB8?95rn0`g>5<6sA9886sa1cg94?7|@:9?7p`>bg83>4}O;:>0qc?l0;295~N4;=1vb:182M54<2we=n<50;3xL6533td:o>4?:0yK76251zJ071=zf8i=6=4>{I100>{i9j=1<7?tH217?xh6k10;6=;;|l2ga<728qC?>:4}o3`a?6=9rB8?95rn0ae>5<6sA9886sa1e394?7|@:9?7p`>d383>4}O;:>0qc?k3;295~N4;=1vb51zJ071=zf8n36=4>{I100>{i9m31<7?tH217?xh6lh0;6=;;|l2``<728qC?>:4}o3gb?6=9rB8?95rn0g3>5<6sA9886sa1d394?7|@:9?7p`>e383>4}O;:>0qc?j3;295~N4;=1vb51zJ071=zf8o36=4>{I100>{i9l31<7?tH217?xh6mh0;6=;;|l662<728qC?>:4}o71=?6=9rB8?95rn40b>5<6sA9886sa53`94?7|@:9?7p`:2b83>4}O;:>0qc;=d;295~N4;=1vb8?=4?:0yK76251zJ071=zf<9m6=4>{I100>{i==:1<7?tH217?xh2<80;6=;;|l600<728qC?>:4}o772?6=9rB8?95rn464>5<6sA9886sa55:94?7|@:9?7p`:4883>4}O;:>0qc;;a;295~N4;=1vb8:m:182M54<2we99m50;3xL6533td>8i4?:0yK76251zJ071=zf<>m6=4>{I100>{i=<:1<7?tH217?xh2=80;6=;;|l610<728qC?>:4}o762?6=9rB8?95rn474>5<6sA9886sa54:94?7|@:9?7p`:5883>4}O;:>0qc;:a;295~N4;=1vb8;m:182M54<2we98m50;3xL6533td>9i4?:0yK762i7>51zJ071=zf{I100>{i=?:1<7?tH217?xh2>80;6=;;|l620<728qC?>:4}o752?6=9rB8?95rn444>5<6sA9886sa57:94?7|@:9?7p`:6883>4}O;:>0qc;9a;295~N4;=1vb88m:182M54<2we9;m50;3xL6533td>:i4?:0yK76251zJ071=zf<{I100>{i=>:1<7?tH217?xh2?80;6=;;|l630<728qC?>:4}o742?6=9rB8?95rn454>5<6sA9886sa56:94?7|@:9?7p`:7883>4}O;:>0qc;8a;295~N4;=1vb89m:182M54<2we9:m50;3xL6533td>;i4?:0yK76251zJ071=zf<=m6=4>{I100>{i=1:1<7?tH217?xh2080;64290:wE=<4:m1=2=83;pD>=;;|l6<0<728qC?>:4}o7;2?6=9rB8?95rn4:4>5<6sA9886sa59:94?7|@:9?7p`:8883>4}O;:>0qc;7a;295~N4;=1vb86m:182M54<2we95m50;3xL6533td>4i4?:0yK76251zJ071=zf<2m6=4>{I100>{i=0:1<7?tH217?xh2180;6:3:1=vF<359~j0?4290:wE=<4:m1<2=83;pD>=;;|l6=0<728qC?>:4}o7:2?6=9rB8?95rn4;4>5<6sA9886sa58:94?7|@:9?7p`:9883>4}O;:>0qc;6a;295~N4;=1vb87m:182M54<2we94m50;3xL6533td>5i4?:0yK76251zJ071=zf<3m6=4>{I100>{i=h:1<7?tH217?xh2i80;6=;;|l6e0<728qC?>:4}o7b2?6=9rB8?95rn4c4>5<6sA9886sa5`:94?7|@:9?7p`:a883>4}O;:>0qc;nb;295~N4;=1vb8ol:182M54<2we9lj50;3xL6533td>mh4?:0yK76251zJ071=zf{I100>{i=k;1<7?tH217?xh2j;0;6=;;|l6f3<728qC?>:4}o7a3?6=9rB8?95rn4`;>5<6sA9886sa5c;94?7|@:9?7p`:b`83>4}O;:>0qc;mb;295~N4;=1vb8ll:182M54<2we9oj50;3xL6533td>nh4?:0yK76251zJ071=zf{I100>{i=j;1<7?tH217?xh2k;0;6=;;|l6g3<728qC?>:4}o7`3?6=9rB8?95rn4a;>5<6sA9886sa5b;94?7|@:9?7p`:c`83>4}O;:>0qc;lb;295~N4;=1vb8ml:182M54<2we9nj50;3xL6533td>oh4?:0yK76251zJ071=zf{I100>{i=m;1<7?tH217?xh2l;0;6=;;|l6`3<728qC?>:4}o7g3?6=9rB8?95rn4f;>5<6sA9886sa5e;94?7|@:9?7p`:dc83>4}O;:>0qc;kf;295~N4;=1vb8k=:182M54<2we9h=50;3xL6533td>i;4?:0yK76251zJ071=zf{I100>{i=l31<7?tH217?xh2mk0;6=;;|l6b5<728qC?>:4}o7e1?6=9rB8?95rn4d5>5<6sA9886sa5g594?7|@:9?7p`:f983>4}O;:>0qc96c;295~N4;=1vb:7i:182M54<2we;l>50;3xL6533td7>51zJ071=zf>k86=4>{I100>{i?h>1<7?tH217?xh0i<0;6=;;|l4e`<728qC?>:4}o5bb?6=9rB8?95rn6`3>5<6sA9886sa7c394?7|@:9?7p`8b383>4}O;:>0qc9m3;295~N4;=1vb:l;:182M54<2we;o950;3xL6533td51zJ071=zf>hj6=4>{I100>{i?kh1<7?tH217?xh0jj0;6=;;|l4g5<728qC?>:4}o5`6?6=9rB8?95rn6a0>5<6sA9886sa7b694?7|@:9?7p`8c483>4}O;:>0qc9l6;295~N4;=1vb:m8:182M54<2we;n650;3xL6533td51zJ071=zf>ii6=4>{I100>{i?ji1<7?tH217?xh0km0;6=;;|l4`4<728qC?>:4}o5g6?6=9rB8?95rn6f0>5<6sA9886sa7e694?7|@:9?7p`8d483>4}O;:>0qc9k7;295~N4;=1vb:j7:182M54<2we;i750;3xL6533td51zJ071=zf>nh6=4>{I100>{i?mn1<7?tH217?xh0ll0;6=;;|l4a7<728qC?>:4}o5f7?6=9rB8?95rn6g7>5<6sA9886sa7d794?7|@:9?7p`8e783>4}O;:>0qc9j7;295~N4;=1vb:k7:182M54<2we;h750;3xL6533td51zJ071=zf>oh6=4>{I100>{i?ln1<7?tH217?xh0ml0;6=;;|l4b7<728qC?>:4}o5e7?6=9rB8?95rn6d7>5<6sA9886sa7g794?7|@:9?7p`8f783>4}O;:>0qc9i7;295~N4;=1vb:h7:182M54<2we;k750;3xL6533td51zJ071=zf>lh6=4>{I100>{i?on1<7?tH217?xh0no0;6783:1=vF<359~j=66290:wE=<4:m<52=83;pD>=;;|l;40<728qC?>:4}o:`4?6=9rB8?95rn9a2>5<6sA9886sa8b094?7|@:9?7p`7c583>4}O;:>0qc6l5;295~N4;=1vb5m8:182M54<2we4n650;3xL6533td3o44?:0yK76251zJ071=zf1ih6=4>{I100>{i0jn1<7?tH217?xh?kl0;6dn3:1=vF<359~j=b7290:wE=<4:m=;;|l;`1<728qC?>:4}o:g2?6=9rB8?95rn9f4>5<6sA9886sa8e:94?7|@:9?7p`7d`83>4}O;:>0qc6kb;295~N4;=1vb5jl:182M54<2we4ik50;3xL6533td3hk4?:0yK76251zJ071=zf1o:6=4>{I100>{i0l81<7?tH217?xh?m:0;6b<3:1=vF<359~j=c2290:wE=<4:m<`0=83;pD>=;;|l;a2<728qC?>:4}o:f5<6sA9886sa8dc94?7|@:9?7p`7ec83>4}O;:>0qc6jf;295~N4;=1vb5h?:182M54<2we4k<50;3xL6533tdin<4?:0yK7627>51zJ071=zfkh86=4>{I100>{ijk>1<7?tH217?xhej<0;63:1=vF<359~jgd0290:wE=<4:mfg>=83;pD>=;;|laf<<728qC?>:4}o`ag?6=9rB8?95rnc`g>5<6sA9886sabcg94?7|@:9?7p`mbg83>4}O;:>0qcll1;296~N4;=1vbom=:182M54<2wenn=50;3xL6533tdio94?:0yK76251zJ071=zfki=6=4>{I100>{ijj=1<7?tH217?xhek10;6?uG3268ykdd13:1=vF<359~jgef290:wE=<4:mffd=838pD>=;;|lagf<728qC?>:4}o```?6=9rB8?95rncaf>5<5sA9886sabbd94?7|@:9?7p`md183>4}O;:>0qclk1;296~N4;=1vboj=:182M54<2weni=50;3xL6533tdih94?:3yK76252zJ071=zfkn=6=4={I100>{ijm=1<7?tH217?xhel10;6=;;|la`f<728qC?>:4}o`g`?6=9rB8?95rncff>5<6sA9886sabed94?7|@:9?7p`me183>4}O;:>0qclj1;295~N4;=1vbok=:182M54<2wenh=50;0xL6533tdii94?:3yK76252zJ071=zfko=6=4={I100>{ijl=1<7?tH217?xhem10;6=;;|laaf<728qC?>:4}o`f`?6=9rB8?95rncgf>5<6sA9886sabdd94?7|@:9?7p`mf183>4}O;:>0qcli2;295~N4;=1vboh<:182M54<2wenk:50;3xL6533tdij84?:0yK76251zJ071=zfkl<6=4>{I100>{ijo21<7?tH217?xhen00;6=;;|laba<728qC?>:4}o`eb?6=9rB8?95rnb23>5<6sA9886sac1394?7|@:9?7p`l0583>4}O;:>0qcm?5;295~N4;=1vbn>9:182M54<2weo=950;3xL6533tdh<54?:0yK76251zJ071=zfj:j6=4>{I100>{ik9h1<7?tH217?xhd8j0;6=;;|l`55<728qC?>:4}oa25?6=9rB8?95rnb31>5<6sA9886sac0194?7|@:9?7p`l1583>4}O;:>0qcm>5;295~N4;=1vbn?9:182M54<2weo<950;3xL6533tdh=54?:0yK76251zJ071=zfj;j6=4>{I100>{ik8h1<7?tH217?xhd9j0;6=;;|l`67<728qC?>:4}oa17?6=9rB8?95rnb07>5<6sA9886sac3794?7|@:9?7p`l2783>4}O;:>0qcm=7;295~N4;=1vbn<7:182M54<2weo?o50;3xL6533tdh>o4?:0yK76251zJ071=zfj8o6=4>{I100>{ik;o1<7?tH217?xhd:o0;6=;;|l`7=<728qC?>:4}oa0=?6=9rB8?95rnb1b>5<6sA9886sac2`94?7|@:9?7p`l3b83>4}O;:>0qcm50;3xL6533tdh8<4?:0yK7627>51zJ071=zfj>86=4>{I100>{ik=>1<7?tH217?xhd<<0;63:1=vF<359~jf20290:wE=<4:mg1>=83;pD>=;;|l`0<<728qC?>:4}oa7e?6=9rB8?95rnb6a>5<6sA9886sac5g94?7|@:9?7p`l4g83>4}O;:>0qcm:0;295~N4;=1vbn;=:182M54<2weo8=50;3xL6533tdh994?:0yK76297>51zJ071=zfj?=6=4>{I100>{ik<=1<7?tH217?xhd=10;6=;;|l`1f<728qC?>:4}oa6`?6=9rB8?95rnb7f>5<6sA9886sac4d94?7|@:9?7p`l6183>4}O;:>0qcm91;295~N4;=1vbn8=:182M54<2weh5<50;3xL6533tdo494?:3yK76252zJ071=zfm2=6=4={I100>{il1=1<7vF<359~ja>f2909wE=<4:m`=d=838pD>=;;|lg:4}of;`?6=:rB8?95rne:f>5<5sA9886sad9d94?4|@:9?7p`k9183>7}O;:>0qcj61;296~N4;=1vbi7=:182M54<2weh4=50;0xL6533tdo594?:3yK76252zJ071=zfm3=6=4={I100>{il0=1<713:1>vF<359~ja?f290:wE=<4:m`=;;|lg=f<728qC?>:4}of:`?6=9rB8?95rne;f>5<6sA9886sad8d94?7|@:9?7p`ka183>4}O;:>0qcjn1;295~N4;=1vbio=:182M54<2wehl=50;3xL6533tdom94?:0yK76251zJ071=zfmk36=4>{I100>{ilh31<7?tH217?xhcih0;6=;;|lgec<728qC?>:4}ofa4?6=9rB8?95rne`2>5<6sA9886sadc094?7|@:9?7p`kb283>4}O;:>0qcjm4;295~N4;=1vbil::182M54<2weho950;3xL6533tdon54?:0yK76251zJ071=zfmhj6=4>{I100>{ilkh1<7?tH217?xhcjj0;6r@ARxyEF \ No newline at end of file diff --git a/cpld/XC95144XL/MXSE 2.ngd b/cpld/XC95144XL/MXSE 2.ngd new file mode 100644 index 0000000..3dfd89e --- /dev/null +++ b/cpld/XC95144XL/MXSE 2.ngd @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$5745=23-;B8>;4$0L7044<,[o}e~g`n;"2*73>(-20*R?F42]0<> X9G>?S9?4009257=6:3E^X][[:SC?54<768:0=;4FNQWW>D:5;325==5=1;KMTPR=I58:6<0>4:00>LHW]]0JSK]M<3195;3G;9;4>7L2>3?78E9736<1J0<;15:C?53823H6:;3;4A=3;:0=F483586O31?78E9476>1J0??51?78E9466<1J0?<17:C?66G;07>0M1714:COA53GKM9Ufyu>?01f8EIC7Wds<=>?1e9BH@6Xe|r;<=>=d:COA5Yj}q:;<==k;@NF4Zkrp9:;<9:4AMG2g>GKM8UTc>?00f8EIC6WVey<=>>129BJA2GIL>>0MCJ74:CPvcb7LQISC;8EZ@TJ5;;245N_GQA847912KTJ^L313<:?DYA[K6:?374A^DPF973601JSK]M<07==>GXNZH7=;06;@]EWG:6?730MRH\B=3;:<=FWOYI0<718:C\BVD;9730MRH\B=03:<=FWOYI0??19:C\BVD;:;4i7LQISC>17?7912KTJ^L322<;?DYA[K69255N_GQA868?3HUM_O2;>99B[CUE4<437LQISC>5:==FWOYI0:07;@]EWG:?611JSK]M<8_H@VBa=FWOYIS?GXNZHT>>QFBTD`?DYA[KU9SDLZFb9B[CUEW:UBNXHl;@]EWGY3W@H^Jn5N_GQA[0YNJ\Lh7LQISC]5[LDRNj1JSK]M_6]JFP@d3HUM_OQ7_H@VBf=FWOYIS4QFBTD7?GGD\<1IMNZ>5:@BGQ423KKHX:4BDPQ1>DBZ[:=7OK]R1pf?GCUZ9xTSb|?012e?GCUZ9xTSb|?0122b>DBZ[:ySRa}012360=EM[X::6LJRS3qa>DBZ[;ySRa}0123b>DBZ[;ySRa}01235c=EM[X:~RQ`r12347385MESP01>DBZ[>>7OK]R4;8F@TUW@EI_i5MESP\[dhc89:;i6LJRS]\ekb789::o6LJRS]\kw6789n0NH\]_^mq45679m1II_\P_np34565l2HN^_QPos23455c3KOY^RQ`r12341bDBZ[xm56LJRSpe[K6f3KOY^hPN135?GCUZ{xn7OK]Rsp\jjr789;m7OK]Rsp\jjr789;:?6LZF028FPOKLV^J^JK[ASAK<>DR[VCEJB?4C59@53K43J2F86MNCU08G@5EKZ:1HBY74CNONMQRBL>1H^HO[EE08GV0i;Bnfew7Xign;<=>>1:Ff?ATDWZ_HOH]\FTN6?ARFKB<0HYOLK058@QGDC{l<7IZNCJpqb>BSIJAy~R``t12354669Geqg;97;0I85JS=2=1>CT484>7H]32?78AV:46<1N_1:14:GP[5b_^cm`56788o0I^Q>_^cm`5678;>0I^Q=d:GP[7YXign;<=>j;DQ\6ZYffm:;<=?j;DQ\6ZYffm:;<=<;;DQ\7a=B[V9TSl`k0123a>CTW:UTmcj?0122a>CTW:UTmcj?01210>CTW=n0I^Q;_^cm`5678l1N_R:P_`lg45679l1N_R:P_`lg4567:8l0I^QBaef\[dbc89:;S@v`r^Pfwpjs484:j6K\_Lcg`ZYflm:;<=QBxnp\V`urd}692?_LzlvZTb{|f0>0>f:GP[HgclVUjhi>?01]N|jtXZly~`y2;>0;8AVYJimnTSljk0123[Zgil9:;<?_^cm`56788;27H]PM`fg[Zgcl9:;?0032=>CTWDkohRQnde2345YXign;<=<>a:GP[HgclVUjhi>?01]\ekb7898:h6K\_P]2[jt789:n7H]PQ^3\kw6789;m7H]PQ^3\kw6789;;j6K\_P]2[jt789::=k5JS^S\5Ziu89:;=?k4ER]R[4Yhz9:;k4ER]R[4Yhz9:;<9k4ER]R[4Yhz9:;<8k4ER]R[4Yhz9:;<;k4ER]R[4Yhz9:;<:k4ER]R[4Yhz9:;<5k4ER]R[4Yhz9:;<4k4ER]\eab789:7=3k4ER]\eab789:7>3k4ER]\eab789:7?3k4ER]\eab789:783;4EUC@Oa=B\HI@Sl`k0123a>CSIJATmcj?0122a>CSIJATmcj?0121a>CSIJATmcj?0120a>CSIJATmcj?0127a>CSIJATmcj?0126a>CSIJATmcj?0125<>C_XHDOII?>;DZSEKBBLVHHHRHFLD37?@^WIGNNHRM@NRVQELHS[8;0IU^NNEGG[LUBWOCGI85J_HMAe>CXAFHTEO[I2:Gq7>Cu:k1N~RMck^KMR43?5F339J07=N=;1B:?5F759JEFU23@KH_<;4I@AP60=NIJY886GMUG08MK>l1BCLM[_lw{4567?l1BCLM[_lw{45670l1BCLM[_lw{45671?1BCLM[r79JKGCUZl1BCOK]R^llp5679o1BCOK]R^llp56798l0EBLJRS]mkq67888m7DAMESP\jjr789;886G@BL68MJDT>2CDOBC_4:KLGV3?0e9JKI6Xe|r;<=>>d:KLH5Yj}q:;<=j4INN3[hs89:;8i5FOM2\ip~789:>86G@L0a8MJJ6Wge<=>>d:KLH4Yig}:;<1BC]YLS578MJTBYm1BC_K^_lw{4567m2CD^H_Pmtz34566n2CD^H_Pmtz345668l1BC_K^_lw{4567:l1BC_K^_lw{4567;l1BC_K^_lw{4567l1BC_K^_lw{4567?l1BC_K^_lw{45670l1BC_K^_lw{45671?1BC_K^r49JKWQ7l2CD^Z>Pmtz3456b3@EY[=Qbuy23457b3@EY[=Qbuy23454b3@EY[=Qbuy23455b3@EY[=Qbuy23452c3@EY[=Qaou2344ci6G@RV3\ekb789:=;6G@Rdcg}46=c:KLWZ@TEVLMh4INQ\BVKXNOn9!D`>119JKVYA[DUMJi<"Io024>OH[VLXARHId3/Jj6eOH[VLXARHId2/Jj46??;HMP[CUJWOLo? Ga4028MJUXNZGTJKj<-Hl655=NGZUM_@QIFe1.Mk0682CD_RH\M^DE`6+Nf>;;7DA\_GQN[C@c;$Ce4<>4INQ\BVKXNOn8!D`6c:KLWZ@TEVLMh9m4INQ\BVKXNOn>o6G@S^DPIZ@Al?i0EB]PFRO\BCb0n2CD_RH\M^DE`2+Nf8:0EB]PFRO\BCb0%@d:==5FOR]EWHYANm=&Ec<>0:KLWZ@TEVLMh:#Fn2a8MJUXNZGTJKj7f:KLWZ@TEVLMh5#Fn028MJUXNZGTJKj7-Hl255=NGZUM_@QIFe:.Mk4682CD_RH\M^DE`=+Nf:;;7DA\_GQN[C@c0$Ce8;5FOU[SA1=NG\:h7DAZ0^ov|5678m1BCX>Pmtz34566l2CDY=Qbuy23454c3@E^OH]8i0EB[>_omw4566l2CDYMBMYUCEH\NRBJZ3>JNJ[KYU;5CO@FF@2=KGNCHMAo4LQO\\JT5W8?0@bok1d9Okdb6WVkeh=>?059N\VC692GS_HQ[ILGPT@EX]JLh7@oeosTfvvohfj1Feca}Vdppmjh53G:97C?8;OGWSJTL92E?7BLZF29LJ@4IU<:1DYY:4Ooafg>IiklUTc>?01f8KkebWVey<=>?1e9LjfcXWfx;<=>=d:Mmg`YXg{:;<==k;Nl`aZYhz9:;<9j4Ooaf[Ziu89:;9i5@nbg\[jt789:=h6Aacd]\kw6789=o7B`le^]lv56781n0Ccmj_^mq4567181[o6^!21305574WE90\LJ;;QCQP61?01"sW%NNOA*><#>?0123456'-:>0\H\FOE"!GIMXAFH),: 732434567(DBq,EGHH!73*56789:;<=.*249SAWOHL3IGG?VPIN@950(1>>81AEt5HHEK>06":91[I_G@D;AOOZ@TJ3>;<=>5pR8KMBN==9/9>6^JRHMG>FJLW@EI6: 73249IM|=@@MC68>*a:RJJZDR[@NSn6^FN^@VWKGJM:1[^Hl4PR]EWHYANm;n7]]PFRO\BCb6%@dm7]]PFRO\BCb6%@d:j6^\_GQN[C@c9$Ce>o5_S^DPIZ@Al;o0\^QISL]EBa4*Agl0\^QISL]EBa4*Ag;:<6^\_GQN[C@c:$Ce==??;QQ\BVKXNOn9!D`>1028TVYA[DUMJi<"Io3155=W[VLXARHId3/Jj45a3YYTJ^CPFGf1)Lh5n2ZXSK]B_GDg6(Oi;o1[_RH\M^DE`7+Nf=l0\^QISL]EBa4*Ag?m7]]PFRO\BCb5%@d=j6^\_GQN[C@c:$Ce;k5_S^DPIZ@Al;'Bb5h4PR]EWHYANm8&Ec7m;QQ\BVKXG|~;o6^\_GQN[Jss88i0\^QISL]Lqq65l2ZXSnc_ds345663X?0^L2?>79QE977611YM1?>:1<5?WG;984>7_O31?78VD:56<1YM1=15:PB81823[K793;4R@>5:0=UI5=596\N<9<6?WG;1790^LC:;SCNGV084R@O@W10_lw{4567991YM@JFS0]nq}6789;:=6\NMEKP5Zkrp9:;<<>>1:PBIAOT9Vg~t=>?00325>TFEMCX=Rczx123444692XJAIG\1^ov|567889:=6\NMEKP5Zkrp9:;<<:>1:PBIAOT9Vg~t=>?00725>TFEMCX=Rczx123440692XJAIG\1^ov|56788=:=6\NMEKP5Zkrp9:;<<6>1:PBIAOT9Vg~t=>?00;24>TFEMCX=Rczx12347773[KFHD]>_lw{4567;8:0^LCKIR3\ip~789:?==5]ALFJW4Yj}q:;<=;>0:PBIAOT9Vg~t=>?0733?WGJL@Y:S`{w0123346TFEMCX>RQ`r1234460:PBIAOT:VUd~=>?0233?WGJL@Y9SRa}0123046?8028VDKCAZ8TSb|?012:3>TFEMCX?:5]ALFJW11>139QEHTbimsTaxv?01224442:PBIWcflpUfyu>?013057=UIDXnmiwPmtz34566<880^LC]e`fz[hs89:;=8?=;SCNV`gcqVg~t=>?00425>TFE[ojhtQbuy23454692XJA_kndx]nq}67899:=6\NMSgb`|Yj}q:;<=:>1:PBIWcflpUfyu>?01725>TFE[ojhtQbuy23450692XJA_kndx]nq}6789=:=6\NMSgb`|Yj}q:;<=6>1:PBIWcflpUfyu>?01;6?WGTMEn0^L]JL^ov|5678l1YM^KC_lw{45679o1YM^KC_lw{456799l0^L]JL^ov|56788;m7_O\EM]nq}6789;9j6\NSDN\ip~789::?k5]ARGO[hs89:;=9h4R@QFHZkrp9:;<<;i;SCPAIYj}q:;<=?9f:PBW@JXe|r;<=>>7g9QEVCKWds<=>?19d8VDUBDVg~t=>?00;f?WGTMEUfyu>?010e?WGTMEUfyu>?0103b>TF[LFTaxv?01215c=UIZOGS`{w012367`o0^L]JL^ov|56781o0^L]JL^ov|5678030^LQ?_N@VBf=UIV:Taxv?012g?WGX8Vg~t=>?00f8VDY7Wds<=>?2e9QEZ6Xe|r;<=>3[KT=RAMUGa8VDY6Wds<=>?d:PB[4Yj}q:;<=?k;SC\5Zkrp9:;Rczx1234a=UIV8Taxv?0122`>TFW;Ufyu>?010g?WGX:Vg~t=>?02f8VDY5Wds<=>?489QEZ5XGK_Mo6\N_2]nq}6789n0^LQ<_lw{45679m1YMR=Pmtz34565l2XJS>Qbuy23455c3[KT?Rczx12341?k;SC\0Zkrp9:;<i5]A^6\ip~789:8h6\N_5]nq}6789>27_OP5^MAQCeS`{w0123`>TFW?013g?WGX=Vg~t=>?03f8VDY2Wds<=>?3e9QEZ3Xe|r;<=>;9:PB[3YHJ\Lh7_OP6^ov|5678m1YMR8Pmtz34566l2XJS;Qbuy23454c3[KT:Rczx12346b?00f8VDY?Wds<=>?2e9QEZ>Xe|r;<=>TB[=1YI^?:;SGPvc30028VVYA[DUMJi?"Io3255=U[VLXARHId0/Jj44682XXSK]B_GDg5(Oi9:;;7_]PFRO\BCb6%@d:8k5]S^DPIZ@Al8'Bb?h4RR]EWHYANm;&Ec=i;SQ\BVKXNOn:!D`;f:PP[CUJWOLo= Ga5g9QWZ@TEVLMh<#Fn7d8VVYA[DUMJi?"Io5e?WUXNZGTJKj>-Hl;b>TTWOYFSKHk1,Km=g=U[VLXARHId3g8VVYA[DUMJi<"Iod8VVYA[DUMJi<"Io324>TTWOYFSKHk2,Km55773[YTJ^CPFGf1)Lh698:0^^QISL]EBa4*Ag;9==5]S^DPIZ@Al;'Bb<=>0:PP[CUJWOLo> Ga1533?WUXNZGTJKj=-Hl21469g9QWZ@TEVLMh?#Fn3d8VVYA[DUMJi<"Io1e?WUXNZGTJKj=-Hl7b>TTWOYFSKHk2,Km1c=U[VLXARHId3/Jj3`#Fn8`8VVYA[DUDyy6l;SQ\BVKXG|~3=n5]S^DPIZIr|18>7_kndx48V`gcq9=0^hoky1pe?Wcflp:ySRa}012355=Umhnr<QPos23457682Xnmiw?r^]lv5678;<0^hoky058V`gcq8xm7_kndx3q[Ziu89:;==5]e`fz5wYXg{:;<=?>0:Pfea6zVUd~=>?0348V`gcq;=0^hoky3pe?Wcflp8ySRa}012355=Umhnr>QPos23457682Xnmiw=r^]lv5678;<0^hoky248V`gcq=k0^hoky^KLFV?i5]e`fz[jt789;8:6\jf@ah=>TbnJd0=06;SgeGkr;9730^hhLnu>1:<=UmoIex1=19:PfbFhs4=427_kiCov?1;?89QacEi|5=546\jfBlw[5>8:PfbFhsW;20^hhLnu]0<>TbnJdS964Rdd@jqY202XnjN`{_7:8V``Df}U<>>5]egAmpZKflmUTmij?012\I}iuW[oxyaz31?00?WcaKg~TAljk_^cg`5678VGscQ]erwop949::1YikMat^Ob`aYXimn;<=>PMymq[Wct}e~7?3<<;SgeGkrXEhnoSRokd1234ZKg{UYi~{ct=6=66=UmoIexRCnde]\eab789:TAua}_Sgpqir;=7887_kiCov\IdbcWVkoh=>?0^O{kwYUmzgx181229QacEi|VGjhiQPaef3456XEqeyS_k|umv?3;413[omOczPM`fg[Zgcl9:;d:PfbFhsWDkohRQnde2345YXign;<=>>e:PfbFhsWDkohRQnde2345YXign;<=>>1e9QacEi|VGjhiQPaef3456XWhdo<=>>1d9QacEi|VGjhiQPaef3456XWhdo<=>>10f8V``Df}UFmijP_`fg4567WVkeh=>?20g8V``Df}UFmijP_`fg4567WVkeh=>?203g?WcaKg~TAljk_^cg`5678VUjbi>?023f?WcaKg~TAljk_^cg`5678VUjbi>?0232`>TbnJdS@okd^]b`a6789UTmcj?0162a>TbnJdS@okd^]b`a6789UTmcj?01625a=UmoIexRCnde]\eab789:TSl`k01265`=UmoIexRCnde]\eab789:TSl`k01265442:PfbFhsWVkoh=>?0=0=57=UmoIexRQnde2345:46880^hhLnu]\eab789:783?=;SgeGkrXWhno<=>?<4<26>TbnJdSRokd12349099;1YikMat^]b`a67896<2:5]egFlj`773[omHb`j_^cm`56788;0^hhKoog\[dhc89:;=k5]egFlj`YXg{:;<=??;Sge@jhbWVey<=>?1008V``CggoTo`~Pep2345743[omHb`j_bos[`w789::=>5]egFlj`YdeyUn}=>?0330?WcaLfdnSnc_ds345649:1YikJ`nd]`iuYby9:;<9?<;Sge@jhbWjg{Sh?012656=UmoNdbhQlmq]fu5678?;87_kiDnlf[fkwWl{;<=>8129QacBhflUha}Qjq1234=743[omHb`j_bos[`w789:2:6\jfSCP2>Tbn[oz;6\jfSgr5<=Umo_ykh`{a:PfbPt`mg~:m6\jfTpdakr5i2XnjX|heov0e>Tbn\xlicz;6:Pfwpjs>2YH_DM\7:Q@WLET9>1XO^GLS358WFUNKZ9<7^M\IBQ73>UD[@IX9>5\EUc8WkbUIDIX[_l4SofQEHET_[;h7^`kR@O@WRT68j1Xbi\NMBQTV47d3Zdo^LCLSVP26g=TfmXJAN]XR3`8WkbUIDIX[_=m;RlgVDKD[^X?n6]adSCNGVQU=k1Xbi\NMBQTV3d<[gnYM@M\WS5a?VhcZHGH_Z\7b:Qm`WGJKZ]Y555[ESOJJDJ?3]CFI^^JC29WJH1<\GGTBHZ;;UPVAf=S[VIGG?VPIN@b?QUXKEATJ^Ln;UQ\GIMXAFHi7Y]PTHOFWUCD>2^XSY@B4:VZT@><\`gncxzN119Wmhch}}KTSb|?01225>Rnele~xLQPos234576:2^bahazt@]\kw6789;;=<5[ilglqqGXWfx;<=>=109Wmhch}}KTSb|?012054=SadodyyOP_np34563981_e`k`uuC\[jt789:>=<5[ilglqqGXWfx;<=>9109Wmhch}}KTSb|?012454=SadodyyOP_np3456?981_e`k`uuC\[jt789:246ZfmdmvpGd<\`gncxzMPsg27>Rnele~xO^}e^]lv56788>0XdcjotvATwcXWfx;<=>>159Wmhch}}H[~hQPos23454682^bahaztC]\kw6789;:7YgbenwwFZYhz9:;<;UknajssJVUd~=>?0332?QojmfNRQ`r123465<]JLj7X]JR^COMDUd3\YN^RXFSH@OA6=QKJ30ZDKX_U[SA6=QXHi0Z]OP_`lg4567l2\[MRQnne23457e3_ZJSRa}0123g>PWIVUd~=>?00a8RUGXWfx;<=>=c:TSEZYhz9:;<>m4VQC\[jt789:?o6X_A^]lv5678Pnl[KFO^Y]65i2a>Pnl[KFO^Y]65i1a>Pnl[KFO^Y]65i0a>Pnl[KFO^Y]65i7a>Pnl[KFO^Y]65i6a>Pnl[KFO^Y]65i5a>Pnl[KFO^Y]65i4a>Pnl[KFO^Y]65i;5a=_AECET VKB!2-5%US]K*;"<.NSBKJ7>^HZ=1SC_<9;YQWHLD03QY_SJ@K7:ZPPZPDK<1SSB@J6:Z\^@TH>2RonRGkb:ZglZVuadCezn5Wdi]SvlkSqyom7Ujg_QpjiScu{`eej6Vkh^Vp`QojmZzno<>4Xeo\Idlhz_oydaa119[`hYJageyZh||inl5?]i;87;87U}{4:XFVJ>?9:]bja6789;27Road12347>?3:amp7=d{:1mol4fr`\jjr789:h7k}m_omw45679m1moQaou234576l2lxnR``t12344433`eia95focq:?kGCg|~GI=o4n@FlqqJB88h0bLJ`uuNF447e3gKOcxzCE131f>hFLf@H>>3d9mEAir|EO;SBLZF89mEAir|EO:m6`NDnwwH@76m2dJHb{{LD3\KGSA;2dJ_:5aAR]EWGehF[VCDNn5aAR]JKGYHJ\Li7cO\_omw4566k2dJ_R``t12354ehF[Vddx=>?12a8jDUXff~;<=?;c:lBWZhh|9:;=8m4n@Q\jjr789;=;6`NotvLAf=iIfCHQ@BTD6?kDBZ[<0bOK]R0;8jGCUZVLXNh5aBDPQ[CUEWFH^J45aBDPQ[LIEm2dII_\PIN@\MGSA<2dHM^j4nBCP[FjlW@D]=:5aC@Q\J5??f:lGPDELWVey<=>?1g9m@QGDCVUd~=>?0348jAoiDLh0bIgaLD]LFP@c3gNbbAKPos2345c>1028jAir|FOTbbz?013155=iLfCHQaou23445682dOcxz@E^llp5679=;;7cJ`uuMF[kis89::9<>4nEmvpJCXff~;<=?9119m@jssGLUecy>?00524>hCg|~DIR``t1235=773gNdyyAJ_omw45661=1e@I]7;oNGWZ@TJm1e@I]PFR@\MGSA02dGH^QFOCf8jIBTW@EISBLZFb9mHAUXign;<=?k;oNGWZgil9:;=n5aLEQ\jjr789;o7cBKS^llp56798h0bAJ\_np3457d3gFO_Ra}01225f=iDMYTc>?000`?kJC[Vey<=>>3b9mHAUXg{:;<<:l;oNGWZiu89::9n5aLEQ\kw6788d3gFO_Ra}0122=1=iD^O>7cBXE0a8jIQBWhdo<=>?d:lOS@Yffm:;<=?k;oNTAZgil9:;55aOD]LFP@>3gE}ibny130?kIqm{fju=QNcmp\MKP6k2dDzh|cax2\J5763gE}ibny1]bja6789;97cAyesnb}5Yffm:;<=?>2:lLr`tkip:Tmcj?012157=iGoy`lw?_`lg4567;880bBxjrmcz4Zgil9:;<9?=;oMuawjfq9Ujbi>?01726>hH~lxgmt>Paof3456112dDzh|cax320>hH~lxgmt?PCmiFjZOI^8i0bBxjrmcz5ZH7?2dYM@BXEb9mVDKK_LUDNXH8;oPBIPQBk2dYM@[XE^MAQC20b_K\9:lQAVYNJ\L=7c\@MBQ4?kTHEJY:n6`]OLAP[JDRN?1e^BCXE69mVJKPM8h0b_ABWD]LFP@33g_O_55aUEQ\BVDc3g_O_RH\B^KAQC>?00f8jPQBWhdo<=>?2e9mQRCXign;<=>hQEHUTc>?01:g?kPJIVUd~=>?08a8jSKFWhdo<=>?d:lUIDYffm:;<=?k;oTNEZgil9:;55aVQC\BVDc3g\[MRH\B^MAQC>hPMVLXNn5aWD]EWGYNJ\L37cikbtko`6=uid20tn7:01zo54=k0?:89vctp334(7702rb`d`wuo3;?}jipVk~xbQ?_yfa+fus&qhhhpNOp0cfg>FGp8kj6K4;:0yPe7<4180:o84>35454g<6j>;?wc=7e;38j6>a2?1/?5753938yVg62:3:65<628qXm?4<9082g0<6;=<=b637?!?62=?87Eo7;wVe6?6=93;1?5?tS`097<7=9j?1=>:961`95g16<2.8:44>a79U7=e=:r:mn4>;t3b`?6d3|@:<<7)=;f;3be>\>:38p47l5}%3b7?5312c2h7>5;n1`0?6=3`9jm7>5;n67e?6=3`>9<7>5;n62g?6=3f>:<7>5;h1af?6=3f>;o7>5;n1a`?6=3`>:;7>5;h1`2?6=3f9jo7>5;n1a1?6=3f>;i7>5;h67g?6=3f>9>7>5;n1ef?6=3f9j<7>5;n1b2?6=3`9n=7>5;h;:>5<>i4m:0;66a;3083>>i4ko0;66a>o3>i6mj0;6)?lf;3ff>h6kl0;76a>e`83>!7dn3;nn6`>cd82?>i6n<0;6)?lf;3ff>h6kl0976a>f583>!7dn3;nn6`>cd80?>i6n:0;6)?lf;3ff>h6kl0?76a>f383>!7dn3;nn6`>cd86?>i6n80;6)?lf;3ff>h6kl0=76a>f183>!7dn3;nn6`>cd84?>i6mo0;6)?lf;3ff>h6kl0376a>ed83>!7dn3;nn6`>cd8:?>i6mm0;6)?lf;3ff>h6kl0j76a>e883>!7dn3;nn6`>cd8a?>o3l3:1(;:k7e?6=,8im69m4n0af>7=cg87g>h6kl0876g;7;29 4ea2=i0b5$0ae>1ecd84?>o3;3:1(d=cg87g>h6kl0i76g97>5$0ae>1ecd8e?>o2;3:1(42<3`>n6=4+1bd90f=i9jo1=854i5:94?"6ko0?o6`>cd822>=e;021<7?m:5`91c}O;?=0(>:i:`18^<4=9r31q)?n3;17=>\45<i6=44o212>5<!7dn3;i7c?le;68?l73290/=nh51c9m5fc==21b=>4?:%3`b?7e3g;hi784;h31>5<#9jl1=o5a1bg93>=n980;6)?lf;3a?k7dm3207d??:18'5f`=9k1e=nk59:9jb?6=,8im6d=5<#9jl1=o5a1bg9`>=n:=0;6)?lf;3a?k7dm3o07d<<:18'5f`=9k1e=nk5f:9a7=3=83;1<7>t$26e>4633A9386F<669'7=d=;080(6m:0`;?>{e;1<1<7?50;2x 62a2:<37E=74:J022=#;1h1=o=4$0c7>1323f;h87>5$2:a>4d?32wi?kh50;796?0|,:>m6kk4H2:7?M51?2.:=849;%3b0?22=2P88h4>{8`9yl?02900e4h50;9j=6<722cj;7>5;n3f56;294~"40k0:<=5U35g95~?e2tc:=>4?:%1;f?76;21b5:4?:%1;f??032c247>5$2:a><><3`98;7>5$2:a>65032c95k4?:%1;f?4>n21d=o650;&0=83.84o4>b998yv7b03:1>vP>e99>5`>=9k20q~o8:181[g034;n47?>3:p=c<72;qU5k521d:96<`;27:i5468:p552=838p15<22;0=w)=;f;df?M5?<2B8::5+10792>"6i=0?985U35g95~?e2tc2;7>5;h;e>5<>i6m10;66l>e983>3<729q/?5l51128^62b28q2n7sf10194?"40k0:=>54i8594?"40k02;65f9983>!5?j33376g<3683>!5?j398;65f28d94?"40k095k54o0`;>5<#;1h1=o64;|`0<=<7280;6=u+39`97<4<3ty:i54?:3y]5`><58o36e98256=z{0l1<7?27:i5467:p=6<72;qU5>521d:9===z{8:?6=4={<3fb99~yg2783:1>7>50z&00c<69?1C?5:4H244?!5?j33;7)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==O;1k07pl;0`83>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e99l1<7750;2x 62a2:=0D>6;;I153>"40k08<6*>a58710=n99<1<7*<8c8256=5$2:a>47432c:<44?:%1;f?76;21b==o50;&0m:18'7=d=98907d??c;29 6>e28;876g>0e83>!5?j3;:?65`1c394?"40k0:n554}c324?6=13:1N40=1C?;94$2:a>66<,8k?69;:;h332?6=,:2i63:9j55>=83.84o4>1298m46>290/?5l51018?l77i3:1(>6m:030?>o68k0;6)=7b;327>=n99i1<7*<8c8256=5$2:a>4d?32wi=m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm32094?5=83:p(>:i:238L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn>=;:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg54=3:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==53;294~"4>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21d=o?50;&0179K7=2<@:<<7)=7b;;3?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n55G39c8?xd66;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm15g94?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj8>m6=4;:183!53n3997E=74:J022=#;1h1?=5+1`690035$2:a>47432c:<54?:%1;f?76;21d=o?50;&06m:228 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65`1c394?"40k0:n554}c630?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3th?<84?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a050=8381<7>t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn9>8:180>5<7s-9?j7=>;I1;0>N4>>1/?5l5319'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb52;>5<3290;w)=;f;11?M5?<2B8::5+39`975=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i63:9l5g7=83.84o4>b998yg7a03:1>7>50z&00c<69?1C?5:4H244?!5?j33;7)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==O;1k07pl>f883>6<729q/?9h5309K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`2bd<72;0;6=u+35d9540<@:2?7E=97:&082.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi=kl50;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f4`d29086=4?{%17b?563A9386F<669'7=d=;91/=l:54478m461290/?5l51018?l77?3:1(>6m:030?>i6j80;6)=7b;3a<>=zj8lo6=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn5<7s-9?j7?m5:J0<1=O;?=0(>6m:0`7?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`2bc<72:0;6=u+35d974=O;1>0D>88;%1;f?573-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd5110;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm28;94?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj;3j6=4=:183!53n3;::6F<859K731<,:2i64>4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}c0:f?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3th95n4?:283>5}#;=l1=o;4H2:7?M51?2.84o4>b59'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb3;g>5<4290;w)=;f;12?M5?<2B8::5+39`975=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i66m:030?>o6810;6)=7b;327>=h9k;1<7*<8c82f==55;294~"4>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21b==650;&06:18'7=d=98907b?m1;29 6>e28h376sm36c94?5=83:p(>:i:238L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>6>43A9386F<669'7=d=;180(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==52;294~"482.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi?:k50;194?6|,:>m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm36d94?5=83:p(>:i:238L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i61<7>t$26e>64<@:2?7E=97:&01298m460290/?5l51018?l7703:1(>6m:030?>i6j80;6)=7b;3a<>=zj:926=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn>=k:180>5<7s-9?j7?m5:J0<1=O;?=0(>6m:0`7?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`07`<72<0;6=u+35d976=O;1>0D>88;%1;f?573-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298m46?290/?5l51018?l7713:1(>6m:030?>i6j80;6)=7b;3a<>=zj:9m6=4=:183!53n3;::6F<859K731<,:2i64>4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}c174?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3th88<4?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a714=8381<7>t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn>:<:180>5<7s-9?j7=>;I1;0>N4>>1/?5l5319'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb267>5<2290;w)=;f;10?M5?<2B8::5+39`975=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i63:9j55?=83.84o4>1298k4d6290/?5l51c:8?xd4;h0;6>4?:1y'71`=;81C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th8?o4?:283>5}#;=l1=o;4H2:7?M51?2.84o4>b59'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb21`>5<4290;w)=;f;12?M5?<2B8::5+39`975=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i62B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo?<8;291?6=8r.88k4<3:J0<1=O;?=0(>6m:228 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65f11:94?"40k0:=>54i02:>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>4d23A9386F<669'7=d=9k>0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==52;294~"40D>88;%1;f?573-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd6;j0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm12f94?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj89n6=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn<=i:180>5<7s-9?j7=>;I1;0>N4>>1/?5l5319'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb012>5<4290;w)=;f;12?M5?<2B8::5+39`975=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i6e28h?7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th:?>4?:583>5}#;=l1??5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&07:18'7=d=98907b?m1;29 6>e28h376sm12694?5=83:p(>:i:238L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>4d23A9386F<669'7=d=9k>0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==53;294~"4>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21d=o?50;&0b49K7=2<@:<<7)=7b;3a0>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i6;4;l0:<;5232d955355`=99301>=j:024?85383;;96s|e;290~Xb34;;j7??a:?07`<68116?9?51178yv`=83>pRk5211d955d<5:926<>8;<30=?77>2wx==4?:4y]55=:99l1==m4=21f>46>349?>7??5:?27<<68>1vk;<10=?77>2wx=?4?:5y]57=:98:1==84=25:>46034;847??6:p56<72=qU=>521029551<5:=26<>9;<3046?34;847??8:p50<72=qU=852102955?<5:=26<>6;<3046134>;87??5:?1=<<68<16?:o51148945128:=7p}>9;29=~X6127:==4>0c9>765=99?019>::026?87a13;;;63=9`8240=:;>k1==94=013>46234;8n7??7:p5d<72jqU=l52102955e<5:996<>8;<37g?77=27?<>4>069>5c>=99?01k3;;:63<7c8242=:9:i1==;4=01f>46134;8j7??6:p5f<720qU=n52102955b<5:9?6<>:;<37a?77=27?<;4>049>5cd=99?01?7m:026?850k3;;963>368243=z{;91<7=t^318946a28:=70={t:=0;6>uQ259>55`=99=01>=k:024?xu1l3:1?vP9d:?74d<68<168==51148yv??2908wS77;<1eb??434>;577<;|q24`<72;qU==k4=032>4d63ty:55`=9k;01:025?xu6990;6?u210295g7<58;:6<>8;|q26c<72;qU=?h4=014>4d63ty:?=4?:3y>566=9k;01<=j:024?xu6;80;6?u212395g7<58996<>8;|q277<72;q6=><51c38945428:=7p}>3283>7}:9:91=o?4=017>4603ty:?94?:3y>562=9k;01<=::024?xu6;<0;6?u212795g7<589=6<>8;|q273<72;q6=>851c38945028:<7p}>3983>7}:9:21=o?4=017>4613ty:?44?:3y>56?=9k;01<=<:024?xu6;h0;6?u212c95g7<589m6<>8;|q27g<72;q6=>l51c38945628:=7p}>3b83>7}:9:i1=o?4=012>4603ty:?i4?:3y>56b=9k;01<=<:02;?xu6;l0;6?u212g95g7<589>6<>9;|q27c<72;q6=>h51c38945528:=7p}>4c83>7}Y9=h01<:i:0`2?xu6m6<>8;|q20a<72;q6=9j51c38942a28:=7p}>4d83>7}:9=o1=o?4=06e>46?3ty:mh4?:3y>7=3=999019>6:8d8yv7fn3:1>v3<8782g1=:;ol1m:5rs0d4>5<5sW;m;63>fg82f4=z{8l36=4={<3e069~w4`>2909w0?i9;3a5>;6nm0:<;5rs0db>5<5s4;mm7?m1:?2ba<68>1vfg8242=z{8lh6=4={<3eg?7e927:jh4>079~w4`c2909w0?id;3a5>;6nl0:<:5rs0df>5<5s4;mi7?m1:?2bc<68?1v?78:181[4>?2795h4>b09~w7??2909w0<68;3a5>;51j0:<:5rs3;:>5<5s48257?m1:?1=`<68?1v?7n:18184>i3;i=63=9e8243=z{;3i6=4={<0:f?7e92795i4>069~w7?d2909w0<6c;3a5>;51l0:<:5rs3;g>5<5s482h7?m1:?1=`<6811v>=>:181[549278?;4>b09~w6552909w0=<2;3a5>;4;?0:<;5rs210>5<5s498?7?m1:?070<68?1v>=;:181854<3;i=63<348242=z{:9>6=4={<101?7e9278?;4>069~w65?2909wS=<8:?07f<6j81v>=6:18185413;i=63<3`8243=z{:9j6=4={<10e?7e9278?n4>079~w65e2909w0=;4;j0:<:5rs21g>5<5s498h7?m1:?006<68>1v>=j:181854m3;i=63<3c8243=z{:9m6=4={<10b?7e9278894>079~w6272909w0=;0;3a5>;4<=0:<:5rs262>5<5s49?=7?m1:?001<6811v>:=:181853:3;i=63<45824<=z{:>86=4={<177?7e9278?l4>069~w6232909w0=;4;3a5>;4;k0:<:5rs25;>5<5s493<7?m1:?006<68?1v>96:18185013;i=63<818242=z{:=j6=4={<14e?7e9278;k4>079~w61e2909w0=8b;3a5>;4?l0:<;5rs25`>5<5s491v>9k:181850l3;i=63<81824==z{:=n6=4={<14a?7e9278;k4>069~w61a2909w0=8f;3a5>;4090:<;5rs2de>5<5s49mj7?j8:?74<?:0`2?xu38;0;6?u23gd9=2=:<921=o?4}r637?6=:r7?<>4>b09>05>=99=0q~:?4;296~;38=0:n<524159550;97>52z?740<6j8168=951158yv27>3:1>v3;0782f4=:<921==64}r633?6=:r7?<:4>b09>05>=99<0q~:?9;293~;3800:i5521gc9553<58lh6<>9;<0:079>56g=99?01<=m:025?xu38k0;6?u241;9=2=:<9k1=o?4}r623?6=:rT?=:524129553?i7>54z\70`=:9=n1==;4=25g>46234;8h7??5:~f135290887m7:d5xL6003-9?j7?i6:X:6?4|03k1q)?n3;17=>\45<5<5<5<5<1<75`3g694?=h9li1<7*>cg82ag=i9jo1<65`1dc94?"6ko0:io5a1bg95>=h9o?1<7*>cg82ag=i9jo1>65`1g694?"6ko0:io5a1bg97>=h9o91<7*>cg82ag=i9jo1865`1g094?"6ko0:io5a1bg91>=h9o;1<7*>cg82ag=i9jo1:65`1g294?"6ko0:io5a1bg93>=h9ll1<7*>cg82ag=i9jo1465`1dg94?"6ko0:io5a1bg9=>=h9ln1<7*>cg82ag=i9jo1m65`1d;94?"6ko0:io5a1bg9f>=n900;6)?lf;3;?k7dm3:07d?8:18'5f`=911e=nk51:9j50<72-;hj7?7;o3`a?4<3`;?6=4+1bd95==i9jo1?65f1283>!7dn3;37c?le;68?l75290/=nh5199m5fc==21b=<4?:%3`b?7?3g;hi784;h33>5<#9jl1=55a1bg93>=nn3:1(cd8b?>od290/=nh5199m5fc=j21b>94?:%3`b?7?3g;hi7m4;h00>5<#9jl1=55a1bg9`>=n:;0;6)?lf;3;?k7dm3o07d<>:18'5f`=911e=nk5f:9j65<72-;hj7?7;o3`a?7732c:j7>5$0ae>4>5<#9jl1=55a1bg957=cg82<>h6kl0:?65f1783>!7dn3;37c?le;37?>d40<0;6<4?:1y'71`=99>0D>6;;I153>"40k085?5+1`69003<3th9=;4?:481>3}#;=l1jh5G3968L6003-;:9784$0c7>1323S9?i7?t9c8~m<1=831b5k4?::k:7?6=3`k<6=44o0g;>5<5$2:a>47432c2;7>5$2:a><1<3`336=4+39`9===5$2:a>7?a32e:n54?:%1;f?7e021vn>67:182>5<7s-93n7=62:m2f=<72-93n7?m8:9~w4c?2909wS?j8:?2a=<6j11vl950;0xZd1<58o36e981=c=z{0=1<7?28h37psm1c;94?3=:3:i:gg8L6>33A9=;6*>1485?!7f<3>>96T<4d82019Y71c=9r3i6pg>1283>!5?j3;:?65f9683>!5?j33<76g68;29 6>e20207d=<7;29 6>e2:9<76g=9g83>!5?j382j65`1c:94?"40k0:n554}c1;52z\2a==:9l21=o64}rc4>5<5sWk<70?j8;327>{t1o0;6?uQ9g9>5`>=:0l0q~78:181[?034;n4778;|q:7?6=:rT2?63>e98:<>{t99>1<7650349347?m8:~f26=8391>7:t$26e>cb<@:2?7E=97:&2e1<3=<1Q?9k51z;a>xo>;3:17do8:188k4c?2900n7<1s-9?j7hi;%3b0?22=2P88h4>{8`9yj7b03:17d?k0;29?lg02900e4h50;9j=6<722hmj7>56;294~"40k0:<=5U35g95~?e2tc:=>4?:%1;f?76;21b5:4?:%1;f??032c247>5$2:a><><3`98;7>5$2:a>65032c95k4?:%1;f?4>n21d=o650;&0b29l5f2=83.84o4>b998yvg02909wSo8;4743ty2?7>52z\:7>;an3337p}6f;296~X>n27mj7<6f:p5a6=838pR6503ty:i54?:3y]5`><5ol1=o64}r155}#;?<186*<4g8241=#;1h1?4<4$0c7>1323f;;?7>5$2:a>4d?32wx=h650;0xZ4c?34;n47?j8:pe2<72;qUm:521d:9e2=z{091<789:49~yg45=3:1?7<54z&00c>of?3:17b?j8;29?g7b03:197<56z&00ce983>>o6l90;66gn7;29?l?a2900e4=50;9abc<72?0;6=u+39`9556n61298m<1=83.84o467:9j==<72-93n777;:k072<72-93n7=<7:9j6<`=83.84o4=9g98k4d?290/?5l51c:8?xd40>0;6<4?:1y'7=d=9k90c3:p=6<72;qU5>52fg8:<>{t1o0;6?uQ9g9>bc<51o1v=838pR4d?3ty8:54?:3y>bc<>?2784:4>c59~yg7=83;1<7>t$245>1=#;=l1==:4$2:a>6?53-;j87::5:m246<72-93n7?m8:9~w4c?2909wS?j8:?2a=<6m11vl950;0xZd1<58o36l94}r;0>5<5sW3870?j8;;0?xu52908w0?j8;3g4>;6m102j63>:020?!51>3?0qpl=3683>6<52=q/?9h5fe9K7=2<@:<<7)?>5;48 4g32=?>7W=;e;3x=g>i6m10;66l>e983>0<52?q/?9h5fg9'5d2=<:j:0y:f?{h9l21<75f1e294?=ni>0;66g6f;29?l?42900nkh50;494?6|,:2i6<>?;[17a?7|1k0ve10e4650;&0021b?>950;&010e?7i:18'7=d=:0l07b?m8;29 6>e28h376sm39594?7=83:p(>6m:0`0?j7d<3:1(>6m:0`;?>{ti>0;6?uQa69>bc<69:1v4=50;0xZ<5<5ol1555rs8d94?4|V0l01kh528d8yv7c83:1>vP>d19>bc<4;>1v=838p1kh5969>7=1=9j>0qpl>:182>5<7s-9=:7:4$26e>4633-93n7=62:&2e1<3=<1d===50;&052z\:7>;6m102?6s|2;297~;6m10:h=521d:9=c=:93;;?6*<6786?x{e:9;1<7=52;6x 62a2on0D>6;;I153>"69<0=7)?n4;661>\45<5<{8`9yl76;3:1(>6m:030?>o>?3:1(>6m:858?l??290/?5l59998m650290/?5l53258?l4>n3:1(>6m:3;e?>i6j10;6)=7b;3a<>=zj:2<6=4>:183!5?j3;i?6a>c583>!5?j3;i465rs`594?4|Vh=01kh51018yv?42909wS7<;<>d183>7}Y9m:01kh53258yv7b03:1>vP>e99>bc<6j11v>87:1818`a20=01>68:0a7?x{e93:1=7>50z&023<33-9?j7??4:&0521d:9=6=z{;0;6>u21d:95a6<58o364h4=08246=#;?<196srb20;>5<42;0?w)=;f;dg?M5?<2B8::5+10792>"6i=0?985U35g95~?e2tc2?7>5;hc4>5<5<22;0=w)=;f;de?!7f<3>>96T<4d825;h3g4?6=3`k<6=44i8d94?=n1:0;66lif;292?6=8r.84o4>019Y71c=9r3i6pg>1283>!5?j3;:?65f9683>!5?j33<76g68;29 6>e20207d=<7;29 6>e2:9<76g=9g83>!5?j382j65`1c:94?"40k0:n554}c1;3?6=93:11<7*<8c82f==;27mj777;|q:b?6=:rT2j63if;0:b>{t9m:1<7e983>7}Y9l201kh51c:8yv5103:1>v3if;;4?85??3;h86srb083>4<729q/?;854:&00c<68=1/?5l53808 4g32=?>7b??3;29 6>e28h376s|1d:94?4|V8o370?j8;3f<>{ti>0;6?uQa69>5`>=i>1v4=50;0xZ<5<58o364=4}r094?5|58o360=zuk9ih7>53;090~"4188;4Z26f>4}>j3wd=h650;9j5a6=831bm:4?::k:b?6=3`386=44bgd94?0=83:p(>6m:023?_53m3;p5o4ri030>5<#;1h1=<=4;h;4>5<#;1h15:54i8:94?"40k02465f32594?"40k08?:54i3;e>5<#;1h1>4h4;n3at$2:a>4d43f;h87>5$2:a>4d?32wxm:4?:3y]e2=:no0:=>5rs8194?4|V0901kh5999~w<`=838pR4h4=gd96<`52z\2`5=:no08?:5rs0g;>5<5sW;n463if;3a<>{t;?21<75<6290;w)=96;68 62a28:?7)=7b;1:6>"6i=0?985`11194?"40k0:n554}r3f;27:i5463:p6?6=;r7:i54>d19>5`>=1o16=7??3:&023<23twi>l>50;196?2|,:>m6kj4H2:7?M51?2.:=849;%3b0?22=2P88h4>{8`9yl?42900el950;9l5`>=831i=h650;796?0|,:>m6kh4$0c7>1323S9?i7?t9c8~k4c?2900e5$2:a>47432c2;7>5$2:a><1<3`336=4+39`9===5$2:a>7?a32e:n54?:%1;f?7e021vn>68:182>5<7s-93n7?m3:m2g1<72-93n7?m8:9~wd1=838pRl94=gd95454=gd976152z\2a==:no0:n55rs24;>5<5s4lm6494=2:4>4e33twi=7>51;294~"4>?0?7)=;f;330>"40k085?5+1`69003<3ty:i54?:3y]5`><58o36e98b3>{t1:0;6?uQ929>5`>=1:1v?4?:2y>5`>=9m:012.:m94;549Y71c=9r3i6pg63;29?lg02900c6?49{%17b?`a3-;j87::5:X00`<6s0h1qb?j8;29?l7c83:17do8:188m<`=831b5>4?::`eb?6=>3:13:9j=2<72-93n778;:k:=8;:k1=c<72-93n7<6f:9l5g>=83.84o4>b998yg5??3:1=7>50z&0n3:1>vP6f:?eb?4>n2wx=i>50;0xZ4b734lm6>=8;|q2a=<72;qU=h64=gd95g>52z?eb??03493;7?l4:~f4<7280;6=u+37490>"4=838pR:j:0y:f?{n1:0;66gn7;29?j7b03:17o?j8;291?4=>r.88k4if:&2e1<3=<1Q?9k51z;a>xi6m10;66g>d183>>of?3:17d7i:188m<5=831ijk4?:783>5}#;1h1==>4Z26f>4}>j3wb=<=50;&0?21b554?:%1;f???32c8?:4?:%1;f?54?21b>4h50;&04<729q/?5l51c18k4e3290/?5l51c:8?xuf?3:1>vPn7:?eb?76;2wx5>4?:3y]=6=:no0246s|9g83>7}Y1o16jk4=9g9~w4b72909wS?k0:?eb?54?2wx=h650;0xZ4c?34lm67=;%3b0?22=2e:<>4?:%1;f?7e021ve99~wd1=838pRl94=0g;>d1e98:b>;628:87)=96;78yxd5lh0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm2ed94?5=83:p(>:i:0`6?M5?<2B8::5+39`95g2<,8k?69;:;h332?6=,:2i63:9l5g7=83.84o4>b998yg25i3:1>7>50z&00c<69?1C?5:4H244?!5?j33;7)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==O;1k07pl7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e<8k1<7<50;2x 62a28;=7E=74:J022=#;1h15=5+1`690036=4+39`9545<3f;i=7>5$2:a>4d?3A93m65rb301>5<5290;w)=;f;322>N40=1C?;94$2:a><6<,8k?69;:;h331?6=,:2i652;294~"40D>88;%1;f?573-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd4k;0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm2e094?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj;n86=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn>lj:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg26l3:1?7>50z&00c<6j<1C?5:4H244?!5?j3;i86*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wi?km50;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f6`c29086=4?{%17b?7e=2B8495G3758 6>e28h?7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th?>n4?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a07b=8381<7>t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn95<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg25n3:197>50z&00c<4;2B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=n9921<7*<8c8256=5$2:a>4d?32wi?k950;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f6`?29096=4?{%17b?76>2B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo=i9;296?6=8r.88k4>179K7=2<@:<<7)=7b;;3?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n55G39c8?xd4nh0;684?:1y'71`=;:1C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=5$2:a>47432e:n<4?:%1;f?7e021vn?;::180>5<7s-9?j7=>;I1;0>N4>>1/?5l5319'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb375>5<5290;w)=;f;322>N40=1C?;94$2:a><6<,8k?69;:;h331?6=,:2i6;7>53;294~"4>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21d=o?50;&0b49K7=2<@:<<7)=7b;3a0>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>67<@:2?7E=97:&01298m460290/?5l51018?j7e93:1(>6m:0`;?>{e:6=4+39`9545<3f;i=7>5$2:a>4d?3A93m65rb37e>5<4290;w)=;f;12?M5?<2B8::5+39`975=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i6e28h?7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th9:>4?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207pl=6583>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e:??1<7=50;2x 62a2:;0D>6;;I153>"40k08<6*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wi>;850;194?6|,:>m6N4>>1/?5l51c68 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65`1c394?"40k0:n554}c05=?6=;3:1N40=1C?;94$2:a>66<,8k?69;:;h332?6=,:2i63:9l5g7=83.84o4>b998yg41i3:1>7>50z&00c<69?1C?5:4H244?!5?j33;7)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==O;1k07pl=6c83>6<729q/?9h5309K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`12f<72:0;6=u+35d95g3<@:2?7E=97:&06m:030?>i6j80;6)=7b;3a<>=zj;5$2:a>47432e:n<4?:%1;f?7e021vn?9?:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg4093:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==7>53;294~"48:18'7=d=98907b?m1;29 6>e28h376sm26794?5=83:p(>:i:238L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn?98:180>5<7s-9?j7=>;I1;0>N4>>1/?5l5319'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb35;>5<4290;w)=;f;3a1>N40=1C?;94$2:a>4d33-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd5?k0;6>4?:1y'71`=;81C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th9;n4?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a62b=8391<7>t$26e>67<@:2?7E=97:&01298m460290/?5l51018?j7e93:1(>6m:0`;?>{e:>o1<7=50;2x 62a28h>7E=74:J022=#;1h1=o:4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21d=o?50;&06m:228 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65`1c394?"40k0:n554}c0;6?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3th94>4?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207pl=8583>6<729q/?9h51c78L6>33A9=;6*<8c82f1=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i6029086=4?{%17b?563A9386F<669'7=d=;91/=l:54478m461290/?5l51018?l77?3:1(>6m:030?>i6j80;6)=7b;3a<>=zj;236=4=:183!53n3;::6F<859K731<,:2i64>4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}c0;=?6=;3:1N40=1C?;94$2:a>66<,8k?69;:;h332?6=,:2i63:9l5g7=83.84o4>b998yg4?i3:1?7>50z&00c<6j<1C?5:4H244?!5?j3;i86*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wi>5j50;194?6|,:>m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm29g94?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj;2m6=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?7?:180>5<7s-9?j7?m5:J0<1=O;?=0(>6m:0`7?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`1g2<72:0;6=u+35d95g3<@:2?7E=97:&06m:030?>i6j80;6)=7b;3a<>=zj;i26=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?mn:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg4dj3:1>7>50z&00c<69?1C?5:4H244?!5?j33;7)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==O;1k07pl=cb83>0<729q/?9h5329K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54i02;>5<#;1h1=<=4;h33=?6=,:2i6e28h?7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th9oh4?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207pl=cg83>6<729q/?9h51c78L6>33A9=;6*<8c82f1=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i66m:030?>i6j80;6)=7b;3a<>=zj;i36=4<:183!53n3;i96F<859K731<,:2i6:18'7=d=9k207pl=b383>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e:kn1<7=50;2x 62a28h>7E=74:J022=#;1h1=o:4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21d=o?50;&0179K7=2<@:<<7)=7b;;3?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n55G39c8?xd5jo0;6>4?:1y'71`=;81C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th9o=4?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a6f7=8381<7>t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn?m=:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg4d;3:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==52;294~"40D>88;%1;f?573-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd5j=0;6>4?:1y'71`=;81C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th9n84?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207pl=b783>6<729q/?9h5309K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`1f2<72:0;6=u+35d95g3<@:2?7E=97:&06m:030?>i6j80;6)=7b;3a<>=zj;h36=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?l6:180>5<7s-9?j7?m5:J0<1=O;?=0(>6m:0`7?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`1fd<72:0;6=u+35d95g3<@:2?7E=97:&06m:030?>i6j80;6)=7b;3a<>=zj;hi6=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?ll:187>5<7s-9?j7?m6:J0<1=O;?=0(>6m:0`7?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54i02;>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn?o7:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg4f13:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==52;294~"40D>88;%1;f?573-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd5ij0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm2`f94?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj;kn6=4=:183!53n3;::6F<859K731<,:2i64>4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}c0bb?6=;3:10D>88;%1;f?7e<2.:m94;549j550=83.84o4>1298m460290/?5l51018?j7e93:1(>6m:0`;?>{e:h91<7=50;2x 62a2:;0D>6;;I153>"40k08<6*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wi>l:50;194?6|,:>m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm2`794?5=83:p(>:i:238L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i61<7>t$26e>64<@:2?7E=97:&01298m460290/?5l51018?l7703:1(>6m:030?>i6j80;6)=7b;3a<>=zj;k<6=4;:183!53n3;i:6F<859K731<,:2i67:18'7=d=98907b?m1;29 6>e28h376sm1c`94?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj8hh6=4=:183!53n3;::6F<859K731<,:2i64>4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}c3a`?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3th:nh4?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a5g`=83?1<7>t$26e>65<@:2?7E=97:&01298m460290/?5l51018?l7703:1(>6m:030?>o6800;6)=7b;327>=h9k;1<7*<8c82f==53;294~"48:18'7=d=98907b?m1;29 6>e28h376sm22;94?5=83:p(>:i:238L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn?:l:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg43l3:1>7>50z&00c<69?1C?5:4H244?!5?j33;7)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==O;1k07pl=4d83>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e:=l1<7<50;2x 62a28;=7E=74:J022=#;1h15=5+1`690036=4+39`9545<3f;i=7>5$2:a>4d?3A93m65rb373>5<5290;w)=;f;322>N40=1C?;94$2:a><6<,8k?69;:;h331?6=,:2i6=7>52;294~"482.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi>>o50;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f75e29096=4?{%17b?76>2B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo<6m:228 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65`1c394?"40k0:n554}c00`?6=;3:1N40=1C?;94$2:a>66<,8k?69;:;h332?6=,:2i63:9l5g7=83.84o4>b998yg44m3:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==54;294~"46F<859K731<,:2i6>>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21b==650;&0:18'7=d=9k207pl=4183>6<729q/?9h51c78L6>33A9=;6*<8c82f1=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i6e28h?7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th98?4?:283>5}#;=l1=o;4H2:7?M51?2.84o4>b59'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb360>5<4290;w)=;f;12?M5?<2B8::5+39`975=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i66m:030?>i6j80;6)=7b;3a<>=zj;>=6=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?:8:180>5<7s-9?j7?m5:J0<1=O;?=0(>6m:0`7?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`10=<72:0;6=u+35d974=O;1>0D>88;%1;f?573-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd5<00;6>4?:1y'71`=9k?0D>6;;I153>"40k0:n95+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?:n:180>5<7s-9?j7=>;I1;0>N4>>1/?5l5319'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb36a>5<4290;w)=;f;3a1>N40=1C?;94$2:a>4d33-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd58:0;6>4?:1y'71`=9k?0D>6;;I153>"40k0:n95+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?>j:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg47n3:1>7>50z&00c<69?1C?5:4H244?!5?j33;7)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==O;1k07pl=1183>6<729q/?9h5309K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`154<72;0;6=u+35d9540<@:2?7E=97:&082.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi><<50;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f77429096=4?{%17b?76>2B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo<>4;290?6=8r.88k4<2:J0<1=O;?=0(>6m:228 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65f11:94?"40k0:=>54o0`2>5<#;1h1=o64;|`150<72;0;6=u+35d9540<@:2?7E=97:&082.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi>=:50;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f762290?6=4?{%17b?7e>2B8495G3758 6>e28h?7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=5$2:a>4d?32wi>=850;194?6|,:>m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm21594?2=83:p(>:i:208L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;h336m:030?>i6j80;6)=7b;3a<>=zj;:26=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?>n:180>5<7s-9?j7?m5:J0<1=O;?=0(>6m:0`7?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`14g<72:0;6=u+35d95g3<@:2?7E=97:&06m:030?>i6j80;6)=7b;3a<>=zj;:h6=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?>k:187>5<7s-9?j7?m6:J0<1=O;?=0(>6m:0`7?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54i02;>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn9?=:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg26;3:1>7>50z&00c<69?1C?5:4H244?!5?j33;7)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==O;1k07pl;1583>1<729q/?9h51c48L6>33A9=;6*<8c82f1=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i63:9l5g7=83.84o4>b998yg26=3:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==53;294~"4>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21d=o?50;&0a;290?6=8r.88k4<2:J0<1=O;?=0(>6m:228 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65f11:94?"40k0:=>54o0`2>5<#;1h1=o64;|`15g<72;0;6=u+35d9540<@:2?7E=97:&082.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f77c29096=4?{%17b?76>2B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo<>e;296?6=8r.88k4>179K7=2<@:<<7)=7b;;3?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n55G39c8?xd59o0;684?:1y'71`=;:1C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=5$2:a>47432e:n<4?:%1;f?7e021vn?5<7s-9?j7?m5:J0<1=O;?=0(>6m:0`7?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`164<72:0;6=u+35d974=O;1>0D>88;%1;f?573-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd5900;6>4?:1y'71`=9k?0D>6;;I153>"40k0:n95+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?<8:187>5<7s-9?j7==;I1;0>N4>>1/?5l5319'5d2=<9:18'7=d=98907d??7;29 6>e28;876g>0983>!5?j3;:?65`1c394?"40k0:n554}c01b?6=;3:1N40=1C?;94$2:a>66<,8k?69;:;h332?6=,:2i63:9l5g7=83.84o4>b998yg4483:1>7>50z&00c<69?1C?5:4H244?!5?j33;7)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==O;1k07pl=3083>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e::81<7<50;2x 62a28;=7E=74:J022=#;1h15=5+1`690036=4+39`9545<3f;i=7>5$2:a>4d?3A93m65rb310>5<5290;w)=;f;322>N40=1C?;94$2:a><6<,8k?69;:;h331?6=,:2i652;294~"482.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi>>850;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f74?29086=4?{%17b?7e=2B8495G3758 6>e28h?7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th9>44?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207pl=2`83>6<729q/?9h5309K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`16g<72=0;6=u+35d95g0<@:2?7E=97:&06m:030?>o6810;6)=7b;327>=h9k;1<7*<8c82f==54;294~"46F<859K731<,:2i6>>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21b==650;&0:18'7=d=9k207pl=2e83>6<729q/?9h51c78L6>33A9=;6*<8c82f1=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i66m:030?>i6j80;6)=7b;3a<>=z{j0;6?uQc:?112<68?1vi4?:3y]`>;5=o0:<;5rsd83>7}Ym279:84>079~wc<72;qUj63=6c8243=z{8:1<71;296~X69279;:4>079~w44=838pR<<4=35g>4613ty:?7>52z\27>;50:0:<;5rs0694?4|V8>01?66:025?xu6>3:1>vP>6:?110<68>1v<950;0xZ41<5;2o6<>8;|q2`?6=:rT:h63=5e8242=z{8o1<7f;296~X6n279:44>069~w76=838pR?>4=34e>4603ty9=7>52z\15>;5?<0:<:5rs3094?4|V;801?9m:024?xu5;3:1>vP=3:?1<4<68>1v?:50;0xZ72<5;2<6<>8;|q5`?6=9;qU:i52718b3>;59?0j;63>b88b3>;5k00:<:522ba9550<5;hn6<>:;<0ab?77?279o94>049>6dd=99=01462348:<7??6:?15`<68<16>><51178yv17290>w09?:0g;?84d83;;963=518240=::8;1==;4=30;>4613ty247>5cz\:<>;59?02?63>b88:7>;0833870<=5;;0?844?338706<><;<022??a34;i577i;|q2f<<72>q6=o751d:897ef28:>70;58;<01b?77>2wx=oo50;0x94d>20=018;|q2ff<72;q6=om51c3894da28:37p}>be83>7}:9kn1=o?4=0`e>46>3ty:nh4?:3y>5gc=9k;019;|q2a<<72;qU=h74=37;>4d63ty:il4?:3y]5<=Y9lk0q~?jc;297~X6=2T:in5229d955052z\2aa=::?:1=o?4}r3fa?6=:rT:ih5227495g752z\2ac=::?i1=o?4}r3e4?6=:rT:j=5226095g752z\2b4=::>21=o?4}r3e6?6=:rT:j?5226g95g752z\2b6=::1>1=o?4}r3e0?6=:rT:j95229c95g752z\2b0=::0:1=o?4}r3e3?6=nrT:j:522ec9553<5;i26<>9;<0`5?77=279mo4>079>5g`=99<01?:i:026?842:3;;963=3d8243=::9l1==;4=331>46234>:=7??5:?15c<68?16>?h51158975628:>7p}=0183>1}::;>1=o?4=50e>461349mm7??6:?757<68<1v?>>:18184793;n463=228240=z{;:96=4={<035?g0348;h7?m1:p655=838p1?><:0`2?847j3;;:6s|21694?4|5;:?6;<033?7702wx>=;50;0x976228h:70{t:9<1<74d6348;57??7:p651=838p1?>8:0`2?847l3;;46s|21:94?4|5;:36;<03e?77>2wx>=750;0x976>28h:70{t:9k1<74d6348;n7??7:p65d=838p1?>m:0`2?847k3;;:6s|21a94?4|5;:h6;<03`?77?2wx>=k50;0x976b28h:70{t:9l1<74d6348;97??8:p646=838p1???:0`2?84713;;:6s|20394?4|5;;:6;<032?77>2wx><<50;0x977528h:70{t:891<74d6348;o7??7:p642=838p1??;:0`2?847l3;;:6s|20794?4|5;;>6;<033?77>2wx><850;0x977128o370<=2;331>{t:8=1<7<1<5;;26;|q15=<72;q6><651c38974628:=7p}=1`83>7}::8k1=o?4=303>4613ty9=o4?:3y>64d=9k;01??i:024?xu59j0;6?u220a95g7<5;;m6<>7;|q15a<72;q6>7}::8o1=o?4=33:>4603ty9=k4?:3y>64`=9k;01?8;|q164<72;q6>??51c38977>28:=7p}=2383>7}::;81=o?4=307>4613ty9>>4?:3y>675=9k;01?<;:024?xu5:<0;6?u223795`><5;nm6<>9;|q163<72;q6>?;5a69>67c=9k;0q~<=7;296~;5:>0:n<5223f955052z?16=<6j816>?o51148yv4513:1>v3=2882f4=::;i1==64}r01e?6=:r79>l4>b09>67d=99<0q~<=b;296~;5:k0:n<5223a955152z?16f<6j816>?j51158yv45l3:1>v3=2e82f4=::;o1==84}r01b?6=:r79>k4>b09>67d=99=0q~<<0;296~;5;90:n<5223:955152z?174<6j816>?o51158yv44:3:1>v3=3382f4=::;h1==64}r007?6=:r79?>4>b09>67e=99<0q~<<4;296~;5;=0:n<5223;955052z?170<6j816>?751158yv44>3:1>v3=3782f4=::;o1==94}r003?6=9?q6>>951d:896e528:>70<:5;332>;5=?0:<85224f9550<5;?n6<>:;<057?77>279:94>049>63?=99<01?8n:026?841n3;;:63=718240=::>?1==84=355>4623485?5114897>528:>70<77;332>;5010:<85229f9550<5;2n6<>:;|q17=<72;q6>>95a69>61d=9k;0q~<<9;296~;5;00:n<5222a955052z?17d<6j816>9651158yv44j3:1>v3=3c82f4=::=k1==94}r00g?6=:r79?n4>b09>617=99<0q~<52z?17`<6j816>9<51148yv44n3:1>v3=3g82f4=::=81==94}r074?6=:r798=4>b09>615=99=0q~<;1;296~;5<80:n<5225795517>52z?107<6j816>9851158yv43;3:1>v3=4282f4=::==1==94}r070?6=:r79894>b09>66e=99=0q~<;5;296~;5<<0:n<5225`955052z?103<6j816>9951148yv43?3:1>v3=4682f4=::=21==84}r07b09>61?=99=0q~<;9;296~;5<00:n<5225c955052z?10d<6j816>9l51158yv43k3:1>v3=4b82f4=::=;1==94}r07`?6=:r798i4>b09>66b=99=0q~<;e;296~;552z?10c<6j816>>h51148yv4283:1>v3=5182f4=:::l1==64}r065?6=:r799<4>b09>616=99<0q~<:2;296~;5=;0:n<52252955187>52z?110<6j816>8651148yv42=3:1>v3=5782f4=::<=1==94}r062?6=:r799:4>b09>60>=99=0q~<:c;296~;5=m0:n<522729550h7>52z?11`<6j816>8h51158yv42m3:1>v3=5g82f4=::?:1==94}r056?6=:r79:>4>b09>630=99<0q~<93;296~;5>=0:n<52277955152z?120<6j816>;851158yv4103:1>v3=6882f4=::?i1==84}r05=?6=:r79:l4>b09>63d=99=0q~<9a;296~;5>k0:n<5227a955152z?12c<6j816>:<51148yv41n3:1>v3=7182f4=::>;1==94}r044?6=:r79;<4>b09>624=99=0q~<84;296~;5?<0:n<5226:955052z?133<6j816>:951158yv40>3:1>v3=7682f4=::>21==94}r04e?6=:r79;o4>b09>62c=99<0q~<8b;296~;5?j0:n<5226f955152z?13a<6j816>:k51158yv4?83:1>v3=8082f4=::1>1==84}r0;5?6=:r794?4>b09>6=5=99=0q~<72;296~;50:0:n<52296955152z?1<2<6j816>5o51148yv4??3:1>v3=8982f4=::131==94}r0;b09>6=g=99=0q~<7c;296~;50m0:n<52282955052z?1<`<6j816>5h51158yv4?m3:1>v3=8g82f4=::0:1==94}r0:3?6=:rT95:5240c9553510y>6d6=9l201?j=:026?84d?3;;;63=cb824==::kn1==84=3a0>460348jm7??5:?1e0<68?16=oj51178975>28:<70<;59:0:<8522159551<5;;j6<>9;<02f?77=279>:4>079>665=99?0q~079~w7g42909w0;5i>0:<;5rs3c7>5<5s48j87?m1:?1e3<68?1v?o::18184f=3;i=63=a68242=z{;k=6=4={<0b2?7e9279m:4>099~w7g?2909w0;5io0:<:5rs3c:>5<5s48j57?m1:?1e6<68?1v?on:18184fi3;i=63=a28242=z{;ki6=4={<0bf?7e9279m;4>069~w7gd2909w0;5i=0:<;5rs3cg>5<5s48jh7?m1:?1e1<68>1v?oj:18184fm3;i=63=a7824==z{;km6=4={<0bb?7e9279m84>069~w7d7290:8v3=b182a==::m91==84=3a4>461348ho7??9:?1g7<68<16>o=5114897g528:>70;5im0:<8521ca9553<5;>h6<>:;<00e?77=279?i4>079>655=99<01??;:024?846=3;;963=1`8242=::8i1==;4=304>460348887??5:p6g7=838p1?l?:`5897dd28h:7p}=b383>7}::k81=o?4=3`0>4603ty9n>4?:3y>6g5=9k;01?ll:024?xu5j=0;6?u22c695g7<5;h26<>9;|q1f0<72;q6>o;51c3897d028:<7p}=b783>7}::k<1=o?4=3``>46?3ty9n:4?:3y>6g1=9k;01?l7:025?xu5j10;6?u22c:95g7<5;h26<>8;|q1f<<72;q6>o751c3897df28:<7p}=b`83>7}::kk1=o?4=3`a>4613ty9no4?:3y>6gd=9k;01?ll:025?xu5jm0;6?u22cf95g7<5;hj6<>9;|q1f`<72;q6>ok51c3897d328:<7p}=bg83>7}::kl1=o?4=3`6>4613ty9o=4?:3y>6f6=9k;01?l::024?xu5k80;6?u22b395g7<5;h36<>8;|q1g7<72;q6>n<51c3897de28:<7p}=c283>7}::j91=o?4=3`5>4613ty9o94?:3y>6f2=9k;01?l9:024?xu5k<0;64c?348hn7??5:?1f7<68<16>oj5115897g?28:>70;5il0:<8521c`9553<5;>o6<>:;<071?77>279<>4>069>642=99201?>;:026?846i3;;463=1e8240=::;=1==64=316>4623ty9o;4?:3y>6f3=i>16>n651c38yv4d?3:1>v3=c682f4=::jl1==84}r0`=?6=:r79o44>b09>6fc=99<0q~52z?1gg<6j816>i>51158yv4dk3:1>v3=cb82f4=::j21==94}r0``?6=:r79oi4>b09>6fc=99=0q~52z?1gc<6j816>i>51148yv4c83:1>v3=d182f4=::j21==84}r0g5?6=:r79h?4>b09>6a5=99=0q~ih51c38yv4ci3:1>v3=d`82f4=::ml1==94}r11<5=;86<>:;|q06<<724613488j7??7:?155<68>16>>>51178yv55j3:1ovP<2c9>6fe=99=01?mk:025?84d;3;;:63=b58243=::k=1==84=31:>461348??7??6:?151<68?16>=651148977?28:=70<<6;331>{t;0<1<7d1<5;n86;|q0e<<72?qU?l74=53g>460349mh7??6:?76f<68<16?k951178917328:<7p}7}Y;kn01>lk:0g;?xu4jo0;6?u23cf9=6=:;ko1=o?4}r1`5?6=:r78ni4n7:?0g7<6j81v>k?:181[5b8278j44>049~w6`32909wS=i4:?0b0<6j81v>h9:18185a=3;;963069~w6`?2909w0=i8;3a5>;4nh0:<55rs2d:>5<5s49m57?m1:?0bd<6801v>hm:181[5aj278ji4>b09~w6`d2909w0=ic;3a5>;4nm0:<:5rs533>5<5sW>:<63;1482f4=z{=;:6=4={<625?7e927?=94>079~w1752909w0:>2;3a5>;39=0:<55rs530>5<5s4>:?7?m1:?750<68>1v9?;:181826<3;i=63;148243=z{=;26=4={_62=>;39h0:n<5rs53`>5<5sW>:o63;1e82f4=z{=;m6=4={_62b>;3:l0:<85rs50:>5<5sW>9563;2`82f4=z{=8i6=4={<61e?77=27?>k4>b09~w14d2909w0:=c;3a5>;3:o0:<:5rs50g>5<5s4>9h7?m1:?76c<6811v9n6=4:{_67a>;39m0:<;523ga9553<5=8o6<>:;<1e7i:1827?022?lpD>88;%17b?75l2.:m>4<489Y71c=9r3i6pg68;29?l75?3:17d?;b;29?l7603:17d=n9;29?j162900e;j50;9l506=831b?h>50;9j57`=831d=8j50;9j01c=831b8i:50;9l7f`=831d=4950;9l60<722e9h7>5;c1;1?6=93:10D>88;%1;f?5>:2.:m94;549l555=83.84o4>b998yg70;3:197<56z&00c7W=;e;3x=g>o>;3:17do8:188k4c?2900n5<7s-93n7??0:X00`<6s0h1qd?>3;29 6>e28;876g67;29 6>e20=07d77:18'7=d=1110e>=8:18'7=d=;:=07d<6f;29 6>e2;3m76a>b983>!5?j3;i465rb2:;>5<6290;w)=7b;1:6>i6j10;6)=7b;3a<>=z{8o36=4={_3f<>;6m10:n55rs`594?4|Vh=01n3:1>vP6f:?2a=<51o1v4950;0xZ<1<58o36494}r;0>5<5sW3870?j8;;;?xu68=0;6?u21d:9761<5:2360D>88;%3b0?22=2P88h4>{8`9yl?02900e4h50;9j=6<722cj;7>5;n3f56;294~"40k0:<=5U35g95~?e2tc:=>4?:%1;f?76;21b5:4?:%1;f??032c247>5$2:a><><3`98;7>5$2:a>65032c95k4?:%1;f?4>n21d=o650;&0=83.84o4>b998yv7b03:1>vP>e99>5`>=9k20q~o8:181[g034;n47?>3:p=c<72;qU5k521d:96<`;27:i5468:p552=838p15<22;0=w)=;f;df?M5?<2B8::5+10792>"6i=0?985U35g95~?e2tc2;7>5;h;e>5<>i6m10;66l>e983>3<729q/?5l51128^62b28q2n7sf10194?"40k0:=>54i8594?"40k02;65f9983>!5?j33376g<3683>!5?j398;65f28d94?"40k095k54o0`;>5<#;1h1=o64;|`0<=<7280;6=u+39`97<4<3ty:i54?:3y]5`><58o36e98256=z{0l1<7?27:i5467:p=6<72;qU5>521d:9===z{8:?6=4={<3fb99~yg4c290>6?49{%17b?`b3A9386F<669'5d2=<:j:0y:f?{n1>0;66g6f;29?l?42900el950;9l5`>=831i=h650;494?6|,:2i6<>?;[17a?7|1k0ve10e4650;&0021b?>950;&010e?7i:18'7=d=:0l07b?m8;29 6>e28h376sm39:94?7=83:p(>6m:2;1?j7e03:1(>6m:0`;?>{t9l21<77}Yi>16=h651018yv?a2909wS7i;<3fn2wx5:4?:3y]=2=:9l215:5rs8194?4|V0901v3>e98072=:;121=o64}|`b0?6=;3818v*<4g8e`>N40=1C?;94$0c7>1323S9?i7?t9c8~m<5=831bm:4?::m2a=<722h:i54?:481>3}#;=l1jk5+1`69003n6=831b=i>50;9je2<722c2j7>5;h;0>5<e28:;7W=;e;3x=g=n;:=1<7*<8c8072=5$2:a>4d?32wi?5950;394?6|,:2i64h4}r3g4?6=:rT:h=52fg8072=z{8o36=4={_3f<>;an3;i46s|37:94?4|5ol15:5239595f2:183!51>3>0(>:i:027?!5?j392>6*>a58710=h9991<7*<8c82f==52z\2a==:9l21=h64}rc4>5<5sWk<70?j8;c4?xu>;3:1>vP63:?2a=<>;2wx>7>53z?2a=<6l916=h659g9>5?77;2.8:;4:;|a5d>=8391>7:t$26e>cb<@:2?7E=97:&2e1<3=<1Q?9k51z;a>xo>;3:17do8:188k4c?2900n7<1s-9?j7hi;%3b0?22=2P88h4>{8`9yj7b03:17d?k0;29?lg02900e4h50;9j=6<722hmj7>56;294~"40k0:<=5U35g95~?e2tc:=>4?:%1;f?76;21b5:4?:%1;f??032c247>5$2:a><><3`98;7>5$2:a>65032c95k4?:%1;f?4>n21d=o650;&0b29l5f2=83.84o4>b998yvg02909wSo8;4743ty2?7>52z\:7>;an3337p}6f;296~X>n27mj7<6f:p5a6=838pR6503ty:i54?:3y]5`><5ol1=o64}r155}#;?<186*<4g8241=#;1h1?4<4$0c7>1323f;;?7>5$2:a>4d?32wx=h650;0xZ4c?34;n47?j8:pe2<72;qUm:521d:9e2=z{091<789:49~yg4229086?4;{%17b?`c3A9386F<669'5d2=<:j:0y:f?{n1:0;66gn7;29?j7b03:17o?j8;291?4=>r.88k4if:&2e1<3=<1Q?9k51z;a>xi6m10;66g>d183>>of?3:17d7i:188m<5=831ijk4?:783>5}#;1h1==>4Z26f>4}>j3wb=<=50;&0?21b554?:%1;f???32c8?:4?:%1;f?54?21b>4h50;&04<729q/?5l51c18k4e3290/?5l51c:8?xuf?3:1>vPn7:?eb?76;2wx5>4?:3y]=6=:no0246s|9g83>7}Y1o16jk4=9g9~w4b72909wS?k0:?eb?54?2wx=h650;0xZ4c?34lm67=;%3b0?22=2e:<>4?:%1;f?7e021ve99~wd1=838pRl94=0g;>d1e98:b>;628:87)=96;78yxd6=90;6>4=:5y'71`=nm1C?5:4H244?!7f<3>>96T<4d82:i:gd8 4g32=?>7W=;e;3x=g5<>o>;3:17ohi:185>5<7s-93n7??0:X00`<6s0h1qd?>3;29 6>e28;876g67;29 6>e20=07d77:18'7=d=1110e>=8:18'7=d=;:=07d<6f;29 6>e2;3m76a>b983>!5?j3;i465rb2:4>5<6290;w)=7b;3a7>i6k=0;6)=7b;3a<>=z{h=1<75<5sW3m70hi:3;e?xu6l90;6?uQ1e289c`=;:=0q~?j8;296~X6m116jk4>b99~w60?2909w0hi:85896>028i?7psm1;295?6=8r.8:;4;;%17b?77<2.84o4<939'5d2=<<:18'7=d=9k207p}>e983>7}Y9l201vPn7:?2a=4?:3y]=6=:9l215>5rs383>6}:9l21=i>4=0g;><`<580:<>5+37491>{zj88=6=4<:387!53n3lo7E=74:J022=#98?1:6*>a58710=];=o1=v7m:|k:7?6=3`k<6=44o0g;>5<\45;hc4>5<>dan3:1:7>50z&0xo69:0;6)=7b;327>=n1>0;6)=7b;;4?>o>03:1(>6m:8:8?l54?3:1(>6m:214?>o51o0;6)=7b;0:b>=h9k21<7*<8c82f==51;294~"40k0:n>5`1b694?"40k0:n554}rc4>5<5sWk<70hi:030?xu>;3:1>vP63:?eb???3ty2j7>52z\:b>;an382j6s|1e294?4|V8n;70hi:214?xu6m10;6?uQ1d:89c`=9k20q~=98;296~;an33<70=77;3`0>{zj80;6<4?:1y'730=<2.88k4>059'7=d=;080(6m:0`;?>{t9l21<77}Yi>16=h65a69~w<5=838pR4=4=0g;><54b734;n477i;<39555<,:<=685r}c3:3?6==381:v*<4g8ea>N40=1C?;94$0c7>1323S9?i7?t9c8~m<1=831b5k4?::k:7?6=3`k<6=44o0g;>5<5$2:a>47432c2;7>5$2:a><1<3`336=4+39`9===5$2:a>7?a32e:n54?:%1;f?7e021vn>67:182>5<7s-93n7=62:m2f=<72-93n7?m8:9~w4c?2909wS?j8:?2a=<6j11vl950;0xZd1<58o36e981=c=z{0=1<7?28h37psm15294?3=:3:i:gg8L6>33A9=;6*>a58710=];=o1=v7m:|k:3?6=3`3m6=44i8194?=ni>0;66a>e983>>d6m10;6;4?:1y'7=d=99:0V>:j:0y:f?{n9891<7*<8c8256==n110;6)=7b;;;?>o4;>0;6)=7b;103>=n:0l1<7*<8c81=c=<3th8454?:083>5}#;1h1?4<4o0`;>5<#;1h1=o64;|q2a=<72;qU=h64=0g;>4d?3tyj;7>52z\b3>;6m10:=>5rs8d94?4|V0l01?3:1>vP67:?2a=<>?2wx5>4?:3y]=6=:9l21555rs027>5<5s4;n47=<7:?0<=<6j11vqo?:d;291?4=>r.88k4ie:J0<1=O;?=0({8`9yl76;3:1(>6m:030?>o>?3:1(>6m:858?l??290/?5l59998m650290/?5l53258?l4>n3:1(>6m:3;e?>i6j10;6)=7b;3a<>=zj:236=4>:183!5?j392>6a>b983>!5?j3;i465rs0g;>5<5sW;n463>e982f==z{h=1<7n27:i54=9g9~w<1=838pR494=0g;><10583>7}:9l21?>94=2:;>4d?3twi=4k50;796?0|,:>m6kk4H2:7?M51?2.:m94;549Y71c=9r3i6pg67;29?l?a2900e4=50;9je2<722e:i54?::`2a=<72?0;6=u+39`9556n61298m<1=83.84o467:9j==<72-93n777;:k072<72-93n7=<7:9j6<`=83.84o4=9g98k4d?290/?5l51c:8?xd4010;6<4?:1y'7=d=;080ce983>7}Y9l201vPn7:?2a=<69:1v4h50;0xZ<`<58o36?7i;|q:3?6=:rT2;63>e98:3>{t1:0;6?uQ929>5`>=111v<>;:18187b0398;63<8982f==zuk;?;7>55;092~"45;hc4>5<5<1290;w)=7b;334>\45<#;1h15554i214>5<#;1h1?>94;h0:b?6=,:2i6?7i;:m2f=<72-93n7?m8:9~f6>?290:6=4?{%1;f?5>:2e:n54?:%1;f?7e021vb99~wd1=838pRl94=0g;>4743ty2j7>52z\:b>;6m1095k5rs8594?4|V0=015`>=;:=01>67:0`;?x{e9?h1<7;52;4x 62a2oo0D>6;;I153>"6i=0?985U35g95~?e2tc2;7>5;h;e>5<>i6m10;66l>e983>3<729q/?5l51128^62b28q2n7sf10194?"40k0:=>54i8594?"40k02;65f9983>!5?j33376g<3683>!5?j398;65f28d94?"40k095k54o0`;>5<#;1h1=o64;|`0<=<7280;6=u+39`97<4<3ty:i54?:3y]5`><58o36e98256=z{0l1<7?27:i5467:p=6<72;qU5>521d:9===z{8:?6=4={<3fb99~yg7c93:1?7<54z&00c>of?3:17b?j8;29?g7b03:197<56z&00ce983>>o6l90;66gn7;29?l?a2900e4=50;9abc<72?0;6=u+39`9556n61298m<1=83.84o467:9j==<72-93n777;:k072<72-93n7=<7:9j6<`=83.84o4=9g98k4d?290/?5l51c:8?xd40>0;6<4?:1y'7=d=9k90c3:p=6<72;qU5>52fg8:<>{t1o0;6?uQ9g9>bc<51o1v=838pR4d?3ty8:54?:3y>bc<>?2784:4>c59~yg7=83;1<7>t$245>1=#;=l1==:4$2:a>6?53-;j87::5:m246<72-93n7?m8:9~w4c?2909wS?j8:?2a=<6m11vl950;0xZd1<58o36l94}r;0>5<5sW3870?j8;;0?xu52908w0?j8;3g4>;6m102j63>:020?!51>3?0qpl>d483>6<52=q/?9h5fe9K7=2<@:<<7)?>5;48 4g32=?>7W=;e;3x=g>i6m10;66l>e983>0<52?q/?9h5fg9'5d2=<:j:0y:f?{h9l21<75f1e294?=ni>0;66g6f;29?l?42900nkh50;494?6|,:2i6<>?;[17a?7|1k0ve10e4650;&0021b?>950;&010e?7i:18'7=d=:0l07b?m8;29 6>e28h376sm39594?7=83:p(>6m:0`0?j7d<3:1(>6m:0`;?>{ti>0;6?uQa69>bc<69:1v4=50;0xZ<5<5ol1555rs8d94?4|V0l01kh528d8yv7c83:1>vP>d19>bc<4;>1v=838p1kh5969>7=1=9j>0qpl>:182>5<7s-9=:7:4$26e>4633-93n7=62:&2e1<3=<1d===50;&052z\:7>;6m102?6s|2;297~;6m10:h=521d:9=c=:93;;?6*<6786?x{ei?0;6>4?:1y'71`=;81C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th:844?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a5d6=8381<7>t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn5<50;194?6|,:>m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm16794?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj8==6=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?h50;194?6|,:>m6N4>>1/?5l51c68 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65`1c394?"40k0:n554}c3f1?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3th:i;4?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207pl6<729q/?9h5309K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`0`4<72;0;6=u+35d9540<@:2?7E=97:&082.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi?i<50;194?6|,:>m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm14d94?5=83:p(>:i:238L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn<8>:180>5<7s-9?j7=>;I1;0>N4>>1/?5l5319'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb041>5<4290;w)=;f;3a1>N40=1C?;94$2:a>4d33-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd6<;0;6>4?:1y'71`=;81C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th:8>4?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a512=8381<7>t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn<:::180>5<7s-9?j7=>;I1;0>N4>>1/?5l5319'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb065>5<4290;w)=;f;3a1>N40=1C?;94$2:a>4d33-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd6100;6>4?:1y'71`=;81C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th:5l4?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a5t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn<7l:180>5<7s-9?j7=>;I1;0>N4>>1/?5l5319'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb0;g>5<4290;w)=;f;3a1>N40=1C?;94$2:a>4d33-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd6l>0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm1ea94?5=83:p(>:i:0`6?M5?<2B8::5+39`95g2<,8k?69;:;h332?6=,:2i63:9l5g7=83.84o4>b998yg7cl3:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==52;294~"482.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi=h>50;194?6|,:>m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm1d394?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj8o96=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn5<7s-9?j7=>;I1;0>N4>>1/?5l5319'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb0f;>5<4290;w)=;f;12?M5?<2B8::5+39`975=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i629086=4?{%17b?7e=2B8495G3758 6>e28h?7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th:hl4?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207pl>dc83>1<729q/?9h51c48L6>33A9=;6*<8c82f1=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i63:9l5g7=83.84o4>b998yg7c;3:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==53;294~"48:18'7=d=98907b?m1;29 6>e28h376sm2ec94?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj;nm6=4=:183!53n3;::6F<859K731<,:2i64>4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}c0f0?6=;3:1N40=1C?;94$2:a>66<,8k?69;:;h332?6=,:2i63:9l5g7=83.84o4>b998yg4b=3:187>50z&00c<6j?1C?5:4H244?!5?j3;i86*>a58710=n99<1<7*<8c8256=5$2:a>47432e:n<4?:%1;f?7e021vn<;=:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg72<3:1?7>50z&00c<6j<1C?5:4H244?!5?j3;i86*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wi=8;50;194?6|,:>m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm14494?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj8?<6=4=:183!53n3;::6F<859K731<,:2i64>4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}c36N40=1C?;94$2:a>66<,8k?69;:;h332?6=,:2i63:9l5g7=83.84o4>b998yg7213:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==m7>53;294~"48:18'7=d=98907b?m1;29 6>e28h376sm14`94?5=83:p(>:i:238L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>4d23A9386F<669'7=d=9k>0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==53;294~"4>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21d=o?50;&0b49K7=2<@:<<7)=7b;3a0>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn<9?:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg7093:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==7>54;294~"46F<859K731<,:2i6>>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21b==650;&0:18'7=d=9k207pl>6583>6<729q/?9h5309K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`220<72;0;6=u+35d9540<@:2?7E=97:&082.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi=;850;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f40029096=4?{%17b?76>2B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo?98;297?6=8r.88k4<1:J0<1=O;?=0(>6m:228 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65`1c394?"40k0:n554}c35=?6=;3:10D>88;%1;f?7e<2.:m94;549j550=83.84o4>1298m460290/?5l51018?j7e93:1(>6m:0`;?>{e9?k1<7:50;2x 62a2:80D>6;;I153>"40k08<6*>a58710=n99<1<7*<8c8256=5$2:a>47432e:n<4?:%1;f?7e021vn5:50;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f=3=8381<7>t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn5850;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f=1=8381<7>t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn5650;794?6|,:>m6>=4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907d??8;29 6>e28;876g>0883>!5?j3;:?65`1c394?"40k0:n554}c::>5<4290;w)=;f;3a1>N40=1C?;94$2:a>4d33-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd6jk0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm1ca94?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj8ho6=4=:183!53n3;::6F<859K731<,:2i64>4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}c3aa?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3th:nk4?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207pl>c183>6<729q/?9h5309K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`2g4<72:0;6=u+35d95g3<@:2?7E=97:&06m:030?>i6j80;6)=7b;3a<>=zj8i96=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn5<7s-9?j7?m5:J0<1=O;?=0(>6m:0`7?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|q11?6=:rT9963=5;3f<>{t:m0;6<>t^3f897b=9l201l851158943a28:=70?90;331>;6<;0:<;521519553<58326<>9;<3:e?77=27:hn4>069>6ag=99?01<;;:024?871n3;;963>688243=:0?0:<8521c`9553<1<5;l1=o?4}r4g>5528:=70?86;332>;6lm0:<:521479551<589;<350?77?273;7??5:?2f`<68<1v:?50;0xZ27<5>;1=h64}r:2>5<5s4=:6l94=9095g7<1<58=86494=9;95g74d634236<>8;|q;1?6=:r7397?m1:?;<3<6j816454>089~w=1=838p15951c389=?=99=0q~67:1818>?28h:7066:025?xu>03:1=?uQ999>525=1:16;<463:?2f<<>;279h77<;<5<58k364=4=379=6=:9<:15>521349=6=:90=15>521529=6=:95218g9=6=:9==15>5217`9=6=:9m;15>521e79=6=z{h>1<7<5;l1==84}rc6>5<5s4k?6l94=`495g752z\25==:9;<1m:5rs005>5<1s4;9:7?j8:?2`2<68<16=h>5115894b428:<70?:2;331>;?=3;;96s|13594?5|V88<706=:024?870=3;;96s|13d94?>|V88m70=k0;332>;6lm0:<;522ed9553<58?>6<>9;<35`?77?27::94>079>5f4=99<0q~?;0;296~X6<916=9>51d:8yv7393:1>v3>418b3>;65<5s4;?>7?m1:?203<68?1v<:<:181873;3;i=63>448243=z{8>?6=4={<370?7e927:884>069~w4222909w0?;5;3a5>;65<5s4;?;7?j8:?207<68>1v<:7:181873?3k<70?;9;3a5>{t9=h1<7=t^06a?84b<3;;:63>bg8243=z{8?;6=4={_364>;6=90:i55rs072>5<5s4;><7o8;<367?7e92wx=8<50;0x943528h:70?:8;333>{t9<>1<74d634;>m7??6:p503=838p1<;::0`2?87213;;:6s|14494?4|58?=6;<36=?77?2wx=8950;0x943028h:70?:b;333>{t9<21<74d634;>?7??6:p50?=838p1<;6:0`2?872i3;;;6s|14c94?4|58?j6;<36f?77>2wx=8l50;0x943e28h:70?:3;333>{t94d63ty:9k4?:3y>50`=9k;01<8=:025?xu6>90;6?u217295g7<58<:6<>8;|q224<72;q6=;?51c38940528:<7p}>6283>7}:952z?221<6j816=;651148yv71=3:1>v3>6482f4=:9?21==94}r352?6=:r7::;4>b09>53g=99<0q~?97;296~;6>>0:n<5217c955>52z?22=<6j816=;751158yv7113:1>v3>6882f4=:9?k1==94}r35f?6=:r7::o4>e99>50`=99=0q~?9c;296~;6>m0:n<52160955152z?22`<6j816=:?51148yv71m3:1>v3>6g82f4=:9>;1==94}r35b?6=:r7:;=4>b09>524=9920q~?80;296~;6?80:n<52160955052z?236<6m116>h;51158yv70<3:1>v3>728b3>;6??0:n<5rs056>5<5s4;<97?m1:?233<68>1v<78:181[7>?27:5:4>e99~w4??2909w0?67;c4?87>l3;i=6s|18;94?4|58326;<3:`?77>2wx=4o50;0x94?f28h:70?6c;332>{t90h1<74d634;2o7??7:p5l3;;;6s|18g94?4|583n6;6i10:i55218g9=2=:9==15:522g8242=z{8k26=4<{<3b228:870?83;;e?81620l01516=1o16=8j59g9>553d=1o1vdd8240=:9<<1==;4=053>46234;=97??5:?;2wx=oo50;0x94d>20=018;|q2ff<72;q6=om51c3894e728:=7p}>be83>7}:9kn1=o?4=0a3>4603ty:nh4?:3y>5gc=9k;019;|q2g5<72;q6=n>51c3894e628:<7p}>c083>7}:9j;1=o?4=0a1>4603ty:o?4?:3y>5f4=9k;01<58o>6<>:;<3gb?77=27:i=4>079>5a5=99<01<;8:026?871m3;;:63>668240=:9ki1==;4}r3g6?6=:r7:h<4n7:?2`1<6j81vd58242=z{8n>6=4l{<3g1?7b027:i;4>079>5ae=99<01:026?87b:3;;:63>d58243=:9<>1==84=07;>46134;=i7??7:?223<68<16494>049>5gb=99?0q~?k6;296~;6l<0j;63>dc82f4=z{8n<6=4={<3g3?7e927:i?4>069~w4b?2909w0?k8;3a5>;6lk0:<55rs0f:>5<5s4;o57?m1:?2`d<68?1vdc8243=z{8nh6=4={<3gg?7e927:h44>079~w4bc2909w0?kd;3a5>;6m:0:<;5rs0ff>5<5s4;oi7?m1:?2a6<68>1vd`8242=z{8o;6=4={<3f4?7e927:h54>079~w4c62909w0?j1;3a5>;6l10:<:5rs0g1>5<5s4;n>7?m1:?2`g<68>1vd88242=z{8o?6=4={<3f1?7e927:i;4>069~w4c0290>w0<::`5894?020=01<:?:8589d0=99<017}::mk1=o?4=3g7>4603ty9hk4?:3y>6a`=9k;01?k::02;?xu5m=0;6?u22d695g7<5;o>6<>9;|q0e<<72;qU?l74=2f2>4623ty8ok4?:3y]7f`<5:n96;|q0`5<72;q6?i>51c3896b528:=7p}7}:;m;1=o?4=2f1>4603ty8i=4?:2y]7`6<58>26<>:;<370?77=2wx816=;?51148yxd4100;6n4=b;10M51?2.88k4>039'5d5=;=30V>:j:0y:f?{h<==1<75`3b194?=n;h31<75`6e83>>oak3:17d9=:188m21=831b>i;50;9j6ag=831b>ih50;9l7g1=831b==k50;9a7=3=83;1<7>t$26e>4633A9386F<669'7=d=;080(6m:0`;?>{e;j91<7;52;4x 62a2oo0D>6;;I153>"6i=0?985U35g95~?e2tc2;7>5;h;e>5<>i6m10;66l>e983>3<729q/?5l51128^62b28q2n7sf10194?"40k0:=>54i8594?"40k02;65f9983>!5?j33376g<3683>!5?j398;65f28d94?"40k095k54o0`;>5<#;1h1=o64;|`0<=<7280;6=u+39`97<4<3ty:i54?:3y]5`><58o36e98256=z{0l1<7?27:i5467:p=6<72;qU5>521d:9===z{8:?6=4={<3fb99~yg4c>3:197<56z&00c7W=;e;3x=g>o>;3:17do8:188k4c?2900n5<7s-93n7??0:X00`<6s0h1qd?>3;29 6>e28;876g67;29 6>e20=07d77:18'7=d=1110e>=8:18'7=d=;:=07d<6f;29 6>e2;3m76a>b983>!5?j3;i465rb2:;>5<6290;w)=7b;1:6>i6j10;6)=7b;3a<>=z{8o36=4={_3f<>;6m10:n55rs`594?4|Vh=01n3:1>vP6f:?2a=<51o1v4950;0xZ<1<58o36494}r;0>5<5sW3870?j8;;;?xu68=0;6?u21d:9761<5:23678t$26e>cc<@:2?7E=97:&2e1<3=<1Q?9k51z;a>xo>?3:17d7i:188m<5=831bm:4?::m2a=<722h:i54?:783>5}#;1h1==>4Z26f>4}>j3wb=<=50;&0?21b554?:%1;f???32c8?:4?:%1;f?54?21b>4h50;&04<729q/?5l53808k4d?290/?5l51c:8?xu6m10;6?uQ1d:894c?28h37p}n7;296~Xf?27:i54>129~w<`=838pR4h4=0g;>7?a3ty2;7>52z\:3>;6m102;6s|9283>7}Y1:16=h65999~w4632909w0?j8;103>;4010:n55r}c0f4?6==381:v*<4g8ea>N40=1C?;94$0c7>1323S9?i7?t9c8~m<1=831b5k4?::k:7?6=3`k<6=44o0g;>5<5$2:a>47432c2;7>5$2:a><1<3`336=4+39`9===5$2:a>7?a32e:n54?:%1;f?7e021vn>67:182>5<7s-93n7=62:m2f=<72-93n7?m8:9~w4c?2909wS?j8:?2a=<6j11vl950;0xZd1<58o36e981=c=z{0=1<7?28h37psm7283>0<52?q/?9h5fd9K7=2<@:<<7)?n4;661>\45<>of?3:17b?j8;29?g7b03:1:7>50z&0xo69:0;6)=7b;327>=n1>0;6)=7b;;4?>o>03:1(>6m:8:8?l54?3:1(>6m:214?>o51o0;6)=7b;0:b>=h9k21<7*<8c82f==51;294~"40k085?5`1c:94?"40k0:n554}r3f7}Y1o16=h6528d8yv?02909wS78;<3f52z\:7>;6m10246s|11694?4|58o36>=8;<1;m6kk4H2:7?M51?2.:m94;549Y71c=9r3i6pg67;29?l?a2900e4=50;9je2<722e:i54?::`2a=<72?0;6=u+39`9556n61298m<1=83.84o467:9j==<72-93n777;:k072<72-93n7=<7:9j6<`=83.84o4=9g98k4d?290/?5l51c:8?xd4010;6<4?:1y'7=d=;080ce983>7}Y9l201vPn7:?2a=<69:1v4h50;0xZ<`<58o36?7i;|q:3?6=:rT2;63>e98:3>{t1:0;6?uQ929>5`>=111v<>;:18187b0398;63<8982f==zuk9=m7>55;092~"45;hc4>5<5<1290;w)=7b;334>\45<#;1h15554i214>5<#;1h1?>94;h0:b?6=,:2i6?7i;:m2f=<72-93n7?m8:9~f6>?290:6=4?{%1;f?5>:2e:n54?:%1;f?7e021vb99~wd1=838pRl94=0g;>4743ty2j7>52z\:b>;6m1095k5rs8594?4|V0=015`>=;:=01>67:0`;?x{e;10;6>4=:5y'71`=nm1C?5:4H244?!76=3<0(0;66a>e983>>d6m10;684=:7y'71`=no1/=l:54478^62b28q2n7s`1d:94?=n9m:1<75fa683>>o>n3:17d7<:188fc`=83<1<7>t$2:a>4673S9?i7?t9c8~m474290/?5l51018?l?0290/?5l59698m<>=83.84o468:9j761=83.84o4<3698m7?a290/?5l528d8?j7e03:1(>6m:0`;?>{e;1=1<7?50;2x 6>e28h87b?l4;29 6>e28h376s|a683>7}Yi>16jk4>129~w<5=838pR4=4=gd9===z{0l1<716?5951b68yxd6290:6=4?{%152?2<,:>m6<>;;%1;f?5>:2.:m94;549l555=83.84o4>b998yv7b03:1>vP>e99>5`>=9l20q~o8:181[g034;n47o8;|q:7?6=:rT2?63>e98:7>{t:3:1?v3>e982`5=:9l215k521;337>"4>?0>7psm6d83>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e>o0;6>4?:1y'71`=9k?0D>6;;I153>"40k0:n95+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?k6:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg4bi3:1>7>50z&00c<69?1C?5:4H244?!5?j33;7)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==O;1k07pl=ec83>6<729q/?9h5309K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`0g?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3th8o44?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a7fg=8391<7>t$26e>4d23A9386F<669'7=d=9k>0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==53;294~"4>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21d=o?50;&05<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg1e29086=4?{%17b?7e=2B8495G3758 6>e28h?7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th<97>52;294~"40D>88;%1;f?7e<2.:m94;549j550=83.84o4>1298m460290/?5l51018?j7e93:1(>6m:0`;?>{e:l81<7<50;2x 62a28;=7E=74:J022=#;1h15=5+1`690036=4+39`9545<3f;i=7>5$2:a>4d?3A93m65rb3g0>5<4290;w)=;f;3a1>N40=1C?;94$2:a>4d33-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd5lm0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm2eg94?5=83:p(>:i:0`6?M5?<2B8::5+39`95g2<,8k?69;:;h332?6=,:2i63:9l5g7=83.84o4>b998yg4c03:1>7>50z&00c<69?1C?5:4H244?!5?j33;7)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==O;1k07pl=d883>6<729q/?9h51c78L6>33A9=;6*<8c82f1=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i6t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn9:7:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg2313:1?7>50z&00c<6j<1C?5:4H244?!5?j3;i86*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wi?o650;194?6|,:>m6N4>>1/?5l51c68 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65`1c394?"40k0:n554}c54>5<5290;w)=;f;322>N40=1C?;94$2:a><6<,8k?69;:;h331?6=,:2i64$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}c5g>5<5290;w)=;f;322>N40=1C?;94$2:a><6<,8k?69;:;h331?6=,:2i64$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}c5e>5<2290;w)=;f;10?M5?<2B8::5+39`975=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i63:9j55?=83.84o4>1298k4d6290/?5l51c:8?xd4>l0;6>4?:1y'71`=9k?0D>6;;I153>"40k0:n95+1`690035$2:a>47432e:n<4?:%1;f?7e021vn>8i:180>5<7s-9?j7?m5:J0<1=O;?=0(>6m:0`7?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`035<72;0;6=u+35d9540<@:2?7E=97:&082.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi?:?50;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f615290>6=4?{%17b?543A9386F<669'7=d=;91/=l:54478m461290/?5l51018?l77?3:1(>6m:030?>o6810;6)=7b;327>=n9931<7*<8c8256=<3th8;>4?:283>5}#;=l1=o;4H2:7?M51?2.84o4>b59'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rs2:94?4|5:21=h64=7d9550<5<5:31=o?4}r1a>5<5s4936l94=2a95g76`6=i>16>il5a69>6a0=i>16:k4>b09>7f?=99?01>8l:025?81f28:>709::026?84b:3;;963=de8240=::m21==;4=252>4623ty=i7>52z?5a?7e927=j7??7:p34<72:q6?o65114892`=9k;01>9=:025?xu0:3:1?vP82:?42?77>274>e99>32<68<1v::50;0x925=1>16;;4>b09~w23=838p1:;51c38920=99=0q~98:180[1034=i6<>9;<5`>4623ty<47>52z?43d<6j816;o4>069~w2e=838p1:951c3892`=99<0q~9k:18181d28h:709i:024?xu0m3:1>v38d;3a5>;0n3;;46s|7g83>7}:?l0:n<527g824<=z{oi1<77t^ga896e420901?j9:81897be20901?k?:818925=1:16;5463:?02d<>;27857??5:p55c=839pR<>j;<1`e?77>278:n4>069~w4gb2903w0=75;337>;4k:02j63=d78:b>;5lk02j63=e18:b>;0;33m7097:8d8960f20l0q~i751148960a28:=7p}=d783>7}::m<1=h64=24e>4603ty9h:4?:3y>6a0=1>16>i751c38yv4c03:1>v3=d982f4=::m31==94}r0ge?6=;rT9hl522dc9553<5;nn6<>9;|q1`g<72;q6>il51d:897c>28:>7p}=db83>7}::mh15:522eg95g752z?1`a<6j816>ik51158yv4cn3:1?vP=dg9>6`5=99<01>8j:025?xu5m90;6?u22d295`><5:8;|q1a4<72;q6>h>5969>6`5=9k;0q~52z?1ag<6j816?:>51178yv4b13:1>v3=e882f4=::lh1==84}r0fe?6=:r79il4>b09>6`d=99=0q~=9a;296~;4>h0:i55245:955352z?02db09~w60c2908w0=9a;;4?85d;33<70=83;3a5>{t;?o1<74d6349<>7??7:p73`=838p1>8i:0`2?850:3;;46s|36294?4|5:=;6;<146?7712wx?:?50;0x961628h:70=83;333>{t;>81<74d6349o6;<4f>462349h6<>:;<67=?77>278n54>069~w6d02909wS=m7:?0f=<6j81v>m<:181[5d;278o>4>e99~w6e?2909w0=l3;c4?85di3;i=6s|3b;94?4|5:i26;<1`e?77?2wx89950;0xZ12034>?57?m1:p01>=838p19:7:0`2?82313;;;6srb2;f>5<6=3k26o:tH244?!53n3;9o6*>a2800<=];=o1>v76:8f9yj75?3:17d:;7;29?l5d;3:17d?n2;29?j7603:17b=n9;29?ld62900e9?8:188m4372900c>l::188m<3=831b594?::m0a5<722c:8l4?::m75c<722c:m<4?::k0f2<722e8oo4?::m0`1<722e997>5;n604?6=3k9397>51;294~"4r.88k4ie:J0<1=O;?=0({8;9yl76;3:1(>6m:030?>o>?3:1(>6m:858?l??290/?5l59998m650290/?5l53258?l4>n3:1(>6m:3;e?>i6j10;6)=7b;3a<>=zj:236=4>:183!5?j392>6a>b983>!5?j3;i465rs0g;>5<5sW;n463>e982f==z{h=1<7n27:i54=9g9~w<1=838pR494=0g;><10583>7}:9l21?>94=2:;>4d?3twin:4?:281>1}#;=l1ji5G3968L6003-;j87::5:X00`<6s031qd7<:188md1=831d=h650;9a5`>=83?1>78t$26e>c`<,8k?69;:;[17a?7|100vc5;cde>5<1290;w)=7b;334>\45<#;1h15554i214>5<#;1h1?>94;h0:b?6=,:2i6?7i;:m2f=<72-93n7?m8:9~f6>0290:6=4?{%1;f?7e;2e:o94?:%1;f?7e021vl950;0xZd1<5ol1=<=4}r;0>5<5sW3870hi:8:8yv?a2909wS7i;7?a3ty:h=4?:3y]5a6<5ol1?>94}r3f<1<5:2<6188;4o020>5<#;1h1=o64;|q2a=<72;qU=h64=0g;>4c?3tyj;7>52z\b3>;6m10j;6s|9283>7}Y1:16=h65929~w7<72:q6=h651e2894c?20l01<4>029'730==2wvnnl50;196?2|,:>m6kj4H2:7?M51?2.:m94;549Y71c=9r326pg63;29?lg02900c6?49{%17b?`a3-;j87::5:X00`<6s031qb?j8;29?l7c83:17do8:188m<`=831b5>4?::`eb?6=>3:13:9j=2<72-93n778;:k:=8;:k1=c<72-93n7<6f:9l5g>=83.84o4>b998yg5??3:1=7>50z&0n3:1>vP6f:?eb?4>n2wx=i>50;0xZ4b734lm6>=8;|q2a=<72;qU=h64=gd95g>52z?eb??03493;7?l4:~f4<7280;6=u+37490>"4=838pR7<3s-9?j7hk;I1;0>N4>>1/=l:54478^62b28q257sf9283>>of?3:17b?j8;29?g7b03:197<56z&00ce983>>o6l90;66gn7;29?l?a2900e4=50;9abc<72?0;6=u+39`9556n61298m<1=83.84o467:9j==<72-93n777;:k072<72-93n7=<7:9j6<`=83.84o4=9g98k4d?290/?5l51c:8?xd40>0;6<4?:1y'7=d=9k90c3:p=6<72;qU5>52fg8:<>{t1o0;6?uQ9g9>bc<51o1v=838pR4d?3ty8:54?:3y>bc<>?2784:4>c59~yg7=83;1<7>t$245>1=#;=l1==:4$2:a>6?53-;j87::5:m246<72-93n7?m8:9~w4c?2909wS?j8:?2a=<6m11vl950;0xZd1<58o36l94}r;0>5<5sW3870?j8;;0?xu52908w0?j8;3g4>;6m102j63>:020?!51>3?0qpll3;297?4=0;66a>e983>>d6m10;684=:7y'71`=no1/=l:54478^62b28q257s`1d:94?=n9m:1<75fa683>>o>n3:17d7<:188fc`=83<1<7>t$2:a>4673S9?i7?t988~m474290/?5l51018?l?0290/?5l59698m<>=83.84o468:9j761=83.84o4<3698m7?a290/?5l528d8?j7e03:1(>6m:0`;?>{e;1=1<7?50;2x 6>e28h87b?l4;29 6>e28h376s|a683>7}Yi>16jk4>129~w<5=838pR4=4=gd9===z{0l1<716?5951b68yxd6290:6=4?{%152?2<,:>m6<>;;%1;f?5>:2.:m94;549l555=83.84o4>b998yv7b03:1>vP>e99>5`>=9l20q~o8:181[g034;n47o8;|q:7?6=:rT2?63>e98:7>{t:3:1?v3>e982`5=:9l215k521;337>"4>?0>7psmbg83>6<52=q/?9h5fe9K7=2<@:<<7)?n4;661>\45<5<{8;9yl76;3:1(>6m:030?>o>?3:1(>6m:858?l??290/?5l59998m650290/?5l53258?l4>n3:1(>6m:3;e?>i6j10;6)=7b;3a<>=zj:2<6=4>:183!5?j3;i?6a>c583>!5?j3;i465rs`594?4|Vh=01kh51018yv?42909wS7<;<>d183>7}Y9m:01kh53258yv7b03:1>vP>e99>bc<6j11v>87:1818`a20=01>68:0a7?x{e93:1=7>50z&023<33-9?j7??4:&0521d:9=6=z{;0;6>u21d:95a6<58o364h4=08246=#;?<196srb2f7>5<42;0?w)=;f;dg?M5?<2B8::5+1`69003n65;n3f55;092~"4a58710=];=o1=v76:|m2a=<722c:h=4?::kb3?6=3`3m6=44i8194?=eno0;6;4?:1y'7=d=99:0V>:j:0y:=?{n9891<7*<8c8256==n110;6)=7b;;;?>o4;>0;6)=7b;103>=n:0l1<7*<8c81=c=<3th84:4?:083>5}#;1h1=o=4o0a7>5<#;1h1=o64;|qb3?6=:rTj;63if;327>{t1:0;6?uQ929>bc<>02wx5k4?:3y]=c=:no095k5rs0f3>5<5sW;o<63if;103>{t9l21<77}:no02;63<8682g1=zuk;1<7?50;2x 6012=1/?9h51168 6>e2:397)?n4;661>i68:0;6)=7b;3a<>=z{8o36=4={_3f<>;6m10:i55rs`594?4|Vh=014643-9=:7;4}|`11?6=;3818v*<4g8e`>N40=1C?;94$0c7>1323S9?i7?t988~m<5=831bm:4?::m2a=<722h:i54?:481>3}#;=l1jk5+1`69003n6=831b=i>50;9je2<722c2j7>5;h;0>5<e28:;7W=;e;3x=<=n;:=1<7*<8c8072=5$2:a>4d?32wi?5950;394?6|,:2i64h4}r3g4?6=:rT:h=52fg8072=z{8o36=4={_3f<>;an3;i46s|37:94?4|5ol15:5239595f2:183!51>3>0(>:i:027?!5?j392>6*>a58710=h9991<7*<8c82f==52z\2a==:9l21=h64}rc4>5<5sWk<70?j8;c4?xu>;3:1>vP63:?2a=<>;2wx>7>53z?2a=<6l916=h659g9>5?77;2.8:;4:;|a54>=8391>7:t$26e>cb<@:2?7E=97:&2e1<3=<1Q?9k52z;:>:i:gd8 4g32=?>7W=;e;0x=<<>l3wd=h650;9j5a6=831bm:4?::k:b?6=3`386=44bgd94?0=83:p(>6m:023?_53m38p5446d;j545=83.84o4>1298m<1=83.84o467:9j==<72-93n777;:k072<72-93n7=<7:9j6<`=83.84o4=9g98k4d?290/?5l51c:8?xd40>0;6<4?:1y'7=d=9k90c3:p=6<72;qU5>52fg8:<>{t1o0;6?uQ9g9>bc<51o1v=838pR4d?3ty8:54?:3y>bc<>?2784:4>c59~yg7=83;1<7>t$245>1=#;=l1==:4$2:a>6?53-;j87::5:m246<72-93n7?m8:9~w4c?2909wS?j8:?2a=<6m11vl950;0xZd1<58o36l94}r;0>5<5sW3870?j8;;0?xu52908w0?j8;3g4>;6m102j63>:020?!51>3?0qpli9;297?4=0;66a>e983>>d6m10;684=:7y'71`=no1/=l:54478^62b28q257s`1d:94?=n9m:1<75fa683>>o>n3:17d7<:188fc`=83<1<7>t$2:a>4673S9?i7?t988~m474290/?5l51018?l?0290/?5l59698m<>=83.84o468:9j761=83.84o4<3698m7?a290/?5l528d8?j7e03:1(>6m:0`;?>{e;1=1<7?50;2x 6>e28h87b?l4;29 6>e28h376s|a683>7}Yi>16jk4>129~w<5=838pR4=4=gd9===z{0l1<716?5951b68yxd6290:6=4?{%152?2<,:>m6<>;;%1;f?5>:2.:m94;549l555=83.84o4>b998yv7b03:1>vP>e99>5`>=9l20q~o8:181[g034;n47o8;|q:7?6=:rT2?63>e98:7>{t:3:1?v3>e982`5=:9l215k521;337>"4>?0>7psm28694?5=:3>p(>:i:gf8L6>33A9=;6*>a58710=];=o1=v76:|k:7?6=3`k<6=44o0g;>5<\45;hc4>5<>dan3:1:7>50z&0xo69:0;6)=7b;327>=n1>0;6)=7b;;4?>o>03:1(>6m:8:8?l54?3:1(>6m:214?>o51o0;6)=7b;0:b>=h9k21<7*<8c82f==51;294~"40k0:n>5`1b694?"40k0:n554}rc4>5<5sWk<70hi:030?xu>;3:1>vP63:?eb???3ty2j7>52z\:b>;an382j6s|1e294?4|V8n;70hi:214?xu6m10;6?uQ1d:89c`=9k20q~=98;296~;an33<70=77;3`0>{zj80;6<4?:1y'730=<2.88k4>059'7=d=;080(6m:0`;?>{t9l21<77}Yi>16=h65a69~w<5=838pR4=4=0g;><54b734;n477i;<39555<,:<=685r}c:g>5<42;0?w)=;f;dg?M5?<2B8::5+1`69003n65;n3f55;092~"4a58710=];=o1=v76:|m2a=<722c:h=4?::kb3?6=3`3m6=44i8194?=eno0;6;4?:1y'7=d=99:0V>:j:0y:=?{n9891<7*<8c8256==n110;6)=7b;;;?>o4;>0;6)=7b;103>=n:0l1<7*<8c81=c=<3th84:4?:083>5}#;1h1=o=4o0a7>5<#;1h1=o64;|qb3?6=:rTj;63if;327>{t1:0;6?uQ929>bc<>02wx5k4?:3y]=c=:no095k5rs0f3>5<5sW;o<63if;103>{t9l21<77}:no02;63<8682g1=zuk;1<7?50;2x 6012=1/?9h51168 6>e2:397)?n4;661>i68:0;6)=7b;3a<>=z{8o36=4={_3f<>;6m10:i55rs`594?4|Vh=014643-9=:7;4}|`030<72:0969u+35d9ba=O;1>0D>88;%3b0?22=2P88h4>{8;9yl?42900el950;9l5`>=831i=h650;796?0|,:>m6kh4$0c7>1323S9?i7?t988~k4c?2900e5$2:a>47432c2;7>5$2:a><1<3`336=4+39`9===5$2:a>7?a32e:n54?:%1;f?7e021vn>68:182>5<7s-93n7?m3:m2g1<72-93n7?m8:9~wd1=838pRl94=gd95454=gd976152z\2a==:no0:n55rs24;>5<5s4lm6494=2:4>4e33twi=7>51;294~"4>?0?7)=;f;330>"40k085?5+1`69003<3ty:i54?:3y]5`><58o36e98b3>{t1:0;6?uQ929>5`>=1:1v?4?:2y>5`>=9m:017:t$26e>cb<@:2?7E=97:&2e1<3=<1Q?9k51z;:>xo>;3:17do8:188k4c?2900n7<1s-9?j7hi;%3b0?22=2P88h4>{8;9yj7b03:17d?k0;29?lg02900e4h50;9j=6<722hmj7>56;294~"40k0:<=5U35g95~?>2tc:=>4?:%1;f?76;21b5:4?:%1;f??032c247>5$2:a><><3`98;7>5$2:a>65032c95k4?:%1;f?4>n21d=o650;&0b29l5f2=83.84o4>b998yvg02909wSo8;4743ty2?7>52z\:7>;an3337p}6f;296~X>n27mj7<6f:p5a6=838pR6503ty:i54?:3y]5`><5ol1=o64}r155}#;?<186*<4g8241=#;1h1?4<4$0c7>1323f;;?7>5$2:a>4d?32wx=h650;0xZ4c?34;n47?j8:pe2<72;qUm:521d:9e2=z{091<789:49~yg26n3:1?7<54z&00c7W=;e;3x=<>i6m10;66l>e983>0<52?q/?9h5fg9'5d2=<:j:0y:=?{h9l21<75f1e294?=ni>0;66g6f;29?l?42900nkh50;494?6|,:2i6<>?;[17a?7|100ve10e4650;&0021b?>950;&010e?7i:18'7=d=:0l07b?m8;29 6>e28h376sm39594?7=83:p(>6m:0`0?j7d<3:1(>6m:0`;?>{ti>0;6?uQa69>bc<69:1v4=50;0xZ<5<5ol1555rs8d94?4|V0l01kh528d8yv7c83:1>vP>d19>bc<4;>1v=838p1kh5969>7=1=9j>0qpl>:182>5<7s-9=:7:4$26e>4633-93n7=62:&2e1<3=<1d===50;&052z\:7>;6m102?6s|2;297~;6m10:h=521d:9=c=:93;;?6*<6786?x{e;l:1<7=52;6x 62a2on0D>6;;I153>"6i=0?985U35g95~?>2tc2?7>5;hc4>5<5<22;0=w)=;f;de?!7f<3>>96T<4d825;h3g4?6=3`k<6=44i8d94?=n1:0;66lif;292?6=8r.84o4>019Y71c=9r326pg>1283>!5?j3;:?65f9683>!5?j33<76g68;29 6>e20207d=<7;29 6>e2:9<76g=9g83>!5?j382j65`1c:94?"40k0:n554}c1;3?6=93:11<7*<8c82f==;27mj777;|q:b?6=:rT2j63if;0:b>{t9m:1<7e983>7}Y9l201kh51c:8yv5103:1>v3if;;4?85??3;h86srb083>4<729q/?;854:&00c<68=1/?5l53808 4g32=?>7b??3;29 6>e28h376s|1d:94?4|V8o370?j8;3f<>{ti>0;6?uQa69>5`>=i>1v4=50;0xZ<5<58o364=4}r094?5|58o360=zuk9j57>53;090~"4188;4Z26f>4}>13wd=h650;9j5a6=831bm:4?::k:b?6=3`386=44bgd94?0=83:p(>6m:023?_53m3;p544ri030>5<#;1h1=<=4;h;4>5<#;1h15:54i8:94?"40k02465f32594?"40k08?:54i3;e>5<#;1h1>4h4;n3at$2:a>4d43f;h87>5$2:a>4d?32wxm:4?:3y]e2=:no0:=>5rs8194?4|V0901kh5999~w<`=838pR4h4=gd96<`52z\2`5=:no08?:5rs0g;>5<5sW;n463if;3a<>{t;?21<75<6290;w)=96;68 62a28:?7)=7b;1:6>"6i=0?985`11194?"40k0:n554}r3f;27:i5463:p6?6=;r7:i54>d19>5`>=1o16=7??3:&023<23twi?nl50;196?2|,:>m6kj4H2:7?M51?2.:m94;549Y71c=9r326pg63;29?lg02900c6?49{%17b?`a3-;j87::5:X00`<6s031qb?j8;29?l7c83:17do8:188m<`=831b5>4?::`eb?6=>3:13:9j=2<72-93n778;:k:=8;:k1=c<72-93n7<6f:9l5g>=83.84o4>b998yg5??3:1=7>50z&0n3:1>vP6f:?eb?4>n2wx=i>50;0xZ4b734lm6>=8;|q2a=<72;qU=h64=gd95g>52z?eb??03493;7?l4:~f4<7280;6=u+37490>"4=838pR7<3s-9?j7hk;I1;0>N4>>1/=l:54478^62b28q2h7sf9283>>of?3:17b?j8;29?g7b03:197<56z&00ce983>>o6l90;66gn7;29?l?a2900e4=50;9abc<72?0;6=u+39`9556n61298m<1=83.84o467:9j==<72-93n777;:k072<72-93n7=<7:9j6<`=83.84o4=9g98k4d?290/?5l51c:8?xd40>0;6<4?:1y'7=d=9k90c3:p=6<72;qU5>52fg8:<>{t1o0;6?uQ9g9>bc<51o1v=838pR4d?3ty8:54?:3y>bc<>?2784:4>c59~yg7=83;1<7>t$245>1=#;=l1==:4$2:a>6?53-;j87::5:m246<72-93n7?m8:9~w4c?2909wS?j8:?2a=<6m11vl950;0xZd1<58o36l94}r;0>5<5sW3870?j8;;0?xu52908w0?j8;3g4>;6m102j63>:020?!51>3?0qpl=9283>6<52=q/?9h5fe9K7=2<@:<<7)?n4;661>\45<5<{8;9yl76;3:1(>6m:030?>o>?3:1(>6m:858?l??290/?5l59998m650290/?5l53258?l4>n3:1(>6m:3;e?>i6j10;6)=7b;3a<>=zj:2<6=4>:183!5?j3;i?6a>c583>!5?j3;i465rs`594?4|Vh=01kh51018yv?42909wS7<;<>d183>7}Y9m:01kh53258yv7b03:1>vP>e99>bc<6j11v>87:1818`a20=01>68:0a7?x{e93:1=7>50z&023<33-9?j7??4:&0521d:9=6=z{;0;6>u21d:95a6<58o364h4=08246=#;?<196srb9c94?5=:3>p(>:i:gf8L6>33A9=;6*>a58710=];=o1=v76:|k:7?6=3`k<6=44o0g;>5<\45;hc4>5<>dan3:1:7>50z&0xo69:0;6)=7b;327>=n1>0;6)=7b;;4?>o>03:1(>6m:8:8?l54?3:1(>6m:214?>o51o0;6)=7b;0:b>=h9k21<7*<8c82f==51;294~"40k0:n>5`1b694?"40k0:n554}rc4>5<5sWk<70hi:030?xu>;3:1>vP63:?eb???3ty2j7>52z\:b>;an382j6s|1e294?4|V8n;70hi:214?xu6m10;6?uQ1d:89c`=9k20q~=98;296~;an33<70=77;3`0>{zj80;6<4?:1y'730=<2.88k4>059'7=d=;080(6m:0`;?>{t9l21<77}Yi>16=h65a69~w<5=838pR4=4=0g;><54b734;n477i;<39555<,:<=685r}c140?6=;3818v*<4g8e`>N40=1C?;94$0c7>1323S9?i7?t988~m<5=831bm:4?::m2a=<722h:i54?:481>3}#;=l1jk5+1`69003n6=831b=i>50;9je2<722c2j7>5;h;0>5<e28:;7W=;e;3x=<=n;:=1<7*<8c8072=5$2:a>4d?32wi?5950;394?6|,:2i64h4}r3g4?6=:rT:h=52fg8072=z{8o36=4={_3f<>;an3;i46s|37:94?4|5ol15:5239595f2:183!51>3>0(>:i:027?!5?j392>6*>a58710=h9991<7*<8c82f==52z\2a==:9l21=h64}rc4>5<5sWk<70?j8;c4?xu>;3:1>vP63:?2a=<>;2wx>7>53z?2a=<6l916=h659g9>5?77;2.8:;4:;|aeg<72:0969u+35d9ba=O;1>0D>88;%3b0?22=2P88h4>{8;9yl?42900el950;9l5`>=831i=h650;796?0|,:>m6kh4$0c7>1323S9?i7?t988~k4c?2900e5$2:a>47432c2;7>5$2:a><1<3`336=4+39`9===5$2:a>7?a32e:n54?:%1;f?7e021vn>68:182>5<7s-93n7?m3:m2g1<72-93n7?m8:9~wd1=838pRl94=gd95454=gd976152z\2a==:no0:n55rs24;>5<5s4lm6494=2:4>4e33twi=7>51;294~"4>?0?7)=;f;330>"40k085?5+1`69003<3ty:i54?:3y]5`><58o36e98b3>{t1:0;6?uQ929>5`>=1:1v?4?:2y>5`>=9m:016?49{%17b?`b3A9386F<669'5d2=<:j:3y:=??c2tc2;7>5;h;e>5<>i6m10;66l>e983>3<729q/?5l51128^62b2;q2577k:|k256<72-93n7?>3:9j=2<72-93n778;:k:=8;:k1=c<72-93n7<6f:9l5g>=83.84o4>b998yg5?03:1=7>50z&04h4}r;4>5<5sW3<70?j8;;4?xu>;3:1>vP63:?2a=<>02wx==:50;0x94c?2:9<70=78;3a<>{zj8?h6=4<:387!53n3lo7E=74:J022=#98?1:6*>a58710=];=o1=v76:|k:7?6=3`k<6=44o0g;>5<\45;hc4>5<>dan3:1:7>50z&0xo69:0;6)=7b;327>=n1>0;6)=7b;;4?>o>03:1(>6m:8:8?l54?3:1(>6m:214?>o51o0;6)=7b;0:b>=h9k21<7*<8c82f==51;294~"40k0:n>5`1b694?"40k0:n554}rc4>5<5sWk<70hi:030?xu>;3:1>vP63:?eb???3ty2j7>52z\:b>;an382j6s|1e294?4|V8n;70hi:214?xu6m10;6?uQ1d:89c`=9k20q~=98;296~;an33<70=77;3`0>{zj80;6<4?:1y'730=<2.88k4>059'7=d=;080(6m:0`;?>{t9l21<77}Yi>16=h65a69~w<5=838pR4=4=0g;><54b734;n477i;<39555<,:<=685r}cgb>5<42;0?w)=;f;dg?M5?<2B8::5+10792>"6i=0?985U35g95~?>2tc2?7>5;hc4>5<5<22;0=w)=;f;de?!7f<3>>96T<4d825;h3g4?6=3`k<6=44i8d94?=n1:0;66lif;292?6=8r.84o4>019Y71c=9r326pg>1283>!5?j3;:?65f9683>!5?j33<76g68;29 6>e20207d=<7;29 6>e2:9<76g=9g83>!5?j382j65`1c:94?"40k0:n554}c1;3?6=93:11<7*<8c82f==;27mj777;|q:b?6=:rT2j63if;0:b>{t9m:1<7e983>7}Y9l201kh51c:8yv5103:1>v3if;;4?85??3;h86srb083>4<729q/?;854:&00c<68=1/?5l53808 4g32=?>7b??3;29 6>e28h376s|1d:94?4|V8o370?j8;3f<>{ti>0;6?uQa69>5`>=i>1v4=50;0xZ<5<58o364=4}r094?5|58o360=zuk;<;7>53;090~"46;5+1`69003n65;n3f55;092~"4a58710=];=o1=v76:|m2a=<722c:h=4?::kb3?6=3`3m6=44i8194?=eno0;6;4?:1y'7=d=99:0V>:j:0y:=?{n9891<7*<8c8256==n110;6)=7b;;;?>o4;>0;6)=7b;103>=n:0l1<7*<8c81=c=<3th84:4?:083>5}#;1h1=o=4o0a7>5<#;1h1=o64;|qb3?6=:rTj;63if;327>{t1:0;6?uQ929>bc<>02wx5k4?:3y]=c=:no095k5rs0f3>5<5sW;o<63if;103>{t9l21<77}:no02;63<8682g1=zuk;1<7?50;2x 6012=1/?9h51168 6>e2:397)?n4;661>i68:0;6)=7b;3a<>=z{8o36=4={_3f<>;6m10:i55rs`594?4|Vh=014643-9=:7;4}|`23=<72:0969u+35d9ba=O;1>0D>88;%321?0<,8k?69;:;[17a?4|1002h7sf9283>>of?3:17b?j8;29?g7b03:197<56z&00c5;hc4>5<>dan3:1:7>50z&05$2:a>47432c2;7>5$2:a><1<3`336=4+39`9===5$2:a>7?a32e:n54?:%1;f?7e021vn>68:182>5<7s-93n7?m3:m2g1<72-93n7?m8:9~wd1=838pRl94=gd95454=gd976152z\2a==:no0:n55rs24;>5<5s4lm6494=2:4>4e33twi=7>51;294~"4>?0?7)=;f;330>"40k085?5+1`69003<3ty:i54?:3y]5`><58o36e98b3>{t1:0;6?uQ929>5`>=1:1v?4?:2y>5`>=9m:012.:m94;549Y71c=:r3264j5}h;0>5<=831b=i>50;9je2<722c2j7>5;h;0>5<e28:;7W=;e;0x=<<>l3wb=<=50;&0?21b554?:%1;f???32c8?:4?:%1;f?54?21b>4h50;&04<729q/?5l51c18k4e3290/?5l51c:8?xuf?3:1>vPn7:?eb?76;2wx5>4?:3y]=6=:no0246s|9g83>7}Y1o16jk4=9g9~w4b72909wS?k0:?eb?54?2wx=h650;0xZ4c?34lm67=;%3b0?22=2e:<>4?:%1;f?7e021ve99~wd1=838pRl94=0g;>d1e98:b>;628:87)=96;78yxd6010;6>4=:5y'71`=nm1C?5:4H244?!76=3<0(0;66a>e983>>d6m10;684=:7y'71`=no1/=l:54478^62b28q257s`1d:94?=n9m:1<75fa683>>o>n3:17d7<:188fc`=83<1<7>t$2:a>4673S9?i7?t988~m474290/?5l51018?l?0290/?5l59698m<>=83.84o468:9j761=83.84o4<3698m7?a290/?5l528d8?j7e03:1(>6m:0`;?>{e;1=1<7?50;2x 6>e28h87b?l4;29 6>e28h376s|a683>7}Yi>16jk4>129~w<5=838pR4=4=gd9===z{0l1<716?5951b68yxd6290:6=4?{%152?2<,:>m6<>;;%1;f?5>:2.:m94;549l555=83.84o4>b998yv7b03:1>vP>e99>5`>=9l20q~o8:181[g034;n47o8;|q:7?6=:rT2?63>e98:7>{t:3:1?v3>e982`5=:9l215k521;337>"4>?0>7psm19;94?5=:3>p(>:i:gf8L6>33A9=;6*>1485?!7f<3>>96T<4d82:i:gd8 4g32=?>7W=;e;3x=<5<>o>;3:17ohi:185>5<7s-93n7??0:X00`<6s031qd?>3;29 6>e28;876g67;29 6>e20=07d77:18'7=d=1110e>=8:18'7=d=;:=07d<6f;29 6>e2;3m76a>b983>!5?j3;i465rb2:4>5<6290;w)=7b;3a7>i6k=0;6)=7b;3a<>=z{h=1<75<5sW3m70hi:3;e?xu6l90;6?uQ1e289c`=;:=0q~?j8;296~X6m116jk4>b99~w60?2909w0hi:85896>028i?7psm1;295?6=8r.8:;4;;%17b?77<2.84o4<939'5d2=<<:18'7=d=9k207p}>e983>7}Y9l201vPn7:?2a=4?:3y]=6=:9l215>5rs383>6}:9l21=i>4=0g;><`<580:<>5+37491>{zj82j6=4<:387!53n3lo7E=74:J022=#98?1:6*>a58710=];=o1=v76:|k:7?6=3`k<6=44o0g;>5<\45;hc4>5<>dan3:1:7>50z&0xo69:0;6)=7b;327>=n1>0;6)=7b;;4?>o>03:1(>6m:8:8?l54?3:1(>6m:214?>o51o0;6)=7b;0:b>=h9k21<7*<8c82f==51;294~"40k0:n>5`1b694?"40k0:n554}rc4>5<5sWk<70hi:030?xu>;3:1>vP63:?eb???3ty2j7>52z\:b>;an382j6s|1e294?4|V8n;70hi:214?xu6m10;6?uQ1d:89c`=9k20q~=98;296~;an33<70=77;3`0>{zj80;6<4?:1y'730=<2.88k4>059'7=d=;080(6m:0`;?>{t9l21<77}Yi>16=h65a69~w<5=838pR4=4=0g;><54b734;n477i;<39555<,:<=685r}c3;f?6=;3818v*<4g8e`>N40=1C?;94$036>3=#9h>188;4Z26f>7}>133o6pg63;29?lg02900c6?49{%17b?`a3-;j87::5:X00`<5s0315i4ro0g;>5<=n;:=1<7*<8c8072=5$2:a>4d?32wi?5950;394?6|,:2i64h4}r3g4?6=:rT:h=52fg8072=z{8o36=4={_3f<>;an3;i46s|37:94?4|5ol15:5239595f2:183!51>3>0(>:i:027?!5?j392>6*>a58710=h9991<7*<8c82f==52z\2a==:9l21=h64}rc4>5<5sWk<70?j8;c4?xu>;3:1>vP63:?2a=<>;2wx>7>53z?2a=<6l916=h659g9>5?77;2.8:;4:;|a5<6=8391>7:t$26e>cb<@:2?7E=97:&250<03-;j87::5:X00`<5s0315i4ri8194?=ni>0;66a>e983>>d6m10;684=:7y'71`=no1/=l:54478^62b2;q2577k:|m2a=<722c:h=4?::kb3?6=3`3m6=44i8194?=eno0;6;4?:1y'7=d=99:0V>:j:3y:=??c2tc:=>4?:%1;f?76;21b5:4?:%1;f??032c247>5$2:a><><3`98;7>5$2:a>65032c95k4?:%1;f?4>n21d=o650;&0b29l5f2=83.84o4>b998yvg02909wSo8;4743ty2?7>52z\:7>;an3337p}6f;296~X>n27mj7<6f:p5a6=838pR6503ty:i54?:3y]5`><5ol1=o64}r155}#;?<186*<4g8241=#;1h1?4<4$0c7>1323f;;?7>5$2:a>4d?32wx=h650;0xZ4c?34;n47?j8:pe2<72;qUm:521d:9e2=z{091<789:49~ygb529086<4<{I153>"4>oe83:17b=<0;29?g5>83:1?7>50z&00c<40:1C?5:4H244?!5?j393>6*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wxmk4?:3y]ec=:;0:1==94}r`3>5<5sWh;70=60;332>{t;::1<783;i=6srbe394?5=939pD>88;%17b?7f?2cjj7>5;h`3>5<5<4290;w)=;f;1;7>N40=1C?;94$2:a>6>53-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xufn3:1>vPnf:?0=5<68>1vo>50;0xZg6<5:3;6<>9;|q075<72;qU?>>4=2;3>4d63twih=4?:282>6}O;?=0(>:i:0c4?lga2900eo>50;9l766=831i?4>50;194?6|,:>m6>6<;I1;0>N4>>1/?5l53908 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65`1c394?"40k0:n554}rce>5<5sWkm70=60;333>{tj90;6?uQb19>7<6=99<0q~=<0;296~X4;916?4>51c38yxddn3:1?7?53zJ022=#;=l1=l94i`d94?=nj90;66a<3183>>d4190;6>4?:1y'71`=;190D>6;;I153>"40k084?5+1`690035$2:a>47432e:n<4?:%1;f?7e021vlh50;0xZd`<5:3;6<>8;|qa4?6=:rTi<63<918243=z{:9;6=4={_104>;4190:n<5r}c0:6?6=;3:1N40=1C?;94$2:a>66<,8k?69;:;h332?6=,:2i63:9l5g7=83.84o4>b998yg1029086=4?{%17b?563A9386F<669'7=d=;91/=l:54478m461290/?5l51018?l77?3:1(>6m:030?>i6j80;6)=7b;3a<>=zjhk1<7=50;2x 62a2:;0D>6;;I153>"40k08<6*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wi?nk50;194?6|,:>m6N4>>1/?5l51c68 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65`1c394?"40k0:n554}c1f2?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3th8i:4?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207pl;2483>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e<;<1<7=50;2x 62a2:;0D>6;;I153>"40k08<6*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wimh4?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a721=8381<7>t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn5h50;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f7?129096=4?{%17b?76>2B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo=j9;296?6=8r.88k4>179K7=2<@:<<7)=7b;;3?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n55G39c8?xd3:10;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm42694?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zjjn1<7<50;2x 62a28;=7E=74:J022=#;1h15=5+1`690036=4+39`9545<3f;i=7>5$2:a>4d?3A93m65rbbg94?5=83:p(>:i:238L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i682.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wiol4?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207pll5;296?6=8r.88k4>179K7=2<@:<<7)=7b;;3?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n55G39c8?xdd>3:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}ca1>5<4290;w)=;f;12?M5?<2B8::5+39`975=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i6t$26e>67<@:2?7E=97:&01298m460290/?5l51018?j7e93:1(>6m:0`;?>{el?0;6>4?:1y'71`=;81C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3tho47>53;294~"4>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21d=o?50;&05<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg>d29096=4?{%17b?76>2B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo<6:186>5<7s-9?j7?m7:J0<1=O;?=0(>6m:0`7?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54i02;>5<#;1h1=<=4;h33=?6=,:2i6t$26e>67<@:2?7E=97:&01298m460290/?5l51018?j7e93:1(>6m:0`;?>{e:k0;6>4?:1y'71`=9k?0D>6;;I153>"40k0:n95+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?m50;194?6|,:>m6N4>>1/?5l51c68 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65`1c394?"40k0:n554}c3:6?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3th:5>4?:283>5}#;=l1=o;4H2:7?M51?2.84o4>b59'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb0;7>5<4290;w)=;f;12?M5?<2B8::5+39`975=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i6e28h?7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th:4i4?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207pl>8d83>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e91l1<7=50;2x 62a2:;0D>6;;I153>"40k08<6*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wi=:h50;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f4>729096=4?{%17b?76>2B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo?71;296?6=8r.88k4>179K7=2<@:<<7)=7b;;3?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n55G39c8?xd60;0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm19194?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj82?6=4::183!53n3987E=74:J022=#;1h1?=5+1`690035$2:a>47432c:<54?:%1;f?76;21b==750;&0:18'7=d=9k207pl>8483>6<729q/?9h51c78L6>33A9=;6*<8c82f1=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i6129086=4?{%17b?563A9386F<669'7=d=;91/=l:54478m461290/?5l51018?l77?3:1(>6m:030?>i6j80;6)=7b;3a<>=zj82<6=4<:183!53n3;i96F<859K731<,:2i6:18'7=d=9k207pl>7`83>6<729q/?9h5309K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`23g<72<0;6=u+35d95g1<@:2?7E=97:&06m:030?>o6810;6)=7b;327>=n9931<7*<8c8256=<3th:;n4?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207pl7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e;k:1<7<50;2x 62a28;=7E=74:J022=#;1h15=5+1`690036=4+39`9545<3f;i=7>5$2:a>4d?3A93m65rb2`2>5<5290;w)=;f;322>N40=1C?;94$2:a><6<,8k?69;:;h331?6=,:2i67>52;294~"482.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi?o:50;494?6|,:>m6>:4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907d??8;29 6>e28;876g>0883>!5?j3;:?65f11c94?"40k0:=>54o0`2>5<#;1h1=o64;|`fg?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3thnh7>52;294~"40D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3thnj7>52;294~"40D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3thm=7>57;294~"4>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21b==650;&06:18'7=d=98907d??a;29 6>e28;876g>0c83>!5?j3;:?65`1c394?"40k0:n554}c674?6==3:1N40=1C?;94$2:a>66<,8k?69;:;h332?6=,:2i63:9j55>=83.84o4>1298m46>290/?5l51018?j7e93:1(>6m:0`;?>{e<=;1<7=50;2x 62a2:;0D>6;;I153>"40k08<6*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wi89<50;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f12429096=4?{%17b?76>2B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo:;4;297?6=8r.88k4<1:J0<1=O;?=0(>6m:228 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65`1c394?"40k0:n554}c671?6=;3:1N40=1C?;94$2:a>66<,8k?69;:;h332?6=,:2i63:9l5g7=83.84o4>b998yg23>3:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==52;294~"482.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi?i750;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f6bf29096=4?{%17b?76>2B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo=kb;296?6=8r.88k4>179K7=2<@:<<7)=7b;;3?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n55G39c8?xd4lj0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm3ef94?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj:nn6=48:183!53n39>7E=74:J022=#;1h1?=5+1`690035$2:a>47432c:<54?:%1;f?76;21b==750;&0n:18'7=d=98907d??b;29 6>e28;876a>b083>!5?j3;i465rb2fe>5<4290;w)=;f;3a1>N40=1C?;94$2:a>4d33-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd3;?0;6:4?:1y'71`=;<1C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=5$2:a>47432c::18'7=d=9k207pl;3683>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e<:21<7<50;2x 62a28;=7E=74:J022=#;1h15=5+1`690036=4+39`9545<3f;i=7>5$2:a>4d?3A93m65rb51:>5<5290;w)=;f;322>N40=1C?;94$2:a><6<,8k?69;:;h331?6=,:2i68m7>52;294~"482.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi8>m50;694?6|,:>m6><4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907d??8;29 6>e28;876a>b083>!5?j3;i465rb51g>5<4290;w)=;f;3a1>N40=1C?;94$2:a>4d33-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd3;l0;694?:1y'71`=;;1C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=5$2:a>4d?32wi?hl50;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f6cd29096=4?{%17b?76>2B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo=jd;296?6=8r.88k4>179K7=2<@:<<7)=7b;;3?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n55G39c8?xd4ml0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm3dd94?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj:l;6=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn>h>:180>5<7s-9?j7=>;I1;0>N4>>1/?5l5319'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb2d1>5<4290;w)=;f;3a1>N40=1C?;94$2:a>4d33-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd4n:0;694?:1y'71`=;;1C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=5$2:a>4d?32wi=?750;194?6|,:>m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm13c94?3=83:p(>:i:0`4?M5?<2B8::5+39`95g2<,8k?69;:;h332?6=,:2i63:9j55>=83.84o4>1298m46>290/?5l51018?j7e93:1(>6m:0`;?>{e9;h1<7=50;2x 62a2:;0D>6;;I153>"40k08<6*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wihl4?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a``<72=0;6=u+35d977=O;1>0D>88;%1;f?573-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298m46?290/?5l51018?j7e93:1(>6m:0`;?>{elo0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sme183>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{em80;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sme383>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{em:0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sme583>6<729q/?9h5309K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`f1?6=<3:1N40=1C?;94$2:a>66<,8k?69;:;h332?6=,:2i63:9j55>=83.84o4>1298k4d6290/?5l51c:8?xdcj3:1?7>50z&00c<6j<1C?5:4H244?!5?j3;i86*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wihn4?:583>5}#;=l1??5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&07:18'7=d=98907b?m1;29 6>e28h376smde83>6<729q/?9h51c78L6>33A9=;6*<8c82f1=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i6t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vnoo50;794?6|,:>m6N4>>1/?5l51c68 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65f11:94?"40k0:=>54i02:>5<#;1h1=<=4;n3a5?6=,:2i682.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32winn4?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207plmd;297?6=8r.88k4<1:J0<1=O;?=0(>6m:228 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65`1c394?"40k0:n554}c`f>5<4290;w)=;f;3a1>N40=1C?;94$2:a>4d33-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd69h0;684?:1y'71`=9k=0D>6;;I153>"40k0:n95+1`690035$2:a>47432c:<54?:%1;f?76;21b==750;&0:18'7=d=9k207pl>1d83>6<729q/?9h5309K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`25c<72;0;6=u+35d9540<@:2?7E=97:&082.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi=?>50;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f44629096=4?{%17b?76>2B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo?=2;296?6=8r.88k4>179K7=2<@:<<7)=7b;;3?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n55G39c8?xd6::0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm13694?3=83:p(>:i:218L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;h333:9l5g7=83.84o4>b998yg75=3:1?7>50z&00c<6j<1C?5:4H244?!5?j3;i86*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wi=m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm10a94?5=83:p(>:i:0`6?M5?<2B8::5+39`95g2<,8k?69;:;h332?6=,:2i63:9l5g7=83.84o4>b998yg76l3:1?7>50z&00c<6j<1C?5:4H244?!5?j3;i86*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wx>84?:3y]60=::<0:i55rs3:94?4|5;?1m:522b82f4=z{;31<75<5s48j6;<0a>4603ty9n7>52z?1f?7e9279o7??7:p34<72b09>52`=99?01<9m:025?875i3;;:63>1g8240=z{1k1<7<5>=1==94}r:a>5<5<5:o;64=4=2aa><5<5:k264=4=257><5<5;3864=4=07`><5<5hh15>528b82f4=z{1n1<7<5>=1==84}r:f>5<3s42o6l94=9c9e2=:9;=1m:528g82f4=z{0>1<7?n{_;7?824833870l8:8189fd=1:16o:463:?`7??434hm64=4=2f7><5<5;?15>5210:9=6=:n002?63=958:7>;?l33870=85;;0?8gd20901<<8:8189`g=1:16=:95929>52>=1:16=:j5929>5=>=1:16=575929>5=g=1:16=5l5929>5<6=1:164n4>049~w<3=832pR4;4=gc9553<58386<>9;<3;a?77=27:4>4>049>52g=99=01<<6:024?875;3;;96s|a883>0}:ih0:n<521929553<58=i6<>8;<31e?77?27:>=4>049~wdd=838p1ll51d:89dg=99=0q~ol:1818gd28o370on:025?xufl3:1?v3nc;c4?8ge2h=01lk51c38yvd62909wSl>;d14c?34im6lh4=e69551<5li1==;4=563>46>34>8:7??6:?77=<68<16hh4>079>a5<68<16no4>049~wg>=838p1o95a69>f`<6j81vo750;0x9g?=9k;01om51158yvdf2909w0ln:0`2?8dc28:=7p}mb;296~;ej3;i=63md;333>{tjj0;6?u2bb82f4=:jl0:<;5rscf94?4|5kn1=o?4=cg95514c?34im6o>4=e69550<5lo1==;4=562>46134>8:7??7:?772<68<16hh4>069>`c<68<16nl4>079~wf6=838p1oh5a69>g7<6j81vn?50;0x9f7=9k;01n<51158yvd32902w0m<:0g;?8b72k:01i8511489c6=99?019:>:024?824>3;;463;388240=:m:0:<852b`8242=z{j>1<76=47{4c?34n:6o>4=e:9550<5ll1==;4=561>46234>8n7??5:?f6?77=27im7??8:pg=<72;q6o:4n7:?`e?7e92wxo44?:3y>g<<6j816ol4>069~wg0=832p1nl51d:89a4=j916j<4>069>015=99?019=n:026?8bb28:370k>:026?8df28:27p}lc;296~;dj3k<70mj:0`2?xudl3:1>v3ld;3a5>;dm3;;;6s|d283>6}:l90jj63k4;3a5>;c>3;;;6s|d483>6}:l80jj63k6;3a5>;c03;;;6s|d683>7}:l;0jj63k8;3a5>{tl00;68u2ce8240=:k00:<852c48240=:k80:<852de82f4=z{mk1<71==94}rfa>5<5s4ni6;4603tyoo7>52z?gg?7e927oh7??7:p``<72;q6hh4>b09>`g<68?1vih50;0x9a`=9k;01h;51158yvc72909w0k?:0`2?8c228:=7p}j1;296~;b93;i=63j5;33<>{tm;0;6?u2e382f4=:lj0:<;5rsd194?4|5l91=o?4=ea955>4d634no6<>9;|qf1?6=:r7n97?m1:?gf?77?2wxi;4?:3y>gc<4;916o?4>079~w`1=838p1i>532289f0=99<0q~k7:1818b62:9;70mn:025?xub13:1>v3k2;104>;dm3;;:6s|e`83>0}:mh0:i5521939553<58=i6<>7;<31e?77027:><4>049~w`d=838p1ho5a69>b4<6j81vhm50;0x9`e=9k;01k?51148yvcc2909w0kk:0`2?8`628:37p}je;296~;bm3;i=63i1;33e>{tmo0;6?u2eg82f4=:n80:<45rsg294?4|5o:1=o?4=g3955dd1<5o21=h64=ec9553<5k31==;4}rd:>5<4s4l2646134hh6<>9;|qef?6=:r7m477<;4d63ty:=54?:5y]54><58;3627??;4>089~w47>2909w0?>8;c4?876l3;i=6s|10c94?4|58;j6;<32`?77>2wx=c;333>{t98i1<74d634;:h7??7:p54c=838p1;<310?77>2wx=?>50;0x944728h:70?=4;333>{t9;;1<74d634;987??8:p574=838p1<<=:0`2?875<3;;56s|13194?4|58886;<311?77?2wx=?:50;0x944328h:70?=5;332>{t9;?1<74d634;:n7??7:p571=838pR<<8;<313?7b02wx=?650;0x944020=01<9;|q26d<72;q6=?o51c38944e28:<7p}>4`83>7}Y9=k01>k8:025?xu6=90;6?uQ1428943d2h=0q~?:c;291~;6=j0:i5522`8242=:9081==;4=0:g>46134;:i7??6:p521=838p1<98:0g;?87>=3;;:6s|16:94?5|58=<6l94=05;>4c?349on7??5:p52?=838p1<97:`58941d28h:7p}>7`83>7}:9>k1=o?4=05`>4613ty:;o4?:3y>52d=9k;01<9l:024?xu6?m0;6lu216f95`><5:in6<>9;<0:>46>34;3:7??6:?23d<68?16?o<5117896b>28:>70=jf;331>;6:00:<;5210`955052z?23ab09~w41a2909w0?8f;3a5>;60=0:<;5rs0:3>5<5s4;3<7?m1:?2<1<68>1v<6>:18187?93;i=63>85824==z{8296=4={<3;6?7e927:494>089~w4>42909w0?73;3a5>;60<0:<:5rs0:7>5<5s4;387?m1:?2<0<68?1v<6::18187?=3;i=63>878242=z{82=6=4={<3;2?7e927:4:4>069~w4>?2903w0?78;3f<>;4kl0:<:522c8243=:91=1==84=2`2>462349o;7??5:?0a`<68<16=898b3>;6000:i55228824==:;hl1==;4=2f;>462349nh7??5:?25d<6811v<6n:18487?13k<70?7a;3f<>;513;;:6346234;:m7??6:p5=d=83=p1<6n:`5894>e28o370<6:024?85e;3;;9634603ty:4n4?:3y>5=d=i>16=5h51c38yv7?l3:1>v3>8e82f4=:91l1==84}r3;a?6=:r7:4h4>b09>5=`=99=0q~?60;291~;6190:i5522`8243=:90>1==84=0:g>46034;:i7??7:p5<7=838p1<7?:`5894?228h:7p}>9383>7}:9081=o?4=0;0>4603ty:5>4?:3y>5<5=9k;01<7;:024?xu61=0;6?u218695g7<583>6<>8;|q2e4<72;qU=l?4=505>4613ty:m?4?:2y]5d4<5:no6<>:;<1e6?77>2wx>4?50;7x97?528h:70?72;331>;6?k0:<45213c955?<58896<>:;|q1=6<72;q6>4=51d:897?528:<7p}=9583>7}::0>1=h64=3;1>4613ty9584?:2y>6<2=i>16>4=5a69>6<0=9k;0q~=84;297~;4?=0:i552452955><5=9=6<>n;|q030<72:q6?:;51d:8912728:<70:<6;33f>{t;><1<7=t=256>d1<5:=?6l94=254>4d63ty8m44?:3y]7d?<5:k267g2=9k;0q~=nf;296~;4io0:n<523c6955152z?0f5<6j816?o:51148yv5e93:1>v31==64}r1a6?6=:r78n?4>b09>7g2=9930q~=m3;296~;4j:0:n<523c6955g54z\0f0=:;1?1===4=513><`<588<64h4}r1a3?6=:rT8n:528g8240=z{:i86=4={_1`7>;fm3;;96s|3b`94?4|V:ii70=lb;3f<>{t;jn1<7d1<5:in6;|q0`1<72;qU?i:4=2f7>4c?3ty8h;4?:3y>7a2=i>16?ih51c38yv5c?3:1>v3b09>7ac=99<0q~=k9;296~;4l00:n<523eg955>52z?0`d<6j816?ik511c8yv5cj3:1>v3b09>7ac=99h0q~=kd;296~;4lm0:n<523ed955152z?0``<6j816?ih51148yv5b83:1>vP7`6=9l20q~=j5;296~;4m>0:n<523d;955352z?0a3<6j816?h951158yv5b03:1>v3;4m00:n<5rs2gb>5<4s49n:7??5:?760<68<16?k=51c38yv5bj3:1>v3b09>7c6=99=0q~=jd;296~;4mm0:n<523g1955052z?0a`<6j816?k?51148yv5bn3:1>v3b09>7c4=99=0q~=i1;296~;4n80:n<523g1955>7>52z?0b7<6j816?k=51158yv26?3:1>vP;169>6<0=99?0q~:>f;296~X39o168v3;2782f4=:<;21==;4}r611?6=:r7?>84>b09>070=99=0q~:=7;296~;39o0j;63;2982f4=z{=9;6=4<{_604>;3;90:i552ee8240=z{=986=4={<604?g034>887?m1:p063=838p19=?:858915b28h:7p};3783>7}:<:<1=o?4=51g>4613ty??:4?:3y>061=9k;019=l:024?xu3;10;6?u242:95g7<5=9h6<>9;|q77<<72;q68>751c38915d28:37p};3`83>7}:<:k1=o?4=51f>4613ty??o4?:3y>06d=9k;019=j:02;?xu3;j0;6?u242a95g7<5=9o6<>8;|q77a<72;q68>j51c38915b28:<7p};3g83>7}:<:>1==;4=565>4d63ty?8=4?:3y>016=9k;019:9:025?xu3<80;6?u245395g7<5=>>6<>9;|q707<72;q689<51c38912328:=7p};4283>7}:<=91=o?4=567>4603ty?894?:3y>012=9k;019:::024?xu3<<0;6?u245795g7<5=>=6<>8;|q702<72;qU8994=254>4623twi?4950;597d<4lrB8::5+35d9e5=#9h91?974Z26f>4}>j3wd??l50;9j6`e=831d??750;9jbf<722e89=4?::m01f<722c2:7>5;c1;1?6=93:10D>88;%1;f?5>:2.:m94;549l555=83.84o4>b998yg5283:197<56z&00c7W=;e;3x=g>o>;3:17do8:188k4c?2900n5<7s-93n7??0:X00`<6s0h1qd?>3;29 6>e28;876g67;29 6>e20=07d77:18'7=d=1110e>=8:18'7=d=;:=07d<6f;29 6>e2;3m76a>b983>!5?j3;i465rb2:;>5<6290;w)=7b;1:6>i6j10;6)=7b;3a<>=z{8o36=4={_3f<>;6m10:n55rs`594?4|Vh=01n3:1>vP6f:?2a=<51o1v4950;0xZ<1<58o36494}r;0>5<5sW3870?j8;;;?xu68=0;6?u21d:9761<5:23678t$26e>cc<@:2?7E=97:&2e1<3=<1Q?9k51z;a>xo>?3:17d7i:188m<5=831bm:4?::m2a=<722h:i54?:783>5}#;1h1==>4Z26f>4}>j3wb=<=50;&0?21b554?:%1;f???32c8?:4?:%1;f?54?21b>4h50;&04<729q/?5l53808k4d?290/?5l51c:8?xu6m10;6?uQ1d:894c?28h37p}n7;296~Xf?27:i54>129~w<`=838pR4h4=0g;>7?a3ty2;7>52z\:3>;6m102;6s|9283>7}Y1:16=h65999~w4632909w0?j8;103>;4010:n55r}c12=?6==381:v*<4g8ea>N40=1C?;94$036>3=#9h>188;4Z26f>4}>j3wb5:4?::k:b?6=3`386=44i`594?=h9l21<75m1d:94?0=83:p(>6m:023?_53m3;p5o4ri030>5<#;1h1=<=4;h;4>5<#;1h15:54i8:94?"40k02465f32594?"40k08?:54i3;e>5<#;1h1>4h4;n3a=83;1<7>t$2:a>6?53f;i47>5$2:a>4d?32wx=h650;0xZ4c?34;n47?m8:pe2<72;qUm:521d:95457}Y1>16=h65969~w<5=838pR4=4=0g;><>52z?2a=<4;>16?5651c:8yxd4=j0;684=:7y'71`=nl1C?5:4H244?!7f<3>>96T<4d82019Y71c=9r3i6pg>1283>!5?j3;:?65f9683>!5?j33<76g68;29 6>e20207d=<7;29 6>e2:9<76g=9g83>!5?j382j65`1c:94?"40k0:n554}c1;52z\2a==:9l21=o64}rc4>5<5sWk<70?j8;327>{t1o0;6?uQ9g9>5`>=:0l0q~78:181[?034;n4778;|q:7?6=:rT2?63>e98:<>{t99>1<7650349347?m8:~f7`229086?4;{%17b?`c3A9386F<669'543=>2.:m94;549Y71c=9r3i6pg63;29?lg02900c6?49{%17b?`a3-;j87::5:X00`<6s0h1qb?j8;29?l7c83:17do8:188m<`=831b5>4?::`eb?6=>3:13:9j=2<72-93n778;:k:=8;:k1=c<72-93n7<6f:9l5g>=83.84o4>b998yg5??3:1=7>50z&0n3:1>vP6f:?eb?4>n2wx=i>50;0xZ4b734lm6>=8;|q2a=<72;qU=h64=gd95g>52z?eb??03493;7?l4:~f4<7280;6=u+37490>"4=838pR:j:0y:f?{n1:0;66gn7;29?j7b03:17o?j8;291?4=>r.88k4if:&2e1<3=<1Q?9k51z;a>xi6m10;66g>d183>>of?3:17d7i:188m<5=831ijk4?:783>5}#;1h1==>4Z26f>4}>j3wb=<=50;&0?21b554?:%1;f???32c8?:4?:%1;f?54?21b>4h50;&04<729q/?5l51c18k4e3290/?5l51c:8?xuf?3:1>vPn7:?eb?76;2wx5>4?:3y]=6=:no0246s|9g83>7}Y1o16jk4=9g9~w4b72909wS?k0:?eb?54?2wx=h650;0xZ4c?34lm67=;%3b0?22=2e:<>4?:%1;f?7e021ve99~wd1=838pRl94=0g;>d1e98:b>;628:87)=96;78yxd5n>0;6>4=:5y'71`=nm1C?5:4H244?!76=3<0(0;66a>e983>>d6m10;684=:7y'71`=no1/=l:54478^62b28q2n7s`1d:94?=n9m:1<75fa683>>o>n3:17d7<:188fc`=83<1<7>t$2:a>4673S9?i7?t9c8~m474290/?5l51018?l?0290/?5l59698m<>=83.84o468:9j761=83.84o4<3698m7?a290/?5l528d8?j7e03:1(>6m:0`;?>{e;1=1<7?50;2x 6>e28h87b?l4;29 6>e28h376s|a683>7}Yi>16jk4>129~w<5=838pR4=4=gd9===z{0l1<716?5951b68yxd6290:6=4?{%152?2<,:>m6<>;;%1;f?5>:2.:m94;549l555=83.84o4>b998yv7b03:1>vP>e99>5`>=9l20q~o8:181[g034;n47o8;|q:7?6=:rT2?63>e98:7>{t:3:1?v3>e982`5=:9l215k521;337>"4>?0>7psm2g:94?5=:3>p(>:i:gf8L6>33A9=;6*>1485?!7f<3>>96T<4d82:i:gd8 4g32=?>7W=;e;3x=g5<>o>;3:17ohi:185>5<7s-93n7??0:X00`<6s0h1qd?>3;29 6>e28;876g67;29 6>e20=07d77:18'7=d=1110e>=8:18'7=d=;:=07d<6f;29 6>e2;3m76a>b983>!5?j3;i465rb2:4>5<6290;w)=7b;3a7>i6k=0;6)=7b;3a<>=z{h=1<75<5sW3m70hi:3;e?xu6l90;6?uQ1e289c`=;:=0q~?j8;296~X6m116jk4>b99~w60?2909w0hi:85896>028i?7psm1;295?6=8r.8:;4;;%17b?77<2.84o4<939'5d2=<<:18'7=d=9k207p}>e983>7}Y9l201vPn7:?2a=4?:3y]=6=:9l215>5rs383>6}:9l21=i>4=0g;><`<580:<>5+37491>{zj;l26=4<:387!53n3lo7E=74:J022=#98?1:6*>a58710=];=o1=v7m:|k:7?6=3`k<6=44o0g;>5<\45;hc4>5<>dan3:1:7>50z&0xo69:0;6)=7b;327>=n1>0;6)=7b;;4?>o>03:1(>6m:8:8?l54?3:1(>6m:214?>o51o0;6)=7b;0:b>=h9k21<7*<8c82f==51;294~"40k0:n>5`1b694?"40k0:n554}rc4>5<5sWk<70hi:030?xu>;3:1>vP63:?eb???3ty2j7>52z\:b>;an382j6s|1e294?4|V8n;70hi:214?xu6m10;6?uQ1d:89c`=9k20q~=98;296~;an33<70=77;3`0>{zj80;6<4?:1y'730=<2.88k4>059'7=d=;080(6m:0`;?>{t9l21<77}Yi>16=h65a69~w<5=838pR4=4=0g;><54b734;n477i;<39555<,:<=685r}c0ee?6=;3818v*<4g8e`>N40=1C?;94$036>3=#9h>188;4Z26f>4}>j3wb5>4?::kb3?6=3f;n47>5;c3f"6i=0?985U35g95~?e2te:i54?::k2`5<722cj;7>5;h;e>5<3<729q/?5l51128^62b28q2n7sf10194?"40k0:=>54i8594?"40k02;65f9983>!5?j33376g<3683>!5?j398;65f28d94?"40k095k54o0`;>5<#;1h1=o64;|`0<2<7280;6=u+39`95g5<3tyj;7>52z\b3>;an3;:?6s|9283>7}Y1:16jk468:p=c<72;qU5k52fg81=c=z{8n;6=4={_3g4>;an398;6s|1d:94?4|V8o370hi:0`;?xu4>10;6?u2fg8:3>;40>0:o95r}c394?7=83:p(>89:59'71`=99>0(>6m:2;1?!7f<3>>96a>0283>!5?j3;i465rs0g;>5<5sW;n463>e982a==z{h=1<75<4s4;n47?k0:?2a=<>n27:6<><;%152?31}#;=l1ji5G3968L6003-;:9784$0c7>1323S9?i7?t9c8~m<5=831bm:4?::m2a=<722h:i54?:481>3}#;=l1jk5+1`69003n6=831b=i>50;9je2<722c2j7>5;h;0>5<e28:;7W=;e;3x=g=n;:=1<7*<8c8072=5$2:a>4d?32wi?5950;394?6|,:2i64h4}r3g4?6=:rT:h=52fg8072=z{8o36=4={_3f<>;an3;i46s|37:94?4|5ol15:5239595f2:183!51>3>0(>:i:027?!5?j392>6*>a58710=h9991<7*<8c82f==52z\2a==:9l21=h64}rc4>5<5sWk<70?j8;c4?xu>;3:1>vP63:?2a=<>;2wx>7>53z?2a=<6l916=h659g9>5?77;2.8:;4:;|a6ce=8391>7:t$26e>cb<@:2?7E=97:&250<13-;j87::5:X00`<6s0h1qd7<:188md1=831d=h650;9a5`>=83?1>78t$26e>c`<,8k?69;:;[17a?7|1k0vc5;cde>5<1290;w)=7b;334>\45<#;1h15554i214>5<#;1h1?>94;h0:b?6=,:2i6?7i;:m2f=<72-93n7?m8:9~f6>0290:6=4?{%1;f?7e;2e:o94?:%1;f?7e021vl950;0xZd1<5ol1=<=4}r;0>5<5sW3870hi:8:8yv?a2909wS7i;7?a3ty:h=4?:3y]5a6<5ol1?>94}r3f<1<5:2<6188;4o020>5<#;1h1=o64;|q2a=<72;qU=h64=0g;>4c?3tyj;7>52z\b3>;6m10j;6s|9283>7}Y1:16=h65929~w7<72:q6=h651e2894c?20l01<4>029'730==2wvn>><:180>4<4sA9=;6*<4g82e2=nio0;66gm0;29?j5483:17o=60;297?6=8r.88k4<829K7=2<@:<<7)=7b;1;6>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i683;;:6s|32294?4|V:9;70=60;3a5>{zj::96=4<:080M51?2.88k4>a69jec<722ci<7>5;n104?6=3k92<7>53;294~"45G3968L6003-93n7=72:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376s|ag83>7}Yio16?4>51158yvd72909wSl?;<1:4?77>2wx?>>50;0xZ6573492<7?m1:~f66629086<4<{I153>"4>oe83:17b=<0;29?g5>83:1?7>50z&00c<40:1C?5:4H244?!5?j393>6*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wxmk4?:3y]ec=:;0:1==94}r`3>5<5sWh;70=60;332>{t;::1<783;i=6srb223>5<42808wE=97:&00c<6i>1bmk4?::ka4?6=3f98<7>5;c1:4?6=;3:10D>88;%1;f?5?:2.:m94;549j550=83.84o4>1298m460290/?5l51018?j7e93:1(>6m:0`;?>{tio0;6?uQag9>7<6=99=0q~l?:181[d73492<7??6:p766=838pR>=?;<1:4?7e92wvn?hi:180>4<4sA9=;6*<4g82e2=nio0;66gm0;29?j5483:17o=60;297?6=8r.88k4<829K7=2<@:<<7)=7b;1;6>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i683;;:6s|32294?4|V:9;70=60;3a5>{zj;ln6=4<:080M51?2.88k4>a69jec<722ci<7>5;n104?6=3k92<7>53;294~"45G3968L6003-93n7=72:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376s|ag83>7}Yio16?4>51158yvd72909wSl?;<1:4?77>2wx?>>50;0xZ6573492<7?m1:~f7`c29086<4<{I153>"4>oe83:17b=<0;29?g5>83:1?7>50z&00c<40:1C?5:4H244?!5?j393>6*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wxmk4?:3y]ec=:;0:1==94}r`3>5<5sWh;70=60;332>{t;::1<783;i=6srb20b>5<5290;w)=;f;322>N40=1C?;94$2:a><6<,8k?69;:;h331?6=,:2i6j7>52;294~"46m:030?>i6j80;6)=7b;3a<>=zj:;o6=4<:183!53n3;i96F<859K731<,:2i6:18'7=d=9k207pl<1c83>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e;9<1<7=50;2x 62a2:;0D>6;;I153>"40k08<6*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wi?=650;194?6|,:>m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm31c94?5=83:p(>:i:238L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>67<@:2?7E=97:&01298m460290/?5l51018?j7e93:1(>6m:0`;?>{e;9o1<7=50;2x 62a2:;0D>6;;I153>"40k08<6*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wi?<>50;194?6|,:>m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm31694?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj:8h6=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg55m3:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==53;294~"4>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21d=o?50;&0f;296?6=8r.88k4>179K7=2<@:<<7)=7b;;3?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n55G39c8?xd4:90;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm33394?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj:896=4=:183!53n3;::6F<859K731<,:2i64>4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}c117?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3th8>94?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a773=8381<7>t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn><9:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg55?3:157>50z&00c<4?2B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=n9921<7*<8c8256=5$2:a>47432c:k:18'7=d=98907b?m1;29 6>e28h376sm34094?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj:??6=4=:183!53n3;::6F<859K731<,:2i64>4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}c161?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3th89;4?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a701=8381<7>t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn>;7:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg5213:1>7>50z&00c<69?1C?5:4H244?!5?j33;7)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==O;1k07pl<5`83>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e;6;;I153>"40k08<6*>a58710=n99<1<7*<8c8256=5$2:a>47432c:<44?:%1;f?76;21b==o50;&0m:18'7=d=98907d??c;29 6>e28;876a>b083>!5?j3;i465rb270>5<4290;w)=;f;3a1>N40=1C?;94$2:a>4d33-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd4>;0;6>4?:1y'71`=;81C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th8:>4?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a732=8391<7>t$26e>4d23A9386F<669'7=d=9k>0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==;4=h0:<8523719553<`<5:;264h4=27`><`52z\1af=:;8n1==84}r0f`?6=>r79j84>e99>6cb=io16?=851158966328:>70=>f;331>;4=;0:<85rs3gf>5<2s48m:7?j8:?1ba079>776=99?01>;;:026?xu5mo0;68u22g595`><5;ln6o>4=22;>4613499=7??5:?010<68<1v?h?:18684a03;n463=fg8a4>;48h0:<;523309553<5:?=6<>:;|q1b4<72k751d:896672k:01>>l:025?855;3;;963<598240=z{;l96=49{<0ee?7b0278<<4m0:?04`<68?16??k51148964328:>70=:7;331>{t:o91<78t=3da>4c?349;>7l?;<124?77>278>n4>069>773=99?01>;6:026?xu5n=0;69u22ga95`><5::86o>4=20`>4613499:7??5:p753=839p1?hj:`d8966128h:70=?8;333>{t;9=1<7=t=3de>d`<5::36;<13e?77?2wx?=750;1x96672hl01>>n:0`2?857k3;;;6s|31`94?5|5:::6lh4=22`>4d6349;i7??7:p75b=839p1>>=:`d8966b28h:70=>0;333>{t;9l1<7d`<5:;;6;|q054<72;q6>k;5a69>752=9k;0q~=>2;296~;5n?0j;63=fe8075=z{:;86=4={<0e3?g0348mi7=<0:p742=838p1?h7:`5897`a2:9;7p}<1483>7}::o31m:52312976652z?1bd6573ty8=44?:2y>74?=9l201>4d63ty8=n4?:3y>74?=1>16?4623499;7?m1:?027<68?1v>?i:181856n3;i=63<268243=z{:8;6=4={<114?7e9278>:4>069~w6462909w0==1;3a5>;4:>0:<55rs201>5<5s499>7?m1:?062<6801v><<:181855;3;i=63<26824d=z{:8?6=4={<110?7e9278>:4>0c9~w6422909w0==5;3a5>;4:>0:5<5s499:7?m1:?062<68m1v><6:181[551278>l4>b09~w64e2909wS==b:?06c<6j81v>h4>069~w64b2909w0==e;3a5>;4:o0:<:5rs273>5<5sW9><63<5182a==z{:?:6=4={<164??0349>?7?m1:p704=838p1>;=:0`2?852j3;;:6s|34694?4|5:??6;<16f?77?2wx?8;50;0x963228h:70=:b;33<>{t;<<1<74d6349>n7??9:p701=838p1>;8:0`2?852j3;;n6s|34:94?4|5:?36;<16f?77i2wx?8750;0x963>28h:70=:b;33g>{t;4d6349>?7??7:p70d=838p1>;m:0`2?852;3;;:6s|34a94?4|V:?h70=:c;3f<>{t;4c?349=>7??7:p70c=838p1>;k:858960728h:7p}<5g83>7}:;4603ty8:<4?:3y>70e=1>16?;:51c38yv51:3:1>v3<6382f4=:;?>1==84}r157?6=:r78:>4>b09>732=99=0qpl7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e;0>1<7<50;2x 62a28;=7E=74:J022=#;1h15=5+1`690036=4+39`9545<3f;i=7>5$2:a>4d?3A93m65rb2;6>5<4290;w)=;f;12?M5?<2B8::5+39`975=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i62B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo=n3;296?6=8r.88k4>179K7=2<@:<<7)=7b;;3?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n55G39c8?xd4i=0;6>4?:1y'71`=;81C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th85n4?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a7t$26e>4d23A9386F<669'7=d=9k>0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==6F<859K731<,:2i64>4H00f?!7>>3;:?6*>a58710=n99?1<7*<8c8256=<3th8mo4?:383>5}#;=l1=<<4H2:7?M51?2.84o460:J26`=#90<1=<=4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e021vn9<>:181>5<7s-9?j7?>2:J0<1=O;?=0(>6m:828L44b3-;2:7?>3:&2e1<3=<1b==;50;&0:18'7=d=9k207pl7<729q/?9h51008L6>33A9=;6*<8c8:4>N6:l1/=4851018 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i465rb53;>5<5290;w)=;f;326>N40=1C?;94$2:a><6<@88n7)?66;327>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64;|`0g2<72;0;6=u+35d9544<@:2?7E=97:&082B:>h5+1849545<,8k?69;:;h331?6=,:2i6e20:0D<1298k4d6290/?5l51c:8?xd4m;0;6?4?:1y'71`=9880D>6;;I153>"40k02<6F>2d9'5<0=9890(6m:030?>i6j80;6)=7b;3a<>=zj0k1<7<50;2x 62a28;97E=74:J022=#;1h15=5G13g8 4?128;87)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==6F<859K731<,:2i64>4H00f?!7>>3;:?6*>a58710=n99?1<7*<8c8256=<3thm;7>52;294~"4"61?0:=>5+1`690036=4+39`9545<3f;i=7>5$2:a>4d?32wi88>50;094?6|,:>m6N4>>1/?5l5919K57c<,83=65<7s-9?j7?>2:J0<1=O;?=0(>6m:828L44b3-;2:7?>3:&2e1<3=<1b==;50;&0:18'7=d=9k207pl93;296?6=8r.88k4>139K7=2<@:<<7)=7b;;3?M75m2.:5;4>129'5d2=<::18'7=d=98907b?m1;29 6>e28h376sm6383>7<729q/?9h51008L6>33A9=;6*<8c8:4>N6:l1/=4851018 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i465rb7394?4=83:p(>:i:031?M5?<2B8::5+39`9=5=O9;o0(<79:030?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n554}c7e>5<5290;w)=;f;326>N40=1C?;94$2:a><6<@88n7)?66;327>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64;|`6a?6=:3:10D>88;%1;f??73A;9i6*>978256=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i682B:>h5+1849545<,8k?69;:;h331?6=,:2i6t$26e>4753A9386F<669'7=d=191C=?k4$0;5>4743-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b998yg3e29096=4?{%17b?76:2B8495G3758 6>e20:0D<1298k4d6290/?5l51c:8?xd2i3:1>7>50z&00c<69;1C?5:4H244?!5?j33;7E?=e:&2=3<69:1/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?>{e=00;6?4?:1y'71`=9880D>6;;I153>"40k02<6F>2d9'5<0=9890(6m:030?>i6j80;6)=7b;3a<>=zj<21<7<50;2x 62a28;97E=74:J022=#;1h15=5G13g8 4?128;87)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==6F<859K731<,:2i64>4H00f?!7>>3;:?6*>a58710=n99?1<7*<8c8256=<3th>:7>52;294~"4"61?0:=>5+1`690036=4+39`9545<3f;i=7>5$2:a>4d?32wi:n4?:383>5}#;=l1=<<4H2:7?M51?2.84o460:J26`=#90<1=<=4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e021vn;l50;094?6|,:>m6N4>>1/?5l5919K57c<,83=65<7s-9?j7?>2:J0<1=O;?=0(>6m:828L44b3-;2:7?>3:&2e1<3=<1b==;50;&0:18'7=d=9k207pl99;296?6=8r.88k4>139K7=2<@:<<7)=7b;;3?M75m2.:5;4>129'5d2=<::18'7=d=98907b?m1;29 6>e28h376sm6983>7<729q/?9h51008L6>33A9=;6*<8c8:4>N6:l1/=4851018 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i465rb7594?4=83:p(>:i:031?M5?<2B8::5+39`9=5=O9;o0(<79:030?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n554}c45>5<5290;w)=;f;326>N40=1C?;94$2:a><6<@88n7)?66;327>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64;|`51?6=:3:10D>88;%1;f??73A;9i6*>978256=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i682B:>h5+1849545<,8k?69;:;h331?6=,:2i6e20:0D<1298k4d6290/?5l51c:8?xd36;;I153>"40k02<6F>2d9'5<0=9k20(6m:030?>i6j80;6)=7b;3a<>=zj=;n6=4=:183!53n3;i>6F<859K731<,:2i64>4H00f?!7>>3;i46*>a58710=n99?1<7*<8c8256=<3th?=;4?:383>5}#;=l1=o<4H2:7?M51?2.84o460:J26`=#90<1=o64$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e021vn9>k:181>5<7s-9?j7?m2:J0<1=O;?=0(>6m:828L44b3-;2:7?m8:&2e1<3=<1b==;50;&0:18'7=d=9k207pl7<729q/?9h51c08L6>33A9=;6*<8c8:4>N6:l1/=4851c:8 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i465rb2cg>5<5290;w)=;f;3a6>N40=1C?;94$2:a><6<@88n7)?66;3a<>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64;|`0f3<72;0;6=u+35d95g4<@:2?7E=97:&082B:>h5+18495g><,8k?69;:;h331?6=,:2i6e20:0D<1298k4d6290/?5l51c:8?xd3::0;6?4?:1y'71`=9k80D>6;;I153>"40k02<6F>2d9'5<0=9k20(6m:030?>i6j80;6)=7b;3a<>=zj:ln6=4=:183!53n3;i>6F<859K731<,:2i64>4H00f?!7>>3;i46*>a58710=n99?1<7*<8c8256=<3th8m84?:383>5}#;=l1=o<4H2:7?M51?2.84o460:J26`=#90<1=o64$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e021vn>o7:181>5<7s-9?j7?m2:J0<1=O;?=0(>6m:828L44b3-;2:7?m8:&2e1<3=<1b==;50;&0:18'7=d=9k207pl;1c83>7<729q/?9h51c08L6>33A9=;6*<8c8:4>N6:l1/=4851c:8 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i465rb2a`>5<5290;w)=;f;3a6>N40=1C?;94$2:a><6<@88n7)?66;3a<>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64;|`0a1<72;0;6=u+35d95g4<@:2?7E=97:&082B:>h5+18495g><,8k?69;:;h331?6=,:2i6e20:0D<1298k4d6290/?5l51c:8?xd4l:0;6?4?:1y'71`=9k80D>6;;I153>"40k02<6F>2d9'5<0=9k20(6m:030?>i6j80;6)=7b;3a<>=zj:n>6=4=:183!53n3;i>6F<859K731<,:2i64>4H00f?!7>>3;i46*>a58710=n99?1<7*<8c8256=<3th8nl4?:383>5}#;=l1=o<4H2:7?M51?2.84o460:J26`=#90<1=o64$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e021vn?;n:181>5<7s-9?j7?m2:J0<1=O;?=0(>6m:828L44b3-;2:7?m8:&2e1<3=<1b==;50;&0:18'7=d=9k207pl=5883>7<729q/?9h51c08L6>33A9=;6*<8c8:4>N6:l1/=4851c:8 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i465rb3:a>5<5290;w)=;f;3a6>N40=1C?;94$2:a><6<@88n7)?66;3a<>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64;|`1<0<72;0;6=u+35d95g4<@:2?7E=97:&082B:>h5+18495g><,8k?69;:;h331?6=,:2i6e20:0D<1298k4d6290/?5l51c:8?xd5?00;6?4?:1y'71`=9k80D>6;;I153>"40k02<6F>2d9'5<0=9k20(6m:030?>i6j80;6)=7b;3a<>=zj;=86=4=:183!53n3;i>6F<859K731<,:2i64>4H00f?!7>>3;i46*>a58710=n99?1<7*<8c8256=<3th9:i4?:383>5}#;=l1=o<4H2:7?M51?2.84o460:J26`=#90<1=o64$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e021vn?88:181>5<7s-9?j7?m2:J0<1=O;?=0(>6m:828L44b3-;2:7?m8:&2e1<3=<1b==;50;&0:18'7=d=9k207pl=6083>7<729q/?9h51c08L6>33A9=;6*<8c8:4>N6:l1/=4851c:8 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i465rb37a>5<5290;w)=;f;3a6>N40=1C?;94$2:a><6<@88n7)?66;3a<>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64;|`116<72;0;6=u+35d95g4<@:2?7E=97:&082B:>h5+18495g><,8k?69;:;h331?6=,:2i6t$2:a>4e13A9=;6F>159'5<0=9890V>:8:0y0`?{]99;1=v=;7;Y71c=9r9o6pg>c783>!5?j3;h:65rb2d94?7=83:p(>6m:0a5?M51?2B:=95+1849545<621vn9>50;394?6|,:2i6N69=1/=4851018^62028q8h7sU11395~53?3wQ?9k51z1g>xo6k?0;6)=7b;3`2>=zj=;1<7?50;2x 6>e28i=7E=97:J251=#90<1=<=4Z264>4}4l3wQ==?51z173?{];=o1=v=k:|k2g3<72-93n7?l6:9~f14=83;1<7>t$2:a>4e13A9=;6F>159'5<0=9890V>:8:0y0`?{]99;1=v=;7;Y71c=9r9o6pg>c783>!5?j3;h:65rb5194?7=83:p(>6m:0a5?M51?2B:=95+1849545<621vn9:50;394?6|,:2i6N69=1/=4851018^62028q8h7sU11395~53?3wQ?9k51z1g>xo6k?0;6)=7b;3`2>=zj=?1<7?50;2x 6>e28i=7E=97:J251=#90<1=<=4Z264>4}4l3wQ==?51z173?{];=o1=v=k:|k2g3<72-93n7?l6:9~f10=83;1<7>t$2:a>4e13A9=;6F>159'5<0=9890V>:8:0y0`?{]99;1=v=;7;Y71c=9r9o6pg>c783>!5?j3;h:65rb5594?7=83:p(>6m:0a5?M51?2B:=95+1849545<621vn9650;394?6|,:2i6N69=1/=4851018^62028q8h7sU11395~53?3wQ?9k51z1g>xo6k?0;6)=7b;3`2>=zj=31<7?50;2x 6>e28i=7E=97:J251=#90<1=<=4Z264>4}4l3wQ==?51z173?{];=o1=v=k:|k2g3<72-93n7?l6:9~f1g=83;1<7>t$2:a>4e13A9=;6F>159'5<0=9890V>:8:0y0`?{]99;1=v=;7;Y71c=9r9o6pg>c783>!5?j3;h:65rb5`94?7=83:p(>6m:0a5?M51?2B:=95+1849545<621vn9j50;394?6|,:2i6N69=1/=4851018^62028q8h7sU11395~53?3wQ?9k51z1g>xo6k?0;6)=7b;3`2>=zj=o1<7?50;2x 6>e28i=7E=97:J251=#90<1=<=4Z264>4}4l3wQ==?51z173?{];=o1=v=k:|k2g3<72-93n7?l6:9~f1`=83;1<7>t$2:a>4e13A9=;6F>159'5<0=9890V>:8:0y0`?{]99;1=v=;7;Y71c=9r9o6pg>c783>!5?j3;h:65rb4294?7=83:p(>6m:0a5?M51?2B:=95+1849545<621vn8?50;394?6|,:2i6N69=1/=4851018^62028q8h7sU11395~53?3wQ?9k51z1g>xo6k?0;6)=7b;3`2>=zj<81<7?50;2x 6>e28i=7E=97:J251=#90<1=<=4Z264>4}4l3wQ==?51z173?{];=o1=v=k:|k2g3<72-93n7?l6:9~f05=83;1<7>t$2:a>4e13A9=;6F>159'5<0=9890V>:8:0y0`?{]99;1=v=;7;Y71c=9r9o6pg>c783>!5?j3;h:65rb4694?7=83:p(>6m:0a5?M51?2B:=95+1849545<621vn8;50;394?6|,:2i6N69=1/=4851018^62028q8h7sU11395~53?3wQ?9k51z1g>xo6k?0;6)=7b;3`2>=zj031<7?50;2x 6>e28i=7E=97:J251=#90<1=<=4i0a5>5<#;1h1=n84;|`:f?6=93:121vn4j50;394?6|,:2i6N69=1/=4851018m4e1290/?5l51b48?xda>3:1=7>50z&0>3;:?6g>c783>!5?j3;h:65rb0g:>5<6290;w)=7b;3`2>N4>>1C=<:4$0;5>4d?3f;h:7>5$2:a>4e132wi=ho50;394?6|,:2i6N69=1/=4851c:8k4e1290/?5l51b48?xd6mj0;6<4?:1y'7=d=9j<0D>88;I320>"61?0:n55`1b494?"40k0:o;54}c3f`?6=93:121vn5<7s-93n7?l6:J022=O98>0(<79:0`;?j7d>3:1(>6m:0a5?>{e9ll1<7?50;2x 6>e28i=7E=97:J251=#90<1=o64o0a5>5<#;1h1=n84;|`2b5<7280;6=u+39`95f0<@:<<7E?>4:&2=3<6j11d=n850;&0c79K731<@8;?7)?66;3a<>i6k?0;6)=7b;3`2>=zj8l96=4>:183!5?j3;h:6F<669K542<,83=6t$2:a>4e13A9=;6F>159'5<0=9k20cf583>4<729q/?5l51b48L6003A;:86*>9782f==h9j<1<7*<8c82g3=51;294~"40k0:o;5G3758L4733-;2:7?m8:m2g3<72-93n7?l6:9~f6g7290:6=4?{%1;f?7d>2B8::5G1068 4?128h37b?l6;29 6>e28i=76sm3`494?7=83:p(>6m:0a5?M51?2B:=95+18495g>5}#;1h1=n84H244?M76<2.:5;4>129j5f0=83.84o4>c798yg5fk3:1=7>50z&0>3;i46a>c783>!5?j3;h:65rb2`6>5<6290;w)=7b;3`2>N4>>1C=<:4$0;5>4d?3f;h:7>5$2:a>4e132wi?o750;394?6|,:2i6N69=1/=4851c:8k4e1290/?5l51b48?xd4jk0;6<4?:1y'7=d=9j<0D>88;I320>"61?0:=>5f1b494?"40k0:o;54}c1a`?6=93:121vn>m;:182>5<7s-93n7?l6:J022=O98>0(<79:0`;?j7d>3:1(>6m:0a5?>{e;j<1<7?50;2x 6>e28i=7E=97:J251=#90<1=<=4i0a5>5<#;1h1=n84;|`0gg<7280;6=u+39`95f0<@:<<7E?>4:&2=3<6j11d=n850;&0c79K731<@8;?7)?66;3a<>i6k?0;6)=7b;3`2>=zj:n?6=4>:183!5?j3;h:6F<669K542<,83=6t$2:a>4e13A9=;6F>159'5<0=9890e4<729q/?5l51b48L6003A;:86*>9782f==h9j<1<7*<8c82g3=51;294~"40k0:o;5G3758L4733-;2:7?m8:m2g3<72-93n7?l6:9~f16d290:6=4?{%1;f?7d>2B8::5G1068 4?128h37b?l6;29 6>e28i=76sm41g94?7=83:p(>6m:0a5?M51?2B:=95+18495g>5}#;1h1=n84H244?M76<2.:5;4>b99l5f0=83.84o4>c798yg26?3:1=7>50z&0>3;:?6g>c783>!5?j3;h:65rb53:>5<6290;w)=7b;3`2>N4>>1C=<:4$0;5>4d?3f;h:7>5$2:a>4e132wi8N69=1/=4851c:8k4e1290/?5l51b48?xd3:90;6<4?:1y'7=d=9j<0D>88;I320>"61?0:=>5f1b494?"40k0:o;54}c616?6=93:121vn9=>:182>5<7s-93n7?l6:J022=O98>0(<79:0`;?j7d>3:1(>6m:0a5?>{e<=k1<7?50;2x 6>e28i=7E=97:J251=#90<1=o64o0a5>5<#;1h1=n84;|`70f<7280;6=u+39`95f0<@:<<7E?>4:&2=3<69:1b=n850;&0c79K731<@8;?7)?66;327>o6k?0;6)=7b;3`2>=zj8ki6=4?:183!53n39?:6F<649K713=6>u+35`95f?<,:>j6:k:183>5<7s-9?j7=;d:&2e1<3=<1C?9;4L2:g>6}#;=h1=nm4$26b>4ee3-9?o7?ld:~w70=838p1>7j:37896g528:>7p}=7;296~;41o099637b<5:k<6<>:;|q0a?6=;rT8i63:6;331>;4m3;h:6s|3g83>6}Y;o169:4>049>7c<6k?1v9>50;1xZ16<5<21==;4=5295f0:6=4<{_62?83>28:>70:>:0a5?xu3:3:1?vP;2:?6e?77=27?>7?l6:p06<72:qU8>525c8240=:<:0:o;5rs5694?5|V=>018m51178912=9j<0q~:::180[2234?o6<>:;<66>4e13ty?:7>53z\72>;2m3;;963;6;3`2>{t<>0;6>uQ469>1c<68<168:4>c79~w1>=839pR964=729553<5=21=n84}r6:>5<4sW>2708>:026?82>28i=7p};a;297~X3i27=>7??5:?7e?7d>2wx8o4?:2y]0g=:>:0:<8524c82g3=z{=n1<7=t^5f8932=99?019j51b48yv2b2908wS:j;<46>46234>n6;3n3;h:6s|5183>6}Y=916::4>049>15<6k?1v8?50;1xZ07<5?21==;4=4395f028:>70;=:0a5?xu2;3:1?vP:3:?5e?77=27>?7?l6:p11<72:qU99526c8240=:==0:o;5rs4794?5|V03i019;=:b9>13<6j81v8950;1x96??2m1688<5d:?63?7e92wx954?:2y>7<>=m27?9?4j;<7;>4d63ty>57>53z?0==>>7h4=4;95g7>>7??;<7b>4d63ty>n7>53z?0==<6927?9?4>1:?6f?7e92wx9n4?:2y>7<>=9;1688<5139>1f<6j81v8j50;1x96??289019;=:01890b=9k;0q~;j:18085>03;?70::2;37?83b28h:7p}:f;297~;4110:963;53821>;2n3;i=6s|6183>7}:<<81=;526182f4=z{?;1<7=t=2;;>41<5=?96<94=7395g734>>>7?6;<41>4d63ty=?7>52z?0==<6i27=?7?m1:p21<72;q6?4651b9>21<6j81v;;50;0x913528n01;;51c38yv012909w0::2;3f?80128h:7p}97;296~;3=;0:j6397;3a5>{t>10;6?u2440965=:>10:n<5rs7;94?4|5=?96??4=7;95g7;|q5f?6=;r78554=3:?717<5;27=n7?m1:p2f<72:q6?465259>004=:=16:n4>b09~w3b=83?p1>77:7f891352?n01>7i:7f896?>2?n01>78:848yv>72909w0=6f;52?85>13=<7p}69;297~X>1272m7??5:?:=?7d>2wx5l4?:3y>7b09~w5<1s4924777;<666???3492j777;<1:=?`d3492;7hl;<;`>4d63ty2h7>53z\:`>;>m3;;9636d;3`2>{t1l0;6?u238g9=0=:1l0:n<5rsg494?5|Vo<01k9511789c0=9j<0q~h8:18185>m3h:70h8:0`2?xu68l0;6?u238:955c<5:326<>j;|q25=<72;q6?4h510:896?b28;37p}>2683>7}:;0l1=?94=2;f>4403ty:>k4?:3y>7<>=9;l01>7i:00e?xu6<90;6?u238d9516<5:3n6<:n;|q20g<72;q6?46515`896?a28>i7p}>5183>7}:;0l1=8>4=2;f>4373ty:9i4?:3y>7<`=97j:0c1?xu61>0;6?u238d95<1<5:3n6;|q2a<<72:qU=h74=370>4d634;n57?l6:p5`g=839pRc79~w4cd2908wS?jc:?11d<6j816=hm51b48yv7bl3:1?vP>ee9>60d=9k;01uQ1dg8970628h:70?je;3`2>{t9ll1<7=t^0ge?841?3;i=63>eg82g3=z{8l;6=4<{_3e4>;5>m0:n<521g295f053z\2b4=::>91=o?4=0d2>4e13ty:j?4?:2y]5c4<5;=26;<3e6?7d>2wx=k=50;1xZ4`4348b09>5c2=9j<0q~?i5;297~X6n<16>5l51c3894`228i=7p}>f683>7}:;021=k94=571>4`03ty99>4?:3y>004=9l301?;<:026?xu5=00;6?u244095`g<5;?26<>:;|q11d<72;q688<51da8973f28:>7p}=5c83>7}:<<81=hj4=37a>4623ty9:<4?:3y>004=9lo01?8>:026?xu5>>0;6?u244095``<5;<<6<>:;|q12a<72;q688<51g28970c28:>7p}=7283>7}:<<81=k?4=350>4623ty9;44?:3y>004=9o801?96:026?xu5?o0;6?u244095c5<5;=m6<>:;|q1<0<72;q688<51g6897>228:>7p}=8c83>7}:<<81=k;4=3:a>4623ty95:4?:3y>7<>=:0=019;=:3;4?xu5m?0;6?u238d96a2<5:326?jn;|q1a2<72;q688<52e6896?>2;n>7p}=eb83>7}:<<81>hm4=2;4>7cd3ty8>44?:3y>004=;;301>78:20:?xu4:k0;6?u2440977d<5:3<6>7p}<3983>7}:;021?>64=2;`>4623ty89=4?:3y>7<1=;<:01>7k:025?xu4=j0;6?u2385970e<5:3>6<>9;|q0=6<72;q6?475739>7<3=9k;0q~=64;296~;41=0:n<52387955152z?0=<<5lo16?4j51c38yv5>j3:1>v3<9b82f4=:;0n1==94}r1b4?6=;rT8m=523`795g7<5:k;67}:;h91=o?4=2c7>4603ty8m84?:3y>7d2=9k;01>o::026?xu4i?0;6>uQ3`4896g?28h:70=n6;3`2>{t;h21<74d6349j47??5:p7dg=839pR>on;<1bf?77=278ml4>c79~w6ge290?w0::2;1b=>;41o08m45238;97d?<5:ki6;|q0ef<72:qU?lm4=2cg>4d6349jo7?l6:p7db=838p1>7j:2c:?85fl3;;96s|3c794?5|V:h>70=m6;3a5>;4j<0:o;5rs2`5>5<5s492i7=m5:?0f3<68<1v>l6:180[5e1278nl4>b09>7g?=9j<0q~=ma;296~;41008n:523cc955353z\0fg=:;ki1==;4=2`a>4e13ty8nn4?:3y>7ll:0`2?xu4jm0;6>uQ3cf896e728h:70=md;3`2>{t;j:1<76dc349h<7??5:p7f2=839pR>m;;<1`1?7e9278o94>c79~w6e22909w0=69;1`7>;4k<0:<85rs2a5>5<4sW9h:637f1=9k;0q~=lb;297~X4kk16?nm51c3896ee28i=7p}7}:;0o1?nl4=2a`>4623ty8ok4?:2y]7f`<5:n86;<1`b?7d>2wx?i=50;0x96?a2:im70=k3;331>{t;m>1<7=t^2f7?85c=3;i=636=4={<1:a?5c<278h84>049~w6c62908wS=j1:?0a7<68<16?h?51b48yv5b:3:1?v3;5380a5=:;0l1?h>4=2g1>4d63ty8i>4?:2y]7`5<5:o?6;<1f7?7d>2wx?h:50;0x96?b2:o;70=j4;331>{t;oh1<7=t^2da?85am3;i=63049~w16d2908wS:?c:?74a<6j8168=m51b48yv27l3:1>v3;5380b1=:<9n1==;4}r63a?6=;rT?7p};1183>6}Y<8:019?9:0`2?82683;h:6s|40494?4|5=?969??;<622?77=2wx8<950;1xZ17034>:47??5:?752<6k?1v9?7:18085>03>:;63<9d8752=:<821=o?4}r62=?6=;rT?=45240`95g7<5=;267p};1b83>6}Y<8i019?j:0`2?826k3;h:6s|40g94?4|5=?969?l;<62a?77=2wx8?>50;1xZ14734>9=7??5:?765<6k?1v9<>:180822:3>:j63<9g875c=:<;;1=o?4}r616?6=;rT?>?5243195g7<5=8967p};3083>6}Y<:;019==:0`2?82493;h:6s|42094?4|5:3n69=?;<606?77=2wx89o50;1xZ12f34>?n7?m1:?70d<6k?1v9:m:18185>13>?;63;4c8240=z{=>h6=4<{_67g>;3?h7>52z?0=`<3<>1689j51c38yv23n3:1?vP;4g9>006=99?019:i:0a5?xu3=90;69u238:901c<5=?969:j;<1:b?23m27?9=4>b09~yk71k?0;69uG3758yk71k>0;69uG3758yk71k10;6>uG3758yk71k00;65<6sA9=;6G>c7824:|m53ed290:wE=97:K2g3<6s80vqc?9ce83>4}O;?=0Ex{i9?in6=4>{I153>O6k?0:w<4r}o35gc<728qC?;94I0a5>4}62twe=;j?:182M51?2C:o;4>{08~yk71l80;65<6sA9=;6G>c78244?:0yK731:|m53b3290:wE=97:K2g3<6s80vqc?9d483>4}O;?=0Ex{i9?n=6=4>{I153>O6k?0:w<4r}o35`2<728qC?;94I0a5>4}62twe=;j7:182M51?2C:o;4>{08~yk71l00;65<6sA9=;6G>c7824:|m53bd290:wE=97:K2g3<6s80vqc?9de83>4}O;?=0Ex{i9?nn6=4>{I153>O6k?0:w<4r}o35`c<728qC?;94I0a5>4}62twe=;k?:180M51?2we=;k>:180M51?2we=;k=:180M51?2we=;k<:180M51?2we=;k;:180M51?2we=;k::180M51?2we=;k9:180M51?2we=;k8:180M51?2we=;k7:180M51?2we=;k6:187M51?2we=;kn:181M51?2we=;km:180M51?2we=;kl:187M51?2we=;kk:181M51?2we=;kj:181M51?2we=;ki:181M51?2we=;h?:181M51?2we=;h>:181M51?2we=;h=:181M51?2we=;h<:181M51?2we=;h;:181M51?2we=;h::180M51?2we=;h9:180M51?2we=;h8:1827~N4>>1vb<8i8;290~N4>>1vb<8i9;295~N4>>1B=n851z39y_5303;p544rZ022>4}4<10vqc?9f`83>66|@:<<7p`>6g`94?7|@:<<7D?l6;3x5?{];=21=v7m:|X244<6s:>36psa17d`>5<3lrB8::5rn04e`?6=9rB8::5F1b495~7=uS9?47?t9e8~^46628q8854r}o35b`<72;qC?;94}o35bc<728qC?;94I0a5>4}62twe=:>?:187M51?2we=:>>:180M51?2we=:>=:184M51?2we=:><:187M51?2we=:>;:180M51?2we=:>::187M51?2we=:>9:180M51?2we=:>8:184M51?2we=:>7:187M51?2we=:>6:187M51?2we=:>n:182M51?2C:o;4>{38~yk708k0;65<6sA9=;6G>c78274}O;?=0Ex{i9>;;6=4>{I153>O6k?0:w?4r}o3454<728qC?;94I0a5>4}52twe=:?=:182M51?2C:o;4>{38~yk709:0;65<6sA9=;6G>c7827wE=97:m52402908wE=97:m524?2908wE=97:m524>2909wE=97:m524f2909wE=97:m524e2908wE=97:m524d2908wE=97:m524c2909wE=97:m524b290:wE=97:m524a2909wE=97:m5257290:wE=97:m5256290:wE=97:K2g3<6s;0vqc?83383>4}O;?=0qc?83283>4}O;?=0qc?83583>4}O;?=0qc?83483>4}O;?=0Ex{i9>9=6=4>{I153>{i9>9<6=4>{I153>O6k?0:w<4r}o347=<72=qC?;94}o347<<728qC?;94I0a5>4}52twe=:=n:187M51?2we=:=m:182M51?2C:o;4>{38~yk70;j0;69uG3758yk70;m0;65<5sA9=;6sa161e>5<6sA9=;6G>c78246}O;?=0qc?84483>4}O;?=0Ex{i9>>=6=4={I153>{i9>><6=4>{I153>O6k?0:w?4r}o340=<72=qC?;94}o340<<728qC?;94I0a5>4}52twe=::n:181M51?2we=::m:182M51?2C:o;4>{38~yk705<4sA9=;6sa166e>5<6sA9=;6G>c78277}O;?=0qc?85483>4}O;?=0Ex{i9>?=6=4={I153>{i9>?<6=4>{I153>O6k?0:w?4r}o341=<72;qC?;94}o341<<728qC?;94I0a5>4}62twe=:;n:180M51?2we=:;m:182M51?2C:o;4>{38~yk70=j0;6?uG3758yk70=m0;65<5sA9=;6sa167e>5<6sA9=;6G>c78246}O;?=0qc?86483>4}O;?=0Ex{i9><=6=4={I153>{i9><<6=4>{I153>O6k?0:w<4r}o342=<72;qC?;94}o342<<728qC?;94I0a5>4}62twe=:8n:186M51?2we=n;50;3xL6003td:o;4?:0yK73151zJ022=zf8i26=4>{I153>{i9jk1<7?tH244?xh6kk0;688;|l2gc<728qC?;94}o3g4?6=9rB8::5rn0f2>5<6sA9=;6sa1e094?7|@:<<7p`>d283>4}O;?=0qc?k4;295~N4>>1vb51zJ022=zf8nj6=4>{I153>{i9mh1<788;|l2a4<728qC?;94}o3f6?6=9rB8::5rn0g0>5<6sA9=;6sa1d694?7|@:<<7p`>e783>4}O;?=0qc?j7;295~N4>>1vb51zJ022=zf8oo6=4>{I153>{i9lo1<7?tH244?xh6mo0;688;|l2b1<728qC?;94}o3e1?6=9rB8::5rn0d5>5<6sA9=;6sa1g594?7|@:<<7p`>f983>4}O;?=0qc?i9;295~N4>>1vb51zJ022=zf8ln6=4>{I153>{i9ol1<7?tH244?xh5890;688;|l141<728qC?;94}o031?6=;rB8::5rn325>5<5sA9=;6sa21594?4|@:<<7p`=0983>4}O;?=0qc>1vb?>n:182M51?2we>=l50;3xL6003td952zJ022=zf;:n6=4={I153>{i><31<7=tH244?xh1=k0;6>uG3758yk02k3:1>vF<669~j33c2909wE=97:m20c=83;pD>88;|l51c<728qC?;94}o454?6=9rB8::5rn742>5<6sA9=;6sa67094?7|@:<<7p`97183>4}O;?=0qc881;297~N4>>1vb;9=:180M51?2we::=50;3xL6003td=;94?:0yK73151zJ022=zf?==6=4>{I153>{i>>=1<7?tH244?xh1?10;688;|l53f<728qC?;94}o44`?6=9rB8::5rn75f>5<6sA9=;6sa66d94?7|@:<<7p`98183>4}O;?=0qc871;295~N4>>1vb;6=:182M51?2we:5=50;3xL6003td=494?:0yK73152zJ022=zf?2=6=4={I153>{i>1=1<7?tH244?xh1010;6f290:wE=97:m2=d=83;pD>88;|l55<6sA9=;6sa69d94?7|@:<<7p`99183>4}O;?=0qc861;295~N4>>1vb;7=:180M51?2we:4=50;1xL6003td=594?:0yK73151zJ022=zf?3=6=4>{I153>{i>0=1<7?tH244?xh1110;613:1=vF<669~j3?f290:wE=97:m288;|l5=f<728qC?;94}o4:`?6=9rB8::5rn7;f>5<6sA9=;6sa68d94?7|@:<<7p`9a183>4}O;?=0qc8n1;295~N4>>1vb;o=:182M51?2we:l=50;1xL6003td=m94?:2yK73151zJ022=zf?k=6=4>{I153>{i>h=1<7?tH244?xh1i10;688;|l5ef<728qC?;94}o4b`?6=9rB8::5rn7cf>5<6sA9=;6sa6`d94?7|@:<<7p`9b183>4}O;?=0qc8m1;295~N4>>1vb;l=:182M51?2we:o=50;3xL6003td=n94?:0yK73151zJ022=zf?h=6=4>{I153>{i>k=1<7?tH244?xh1j10;688;|l5ff<728qC?;94}o4a`?6=9rB8::5rn7`f>5<6sA9=;6sa6cd94?7|@:<<7p`9c183>4}O;?=0qc8l1;295~N4>>1vb;m=:182M51?2we:n=50;3xL6003td=o94?:0yK73151zJ022=zf?i=6=4>{I153>{i>j=1<7?tH244?xh1k10;688;|l5gf<728qC?;94}o4``?6=9rB8::5rn7af>5<6sA9=;6sa6bd94?7|@:<<7p`9d183>4}O;?=0qc8k1;295~N4>>1vb;j=:182M51?2we:i=50;3xL6003td=h94?:0yK73151zJ022=zf?n=6=4>{I153>{i>m=1<7?tH244?xh1l10;688;|l5`a<72:qC?;94}o4ga?6=;rB8::5rn7fe>5<6sA9=;6sa6d294?7|@:<<7p`9e083>4}O;?=0qc8j2;295~N4>>1vb;k<:182M51?2we:h:50;3xL6003td=i84?:0yK73151zJ022=zf?o<6=4>{I153>{i>l21<7?tH244?xh1m00;688;|l5aa<72:qC?;94}o4fa?6=9rB8::5rn7ge>5<6sA9=;6sa6g294?7|@:<<7p`9f083>4}O;?=0qc8i2;295~N4>>1vb;h<:182M51?2we:k:50;3xL6003td=j84?:0yK73151zJ022=zf?l<6=4>{I153>{i>o21<7?tH244?xh1n00;688;|l5ba<728qC?;94}o4ea?6=9rB8::5rn7de>5<6sA9=;6sa71294?5|@:<<7p`80083>6}O;?=0qc9?2;295~N4>>1vb:><:182M51?2we;=:50;3xL6003td<<84?:0yK73151zJ022=zf>:<6=4>{I153>{i?921<7?tH244?xh0800;688;|l454<72:qC?;94}o520?6=;rB8::5rn636>5<4sA9=;6sa70:94?7|@:<<7p`81883>4}O;?=0qc9>a;295~N4>>1vb:?m:182M51?2we;51zJ022=zf>8:6=4>{I153>{i?;81<7?tH244?xh0:>0;6290:wE=97:m37g=83;pD>88;|lb64<72;qC?;94}oc10?6=:rB8::5rn`06>5<4sA9=;6saa3494?7|@:<<7p`n2683>4}O;?=0qco=8;295~N4>>1vbl<6:182M51?2wem?o50;3xL6003tdj>n4?:2yK73153zJ022=zfh8m6=4<{I153>{ii:91<788;|lb7=<72;qC?;94}oc0=?6=:rB8::5rn`1`>5<4sA9=;6saa2f94?7|@:<<7p`n3d83>4}O;?=0qco>1vbl:?:182M51?2wem9?50;3xL6003tdj8?4?:0yK73151zJ022=zfh>?6=4>{I153>{ii=?1<7?tH244?xhf<>0;6?uG3758ykg303:1=vF<669~jd2>290:wE=97:me1g=83;pD>88;|lb0g<72;qC?;94}oc7g?6=9rB8::5rn`6g>5<6sA9=;6saa5g94?7|@:<<7p`n4g83>4}O;?=0qco:0;295~N4>>1vbl;>:182M51?2wem8<50;0xL6003tdj9>4?:0yK73187>51zJ022=zfh?>6=4>{I153>{ii<<1<7?tH244?xhf=>0;6vF<669~jd3>2909wE=97:me0g=83;pD>88;|lb1f<72;qC?;94}oc6`?6=9rB8::5rn`7f>5<6sA9=;6saa4d94?7|@:<<7p`n6183>4}O;?=0qco91;296~N4>>1vbl8=:181M51?2wem;=50;4xL6003tdj:94?:5yK7315bzJ022=zfh<=6=4={I153>{ii?=1<710;688;|lb2f<728qC?;94}oc5`?6=9rB8::5rn`4f>5<6sA9=;6saa7d94?7|@:<<7p`n7183>6}O;?=0qco81;297~N4>>1vbl9=:182M51?2wem:=50;1xL6003tdj;94?:2yK73151zJ022=zfh==6=4>{I153>{ii>=1<7?tH244?xhf?10;688;|lb3f<728qC?;94}oc4`?6=9rB8::5rn`5f>5<6sA9=;6saa6d94?7|@:<<7p`n8183>4}O;?=0qco71;295~N4>>1vbl6=:186M51?2wem5:50;3xL6003tdj484?:0yK73151zJ022=zfh226=4>{I153>{ii1k1<7?tH244?xhek00;6>uG3758ykddi3:1?vF<669~jgee2908wE=97:mffb=83;pD>88;|lag`<728qC?;94}o`g4?6=:rB8::5rncf2>5<5sA9=;6sabe094?7|@:<<7p`md583>7}O;?=0qclk5;296~N4>>1vboj9:182M51?2weni950;3xL6003tdih54?:0yK73151zJ022=zfknj6=4>{I153>{ijmn1<76tH244?xhelo0;6?uG3758ykdb83:1>vF<669~jgc6290:wE=97:mf`5=838pD>88;|laa1<72;qC?;94}o`f1?6=9rB8::5rncg4>5<5sA9=;6sabd:94?4|@:<<7p`me883>4}O;?=0qclja;295~N4>>1vbokm:182M51?2wenhm50;3xL6003tdiii4?:3yK73152zJ022=zfkom6=4<{I153>{ijo:1<7?tH244?xhen80;688;|lab=<72;qC?;94}o`e=?6=9rB8::5rncda>5<6sA9=;6sa131;>5<4sA9=;6sa131:>5<6sA9=;6sa131b>5<6sA9=;6sa131a>5<6sA9=;6sa131`>5<6sA9=;6sa131g>5<4sA9=;6sa131f>5<6;rB8::5rn000b?6=;rB8::5rn0074?6=>rB8::5rn0077?6=9rB8::5rn0070?6=;rB8::5rn0071?6=;rB8::5rn0072?6==rB8::5rn007{|l11f<728qvb?9>:182xh5190;6>urn3:b>5<6std9mk4?:2y~j7g>290:wp`=cd83>6}zf;i36=4>{|l1aa<72:qvb?k8:182xh48j0;6>urn225>5<6std8>o4?:2y~j642290:wp`<4`83>6}zf:>?6=4>{|l02<<72:qvb>8<:182xh4010;6>urn2:1>5<6std<9;4?:0y~j20e290:wp`88183>4}zf>3>6=4>{|l4f1<72:qvb:oj:182xh0l:0;6>urn6ag>5<6std6}zf1:i6=4>{|l;75<72:qvb55<6std3:k4?:0y~j=>3290:wp`79883>4}zf1kn6=4>{|l;ga<72:qvb5m8:182xh?mj0;6>urn9g5>5<6stdjn<4?:0y~jde1290:wp`ndc83>4}zfhl;6=4>{|la40<728qvbo?n:182xhe:o0;65<4stdi854?:0y~jf4?290:wp`l4683>6}zfj>:6=4>{|l`23<72:qvbn8?:182xhd0<0;6>urnb5e>5<6stdhm94?:2y~jf?b290:wp`lc283>6}zfjho6=4>{|l`a7<72:qvbnjl:182xhc880;6>urnbda>5<6stdo>=4?:2y~ja7f290:wp`k3g83>6}zfm926=4>{|lg1`<72:qvbi;7:182xhc?m0;6>urne54>5<6stdo5n4?:2y~ja?1290:wp`kbc83>6}zfmh>6=4>{|lg`d<72:qvbij;:182xhcn00;6>urned0>5<6stdn=54?:2y~j`75290:wp`j3683>6}zfl9:6=4>{|lf13<72:qvbh;?:182xhb?<0;6>urnd4e>5<6stdn594?:2y~j`>b290:wp`jb283>6}zflko6=4>{|lf`7<72:qvbhml:182xhbm>0;65<4stdm<=4?:0y~jc422908wp`i1g83>4}zfo>?6=4<{|le7`<728qvbk8<:180xha=m0;65<4stdm;n4?:0y~jcg62908wp`i9c83>4}zfoi;6=4<{|lefd<728qvbkji:180xhal00;65<4stdmj54?:0y~j466l3:1?vsa1134>5<6std:?=750;3xyk749l0;6:7:182xh6;?=1<7=t}o3024<728qvb<=76;297~{i9:2;6=4>{|l27d3=839pqc?<9g83>4}zf89h87>53zm56db290:wp`>3d194?5|ug;8hi4?:0y~j427:3:1?vsa12d`>5<6std:8??50;1xyk739k0;6752F__\XZ5R@>25?69j2;96_O31083:d=6>3K7>>47>028534f3;;1M1<>:0<24>462@D[YY4N<3395;c<::0JSK]M<3195;733;91EC^ZT;C\BVD;::0:2<5<1:62?0><=3N787>1c:79@drfI5>1<3745;GP81<76l1>6D@_UU8G81<7688097GAPTV9@drfI5>1<3h45;MVPUSS2X6?6=0>4:79KPRW]]0Yi~{ct=694;><=3[787>1d:79V`urd}6?6=0i;48\eab789:787>11:42?2><03N7;7>1c::9@drfI5=1<3k48;KMTPR=L5=1<3?=;98JJUSS2MkmL28:1>=Zly~`y28:137;2=1>G;994>7L2>1?78E9756<1J0<=15:C?51823H6:93;4A=35:0=F48=596O319<6?D:617>0M1?15:C?65803H69=7?15:C?64823H69>394A=00>=823H69?3:4A=0=0>G;;7>0M1:14:C?1;29<2K753:4AMG31>GKM9G>7LBJ0Ra8EIC7Wds<=>?d:COA5Yj}q:;<=?j;@NF4Zkrp9:;<;5NNE0A53=FFM8I>95NNE15?DHC;K;=7L@K3C05?DHC;K9?7L@K479BJA2E9?1JBI:M279BJA2E;?1JBI:M479BJA3E:?1JBI;M379BJA3E33HYyjn5NSsd\jjr789;:i6O\rg]mkq6788Uba}QNSsd\jjr789;:56OPFR@?558>3HUM_O2>1?;8EZ@TJ5;9245N_GQA845912KTJ^L315<:?DYA[K6:9374A^DPF971601JSK]M<05==>GXNZH7=506;@]EWG:61720MRH\B=3==>GXNZH7>=06;@]EWG:59730MRH\B=01:g=FWOYI0?=51?;8EZ@TJ588255N_GQA878?3HUM_O2<>99B[CUE4=437LQISC>6:==FWOYI0;07;@]EWG:0611JSK]M<9<;?DYA[K62295Ncmp22>Gdd{UBB[Qfmq]BgitXAG\:86LNCUg8FDESW`g{SOOLT078FDESz=1II_\:;CGQV502HN^_|i6:@FVWtum2HN^_|}_omw4566:;1II_\}r^llp5679Vcf|RLJRSpq[kis89::=55MUR]JJCI63J>0O<8B3:A;I1=DIJ^97NK<;BNH=>EKC;RTEBL8;BNH[CUE?2IGGRG@B29@HW56Mce`p2[dhc89:;Sdc_Bnfew7Xign;<=>>3:Aoo0=DdbOe=55LljGm[LHQW`g{SNbdEo]JJS703Jf`SK]B159@hnYNF_Uba}QLlj]JJS763M>0H1>14:F?5;20H1:14:F?1;2B;?7?0HYOLK028@QGDCVcf|RJ[ABI23>BSIJAyj:5KT@AHvw`99GeqgF48437Io{a@>1:==Ci}kJ0>0n;EcweD:329437Io{a@>7:==Ci}kJ0807;EcweD:16h1OmyoN<683:==Ci}kJ0:0>;D08AV3CT4;4>7H]33?58AV:3294>7H]34?c8AVYffm:;<=?n;DQ\ekb789:Te`~PER]bja6789;j7H]Paof34576i2OXSl`k0122[lkwWLYTmcj?0132`>CTWjg{Sh?01214>CTWjg{Sh?012\mhvXMZUha}Qjq12344bCTWfx;<=>Pilr\AVYhz9:;<<;4EUC@Oa=B\HI@Sl`k012365=B\HI@Sl`k0123[lkwWL^JOFQnne23457682O_MNEPclr\at67898=7HZNCJ]`iuYby9:;?0136?@YNGK80I=4Es07?CEKC;1MH95IDBG1?C@33OLo=:5IFe3.Mk>9:DE`4+Nf8;37KHk1,Km6<=ANm;&Ec<>8:DE`4+Nf:30JKj>-Hl05<=ANm;&Ec:>8:DE`4+Nf-Hl\mhv33OLo>:5IFe0.Mk>9:DE`7+Nf8;37KHk2,Km6<=ANm8&Ec<>8:DE`7+Nf:30JKj=-Hl05==ANm8&Ec:6;GDg6(Oi<820JKj=-Hl6=>@Al;'Bb8?7;GDg6(Oi>01MJi<"Io42=>@Al;'Bb:?7;GDg6(Oi0k1MJi<"Io]jiu2@Al:'Bb??7;GDg7(Oi;01MJi="Io12<>@Al:'Bb974FGf0)Lh3901MJi="Io72<>@Al:'Bb;l4FGf0)LhXadz?7KHk459EBa333OLo:95IFe54?C@c?$Ce=95IFe5.MkYneyUMJi9"Io37?C@c0>1MJi6"Io:8BCb?%@d:56HId9/Jj47>3OLo4 Ga20:8BCb?%@d8n6HId9/JjZojx:1M_O=4FRO`?CUJW9'{mkatb9EWHY6%ykyiczl;GQN[7+wi{oex<5H3:EM@4=N:2C;>6G>2:K16>O4:2C?>6G:2:K56>O0<2CJO^;4I@AP50=NIJY996GNCR1;?LGD[Vcf|95FNHV0?LHQ=2CDMNZk;HMBGQYffm:;<=?1e9JKDESWds<=>?e:KLEFRXe|r;<=>>f:KLEFRXe|r;<=>>1g9JKDESWds<=>?20g8MJGD\Vg~t=>?0232?LIFK]Ufyu>?01]jiue?159JKGK33@EI_95FOBQ6?LID[8?0EBM\249JKFU4n2CDO^Qlmq]fu5678;>0EBM\_bos[`w789:Te`~PINAP[fkwWl{;<=>>f:KLGVYdeyUn}=>?1368MJETWjg{Sh?013\mhvXAFIXSnc_ds34576n2CDO^Qlmq]fu567:;>0EBM\_bos[`w7898Te`~PINAP[fkwWl{;<=<>f:KLGVYdeyUn}=>?3368MJETWjg{Sh?011\mhvXAFIXSnc_ds34556n2CDO^Qlmq]fu567<;>0EBM\_bos[`w789>Te`~PINAP[fkwWl{;<=:>f:KLGVYdeyUn}=>?5368MJETWjg{Sh?017\mhvXAFIXSnc_ds34536n2CDO^Qlmq]fu567>;>0EBM\_bos[`w789f:KLGVYdeyUn}=>?7368MJETWjg{Sh?015\mhvXAFIXSnc_ds3451602CDO^Qfmq68MJJ7k2CD@=Qbuy2345b6G@PVAP[lkwW@E[[N]>5:KLV@Wc3@EYI\Qnne2345473@EYI\Qnne2345YneyUBC_K^_`lg45679m1BC_K^_lw{4567m2CD^H_Pmtz34566n2CD^H_Pmtz345669o1BC_K^_lw{4567:8o0EB\JQ^ov|5678:l0EB\JQ^ov|5678:;m7DA]EP]nq}6789>:i6G@RDS\ip~789:>=<5FOSGR[hs89:;Sdc6:KLV@Wu=2CD^Z>k;HMQS5Yffm:;<=?1e9JKWQ7Wds<=>?e:KLVR6Xe|r;<=>>f:KLVR6Xe|r;<=>>1g9JKWQ7Wds<=>?20g8MJTP8Vg~t=>?0232?LIU_9Ufyu>?01]jiubPnnv34576k2CD^Z>Pos23457b3@EY[=Q`r1234ZojxVCD^Z>Pos23457d3@EY[=Q`r12354c=5FOSU2[dhc89:;Sdc_HMQS4Yffm:;<=?l;HMQS4Yhz9:;<>259JKWcflpUecy>?00]jiuYNG[ojhtQaou23447b3@EYiljv_np34565:2CD^hoky^mq4567W`g{SDA]e`fz[jt789::?6G@Sd9JKVYdeyUn}=>?0d9JKVYdeyUn}=>?1d9JKVYdeyUn}=>?2d9JKVYdeyUn}=>?3d9JKVYdeyUn}=>?4d9JKVYdeyUn}=>?5d9JKVYdeyUn}=>?6d9JKVYdeyUei=>?059JKP6d3@E^OH]9Ufyu>?0132a>OH]9Ufyu>?0102`>OH]9Ufyu>?01124>OH]9Ufyu>?01]jiu27DAZDR68MJQB;2Ce<>5Fn018Mk443@d8?6Ga429Jj0ci5CPL]@KPHSM[U:h6B_M^ALQKRBZV837A^B_EDE[<=KXDUOJKQ>a:NSIZBANV;;m6B_M^FEBZ76i2F[ARJIF^31e>JWEVNMJR?JWEVNMJR?8a:NSIZBANV;3m6B_M^FEBZ7>12F[ARJIF^0b?IVJWMLMS?>n;MRN[A@AW;;j7A^B_EDE[74f3EZFSIHI_31b?IVJWMLMS?:n;MRN[A@AW;?j7A^B_EDE[70f3EZFSIHI_35b?IVJWMLMS?6n;MRN[A@AW;327A^B_EDE[6gJWEVNMJR86;MRN[A@AW>30@]CPDGD\<<=KXDUOJKQ6a:NSIZ^HZ;U:96B`ae3g?Iifl8Uecy>?0003?Iifl8Uecy>?00]jiuYKghn:Sca{012251=JPZOo7@okd^]b`a6789o0Aua}_Sgpqir;97o0Aua}_Sgpqir;:7o0Aua}_Sgpqir;;7o0Aua}_Sgpqir;<7o0Aua}_Sgpqir;=7o0Aua}_Sgpqir;>7o0Aua}_Sgpqir;?780B=<4N008J740BB\84:LLV=7IU:K;>7B\=B318KW523FX8N<;4OS1A66=HZ=90C_;<;NP57>IU?:1D^5:4Ooafg>IiklUjbi>?013f?JhdmVkeh=>?0^kntZIiklUjbi>?013a?JhdmVey<=>?1b9LjfcXg{:;<=Qfmq]LjfcXg{:;<=?;;Nww4`=H}}:Te`~POtv351=H}};n7B{{1^kntZIr|8;?7B{{2d9Lqq4XadzTCxz=159Lqq5b3F?Rgbp^Mvp6733F8h5@uu6\mhvXG|~?=95@uu7f?Jss=Vcf|RAzt437?Jss>l1Dyy8Pilr\Kpr19=1Dyy9;;Nww<`=H}}2Te`~POtv;54=Wk2Z%>=?<1130[I2W33X6;295^<0<7?T:56=1Z0>09;P>7>5833X6?295^<4<7?T:16?1Z0:4?>59R828f3XU:Sb|?0122e>WX9Vey<=>?_hos[TY6Wfx;<=>>5:PB85813[K7==07;SC?54<76?1YM1?>>49QE979=2XJ0?0:;SC?7;3TF4?4>7_O37?78VD:?6<1YM1713:PBI0=UIDIX==5]ALAP[JpbzekrCkh=6:PBIFUXGoy`lw@fg]jiuYUIDIXSBxjrmczKc`6n2XJAN]POwgqhdHf;>0^LCLS^MuawjfqFdTe`~PR@O@WZIqm{fjuB`>d:PBIFUXign;<=>=0:PBIFUXign;<=>Pilr\VDKD[Vkeh=>?00f8VDKD[Vkeh=>?1328VDKD[Vkeh=>?1^kntZTFEJYTmcj?013255=UIDIXSdc_SCNGV713[KFHD]8;SCN@LU6n2XJAIG\1^ov|56788:0^LCKIR3\ip~789::=<5]ALFJW4Yj}q:;<=?>109QEHBN[8Ufyu>?010255=UIDNB_1:PBIAOT9Vg~t=>?04325>TFEMCX=Rczx123437682XJAIG\1^ov|5678>;87_OBDHQ2[hs89:;Sdc7:PBIAOT:o1YM@JFS3]bja67898?7_OBDHQ1[dhc89:;Sdc_SCN@LU5Whdo<=>?1g9QEHBN[;Ujbi>?0007?WGJL@Y9Sl`k0122[lkwW[KFHD]=_`lg45669l1YM@JFS3]lv5678;80^LCKIR0\kw6789Uba}Q]ALFJW7Yhz9:;<4R@OQadb~Whdo<=>?279QEHTbimsTmcj?012\mhvXZHGYiljv_`lg456798:0^LC]e`fz[dhc89::>;5]ALPfeaXign;<=?Pilr\VDKUmhnrSl`k0122546=1028VDKUmhnrSl`k012063=UIDXnmiwPaof3455XadzT^LC]e`fz[dhc89:8=<>4R@OQadb~Whdo<=>;279QEHTbimsTmcj?016\mhvXZHGYiljv_`lg456398:0^LC]e`fz[dhc89:>>;5]ALPfeaXign;<=;Pilr\VDKUmhnrSl`k0126546>1008VDKUmhnrS`{w012364763[KF^hoky^ov|5678:;?7_OBRdcg}Zkrp9:;?0107?WGJZlkouRa}0123[lkwW[KF^hoky^mq45679<1YM^KCd:PBW@JXe|r;<=>j;SCPAIYj}q:;<=?i;SCPAIYj}q:;<=?>f:PBW@JXe|r;<=>=1d9QEVCKWds<=>?3g9QEVCKWds<=>?30d8VDUBDVg~t=>?053f?WGTMEUfyu>?01725>TF[LFTaxv?012\mhvd3[KTTFW9Ufyu>?0132a>TFW9Ufyu>?0102`>TFW9Ufyu>?01124>TFW9Ufyu>?01]jiueTFW8Ufyu>?013f?WGX9Vg~t=>?003f?WGX9Vg~t=>?033g?WGX9Vg~t=>?0233?WGX9Vg~t=>?0^kntf=UIV8Taxv?012g?WGX:Vg~t=>?00g8VDY5Wds<=>?10g8VDY5Wds<=>?20f8VDY5Wds<=>?3028VDY5Wds<=>?_hosg>TFW:Ufyu>?01f8VDY4Wds<=>?1d9QEZ5Xe|r;<=>>1d9QEZ5Xe|r;<=>=1e9QEZ5Xe|r;<=><119QEZ5Xe|r;<=>Pilr`?WGX?0e9QEZ2Xe|r;<=>>e:PB[1Yj}q:;<=?>e:PB[1Yj}q:;<=<>d:PB[1Yj}q:;<==>0:PB[1Yj}q:;<=Qfmqa8VDY2Wds<=>?d:PB[0Yj}q:;<=?j;SC\1Zkrp9:;<??;SC\1Zkrp9:;k;SC\2Zkrp9:;<4R@]5[hs89:;Sdcc:PB[2Yj}q:;<=j4R@]4[hs89:;=h5]A^5\ip~789::=h5]A^5\ip~789:9=i5]A^5\ip~789:8==5]A^5\ip~789:Te`~l;SC\5]ERa8V@UXadzT^H]>5:PFWw`23[OX~j4RDQqvZhh|9:;=?>4RDQqvZhh|9:;=Rgbp^PFWwtXff~;<=?>5:PLIFUc3[EFO^Qnne2345473[EFO^Qnne2345YneyUYC@M\_`lg456798:0^BCLS^antZcv89:;>;5]OLAP[fkwWl{;<=>Pilr\VJKD[Vif|Rk~01235461Yiljv0s48V`gcq8=0^hoky0p5?Wcflp8<7_kndx0qe>TbimsTEBL\9:PfeaXZHGo7_kndx]bja67898;7_kndx]bja6789Uba}Q]e`fz[dhc89:;=<>4Rdcg}ZojxVXnmiw>c:PfeaXg{:;<=?j;Sgb`|Yhz9:;374Rdd@jq:4601YikMat=6==>TbnJd0806;SgeGkr;>7h0^hhLnu>4>58>3[omOcz37?58V``Cggo:>6\jfEmmaZejxVoz<=>?2`9QacBhflUha}Qjq1234ZojxVXnjIaae^antZcv89:;=k5]egFlj`Yig}:;<<<;;Sge@jhbWge<=>>_hos[WcaLfdnSca{012253=UmoXJ_;5]egPfu446:Pfwpjs12Xnxb{<1<:?Wct}e~7=374Rdqvhq:5601Yi~{ct=1=f>Tb{|f094?>89Qavsk|5>556\jstnw808>3[oxyaz36?`8V`urd}6<6=06;Sgpqir;?78=7_k|umv\4Zhh|9:;?0135?VETAJY:>6]LSHAP[lkwWZIXEN]>b:QJCGSTW@DMCl5\IF]AQVOCPk1XEJQMURLBI@2<[jfy86]lsuc8WkbUIDIX[_?=;RlgVDKD[^XTmcj?0121e>Uil[KFO^Y]_`lg4567W`g{S^`kR@O@WRTXign;<=>>139PjaTFEJY\^Road12357g<[gnYM@M\WS]bja6788Uba}Q\nePBIFUPZVkeh=>?1036?VhcZHGH_Z\Pclr\at67899;7^`kR@O@WRTXkdzTi|>?01]jiuYTfmXJAN]XR^antZcv89:;=<;4SofQEHET_[Uha}Qjq123566<[gnYM@M\WS]`iuYby9:;=Rgbp^Qm`WGJKZ]YSnc_ds345769<1Xbi\NMBQTVZejxVoz<=>=319PjaTFEJY\^Rmbp^gr4565W`g{S^`kR@O@WRTXkdzTi|>?03321>Uil[KFO^Y]_bos[`w78998<6]adSCNGVQUWjg{Sh?011\mhvX[gnYM@M\WS]`iuYby9:;??5228WkbUIDIX[_Qlmq]fu567=Vcf|R]adSCNGVQUWjg{Sh?01725d=TfmXJAN]XR^kntZUil[KFO^Y]1038WkbUIDIX[_Q`r12347><[gnYM@M\WS]lv5678Vcf|R]adSCNGVQUWfx;<=>>109PjaTFEJY\^Ra}01226==TfmXJAN]XR^mq4566W`g{S^`kR@O@WRTXg{:;<1:Qm`WGJKZ]YSb|?0101<>Uil[KFO^Y]_np3454XadzT_cj]ALAPSWYhz9:;><:4Srgw0>R^XL20XdcjotvB56=SadodyyOPclr\at67898h7YgbenwwEZejxVoz<=>?_hos[QojmfMRmbp^gr456798:0XdcjotvB[kis89::>;5[ilglqqGXff~;<=?Pilr\Plkbg|~JSca{01225==SadodyyLm;UknajssJYxn==5[ilglqqDXign;<=>=6:Vji`ir|KUjbi>?01]jiuYSadodyyLPaof34566;2\HO45YIDU\P\VB;2\[Mn5YP@]jiuYQXH;>7[^Nrg78RUGuzm1]\L|}_omw4566:91]\L|}_omw4566W`g{S[^Nrs]mkq6788;j7[gkR@O@WRTc3_co^LCLSVP50n7?3_co^LCLSVP50nYdeyUn}=>?0248RlbUIDIX[_8;k^antZcv89:;Sdc_WkgVDKD[^X=8fQlmq]fu56788;37[gkR@O@WRT1>_hos[SocZHGH_Z\94j]`iuYby9:;=<?0^kntZPnl[KFO^Y]_bos[`w789::=85YiePBIFUPZVif|Rk~012275=QamXJAN]XR^antZcv89::Sdc_WkgVDKD[^XTo`~Pep234476=2\bh_OBCRUQ[fkwWl{;<=<<0:Tj`WGJKZ]YSnc_ds3454XadzTZdj]ALAPSWYdeyUn}=>?2036?SocZHGH_Z\Pclr\at678:9;7[gkR@O@WRTXkdzTi|>?02]jiuYQamXJAN]XR^antZcv89:8=<;4VhfQEHET_[Uha}Qjq123066<^`nYM@M\WS]`iuYby9:;8Rgbp^Tj`WGJKZ]YSnc_ds345269<1]ei\NMBQTVZejxVoz<=>:319UmaTFEJY\^Rmbp^gr4562W`g{S[gkR@O@WRTXkdzTi|>?04321>Pnl[KFO^Y]_bos[`w789<8<6XfdSCNGVQUWjg{Sh?014\mhvX^`nYM@M\WS]`iuYby9:;:?8228RlbUIDIX[_Qlmq]fu5670Vcf|RXfdSCNGVQUWjg{Sh?01:250=QamXJAN]XR^antZcv89:2?=5YiePBIFUPZVif|Rk~012:[lkwW_co^LCLSVP\ghvXmx:;<4?>5:Tj`WGJKZ]YSnc_ds3446482\bh_OBCRUQ[fkwWl{;<<>Pilr\RlbUIDIX[_Qlmq]fu56688;>7[gkR@O@WRTXkdzTi|>?1013?SocZHGH_Z\Pclr\at6798Uba}QYiePBIFUPZVif|Rk~013254g<^`nYM@M\WS]jiuYQamXJAN]XR032?SocZHGH_Z\Pos2345753_co^LCLSVP\kw6789;:>6XfdSCNGVQUWfx;<=>=139UmaTFEJY\^Ra}0123743<^`nYM@M\WS]lv5678Vcf|0:ZPPZTSDVZYC]K]TX58\VRX^JI=7Ujm_Hf24>^ceVGjfb|Yesqjkk773QnfS@gaosTfvvohf<1Sc1>16:Zl8586>2Rd0=0=6:Zl858412Rd0=0Pilr0?]us12RxxJC`ddna?Zgcl9:;<1>1b:]b`a67896:2o5Paef3456;:7h0Sljk0123868c3Vkoh=>?0=694;d?<5Yffm:;<=Qfmq]\ekb789::46Qnne2344713Vkeh=>?1^kntZYffm:;<?20:8[dhc89:8=;5Paof3455XadzTSl`k01205==Xign;<=:>6:]bja678=Uba}QPaof3452602Ujbi>?0435?Zgil9:;9Rgbp^]bja678<;37Rczx12342=Xg{:;<=?;;^mq4567W`g{SRa}012352=Xg{:;<?5nne2345Yhz9:;?129`jq1ohjd>0ebl|9:lB@jssDL::46`NDnwwH@6XadzTbLJ`uuNF44773gKOcxzCE1]lv5678;<0bLJ`uuNF4Ziu89:;Sdc_oCGkprKM9Ud~=>?00;8jDBh}}FN=<64n@FlqqJB9Vcf|R`NDnwwH@76;2dJ_:5aAR]EWG1hFg|~DI85aBDPQ=>hEM[XTJ^L6;o@FVWYNGKn0bOK]R^cm`5678;:0bOK]R^cm`5678Vcf|R`MESP\ekb789::==5aBDPQ[lkwWgHN^_?;;oABWf=iKHYTbbz?0132a>hDIZUecy>?00]jiuYiKHYTbbz?01322>hC\HI@m6`KT@AH[CUEi2dOXLMD_HMAa>hC\HI@Sl`k012367=iL]KHGRoad1234ZojxVdOXLMD_`lg45679?1eHd`CEe9m@lhKMVey<=>?219m@lhKMVey<=>?_hos[kBnfEOTc>?0135?kBnfFO:>6`KioMF[lkwWgNbbBK>7:lGkprHMo1eHb{{OD]bja67898?7cJ`uuMF[dhc89:;Sdc_oFlqqIBWhdo<=>?1g9m@jssGLUecy>?0007?kBh}}ENSca{0122[lkwWgNdyyAJ_omw45669l1eHb{{OD]lv5678;80bIaztNG\kw6789Uba}QaDnwwK@Yhz9:;<<:4nMFP<>hKLZUM_O64nMFP[LIEk2dGH^Qnne23457b3gFO_Road1234ZojxVdGH^Qnne23457d3gFO_Road12354c?013`?kJC[Vey<=>?_hos[kJC[Vey<=>?1c9mHAUXg{:;<?00g8jIQBWhdo<=>?_hos[kJPMVkeh=>?00g8jIQBW`g{ScBXE018jJCe3gENSl`k01235f=iGLUjbi>?01]jiuYiGLUjbi>?013`?kIBW`g{ScAJ189mKscudhs;=<5aOwgqhd7Whdo<=>?299mKscudhs;Sl`k0123[lkwWgE}ibny1]bja6789;27cAyesnb}41hUGD]NSl`k012367=iZFG\IRoad1234ZojxVdYC@YJ_`lg45679880b_ABWD]jiuYiZFG\I<:4nTFP<>hRLZUM_O64nTFP[LIEk2d^H^Qnne23457b3g_O_Road1234ZojxVd^H^Qnne23457d3g_O_R``t12354c?00a8jSKFWge<=>>1d9mRHGXff~;<=?Pilr\jSKFWge<=>>159mRUG?3g\[MRH\B99mRUGXAFHh7cX_A^cm`56788o0b[^N_`lg4567W`g{ScX_A^cm`56788o0b[^N_hos[kPWI890bZK8;oUF[CUEn2ddx=>?0^cm`5678;>0bbz?012\ekb789:Te`~Pnnv3456Xign;<=>>7:rlhZekc01{caQlljgm<>vhdVyh`64pnn\wvcs02zd~yQlljc8tjtsWjf`ic74pnpw[agsi11{czPoqc5?uiu|V{i7}a}t^pfwpjs12zd~yQ|cmp:?uiu|Vyxiy?4r29qeh>uh}{inSagaeo`1?pv>3kgyhQlio;8rdjrmVe{n55wc8734}jb3qi29>8wlqa70(3zHIz:?n:4@Az017762289?:;>m:0`450}i;=k1=6`<4c85?!53139??6s\948014<58<0:?9890c82f2713m;o=7>51;3xW<0=;<;1>=;5126525d=9k=:96xI2c:94?7=93:p_4853439653=9:>=:=l51c521>"49>0:m95+21497ccvF>a29'650=;on0qA=;7;3x 6`?2<1v(>>l:0f2?_>>2;q26;3:17d=72;29?j5cn3:17d=lc;29?j5d?3:17b=me;29?l5?j3:17b=mc;29?j5?n3:17d=l4;29?l5><3:17b=73;29?j5?03:17b=md;29?l5b83:17b=ld;29?j5e<3:17b=8b;29?j50n3:17d=n4;29?l?72900e4?50;9l7f3=831bh84?::m0=2<722e8m84?::m0`2<722e85l4?::m0=f<722c8i84?::m0e:l142<632e9>54?:%03e:l142<432e9>;4?:%03e:l142<232e9>94?:%03e:l142<032e9>?4?:%03e:l142<>32e9>=4?:%03e:l1425$32;>3`o1l3:1(?>7:7d8j7602;10e;m50;&14=<1n2d9<:4<;:k5e?6=,;:36;h4n324>1=h58>0>76g98;29 76?2?l0b?>8:798m31=83.9<549f:l142<032c=:7>5$32;>3`o1<3:1(?>7:7d8j7602h10e;=50;&14=<1n2d9<:4m;:k56?6=,;:36;h4n324>f=h58>0o76g88;29 76?2?l0b?>8:d98m21=83.9<549f:l1425$32;>3`4;h56>5<#:921:k5a215954=>1<7*=0985b>h58>0:>65f7283>!4703o0:3:1(?>7:7d8j76028>07d9>:18'65>=>o1e>=951498m3d=83.9<549f:l142<6>21i?:=50;3a>60=<6?ua3g;96f=#;o2196s+31a9=2=]000:wn4rZ3gf>4}e2tc3j7>5;n36a?6=3f8no7>5;n0e7?6=3`=i6=44i2a7>5<5<5<o413:1(?>7:2c8j7602810e>650;&14=<4i2d9<:4=;:k02?6=,;:36>o4n324>6=1<7*=0980e>h58>0?76g<3;29 76?2:k0b?>8:498m64=83.9<545$32;>6go5n3:1(?>7:2c8j7602010e?k50;&14=<4i2d9<:4n;:k1`?6=,;:36>o4n324>g=h58>0h76g=b;29 76?2:k0b?>8:e98m15=83.9<547>5$32;>6g5<6290;w)=?c;122>N4<=1d>=:50;9~f6d?290>6?49{M172?7|@8k87pB<4682!5a03;0q)=?c;3be>of83:17b5;h:b>5<k1<7<50;2x 66d28837E=;4:k25<<722e:i=4?::p=g<72;qU5o527;:b?xu013:1>vP89:?4e?7612wx=io50;0x92<>;27X58o1vb49je5<722e950z&04f08j95ri9g94?=n1:0;66g7a;29?lg72900c?>i:188yg53=3:1=7>50z&04f<6911C?9:4o034>5<50;9~w{t1j0;6?uQ9b9>=a<6901ve19~w4be2909w0=;5;323>;02090q~?kc;296~;02;:m7S4=68b4>{zj88=6=4>1;1956}#;9i1=?84o001>5<>o5j3:17dh4?::k1b?6=3`9;6=44i2394?=n;;0;66g<3;29?l532900e>850;9j7=<722c857>5;h1a>5<<4?::m2a5<722wi=?:50;;94?6|,::h68j4H267?l76i3:17d?>b;29?l76k3:17d?>d;29?l76m3:17d?>f;29?l7583:17d?=1;29?j7b83:17pl>2483>6<729q/?=m54e9K7125<?5213795`696=4={_61?875;3;:m6s|4283>7}Y<:16=?=510`8yv4e2909wSn4?:3y]6f=:9;91=5<5sW8o70?=3;32a>{t:l0;6?uQ2d9>575=98l0q~7}Y;;16=?:510`8yv542909wS=<;<310?76k2wx?94?:3y]71=:9;>1=5<5sW9=70?=4;32a>{t;10;6?uQ399>572=98l0q~=6:181[5>34;987?=0:p7g<72;qU?o52136957752z?266<6m916=?;510c8yv75<3:1>v3>2582a5=:9;?1=5<96=44i067>5<=6=44i06;>5<j6=44i06`>5<n6=44i073>5<5<>290;w)=?c;3f`>N4<=1b=50;9j577=831d=h>50;9~f42729086=4?{%13g?7b;2B8895f10c94?=n98h1<75`1d294?=zj8>:6=4<:183!57k3;n?6F<459j54g=831b=e19~w7cd2909wS1`9~w4232909wS?;4:?27c<69j1v<:9:181[73>27:?k4>1e9~w42?2909wS?;8:?27c<69l1v<:n:181[73i27:?k4>219~w42d2909wS?;c:?27c<69o1v<:j:181[73m27:?k4>209~w4372909wS?:0:?205<69h1v>?j:181[56m27:8=4>1c9~w45a2909w0?;6<80:=l5rs063>5<5s4;?<7?j0:?204<69k1vqo=;2;29`?5=nr.8?50;9j765=831b?>;50;9j761=831b?>750;9j76d=831i?>h50;;94?6|,::h6o69h0;66g>1c83>>o69j0;66g>1e83>>o69l0;66g>1g83>>o6:90;66g>2083>>i6m90;66sm35294?3=83:p(>>l:0g:?M53<2c:=l4?::k25g<722c:=n4?::k25a<722e:i=4?::a717=8391<7>t$22`>4c43A9?86g>1`83>>o69k0;66a>e183>>{t;:o1<770={t;;=1<7{t;;h1<7{t;;l1<7{t;:91<770=;0;32e>{t;:=1<7{t;:h1<750;0x962728o;70=;1;32f>{zj8o96=4::183!57k3?<7E=;4:k25d<722c:=o4?::k25f<722c:=i4?::m2a5<722wi>?m50;194?6|,::h69h4H267?l76i3:17d?>b;29?j7b83:17pl=2d83>1<729q/?=m5509K7125<5<53;294~"48j0:i>5G3568m47f2900e5<4290;w)=?c;6g?M53<2c:=l4?::k25g<722e:i=4?::a752=8391<7>t$22`>1b<@:>?7d?>a;29?l76j3:17b?j0;29?xd5io0;6>4?:1y'75e=9l90D>:;;h32e?6=3`;:n7>5;n3f4?6=3th9ii4?:483>5}#;9i19;5G3568m47f2900e5<4290;w)=?c;6g?M53<2c:=l4?::k25g<722e:i=4?::a777=8391<7>t$22`>1b<@:>?7d?>a;29?l76j3:17b?j0;29?xd4::0;684?:1y'75e===1C?9:4i03b>5<5<5<55;294~"48j0>;6F<459j54g=831b=<7:186>5<7s-9;o7;9;I170>o69h0;66g>1c83>>o69j0;66g>1e83>>i6m90;66sm33c94?3=83:p(>>l:458L6233`;:m7>5;h32f?6=3`;:o7>5;h32`?6=3f;n<7>5;|`06f<72<0;6=u+31a913=O;=>0e50z&04f<2>2B8895f10c94?=n98h1<75f10a94?=n98n1<75`1d294?=zj:9;6=4::183!57k3?>7E=;4:k25d<722c:=o4?::k25f<722c:=i4?::m2a5<722wi?><50;794?6|,::h6884H267?l76i3:17d?>b;29?l76k3:17d?>d;29?j7b83:17pl<3583>0<729q/?=m5549K7125<5<N4<=1b=50;9~f65?290>6=4?{%13g?323A9?86g>1`83>>o69k0;66g>1b83>>o69m0;66a>e183>>{e;:k1<7;50;2x 66d2:;;h32e?6=3`;:n7>5;h32g?6=3`;:h7>5;n3f4?6=3th8?n4?:483>5}#;9i1995G3568m47f2900e5<2290;w)=?c;76?M53<2c:=l4?::k25g<722c:=n4?::k25a<722e:i=4?::a6cb=83?1<7>t$22`>02<@:>?7d?>a;29?l76j3:17d?>c;29?l76l3:17b?j0;29?xd5no0;684?:1y'75e==>1C?9:4i03b>5<5<5<55;294~"48j0>;6F<459j54g=831b=><:186>5<7s-9;o7;9;I170>o69h0;66g>1c83>>o69j0;66g>1e83>>i6m90;66sm2`g94?3=83:p(>>l:4:8L6233`;:m7>5;h32f?6=3`;:o7>5;h32`?6=3f;n<7>5;|`206<72<0;6=u+31a912=O;=>0e50z&04f<2>2B8895f10c94?=n98h1<75f10a94?=n98n1<75`1d294?=zj8><6=4::183!57k3?=7E=;4:k25d<722c:=o4?::k25f<722c:=i4?::m2a5<722wi=9750;794?6|,::h68;4H267?l76i3:17d?>b;29?l76k3:17d?>d;29?j7b83:17pl>4c83>0<729q/?=m5579K7125<5<N4<=1b=50;9~f42a290>6=4?{%13g?323A9?86g>1`83>>o69k0;66g>1b83>>o69m0;66a>e183>>{e9<;1<7;50;2x 66d2<>0D>:;;h32e?6=3`;:n7>5;h32g?6=3`;:h7>5;n3f4?6=3th9?=4?:283>5}#;9i18i5G3568m47f2900e5<3290;w)=?c;3f2>N4<=1b=5<7s-9;o7:k;I170>o69h0;66g>1c83>>i6m90;66sm31:94?2=83:p(>>l:0g5?M53<2c:=l4?::k25g<722c:=n4?::m2a5<722wi>k950;194?6|,::h69j4H267?l76i3:17d?>b;29?j7b83:17pl<0`83>6<729q/?=m51d18L6233`;:m7>5;h32f?6=3f;n<7>5;|`1ef<72:0;6=u+31a90`=O;=>0ea2908wS6i;<1a4c73ty9in4?:2y]6`e<58?96?kl;<0f`?7b82wx>k=50;0xZ7`4349;87?j0:p3g<72:qU;o523c`9=f=:;kk1=;6=;0:?h5rs2g7>5<4sW9n863<25825d=:9l5222195`6<5:8?6lo4=3ce>4c73ty:>?4?:3y]574<588=6<<=;|q207<72;q6=8<51508942428o;7p}>4583>7}:9<81=9:4=066>4c73ty:8;4?:3y>504=9=<01<:8:0g3?xu6<10;6?u2140951><58>264b83>7}:9<81=9m4=06g>4c73ty:8h4?:3y>504=9=o01<:i:0g3?xu6=90;6?u21409506<58?:6lh510`897gd28o;7p}>e083>6}:9l81=h>4=3ce>47f349im7?>b:p6c>=838p1?h6:0g3?857>3;:m6s|2gc94?4|5;li6km50;0x97`c28o;70=?6;32g>{t:oo1<74c7349;47?>a:p756=838p1>>>:0g3?85703;:n6s|31094?4|5::86k:50;0x97`228o;70=?a;32e>{t:o<1<74c7349;m7?>b:p7g>=838p1>l7:32e?85ej3k;7p}1}:;kh1>=h4=313>47e3488>7?>a:?1ef<69h1v?ok:18184fm3;n<63=ab825g=z{:8;6=4={<110?76k278><4>e19~w6452909w0==4;32`>;4::0:i=5rs30e>5<5s488?7?>a:?175<6m91v?=>:181844;3;:n63=3382a5=z{:9n6=4={<176?54m278?i4>1c9~w6d>2909w0=m8;;`?85ei3;n<6s|30d94?5|5:8?61`9~w6622909w0=?6;3f4>;5n<0:=o5rs224>5<5s49;47?j0:?1b2<69k1v>>6:181857<3;:n63<0`82a5=z{:8>6=4={<176?55=278>;4>e19~w74c2909w0<=e;3f4>;5;;0:=o5rs204>5<5s49?>7==7:?06=<6m91v><6:181853:399563<2`82a5=z{:8i6=4={<176?55j278>n4>e19~w64c2909w0=;2;11`>;4:l0:i=5rs20e>5<5s49?>7==f:?075<6m91v>=6:181853:398563<3`82a5=z{:;n6=4={<366?56m278?i4>e19~w65e2908w0=;2;10f>;4;j0:i=522g7954g53z?007<4;816?><51d2897`028;j7p}<3283>7}:;=81?>=4=217>4c73ty8?84?:3y>714=;:?01>=9:0g3?xu4;>0;6?u23509761<5:936?m51d28943a28;i70<<0;32e>{t;k0;6kuQ3c9>570=;k16=h<510a8974d28;i70<=e;32e>;5mm0:=o522`g954b<58>861e9>51?=98n01<:m:03g?873l3;:h63>4g825a=:9<;1=6g;5:l0:=o522df954b<5;kn61c9>511=98h01<:6:03b?873j3;:o63>4e825f=:9=l1=47d3-8m<7=n;o0fb?739370?j2;32f>;5:l0:=n522df954g<5:8:64>1c9>513=98k01<:8:03`?87313;:o63>4c825g=:9=n1=47e34;>=7?>b:&1b5<4i2d9ik4=;|q02?6=mrT8:63>27802>;6m;0:=l522df954e<5:8:64>1`9>513=98i01<:8:03b?87313;:n63>4c825d=:9=n1=47f34;>=7?>a:&1b5<4i2d9ik4<;|q00?6=;rT8863>27800>;4::0:=i5+2g297d=i:ll186s|3283>6}Y;:16=?85329>775=98i0(?h?:2c8j7ca2<1v><50;1xZ64<588=6><4=200>47e3-8m<7=n;o0fb?039:70==3;32e>"5n908m6`=eg84?xu483:1ivP<0:?263<48278>;4>1b9>77>=98h01>47c349887?>d:?073<69m16?>6510f8965f28;o70="5n908m6`=eg8;?xu5n3:1ivP=f:?263<5n278>;4>1c9>77>=98k01>47e349887?>a:?073<69j16?>6510a8965f28;h70="5n908m6`=eg8:?xu5m3:1ivP=e:?263<5m278>;4>1e9>77>=98n01>47d349887?>c:?073<69k16?>6510c8965f28;i70="5n908m6`=eg8b?xu5l3:1ivP=d:?263<5l278>;4>1`9>77>=98i01>47f349887?>b:?073<69h16?>6510`8965f28;j70="5n908m6`=eg8a?xu5k3:14vP=c:?263<5k279j44>1e9>6cd=98n01?hk:03g?84an3;:o63<00825f=:;991=6g|V;h01<<9:3`897`>28;h70;5nm0:=n522gd954d<5:::658z\77>;6:?0??63=f8825d=::oh1=47e348mj7?>a:?044<69m16?==510f8 7`72:k0b?ki:d9~w14=832pR9<4=005>14<5;l261`9>6c`=98n01>>>:03b?857;3;:o6*=f180e>h5mo0m7p}>dc83>7}:;=21>=:4=2`;>d6rF88;4>{I3b7>{K;==1>v`"4n10>7p*<0b816<=]0009w44i:|X1a`<5sh0n6pg7f;29?l4cm3:17bl;:188m6g42900e>k;:188m6ee2900e?2900c>l?:188k77a290/>=6520g8j7602910c??k:18'65>=:8o0b?>8:098k74?290/>=6520g8j7602;10c?<8:18'65>=:8o0b?>8:298k741290/>=6520g8j7602=10c?<::18'65>=:8o0b?>8:498k743290/>=6520g8j7602?10c?<<:18'65>=:8o0b?>8:698k745290/>=6520g8j7602110c?<>:18'65>=:8o0b?>8:898k747290/>=6520g8j7602h10c??l:18'65>=:8o0b?>8:c98m6>=83.9<54<7:l142<732c8:7>5$32;>61o4;3:1(?>7:258j7602:10e><50;&14=<4?2d9<:4;;:k05?6=,;:36>94n324>0=h58>0=76g=f;29 76?2:=0b?>8:698m7c=83.9<54<7:l1425$32;>61o5j3:1(?>7:258j7602k10e9=50;&14=<4?2d9<:4l;:k76?6=,;:36>94n324>a=h58>0n76g;0;29 76?2:=0b?>8:g98m6`=83.9<54<7:l142<6821b?h4?:%03;:k0`?6=,;:36>94n324>44<3`9h6=4+21:972=i:9=1=>54i2794?"58108;6`=06820>=e:k:1<7??:0824~J44?:029a?72sE9?:7?tH0c0?x"48j09n=5f18f94?=n90o1<75f18d94?=n9h:1<75f1`394?=n9h81<75f9d83>>i6n:0;66a>f483>>i6n>0;66a>f883>>i6nk0;66a>fe83>>i6no0;66a=0083>>i58;0;66lkc;297?7=;rF88;4>{I3b7>{#;9i1ho5fa183>>i58o0;66g6b;29?g1=8391<7>t$22`>a?<@:>?7A=;6;3x 4402:l?7pg7a;29?lg72900c?>i:188yv?e2909wS7m;<59dc83>7}Yi916;7o?;|aa2<72:0:6>uC35495~N6i:1v(>>l:e`8md6=831d>=h50;9j=g<722h<6=4<:183!57k3n27E=;4:N003<6s-;9;7=i4:j5;n03b?6=3ty2n7>52z\:f>;021k0q~?ka;296~;02;:m7S4=68b4>{zjo21<7=51;1xH62128qC=l=4}%13g?be3`k;6=44o32e>5<2680b1=za1k1<75fa183>>i58o0;66s|9c83>7}Y1k16;76n;|q2`d<72;q6;705<>o61m0;66g>9g83>>o6i;0;66akd;29?gc2290?6=4?{%13g?363A9?86g>1`83>>o69k0;66g>1b83>>i6m90;66smdg83>6<729q/?=m54d9K7125<5<4290;w)=?c;6f?M53<2c:=l4?::k25g<722e:i=4?::aa6<72?0;6=u+31a91d=O;=>0e5<7s-9;o7?j9:J001=n98k1<75f10`94?=n98i1<75f10f94?=h9l:1<75rsg:94?2|Vo201h;510a89a`=98k01h=510c8yvc0290?wSk8;47e34o:647d3tyoo7>54z\gg>;b=3;:m63kf;32f>;b93;:n6s|18f94?4|V83o70k<:03f?xu61o0;6?uQ18d89`5=98n0q~?n2;296~X6i;16i>4>1c9~wab=838pRij4=d695`64c734o?6a4<6m916i94>1b9~w`4=838p1h=51d289`2=98n0qpli7;29=?>=99q/?=m5f69j`f<722c:5i4?::k2e4<722cn;7>5;h3:b?6=3`;2i7>5;h3b4?6=3`l36=44od:94?=en?0;694?:1y'75e==81C?9:4i03b>5<5<5<3290;w)=?c;71?M53<2c:=l4?::k25g<722c:=n4?::m2a5<722wiin4?:783>5}#;9i19l5G3568m47f2900e1`83>>o69k0;66a>e183>>{en90;6>4?:1y'75e=5<5<n7E=;4:k25d<722c:=o4?::m2a5<722wij94?:583>5}#;9i19?5G3568m47f2900e1`9>af<69m16j94>1c9~w4g6290?wS?n1:?e2?76j27nm7?>c:?e0?76k2wxi:4?:6y]a2=:mh0:=o52eb825g=:ml0:=l52f1825g=:n;0:=l52f5825d=z{83m6=4<{_3:b>;bi3;:m63jc;32e>{t90o1<7a183>7}Y9h:01hm510a8yv`?2908wSh7;47e34l;6{tm00;6?u2f782a5=:n<0:=l5rsdc94?4|5lk1=h>4=g7954d4c734l>6b5<6m916j84>1d9~wc7=838p1k<51d289c3=98l0q~h<:1818`328o;70h::003?x{e99>1<7656;fx 66d28:?7d?6d;29?l7>n3:17dh7:188m4g62900eh950;9j`f<722c:m?4?::me=?6=3k;;?7>55;294~"48j0>96F<459j54g=831b=b;29?l76k3:17d?>d;29?j7b83:17plid;297?6=8r.8>l:5g8L6233`;:m7>5;h32f?6=3f;n<7>5;|`244<72<0;6=u+31a913=O;=>0e50z&04f<6mh1C?9:4i03b>5<5<5<47d3ty:5k4?:2y]5<`<58:8647e3ty:m<4?:2y]5d7<58:8647c3tyn;7>53z\f3>;aj3;:n63id;32f>{tlj0;6>uQdb9>bg<69j16jk4>1c9~w4g52909wS?n2:?244<69h1vk750;0xZc?<58:964>e19>554=98k0q~hm:1818`e28o;70??2;32f>{tnj0;6?u2fe82a5=:9981=5<5s4lm650;0x946628o;70??2;32a>{zj8l?6=4;:183!57k3?87E=;4:k25d<722c:=o4?::k25f<722e:i=4?::a5c0=83>1<7>t$22`>06<@:>?7d?>a;29?l76j3:17d?>c;29?j7b83:17pl>f983>1<729q/?=m5539K7125<5<54;294~"48j0>>6F<459j54g=831b=50;9~f4`d290?6=4?{%13g?363A9?86g>1`83>>o69k0;66g>1b83>>i6m90;66sm1gg94?2=83:p(>>l:408L6233`;:m7>5;h32f?6=3`;:o7>5;n3f4?6=3th9<=4?:583>5}#;9i19<5G3568m47f2900e50z&04f<3m2B8895f10c94?=n98h1<75`1d294?=z{mi1<7mt=ea965`<5l<1hn52f68gg>;68=0oo63>f5825f=:9o<1=47f34;mm7?>a:?2bf<69h16=kk510a8976728;i70{tm>0;6nu2e6814c=:m?0n;63i7;g4?877<3o<70?i4;32f>;6n?0:=o521g:954d<58lj61c9>656=98k01?><:03a?xua03:1nv3i8;03b>;b>3l370h8:g:894632o2013;:m63>f9825f=:9ok1=47d34;mi7?>a:?145<69j1v<7k:187[7>l27n:7?6d:?e3?7>l27:<94>9e9~w4?b2909wS?6e:?e3?7>m2wx=4h50;6xZ4?a34o=6<7i;4?a34;;87?6f:p5d6=838pR4g73ty:m<4?:2y]5d7<5o=1=l?4=027>4g63ty:m?4?:2y]5d4<5l<1=l<4=027>4g53ty2i7>54z\:a>;ck33i70k8:8`89c>=1k1ve19~w4`22909wS?i5:?2b3<6m91ve19~w4`>2909wS?i9:?2bd<6m91ve19~w4`c2909wS?id:?2b`<6m91ve19~w7652909wS52z?e;69:0:j>5rs0d6>5<5sW;m963>1282b0=z{8l<6=4={_3e3>;69:0:j:5rs0d:>5<5sW;m563>1282b<=z{8li6=4={_3ef>;69:0:jo5rs0dg>5<5sW;mh63>1282ba=z{8lm6=4={_3eb>;69:0:jk5rs322>5<5sW8;=63>128144=z{;:96=4={_036>;69:095<5sW;2h63>1282=a=z{83n6=4={_3:a>;69:0:5h5rs0;e>5<5sW;2j63>1282=c=z{8k;6=4={_3b4>;69:0:m=5rs0c2>5<5sW;j=63>1282e4=z{8k96=4={_3b6>;69:0:m?5r}c3fa?6==3819vB<4782M7f;2wG?995az&0b=<13-9m:7=84:&0a`k2d8i44>;%1e6?4a92d8il4?;|&04f<6k91b5o4?::k:g?6=3`k;6=44o32e>5<0<525<t$22`>ag<@:>?7A=;6;3x 4402:l?7pg7e;29?l?42900e5o50;9je5<722e9t$22`>47?3A9?86a>1683>>{t1k0;6?uQ9c9>3?>f3ty2o7>52z\:g>;021o0q~?ka;296~;4<<0:=:527;;0?xu6lk0;6?u27;03b>X58o1v0e7}Y:9l01:4=0g9~w7`62908wSd6<5::186>7<2sE9?:7?tH0c0?xJ4<>0jw)=i8;48 6`12:=?7)=je;c3?!5a838;j6*h4m10:7)=jd;;`?k5b13;0(>h=:3d2?k5bi3:0q)=?c;3`3>o>j3:17d7l:188md6=831d>=h50;9j6c7=831i;7>55;091~J450;9l65`=831b5o4?::k:g?6=3`386=44b683>0<729q/?=m5d`9K712=64<729q/?=m510:8L6233f;:;7>5;|q:f?6=:rT2n638:9c8yv?d2909wS7l;<59<`=z{8nj6=4={<171?76?27<64=4}r3gf?6=:r7<6?>i;_03b>{t9mi1<78m:180>5<7s-9;o7?j4:J001=n98k1<75f10`94?=h9l:1<75rs8`94?4|V0h01:46b:p=f<72;qU5n5237`954d52z\14c=:?38;j6s|2g394?5|V;l:7095a19>73d=98k0q~=9a;296~;020i01>8m:0g3?x{e?m0;6>4>:2yO710=9rB:m>5rL264>4}#;o21:6s+31a95f>5<7s-9;o7j6;I170>o?i3:17do?:188k76a2900q~7m:181[?e34=14l5rs0fb>5<5s4=1>=h4^32e?xu6lk0;6?uQa19>3?g73twi>9750;195?5|D:>=6h7:79~ 66d28i27do?:188k76a2900e4l50;9a3?6=;3:1N4<=1G?9851z&262<4n=1ve5o50;9je5<722e9X58o1vc`9je5<722e9:;;M172?7|,88<6>h;;|k;e?6=3`k;6=44o32e>5<i;|q2`g<72;qUm=527;c3?x{e::?1<7=51;1xH62128qC=l=4}M173?7|,:l36;5r$22`>4ee3`k;6=44o32e>5<2680b1=za1k1<75fa183>>i58o0;66s|9c83>7}Y1k16;76n;|q2`d<72;q6;7{I3b7>{K;==1=v*>i58o0;66g6b;29?g1=8391<7>t$22`>a?<@:>?7A=;6;3x 4402:l?7pg7a;29?lg72900c?>i:188yv?e2909wS7m;<59dc83>7}Yi916;7o?;|a7=`=8391>7:tL265>4}O9h90qA=;7;3x 6`?2?1v(>>l:0ca?lg72900c?>i:188m53;294~"48j0o56F<459j5;n03b?6=3th2j7>52;294~"48j0:>55G3568m47>2900c47>3ty:hl4?:3y>3?>f343m6o?50;9j60e=831b>8>50;9j604=831b>o<50;9j61e=831b>8:50;9a60g=83?1<7>t$22`>01<@:>?7d?>a;29?l76j3:17d?>c;29?l76l3:17b?j0;29?xd5=10;694?:1y'75e==;1C?9:4i03b>5<5<0e3:1>vP=579>60?=9l:0q~8o510f8yv42k3:1>vP=5b9>60g=98k0q~<:0;296~X5=916>8o510`8yv42:3:1>vP=539>60g=98i0q~86510a8yv43k3:1>vP=4b9>60>=98h0q~<:4;296~X5==16>86510c8yv42?3:1>v3=5`82a5=::<31=e19>60?=98h0qpl=6683><<22mq/?=m52758k73a2900e?l>:188m73d2900e?;?:188m7d42900e?l;:188m7d02900e?l=:188m72d2900n?89:180>5<7s-9;o7:j;I170>o69h0;66g>1c83>>i6m90;66sm27394?5=83:p(>>l:0g0?M53<2c:=l4?::k25g<722e:i=4?::a634=8391<7>t$22`>1b<@:>?7d?>a;29?l76j3:17b?j0;29?xd5>=0;6>4?:1y'75e=5<5<56;294~"48j0:il5G3568m47f2900evP=5g9>633=9l:0q~;<510c8yv42k3:1?vP=5b9>630=98k01?8>:03b?xu5=90;6?uQ2428970128;i7p}=b283>7}Y:k901?8::03a?xu5j=0;6?uQ2c68970228;h7p}=b683>7}Y:k=01?8::03g?xu5j;0;6?uQ2c08970328;j7p}=4b83>7}Y:=i01?8;:03a?xu5>90;6?u227495`6<5;<:6;?51d28970528;i7p}>dc83>7}::?81=h>4=346>47f3ty9:>4?:3y>632=9l:01?8::03f?x{e:=:1<7m57;31!57k38?<6a=3783>>o5j80;66g=5b83>>o5=90;66g=5383>>o5j:0;66g=b583>>o5j<0;66g=b783>>o5j;0;66g=4b83>>o5==0;66l=3g83>1<729q/?=m5539K7125<5<53;294~"48j0:i>5G3568m47f2900e5<4290;w)=?c;6f?M53<2c:=l4?::k25g<722e:i=4?::a66e=8391<7>t$22`>4c43A9?86g>1`83>>o69k0;66a>e183>>{e::n1<7=50;2x 66d2=n0D>:;;h32e?6=3`;:n7>5;n3f4?6=3th9?h4?:683>5}#;9i1=hl4H267?l76i3:17d?>b;29?l76k3:17d?>d;29?l76m3:17d?>f;29?j7b83:17p}=3783>7}Y::<01?=j:0g3?xu5j80;6?uQ2c38975>28;j7p}=5b83>6}Y:{t:<81<7{t:k>1<770<{t:k<1<7{t:=i1<7=t^36`?844j3;:m63=3b825d=z{;??6=4={_060>;5;k0:=o5rs314>5<5s488j7?j0:?17=<69k1v1`9~w75f2909w0<;5;j0:=o5rs0f`>5<5s488o7?j0:?17a<69k1v54;192~"48j09:i5`20a94?=n:>o5j3:17o<9c;297?6=8r.85<4290;w)=?c;6f?M53<2c:=l4?::k25g<722e:i=4?::a63d=8391<7>t$22`>4c43A9?86g>1`83>>o69k0;66a>e183>>{t:8i1<7;5>h0:=l5rs2794?4|V:?01?8l:03a?xu5j3:1>vP=b:?12d<69k1v?87:181841k3;n<63=6c825d=z{;<26=4={<05e?7b8279:o4>1c9~yg40;3:187=56z&04f<5?:1d>?>50;9j60c=831b?n4?::k1g?6=3k8<>7>53;294~"48j0?h6F<459j54g=831b=5<7s-9;o7:j;I170>o69h0;66g>1c83>>i6m90;66sm26394?5=83:p(>>l:0g0?M53<2c:=l4?::k25g<722e:i=4?::p676=838pR?8k50;1xZ73b348<>7?>a:?135<69h1v>m50;0xZ6e<5;=961`9~w70a2909w0<80;3f4>;5?80:=o5r}c04=?6=<391:v*<0b813<=h:;;1<75f24g94?=n;m0;66g=d;29?g4003:1?7>50z&04f<3l2B8895f10c94?=n98h1<75`1d294?=zj;==6=4<:183!57k3>n7E=;4:k25d<722c:=o4?::m2a5<722wi>:950;194?6|,::h6o69h0;66g>1c83>>i6m90;66s|23394?4|V;8:70<87;3f4>{t:1c9~w7132909w0<88;3f4>;5?>0:=l5rs356>5<5s48<:7?j0:?132<69k1vqo<8f;290?5=>r.88k50;9j7`<722c9i7>5;c04a?6=;3:1N4<=1b=50;9~f71d29086=4?{%13g?2b3A9?86g>1`83>>o69k0;66a>e183>>{e:>n1<7=50;2x 66d28o87E=;4:k25d<722c:=o4?::m2a5<722wx>?<50;0xZ7453481`9~w6c=838pR>k4=35f>47e3ty9i7>52z\1a>;5?j0:=o5rs35b>5<5s4854;192~"48j09485`23194?=n:>o5n3:17o<74;297?6=8r.85<4290;w)=?c;6f?M53<2c:=l4?::k25g<722e:i=4?::a6=5=8391<7>t$22`>4c43A9?86g>1`83>>o69k0;66a>e183>>{t:;91<7;50;0:=l5rs2d94?4|V:l01?6;:03a?xu5n3:1>vP=f:?1<7<69k1v?6?:18184?<3;n<63=82825d=z{;2:6=4={<0;6?7b82794>4>1c9~yg4?j3:187=56z&04f<50k1d>?:50;9j60c=831b8=4?::k04?6=3k83m7>53;294~"48j0?h6F<459j54g=831b=5<7s-9;o7:j;I170>o69h0;66g>1c83>>i6m90;66sm29;94?5=83:p(>>l:0g0?M53<2c:=l4?::k25g<722e:i=4?::p672=838pR?<;;<0;=?7b82wx>8k50;1xZ73b3483m7?>a:?1<=<69h1v9>50;0xZ16<5;2j61`9~w7>02909w0<78;3f4>;5000:=o5r}c0:5?6=<391:v*<0b81=4=h:;?1<75f24g94?=n<80;66g<1;29?g4>83:1?7>50z&04f<3l2B8895f10c94?=n98h1<75`1d294?=zj;2n6=4<:183!57k3>n7E=;4:k25d<722c:=o4?::m2a5<722wi>5h50;194?6|,::h6o69h0;66g>1c83>>i6m90;66s|23794?4|V;8>70<7f;3f4>{t:83;:m63=8d825d=z{=;1<71c9~w7>d2909w0<60;3f4>;50o0:=l5rs3:g>5<5s483i7?j0:?1r.88k50;9j07<722c8>7>5;c0:2?6=;3:1N4<=1b=50;9~f7?329086=4?{%13g?2b3A9?86g>1`83>>o69k0;66a>e183>>{e:0?1<7=50;2x 66d28o87E=;4:k25d<722c:=o4?::m2a5<722wx>?850;0xZ741348297?j0:p60c=839pR?;j;<0:2?76i279594>1`9~w14=838pR9<4=3;5>47e3ty8>7>52z\06>;51=0:=o5rs3;1>5<5s482:7?j0:?1=0<69h1v?7<:18184><3;n<63=94825g=zuk82h7>54;192~"48j095i5`23594?=n:>o4;3:17o<6c;297?6=8r.85<4290;w)=?c;6f?M53<2c:=l4?::k25g<722e:i=4?::a6t$22`>4c43A9?86g>1`83>>o69k0;66a>e183>>{t:;=1<7j3;n<6s|24g94?5|V;?n70<6c;32e>;51h0:=l5rs5194?4|V=901?7l:03a?xu4;3:1>vP<3:?1=d<69k1v?77:18184>k3;n<63=9c825d=z{;326=4={<0:e?7b82795o4>1c9~yg4f;3:187=56z&04f<5i:1d>?650;9j60c=831b?;4?::k00?6=3k8j>7>53;294~"48j0?h6F<459j54g=831b=5<7s-9;o7:j;I170>o69h0;66g>1c83>>i6m90;66sm2`394?5=83:p(>>l:0g0?M53<2c:=l4?::k25g<722e:i=4?::p67>=838pR?<7;<0b5?7b82wx>8k50;1xZ73b348j>7?>a:?1e5<69h1v>850;0xZ60<5;k961`9~w7?a2909w0;5i80:=o5r}c0`0?6=;3:10e7>50z&04f<6:11C?9:4i03:>5<0e299K7125<52;294~"48j0:>55G3568m47>2900c7>50z&04f<6:11C?9:4i03:>5<0ee29K7125<N4<=1b=50;9~f72029086=4?{%13g?7b;2B8895f10c94?=n98h1<75`1d294?=zj:i26=4<:183!57k3>m7E=;4:k25d<722c:=o4?::m2a5<722wi?i:50;794?6|,::h6864H267?l76i3:17d?>b;29?l76k3:17d?>d;29?j7b83:17pl0<729q/?=m5599K7125<5<N4<=1b=50;9~f6e7290?6=4?{%13g?353A9?86g>1`83>>o69k0;66g>1b83>>i6m90;66sm3b194?5=83:p(>>l:0g0?M53<2c:=l4?::k25g<722e:i=4?::a615=83?1<7>t$22`>02<@:>?7d?>a;29?l76j3:17d?>c;29?l76l3:17b?j0;29?xd5<<0;694?:1y'75e==91C?9:4i03b>5<5<N4<=1b=50;9~f72c29086=4?{%13g?2b3A9?86g>1`83>>o69k0;66a>e183>>{e:=l1<7:50;2x 66d2<;0D>:;;h32e?6=3`;:n7>5;h32g?6=3f;n<7>5;|`11a<72=0;6=u+31a95`0<@:>?7d?>a;29?l76j3:17d?>c;29?j7b83:17pl=5083>1<729q/?=m5509K7125<5<?7>54;294~"48j0><6F<459j54g=831b=50;9~f73229086=4?{%13g?2c3A9?86g>1`83>>o69k0;66a>e183>>{e;0;1<7<50;2x 66d28837E=;4:k25<<722e:i=4?::p;5=l02n63=348:f>;5lk02n63<8g8:f>{t:mo1<76t^3ff?84e83;j=63=42825g=::=?1=47e348?j7?>b:?116<69j16>8;510`8yv5c:3:1>vP7a3=9l:0q~=71;292~X40816?n7510c896b328;j70=m2;32e>;4j?0:=l523b2954d52z\0g2=:;jk1=h>4}r1aa?6=:rT8nh523b395`652z\0=h4}r0gg?6=;rT9hn522c295i6k?4=362>7`634=o6l>4=36a>47d348?h7?>a:?10c<69j16>8?510a8973428;j70<:5;32e>{t;k>1<7{t;l>1<7;t^2g7?85d13;:n6347e3ty8oo4?:3y]7fd<5:n?6?o4=3`3>4?a348h87?>a:?2b5<69k16?n>510a8972428;o70<;b;32e>;54c73ty9ml4?:3y]6dg<5:i=6o74=3a7>4c73ty8n=4?:3y]7g6<5:h86a19>3a<58o16>9l510`8yv44<3:18v3=4982a5=:;m>1=47c349h<7?>a:p614=838p1?:8:03b?843;3;n<6s|25694?4|5;><6il50;1xZ7ee348on7l::18185e?3;:563e19~w7522909w0<<5;03b>;5<10:=l5rs362>5<5s48?=7:18584e83;m?63=5c81f4=::?=1>o?4=363>7d634;m<7?>a:?106<69h1v?l=:18684e83;m963=5c81f7=::?=1>o<4=363>7d5348?97?>a:p6g5=839p1?l?:0d4?841?38i?63=4181f6=z{;>j6=4={<07f?7b82799i4>1`9~w7d32908w0;5>>09n95225296g255z?11g<5;9525a897272;>h70<;d;3f4>;5=m0:=o5rs3`6>5<5s48i<7?ib:?105<5j<1v?:j:181843n3;n<63=5e825f=z{;h=6=4={<0a4?7al2798=4=b79~w737290?w0<:b;064>;5>>099=522529606<5;?:6o>51gd897002;h<7p}=5383>6}::

8<4=363>735348>?7?j0:p5`c=83?p1?l?:0c1?87bm38;j63=42825f=::=?1=47f3ty9994?:2y>60d=:<>01?:?:377?842=3;n<6s|37;94?4|5;h;6?>=;<0gf?g73ty8n<4?:3y>7g5=98301>l=:0g3?xu4jo0;6?u23b295`6<5:i869?59b9>611=9l:0q~=60;296~;40o0j<63<9082a5=z{;?n6=4l{<06a?47n279:i4=5d9>625=:i63=84811`=::1h1>8k4=3;2>73b3482;7<:e:?1=a<5=l16>l=524g896?628;27p}=5g83>7}::52z?2a`<>k27:j=4>e19~w73d290?w0<:b;06g>;5>>099n52252960e<5;?o67}:::?1m=52252966052z?10<<58o16>n:510`8yv42>3:1>v3=488b4>;5=k099;5rs2a;>5<5s49hm7?>9:?0g<<6m91v?<7:181[450279m>4=299'6c6=:8o0b?ki:39~w7402909wS<=7:?1=a<5:>1/>k>520g8j7ca2:1v?<9:181[45>2795:4=279'6c6=:8o0b?ki:59~w7422909wS<=5:?1=4<5:<1/>k>520g8j7ca2<1v?<;:181[45<2794o4=259'6c6=:8o0b?ki:79~w7442909wS<=3:?1<0<5::1/>k>520g8j7ca2>1v?<=:181[45:279;k4=239'6c6=:8o0b?ki:99~w7462909wS<=1:?13<<5:81/>k>520g8j7ca201v?4=219'6c6=:8o0b?ki:`9~w77d2909wS<>c:?12a<59j1/>k>520g8j7ca2k1v>650;0xZ6>5<4sW9?7S<>f:?1e6<4<2.9j=4<7:l1ac<53ty8?7>52z\07>;51m08?6*=f1803>h5mo087p}<2;296~X4:2795:4<2:&1b5<4?2d9ik4;;|q05?6=:rT8=63=90805>"5n908;6`=eg86?xu483:1>vP<0:?152z\1b>;50<09j6*=f1803>h5mo0<7p}=e;296~X5m279;k4=e:&1b5<4?2d9ik47;|q1`?6=:rT9h63=7881`>"5n908;6`=eg8:?xu5k3:1>vP=c:?136<5k2.9j=4<7:l1ac52z\1f>;5>m09n6*=f1803>h5mo0i7p};3;296~X3;2795i4;3:&1b5<4?2d9ik4l;|q76?6=:rT?>63=96876>"5n908;6`=eg8g?xu393:1>vP;1:?1=4<392.9j=4<7:l1ac52z\74>;50k0?<6*=f1803>h5mo0m7p}0:p7`<72;qU?h5226d97`=#:o:1?:5a2dd954=z{:n1<72:n0(?h?:258j7ca2880q~=l:181[5d348"5n908;6`=eg820>{zj:=j6=4>3;04>63|D:>=6h6:5:8 6`?2<1v(>>l:01g?l>a2900e<=::188m43b2900e<<6:188m6>62900c:k50;9j3g<722e::=4?::k0e6<722c:?h4?::m22a<722c8i94?::k0gg<722e:9>4?::m1f<<722e85l4?::m2=4<722e?87>5;n6`>5<3;pD5;n3e7?6=3f;m97>5;n3e3?6=3f;m57>5;n3ef?6=3`;2h7>5;h3:a?6=3`;2j7>5;h3b4?6=3`;j=7>5;c327?6=j331jvB<4782M7f;2w/?=m521;8m4?c2900e<7j:188m4?a2900e6}K;=<1=vF>a29~ 66d2mh0el>50;9l65`=831b5o4?::`4>5<4290;w)=?c;f:?M53<2F88;4>{%313?5a<2wb4l4?::kb4?6=3f8;j7>5;|q:f?6=:rT2n638:9c8yv7ci3:1>v38:32e?[47n2wx=il50;0xZd6<5>0j<6srbd594?5=939p@>:9:0yK5d55<50z&04f08j95ri9c94?=ni90;66a=0g83>>{t1k0;6?uQ9c9>3?>f3ty:hl4?:3y>3?47n2T95<5sWk;7095a19~ygc1290?6?4:{%13g?c13`nh6=44i0c2>5<>db83:1?7>50z&04f<3l2B8895f10c94?=n98h1<75`1d294?=zjml1<7=50;2x 66d28o87E=;4:k25d<722c:=o4?::m2a5<722wxhn4?:3y]`f=:m90:=l5rs0c2>5<5sW;j=63j0;32f>{tm>0;6?uQe69>`c<69k1vij50;0xZab<5ml1=h>4}rff>5<5s4o;647f3twij:4?:985>a}#;9i1j:5f18f94?=nlj0;66gj7;29?l7f93:17d?6e;29?l7>n3:17d?n0;29?jc?2900nk=50;194?6|,::h69k4H267?l76i3:17d?>b;29?j7b83:17plja;297?6=8r.8>l:5g8L6233`;:m7>5;h32f?6=3f;n<7>5;|`fa?6=<3:1N4<=1b=50;794?6|,::h6884H267?l76i3:17d?>b;29?l76k3:17d?>d;29?j7b83:17pli2;292?6=8r.8e`9K7125<5<5<52z\2=a=:n:0:=o5rsea94?3|Vmi01k=510c89`g=98k01hk510`89c6=98h0q~k8:187[c034oj647e34on6;a83;:h6s|1`294?4|V8k;70h?:03b?xub03:1>vPj8:?e6?7b82wxi44?:3y>b6<6m916j?4>1`9~w`g=838p1ho51d289c4=98h0q~km:1818cd28o;70h=:03`?xubl3:1>v3je;3f4>;a:3;:h6s|eg83>7}:n90:i=52f3825`=zuk;m87>53;294~"48j0?i6F<459j54g=831b=5<7s-9;o7:k;I170>o69h0;66g>1c83>>i6m90;66sm1g:94?5=83:p(>>l:5g8L6233`;:m7>5;h32f?6=3f;n<7>5;|`2bd<72:0;6=u+31a90c=O;=>0e50z&04f<6m:1C?9:4i03b>5<5<76a34o=6im4=g59`f=:9o>1=47e34;m47?>b:?2bd<69k16=km510`8yvc02903w0k8:32e?8c12l=01k95e69>5c2=98h01f`825d=:9oi1=;a?3;2i6s|18d94?4|V83m70h8:0;e?xu6i90;6?uQ1`289c1=9h:0q~?n1;297~X6i816i;4>a09>b2<6i81v4k50;1xZ{t9o91<770?i6;3f4>{t9o=1<7{t9oh1<77}:lj0j<63j6;fg?xub03:1>v3j7;c3?8`02l20qp}6b;296~X>j27:=>46e:p5c5=838pR34;:?7?i9:p5cd=838pRm2wx=4h50;0xZ4?a34;:?7?6f:p5d6=838pR0q)=?c;3bg>of83:17b5}#;9i1h45G3568H62128q/=?953g68yl>f2900el>50;9l65`=831v4l50;0xZ03m6s|1ec94?4|5>09vPn0:?4>d60}K;=<1=vF>a29~H62028q/?k654:'75e=9hn0el>50;9l65`=831b5o4?::k:g?6=3`386=44b683>0<729q/?=m5d`9K712>o?i3:17do?:188k76a2900qo=;5;295?6=8r.8199K7125<5sW3i70958`9~w{t9mk1<747034=15>5rs0fa>5<5s4=1>=h4^32e?xu6lj0;6?uQa19>3?g73twi=nk50;696?2|D:>=6h7:59'7c0=;>>0(>kj:`28 6`72;:m7)=jc;;a?k5b03;0(>h=:3d2?k5bi3;0q)=?c;3ba>o>j3:17do?:188k76a2900e?h>:188f2<72:0:6>uC35495~N6i:1v(>>l:0c:?lg72900c?>i:188m53;294~"48j0o56F<459j5;n03b?6=3ty2n7>52z\:f>;021k0q~?ka;296~;02;:m7S4=68b4>{zj:?n6=4=:183!57k3;946F<459j54?=831d=h>50;9~w{t:9l1<7v38:`28963b28o;7psm9983>1<62:qG?9851zJ2e6=zD:><65u+3g:90>"4n?08;95+3dg9e5=#;o:1>=h4$2g`>4ga3`3i6=44i`294?=h:9l1<75f2g394?=e?3:1?7?53zN003<6sA;j?6s+31a95d?5<7s-9;o7j6;I170>o?i3:17do?:188k76a2900q~7m:181[?e34=14l5rs0fb>5<5s4=1>=h4^32e?xu6lk0;6?uQa19>3?g73twx5o4?:3y]=g=:?33i7p}=0g83>7}Y:9l01:4=0g9~w7`62909wSd655;091~J4=92.8ii46c:l0a<<63-9m?7=?b:l0ag<63t.8b19j=g<722c2o7>5;hc3>5<5<0;684=:4yO710=9rB:m>5r$22`>4g>3`k;6=44o32e>5<>o>;3:17o950;794?6|,::h6io4H267?l>b2900e4=50;9j5;n03b?6=3th8884?:083>5}#;9i1=<64H267?j76?3:17p}6b;296~X>j27<65o4}r;`>5<5sW3h70958d9~w4bf2909w0=;5;323>;02090q~?kb;296~;02;:m7S4=68b4>{zj:02n6s|9b83>7}Y1j16?;j510`8yv47n3:1>vP=0g9>3?47n2wx?=l50;1xZ66e34=1m=5237f954g52z?4>7;tL265>4}O9h90qA=;7;3x 6`?2=1v(>>l:0cg?lg72900c?>i:188m:;;h:f>5<>of83:17b:;;n323?6=3ty2n7>52z\:f>;021k0q~7l:181[?d34=14h5rs0fb>5<5s49?97?>7:?4><552z?4>76a3W8;j6s|1ea94?4|Vh:01:4n0:~f4??290>6?4:{M172?7|@8k87pB<4682!5a03>0q)=?c;3b`>of83:17b>{e;=?1<7?50;2x 66d28;37E=;4:m252<722wx5o4?:3y]=g=:?32j7p}6c;296~X>k27<65k4}r3ge?6=:r78884>169>3??43ty:ho4?:3y>3?47n2T95<5sWk;7095a19~yg7183:1?7?53zN003<6sA;j?6sC35595~"4n10?7p*<0b82g==ni90;66a=0g83>>o>j3:17o950;194?6|,::h6i74H267?l>f2900el>50;9l65`=831v4l50;0xZ03m6s|1ec94?4|5>09vPn0:?4>d60}K;=<1=vF>a29~H62028q/?k654:'75e=9hn0el>50;9l65`=831b5o4?::k:g?6=3`386=44b683>0<729q/?=m5d`9K712>o?i3:17do?:188k76a2900qo=;5;295?6=8r.8199K7125<5sW3i70958`9~w{t9mk1<747034=15>5rs0fa>5<5s4=1>=h4^32e?xu6lj0;6?uQa19>3?g73twi894?:282>6}K;=<1=vF>a29~H62028q/?k654:'75e=9j20el>50;9l65`=831b5o4?::`4>5<4290;w)=?c;f:?M53<2c3m7>5;hc3>5<5<5sW3i70958`9~w4bf2909w09521d8Z76a3ty:ho4?:3y]e5=:?3k;7psm14194?3=:3?p@>:9:0yK5d51=z,::h65<t$22`>ag<@:>?7d6j:188m<5=831b4l4?::kb4?6=3f8;j7>5;|`000<7280;6=u+31a954><@:>?7b?>7;29?xu>j3:1>vP6b:?4>=gv38:32e?[47n2wx=im50;0xZd6<5>0j<6srb0;2>5<22;0>wA=;6;3xL4g43tF88:4>{%1e5;h;a>5<>d0290>6=4?{%13g?bf3A9?86g7e;29?l?42900e5o50;9je5<722e9t$22`>47?3A9?86a>1683>>{t1k0;6?uQ9c9>3?>f3ty2o7>52z\:g>;021o0q~?ka;296~;4<<0:=:527;;0?xu6lk0;6?u27;03b>X58o1vk2d8i44>;%1e6?4a92d8il4?;|&04f<6j81b5o4?::k:g?6=3`k;6=44o32e>5<0<525<t$22`>ag<@:>?7A=;6;3x 4402:l?7pg7e;29?l?42900e5o50;9je5<722e9t$22`>47?3A9?86a>1683>>{t1k0;6?uQ9c9>3?>f3ty2o7>52z\:g>;021o0q~?ka;296~;4<<0:=:527;;0?xu6lk0;6?u27;03b>X58o1v0e7}Y:9l01:4=0g9~w7`62908wSd6<5::186>6<0sE9?:7?tH0c0?xJ4<>0jw)=i8;68 6`12:=?7)=je;c3?!5a838;j6*h4m10:7)=jd;;`?k5b13;0(>h=:3d2?k5bi3:0q)=?c;3a6>o>j3:17d7l:188md6=831d>=h50;9j6c7=831i;7>55;091~J450;9l65`=831b5o4?::k:g?6=3`386=44b683>0<729q/?=m5d`9K712>o?i3:17do?:188k76a2900qo=;5;295?6=8r.8199K7125<5sW3i70958`9~w{t9mk1<747034=15>5rs0fa>5<5s4=1>=h4^32e?xu6lj0;6?uQa19>3?g73twi?8k50;194?6|,::h69j4H267?l76i3:17d?>b;29?j7b83:17pl<6c83>6<729q/?=m51d68L6233`;:m7>5;h32f?6=3f;n<7>5;|q:f?6=:rT2n638:8`8yv?d2909wS7l;<15f?76j2wxm=4?:3y]e5=:;{t:o;1<7=t^3d2?852m3;:m63<6c825d=z{:?o6=4={<59e5=:;4}r15e?6=:r7<64m4=24a>4c73twi;h4?:480>2}K;=<1=vF>a29~H6202hq/?k654:&0b3<4?=1/?hk5a19'7c6=:9l0(>kl:8`8j6c?281/?hj59b9m7`?=92.8j?4=f09m7`g=82w/?=m51c08m5;h0e5?6=3k=1<7;52;7xH62128qC=l=4}%13g?7f12cj<7>5;n03b?6=3`3i6=44i8a94?=n1:0;66l8:186>5<7s-9;o7jn;I170>o?m3:17d7<:188m=g=831bm=4?::m14c<722wi?9;50;394?6|,::h6i69>0;66s|9c83>7}Y1k16;76n;|q:g?6=:rT2o638:9g8yv7ci3:1>v3<448252=:?3387p}>dc83>7}:?38;j6P=0g9~w4bd2909wSo?;<59e5=zuk9>i7>53;294~"48j0?h6F<459j54g=831b=8m:180>5<7s-9;o7?j4:J001=n98k1<75f10`94?=h9l:1<75rs8`94?4|V0h01:46b:p=f<72;qU5n5237`954d70c=98k01>8m:03b?xu4=m0;6?u27;c3?852m3;n<6s|37c94?4|5>02o63<6c82a5=zuk;=n7>57;79f~"48j0::o5`17194?=n:9n1<75f4b83>>o6>80;66g=0`83>>o58k0;66g>3583>>d6>h0;6>4?:1y'75e=5<5<53;294~"48j0:i>5G3568m47f2900e5<4290;w)=?c;6f?M53<2c:=l4?::k25g<722e:i=4?::a53?=83>1<7>t$22`>4c13A9?86g>1`83>>o69k0;66g>1b83>>i6m90;66s|17194?4|V8<870?99;3f4>{t:9n1<73;:m6s|4b83>6}Y6083>7}Y9?;01<8n:03a?xu58h0;6?uQ21c8940>28;i7p}=0c83>7}Y:9h01<87:03a?xu6;=0;6?uQ1268940?28;j7p}>6583>7}:9?k1=h>4=046>47e3ty:hl4?:3y>533=9l:01<89:03a?xu6lk0;6?u217495`6<58<2628;h7psm16794?2=;3>l:056?j7083:17d:l:188m41d2900e>k;:188f41329086=4?{%13g?2c3A9?86g>1`83>>o69k0;66a>e183>>{e9>81<7=50;2x 66d2=o0D>:;;h32e?6=3`;:n7>5;n3f4?6=3th:;>4?:283>5}#;9i1=h=4H267?l76i3:17d?>b;29?j7b83:17p}>7183>7}Y9>:01<9<:0g3?xu3k3:1?vP;c:?231<69h16=:<510c8yv70k3:1>vP>7b9>522=98h0q~=j4;296~X4m=16=:<510`8yv7093:1>v3>7582a5=:9>91=e19>525=98h0qpl>5883>1<42?q/?=m514;8k4332900e9m50;9j50g=831b?l=50;9a50>=8391<7>t$22`>1b<@:>?7d?>a;29?l76j3:17b?j0;29?xd6=?0;6>4?:1y'75e=5<5<;7>53;294~"48j0:i>5G3568m47f2900e1`9~w43f2909wS?:a:?21=<69k1v>o<:181[5f;27:9;4>1c9~w4322909w0?:8;3f4>;6=>0:=l5rs075>5<5s4;>:7?j0:?212<69k1vqo?67;290?5=>r.8969l5<4=831b8n4?::k2==<722c8oo4?::`2=3<72:0;6=u+31a90a=O;=>0e<3:1?7>50z&04f<3n2B8895f10c94?=n98h1<75`1d294?=zj83>6=4<:183!57k3;n?6F<459j54g=831b=:27:584>e19~w1e=839pR9m4=0;5>47f34;287?>a:p5<>=838pR<77;<3:2?76j2wx?nl50;0xZ6ee34;287?>b:p5<5=838p1<79:0g3?87>=3;:m6s|18694?4|583?65<7s-9;o7?j7:J001=n98k1<75f10`94?=n98i1<75`1d294?=zj:3i6=4;:183!57k3?:7E=;4:k25d<722c:=o4?::k25f<722e:i=4?::a52c=83<1<7>t$22`>0?<@:>?7d?>a;29?l76j3:17d?>c;29?l76l3:17d?>e;29?j7b83:17pl6a;297?6=8r.85<4290;w)=?c;6g?M53<2c:=l4?::k25g<722e:i=4?::a5=5=83?1<7>t$22`>00<@:>?7d?>a;29?l76j3:17d?>c;29?l76l3:17b?j0;29?xd5k:0;6>4?:1y'75e=5<5<54;294~"48j0:i;5G3568m47f2900e50z&04f<292B8895f10c94?=n98h1<75f10a94?=h9l:1<75rb05:>5<3290;w)=?c;3f<>N4<=1b=5<7s-9;o7:j;I170>o69h0;66g>1c83>>i6m90;66sm16`94?5=83:p(>>l:0g0?M53<2c:=l4?::k25g<722e:i=4?::a5c4=8391<7>t$22`>4c43A9?86g>1`83>>o69k0;66a>e183>>{e91=1<7=50;2x 66d28o87E=;4:k25d<722c:=o4?::m2a5<722wi=i>50;094?6|,::h6<<7;I170>o6900;66a>e183>>{e9t$22`>44?3A9?86g>1883>>i6m90;66sm16594?4=83:p(>>l:00;?M53<2c:=44?::m2a5<722wi=5;50;094?6|,::h6<<7;I170>o6900;66a>e183>>{t0o0;620h01<=;:8`8941d20h01=1k168n46b:?21d<>j27:5546b:?225<>j27::i46b:?70??e34;>?77m;<3:5??e34;ni77m;<3;5??e34=n64l4}r301?6=;rT:?8527d8b4>;60<0:=45rs07f>5<5sW;>i63=c2825g=z{8826=4={_31=>;6;=0j<6s|39394?4|V:2:70=6b;32e>{t?l0;6?uQ7d9>3`<58o1v:l50;:xZ2d<5;:26<7j;<3fa?4a927:4<4=f09>3`<5n816=:k510a8940528;h70?89;32e>{t9?:1<7;6=j0:=45rs01f>51=47e34;e:?2b5<69h16=;<510`8941>28;i7p}>6e83>7}Y9?n01<8k:32e?xu4m=0;69uQ3d68941d2h:01<9::2g7?85>j3;:o6s|3b`94?5|V:ii70?67;1`f>;61h0:=45rs070>5<5sW;>?63>52814c=z{;h26=4={_0a=>;5k=0:i=5rs2;b>5<5sW92m63<9c82a5=z{83:6=4={_3:5>;61809019:521d8yv2d290hwS:l;<03=?7>l27?o71c9>5=5=98h01?m<:03b?871n3;:m6s|19694?4|582:6l>4=0:6>4c73ty:5?4?:3y>5<7=i916=4951808yv70l3:1?v3>7b8:g>;6?l0:i=521e2954?52z?22c<6m916=:l510c8yv74<3:18v3=0882e4=:9:>1>=h4=04a>45334;3?7?>a:p537=838p1<8m:042?871:3;n<6s|9883>7}:1109j<529`82a5=z{8on6=49{<03=?7f827:ih4=0g9>52c=98h01<6<:03`?871:3;:m63>78825f=z{021<75<5s4;hi7:18187a83;:n63>f382a5=z{;:n6=4={<03=?7aj27:;o4>1c9~w4>52908w0?71;;`?81b20i01<6<:0g3?xu6=k0;6?u214c9e5=:94}r3;2?6=:r7:4>4>1e9>5=1=9l:0q~?86;296~;6>m02o63>7682a5=z{;:j6=48{<03=?7a;27?87o?;<367??d34;2=77l;<35f?47i272m7?>a:?2<5<69h1v<9i:181870m3;:h63>8182a5=z{;:i6=4<{<03=?7a=27::o4=0c9>5=6=98h0q~=751g;8940e2;:o70?i2;32e>;60>0:=l5rs05`>5<5s4;{t9jo1<7:t=0af>76a34>h6>>m;<36e??d34;2477l;|q21d<72;q6=8o521d8943>28?j7p}>5583>7}:9<91m=5214;950252z?22a719~w4042909w0?90;c3?871j3;=?6s|16:94?4|58=26n<50;1x97e328;h70;6n;0:=o5rs05b>5<5s4;03k;70?6a;3f4>{t9021<776a34;2;7?68:p5=7=838p1<6>:32e?84d<3;:n6srb25:>5<6=39n68:tL265>4}O9h90qA=;7;0xj6`>2<20(>h7:49~ 66d289h7W6=44i2ff>5<5<5<k3:17b:;:188k6b12900n>:::182>5<7s-9;o7?>8:J001=h98=1<75rb0:;>5<683;1==uC35495~N6i:1v@>:8:0y'7c>=;2w/?=m51048m=<50;9j550;9j5d7=831b=l<50;9a545=83;;6i4>4zN003<6sA;j?6s+31a95=>5<5<5<4>:2yO710=9rB:m>5r$22`>ad5<7s-9;o7j6;I170>J4{n0h0;66gn0;29?j47n3:17p}6b;296~X>j27<65o4}r3ge?6=:r7<6?>i;_03b>{t9mh1<7=6N4<=1G?9851z&262<4n=1ve5o50;9je5<722e9X58o1v5<42808wA=;6;3xL4g43t.85;h;a>5<0;6>4?:1y'75e=l01C?9:4L265>4}#9;=1?k:4}h:b>5<=h4}r3gf?6=:rTj<638:`28yxd68<0;6>4>:2yO710=9rB:m>5r$22`>ad5<7s-9;o7j6;I170>J4{n0h0;66gn0;29?j47n3:17p}6b;296~X>j27<65o4}r3ge?6=:r7<6?>i;_03b>{t9mh1<79:180>4<4sE9?:7?tH0c0?x"48j0on6gn0;29?j47n3:17d7m:188f2<72:0;6=u+31a9`<=O;=>0@>:9:0y'571=;o>0qd6n:188md6=831d>=h50;9~w{t9mk1<74}|`242<72:0:6>uC35495~N6i:1v(>>l:e`8md6=831d>=h50;9j=g<722h<6=4<:183!57k3n27E=;4:N003<6s-;9;7=i4:j5;n03b?6=3ty2n7>52z\:f>;021k0q~?ka;296~;02;:m7S4=68b4>{zj8:36=4<:080I53>3;pD5;n03b?6=3`3i6=44b683>6<729q/?=m5d89K712=652z\b4>;02h:0qpl>0c83>6<62:qG?9851zJ2e6=z,::h6il4i`294?=h:9l1<75f9c83>>d029086=4?{%13g?b>3A9?86B<4782!75?39m;6sf8`83>>of83:17bj3:1>vP6b:?4>=g52z?4>76a3W8;j6s|1e`94?4|Vh:01:4n0:~fc1=83=197lt$22`>c15<5<5<1<729q/?=m5519K7125<5<0e5<7s-9;o7;?;I170>o69h0;66g>1c83>>o69j0;66a>e183>>{en90;684?:1y'75e=9l30D>:;;h32e?6=3`;:n7>5;h32g?6=3`;:h7>5;n3f4?6=3ty:ji4?:4y]5cb<5o;1=55z\2=`=:n80:=o52e`825g=:mj0:=o52ed825g=z{8k:6=4={_3b5>;a93;:o6s|1`094?4|V8k970kn:03`?xu61o0;6?uQ18d89`e=98i0q~?n0;296~X6i916ih4>1b9~w`>=838pRh64=g295`64c734l;6af<6m916j=4>1b9~w`b=838p1hk51d289c6=98n0qpl>0583>=<42hq/?=m51168m4`c2900e<7i:188m4g72900e:188m4g52900e<7j:188m4`e2900ck750;9ab`<72?0;6=u+31a91g=O;=>0e5<7s-9;o7:j;I170>o69h0;66g>1c83>>i6m90;66smfe83>1<729q/?=m51d48L6233`;:m7>5;h32f?6=3`;:o7>5;n3f4?6=3ty:ji4?:2y]5cb<5oo1=52z\2=c=:nl0:=o5rs0c3>5<5sW;j<63ie;32e>{t9h;1<7a383>7}Y9h801kk510f8yv7>m3:1>vP>9d9>bg<69h1vc:pb<<72;qUj452fe82a5=z{ok1<75<5s4li647e3twi=;h3e7?6=3`;2i7>5;h035?6=3`;2h7>5;n33g?6=3k;:<7>53;294~"48j0?h6F<459j54g=831b=j:180>5<7s-9;o7:j;I170>o69h0;66g>1c83>>i6m90;66sm11d94?2=83:p(>>l:0g5?M53<2c:=l4?::k25g<722c:=n4?::m2a5<722wx=k=50;1xZ4`434;:<7?>a:?24`<69k1v<7j:181[7>m27:==4>1c9~w7662909wSl27:

1`9~w46d2909wS??c:?24c<6m91v<>k:18187683;n<63>0g825d=z{8:n6=4={<33a?7b827:1b9~yg77i3:187>50z&04f<292B8895f10c94?=n98h1<75f10a94?=h9l:1<75rb320>5<5290;w)=?c;31<>N4<=1b=<750;9l5`6=831v<7k:180[7>l27:=<4>9e9>55g=98h0q~?6e;291~X61l16j:4>9d9>552=90o01:0;f?877i3;:m6s|18d94?5|V83m70h8:0;e?877<3;2j6s|1`294?5|V8k;70h8:0c3?877<3;j<6s|1`394?5|V8k:70h8:0c2?877<3;j=6s|1`094?5|V8k970h8:0c1?877<3;j>6s|9d83><}Y1l16hn46b:?f3??e34l364l4=026>54z\2b6=:99h1>=h4=032>4`434;;m7?>c:p5c3=839pRfc9~w4`c290>wS?id:?e==510;8yv7an3:1?vP>fg9>`f>;76a34;:=7=;<037?7b82wxi54?:3y>a23ty:<44?:3y>55>=i916==o51d28yv77k3:1>v3>0c8b4>;6980:5<5sW3i70?>3;;f?xu6n:0;6?uQ1g18947428l87p}>f483>7}Y9o?010;6?uQ1g58947428l<7p}>f883>7}Y9o301fe83>7}Y9on017}Y:9;019e83>7}Y90n019g83>7}Y90l01a083>7}Y9h;01p@>:9:0yK5d56=z,::h65<50z&04f08j95ri9c94?=ni90;66a=0g83>>{e1o0;6?4?:1y'75e=9;20D>:;;h32=?6=3f;n<7>5;|q:f?6=:rT2n636f;32=>{t9mk1<7;>n3;n<6s|1e`94?4|5>09vPn0:?4>d653;397~J45;c594?5=83:p(>>l:e;8L6233`2j6=44i`294?=h:9l1<75rs8`94?4|V0h01:47a:p5ag=838p1:4=0g9]65`52z\b4>;02h:0qpl<1c83>6<62:qG?9851zJ2e6=zD:><6{#;9i1=n64i`294?=h:9l1<75f9c83>>d029086=4?{%13g?b>3A9?86g7a;29?lg72900c?>i:188yv?e2909wS7m;<59dc83>7}Yi916;7o?;|a<3<72:0:6>uC35495~N6i:1v@>:8:0y'7c>=;2w/?=m51b:8md6=831d>=h50;9j=g<722h<6=4<:183!57k3n27E=;4:k;e?6=3`k;6=44o32e>5<i;|q2`g<72;qUm=527;c3?x{e:h=1<7=51;1xH62128qC=l=4}M173?7|,:l36>5r$22`>4e?3`k;6=44o32e>5<j27<65o4}r3ge?6=:r7<6?>i;_03b>{t9mh1<7=6h7:29~ 66d28ki7do?:188k76a2900e4l50;9a3?6=;3:1N4<=1b4l4?::kb4?6=3f8;j7>5;|`:b?6=:3:10e4c73ty:ho4?:3y>3?47n2T95<5sWk;7095a19~yg56i3:1?7<54zN003<6sA;j?6sC35595~"4n1087p*<0b82eg=ni90;66a=0g83>>o>j3:17o950;194?6|,::h6i74H267?l>f2900el>50;9l65`=831vn4h50;094?6|,::h6<<7;I170>o6900;66a>e183>>{t1k0;6?uQ9c9>=c<6901ve19~w4be2909w09521d8Z76a3ty:hn4?:3y]e5=:?3k;7psm8483>6<52=qG?9851zJ2e6=zD:><6{#;9i1=ll4i`294?=h:9l1<75f9c83>>d029086=4?{%13g?b>3A9?86g7a;29?lg72900c?>i:188yg?a29096=4?{%13g?7502B8895f10;94?=h9l:1<75rs8`94?4|V0h014h510;8yv7ci3:1>v38:9c89<`=9l:0q~?kb;296~;02;:m7S4=68b4>{zj;k=6=4<:387I53>3;pD5;n03b?6=3`3i6=44b683>6<729q/?=m5d89K712>i58o0;66sm9g83>7<729q/?=m513:8L6233`;:57>5;n3f4?6=3ty2n7>52z\:f>;>n3;:56s|1ec94?4|5>03m636f;3f4>{t9mh1<74}|`g2?6=;3818vB<4782M7f;2wG?9951z&0b=<43t.8ac9je5<722e9:;;h:b>5<5<5s4=1>=h4^32e?xu6lj0;6?uQa19>3?g73twih:4?:282>6}K;=<1=vF>a29~H62028q/?k653:'75e=9j20el>50;9l65`=831b5o4?::`4>5<4290;w)=?c;f:?M53<2c3m7>5;hc3>5<5<5sW3i70958`9~w4bf2909w09521d8Z76a3ty:ho4?:3y]e5=:?3k;7psmbg83>=<52mqG?9851zJ2e6=zD:><65u+3g:97>"4n?08;?5+36797cg<,:l;6?>i;%1fg??e3g9n47?4$2d1>7`63g9nm7?4}%13g?7f?2P357?t1`8~^7cb2;q:o7?j:|k1b7<722c2n7>5;h0e5?6=3f8:97>5$32;>7733g8;;7>4;n027?6=,;:36??;;o033?7<3f8:>7>5$32;>7733g8;;7<4;n025?6=,;:36??;;o033?5<3f8:<7>5$32;>7733g8;;7:4;c594?b=>3op@>:9:0yK5d56133-9ni7o?;%1e4?47n2.8in46b:l0a=<63-9m>7b59Y<<<5s8k1=54rZ3gf>7}6k3;>6pg=f383>>o>j3:17d7:337?k47?3:07b<>3;29 76?2;;?7c7:337?k47?3807b<>1;29 76?2;;?7c7:337?k47?3>07do9:18'65>=i<1e>=950:9je1<72-8;47o:;o033?7<3`k86=4+21:9e0=i:9=1>65fa383>!4703k>7c=65a49m651=<21i;7>55;193~J4521d8 6cd20h0b>k7:09'7c4=:o;0b>kn:09'7c5=;9h0b>km:09~ 66d28h=7d7m:188md6=831d>=h50;9j75d=831b>k?50;9a3?6=;3;1?vB<4782M7f;2w/?=m51`;8md6=831d>=h50;9j=g<722h<6=4<:183!57k3n27E=;4:k;e?6=3`k;6=44o32e>5<i;|q2`g<72;qUm=527;c3?x{e;:;;h32e?6=3`;:n7>5;n3f4?6=3th8;=4?:283>5}#;9i1=h=4H267?l76i3:17d?>b;29?j7b83:17p}6b;296~X>j27<64l4}rc3>5<5sWk;70=80;32f>{t:9l1<7510c8yv4a93:1>vP=f09>70c=98k0q~=9f;296~;4=l0:=o5236295`6h7>52z?4>d6<5:?n6kl:8`8j6c?281/?k<52g38j6cf281v(>>l:0cf?l?e2900el>50;9l65`=831b>k?50;9a3?6=;3;1?vB<4782M7f;2w/?=m51`;8md6=831d>=h50;9j=g<722h<6=4<:183!57k3n27E=;4:k;e?6=3`k;6=44o32e>5<i;|q2`g<72;qUm=527;c3?x{e;:;;h32e?6=3`;:n7>5;n3f4?6=3ty2n7>52z\:f>;020h0q~o?:181[g7349>i7?>b:p65`=838pR?>i;<5965`52z\1b4=:;4=27f>4c73twih7>54;091~J4521d8 6cd20h0b>k7:09'7c4=:o;0b>kn:09~ 66d28kn7d7m:188md6=831d>=h50;9j6c7=831i;7>53;397~J450;9l65`=831b5o4?::`4>5<4290;w)=?c;f:?M53<2c3m7>5;hc3>5<5<5sW3i70958`9~w4bf2909w09521d8Z76a3ty:ho4?:3y]e5=:?3k;7psm34g94?5=83:p(>>l:5g8L6233`;:m7>5;h32f?6=3f;n<7>5;|q:f?6=:rT2n638:8`8yvg72909wSo?;<16a?76j2wx>=h50;0xZ76a34=1>=h4}r0e5?6=:rT9j<5234g954gh7>52z?4>d6<5:?n6:8:6y'7c0=;>>0(>kj:`28 6`72;:m7)=jc;;a?k5b03;0(>h=:3d2?k5bi3;0q)=?c;3ba>o>j3:17do?:188k76a2900e?h>:188f2<72:0:6>uC35495~N6i:1v(>>l:0c:?lg72900c?>i:188m53;294~"48j0o56F<459j5;n03b?6=3ty2n7>52z\:f>;021k0q~?ka;296~;02;:m7S4=68b4>{zj:?n6=4<:183!57k3>n7E=;4:k25d<722c:=o4?::m2a5<722wx5o4?:3y]=g=:?33i7p}n0;296~Xf82789h4>1c9~w76a2909wS76a3ty9j<4?:3y]6c7<5:?n6=6h9:257?!5bm3k;7)=i0;03b>"4mj02n6`>of83:17b=60e5o50;9je5<722e9X58o1vN4<=1b=50;9~w{ti90;6?uQa19>70c=98h0q~;<16a?76i2wx?8j50;0x92e19~yg46j3:1?7>50z&04f<6m:1C?9:4i03b>5<5<7>53z\1b7=:?39;n63=1c825g=z{0h1<78t^8`892<>j27364l4=e8:f>;6:33i70?<:8`8yv4a93:1?vP=f09>3?4a9279=o4>1`9~w77f290>w0652g389a<5n816=?4=f09>56<5n816>vP=149>56<58o1/>k>52068j7ca291v??<:181[46;27:>7hh51:p644=838pR??=;52z\154=:038;j6*=f18151=i:ll1?6s|20294?4|V;;;709521d8 7`72;;?7cd6<,;l;6l;4n3ge>5=z{h>1<7k>5a49m6``=92wxm>4?:3y]e6=:l3k;7)7}Yi816;7o?;%0e4?g23g8nj7:4}|`2`7<72h036iuC35495~N6i:1v@>:8:4y'7c0=;?o0(>ki:c18 6`d211/?k?52d28 6`e2>1v(>>l:0c6?_>>2;q:n7?6:|X1a`<5s8n1=;4ro3g5>5<#:921>h;4n324>5=5<#:921>h;4n324>7=54o3g2>5<#:921>h;4n324>1=h58>0;76gm7;29 76?2k20b?>8:098mg0=83.9<54m8:l142<532ci97>5$32;>g>54ic694?"5810i46`=0687?>d6l:0;6>4>:2yO710=9rB:m>5r$22`>4ec3`h:6=44ic094?=h:l:1<75m34294?5=;3?p(>>l:273?ld62900eo<50;9l6`6=831i?9j50;194?6|,::h69k4H267?l76i3:17d?>b;29?j7b83:17pl<4d83>6<729q/?=m54d9K7125<0e;<17`?76j2788h4>1`9~wg4=839pRo<4=26g>47f349?i7?>b:p6`6=838pR?k?;<17b?7b82wx?9m50;0x962c28o;70=;f;32e>{t;=n1<74c7349?j7?>b:~wg7=838pRo?4=273>g77}Y:l:01>;?:3g3?x{e9m>1<7=51;1xH62128qC=l=4}%13g?7dl2ci=7>5;h`1>5<5<42:0>w)=?c;164>oe93:17dl=:188k7c72900n>:k:180>5<7s-9;o7:j;I170>o69h0;66g>1c83>>i6m90;66sm35g94?5=83:p(>>l:5g8L6233`;:m7>5;h32f?6=3f;n<7>5;|`00c<72:0;6=u+31a95`5<@:>?7d?>a;29?l76j3:17b?j0;29?xue93:1?vPm1:?00a<69k16?9k510c8yvd52908wSl=;<17`?76i2788h4>1c9~w7c72909wS:l:181853l3;n<63<4g825d=z{:>o6=4={<17a?7b82788k4>1c9~yvd62909wSl>;<164?d63tyi>7>52z\a6>;4=90i>6s|2d294?4|V;o;70=:0;0f4>{zj8n>6=4<:080I53>3;pD5;c164?6=;3919v*<0b8015=nj80;66gm2;29?j4b83:17o=;d;297?6=8r.85<4290;w)=?c;6f?M53<2c:=l4?::k25g<722e:i=4?::a71`=8391<7>t$22`>4c43A9?86g>1`83>>o69k0;66a>e183>>{tj80;6>uQb09>71b=98h01>:j:03b?xue:3:1?vPm2:?00a<69h16?9k510`8yv4b83:1>vP=e19>71`=9l:0q~=;c;296~;452z?00`<6m916?9h510`8yxue93:1>vPm1:?0155<5sW8n<63<5181a5=zuk;o:7>53;397~J4>i5m90;66l<4e83>6<729q/?=m54d9K7125<N4<=1b=50;9~f62a29086=4?{%13g?7b;2B8895f10c94?=n98h1<75`1d294?=z{k;1<7=t^c38962c28;i70=;e;32e>{tj;0;6>uQb39>71b=98k01>:j:03a?xu5m90;6?uQ2d28962a28o;7p}<4b83>7}:;=n1=h>4=26e>47f3ty88i4?:3y>71c=9l:01>:i:03a?x{tj80;6?uQb09>706=j81vo<50;0xZg4<5:?;6o<4}r0f4?6=:rT9i=5234296`65}#;9i18i5G3568m47f2900e5<4290;w)=?c;6g?M53<2c:=l4?::k25g<722e:i=4?::a6`d=8381<7>t$22`>44?3A9?86g>1883>>i6m90;66s|34f94?5|58n?6o?4=27f>4c7349=<7?>b:p70`=839p1{t;?;1<7g7<5:<96h84=0f5>7c73-8m<76?k?;%0e4?4b=2d9ik4>;|q1a6<72;qU>h=4=0f7>7c73-8m<7h?4=3ga>4c73-8m<752z\a=>;6l?0i>6*=f18a<>h5mo0;7p}m7;297~Xe?27:h84m2:?027<69h1/>k>5b99m6``=92wxn;4?:2y]f3=:9m>1n?52372954g<,;l;6o64n3ge>7=z{k?1<7=t^c7894b42k801>;j:03b?!4a83h37ci7?>b:?1ag<6901/>k>5b99m6``=<2wv?h=:181[4a:27<6?h=;|q:f?6=:rT2n638:8`8yv4a93:1>vP=f09>3?4a92wx><;50;1xZ77234=1><;4=0f1>g?<,;l;6??;;o0fb?653z\156=:?38:?63>d38a3>"5n909=95a2dd95>{t:881<7=t^331?81=:88013?46927:h?4m5:&1b5<59=1e>hh53:p646=839pR???;<59646<58n96o:4$3d3>7733g8nj7:4}r167c13-8m<7=:7:l1ac<73ty89;4?:3y>3?g334;o>71e>hh51:p703=838p1:4n3:?2`7<5m:1/>k>53458j7ca2;1v>;;:18181=i;16=i<52d08 7`72:?<7cv38:`3894b52;o:7)h5mo0?7psmd183>6<62:qG?9851zJ2e6=zD:><6{#;9i1=o94i`294?=h:9l1<75f9c83>>d029086=4?{%13g?b>3A9?86B<4782!75?39m86sf8`83>>of83:17bj3:1>vP6b:?4>=g52z?4>76a3W8;j6s|1e`94?4|Vh:01:4n0:~f6b1290>6>49{M172?7|@8k87pB<468b!5a0390(>h9:257?!5bm3k;7)=i0;03b>"4mj02n6`50;9l65`=831b>k?50;9a3?6==3819vB<4782M7f;2w/?=m51`;8md6=831d>=h50;9j=g<722c2o7>5;h;0>5<0;684?:1y'75e=lh1C?9:4i9g94?=n1:0;66g7a;29?lg72900c?>i:188yg53=3:1=7>50z&04f<6911C?9:4o034>5<03i6s|1ec94?4|5:>>6db83>7}Yi916;7o?;|a70c=8381<7>t$22`>44?3A9?86g>1883>>i6m90;66sm37`94?5=83:p(>>l:0g7?M53<2c:=l4?::k25g<722e:i=4?::p=g<72;qU5o527;;a?xu>k3:1>vP6c:?02g<69h1v?>i:181[47n27<6?>i;|q1b4<72:qU>k?4=27f>47>349=n7?>b:p70b=838p1:4n0:?01`<6m91v>8n:18181=1j16?;l51d28yxd6:00;6>4>:2yO710=9rB:m>5rL264>4}#;o21?6s+31a95f>5<7s-9;o7j6;I170>o?i3:17do?:188k76a2900q~7m:181[?e34=14l5rs0fb>5<5s4=1>=h4^32e?xu6lk0;6?uQa19>3?g73twi894?:282>6}K;=<1=vF>a29~H62028q/?k653:'75e=9j20el>50;9l65`=831b5o4?::`4>5<4290;w)=?c;f:?M53<2c3m7>5;hc3>5<5<5sW3i70958`9~w4bf2909w09521d8Z76a3ty:ho4?:3y]e5=:?3k;7psm12794?3=:3?p@>:9:0yK5d56=z,::h65<t$22`>ag<@:>?7d6j:188m<5=831b4l4?::kb4?6=3f8;j7>5;|`000<7280;6=u+31a954><@:>?7b?>7;29?xu>j3:1>vP6b:?4>=gv38:32e?[47n2wx=im50;0xZd6<5>0j<6srb2;4>5<42;0?wA=;6;3xL4g43tF88:4>{%1e5;h;a>5<0;6>4?:1y'75e=l01C?9:4i9c94?=ni90;66a=0g83>>{e1o0;6?4?:1y'75e=9;20D>:;;h32=?6=3f;n<7>5;|q:f?6=:rT2n636f;32=>{t9mk1<7;>n3;n<6s|1e`94?4|5>09vPn0:?4>d66}K;=<1=vF>a29~H62028q/?k653:'75e=9j20el>50;9l65`=831b5o4?::`4>5<4290;w)=?c;f:?M53<2c3m7>5;hc3>5<5<5sW3i70958`9~w4bf2909w09521d8Z76a3ty:ho4?:3y]e5=:?3k;7psm39394?5=:3>p@>:9:0yK5d56=z,::h65<50z&04f>of83:17bn3:1>7>50z&04f<6:11C?9:4i03:>5<5<5sW3i707i:03:?xu6lh0;6?u27;:b?8?a28o;7p}>dc83>7}:?38;j6P=0g9~w4bd2909wSo?;<59e5=zuk9j?7>53;090~J45;c594?5=83:p(>>l:e;8L6233`2j6=44i`294?=h:9l1<75rb8d94?4=83:p(>>l:00;?M53<2c:=44?::m2a5<722wx5o4?:3y]=g=:1o0:=45rs0fb>5<5s4=14l529g82a5=z{8ni6=4={<5965`db83>7}Yi916;7o?;|a7fd=8391>7:tL265>4}O9h90qA=;7;3x 6`?2:1v(>>l:0ca?lg72900c?>i:188m53;294~"48j0o56F<459j5;n03b?6=3th2j7>52;294~"48j0:>55G3568m47>2900c47>3ty:hl4?:3y>3?>f343m6309l57e=831b=5750;9j53e=831b=5o50;9j5=d=831b=5m50;9j5=b=831b=5k50;9j57g=831i=>>50;194?6|,::h69j4H267?l76i3:17d?>b;29?j7b83:17pl>2d83>6<729q/?=m54d9K7125<0evP>2b9>57`=9l:0q~?79;296~X60016=>>510c8yv71k3:1>vP>6b9>566=98h0q~?7a;296~X60h16=?h510`8yv7?j3:1>vP>8c9>57`=98i0q~?7c;296~X60j16=?h510f8yv7?l3:1>vP>8e9>57`=98o0q~?7e;296~X60l16=?k510`8yv75i3:1>vP>2`9>57c=98k0q~?=d;296~;6;90:i=5213d954g52z?26`<6m916=?h510d8yxd3j3:147<59z&04f<3j2e?;7>5;h3;=?6=3`;=o7>5;h3;e?6=3`;3n7>5;h3;g?6=3`;3h7>5;h3;a?6=3k>j6=4<:183!57k3>o7E=;4:k25d<722c:=o4?::m2a5<722wi844?:683>5}#;9i1=hl4H267?l76i3:17d?>b;29?l76k3:17d?>d;29?l76m3:17d?>f;29?j7b83:17p};7;296~X3?27?57?j0:p5=?=838pR<66;<6b>47f3ty::n4?:3y]53e<5=k1=;313;:o6s|19a94?4|V82h70:6:03g?xu60m0;6?uQ19f891?=98o0q~?7e;296~X60l16844>1g9~w1>=838p19o51d2891?=98k0qpl=a483>6<729q/?=m54e9K7125<N4<=1b=50;9~f=2=8391<7>t$22`>1b<@:>?7d?>a;29?l76j3:17b?j0;29?xdfk3:1?7>50z&04f<3l2B8895f10c94?=n98h1<75`1d294?=zjj=1<7=50;2x 66d2=o0D>:;;h32e?6=3`;:n7>5;n3f4?6=3thh57>53;294~"48j0?i6F<459j54g=831b=b;29?j7b83:17pl1<729q/?=m5519K7125<5<53;294~"48j0:i>5G3568m47f2900e5<7s-9;o7;l;I170>o69h0;66g>1c83>>o69j0;66g>1e83>>o69l0;66a>e183>>{ekm0;6;4?:1y'75e==01C?9:4i03b>5<5<5<5<1290;w)=?c;7a?M53<2c:=l4?::k25g<722c:=n4?::k25a<722c:=h4?::m2a5<722wi?il50;494?6|,::h6874H267?l76i3:17d?>b;29?l76k3:17d?>d;29?l76m3:17b?j0;29?xddn3:1?7>50z&04f<6m:1C?9:4i03b>5<5<55;294~"48j0:i45G3568m47f2900e5<2290;w)=?c;7;?M53<2c:=l4?::k25g<722c:=n4?::k25a<722e:i=4?::a56d=83>1<7>t$22`>4c03A9?86g>1`83>>o69k0;66g>1b83>>i6m90;66sm3`094?1=83:p(>>l:0ga?M53<2c:=l4?::k25g<722c:=n4?::k25a<722c:=h4?::k25c<722e:i=4?::a7t$22`>1b<@:>?7d?>a;29?l76j3:17b?j0;29?xd40>0;6;4?:1y'75e=9lk0D>:;;h32e?6=3`;:n7>5;h32g?6=3`;:h7>5;h32a?6=3f;n<7>5;|`0ea<72:0;6=u+31a95`5<@:>?7d?>a;29?l76j3:17b?j0;29?xd4i>0;6>4?:1y'75e=5<5<55;294~"48j0:i45G3568m47f2900e5<4290;w)=?c;6g?M53<2c:=l4?::k25g<722e:i=4?::af5<72;0;6=u+31a957><@:>?7d?>9;29?j7b83:17pl<1e83>7<729q/?=m513:8L6233`;:57>5;n3f4?6=3th347>52;294~"48j0:>55G3568m47>2900c7>50z&04f<6:11C?9:4i03:>5<0e299K7125<52;294~"48j0:>55G3568m47>2900c7>50z&04f<6:11C?9:4i03:>5<0e299K7125<52z\270=:9:?1>=h4}r1ga?6=:rT8hh5230f954?7>52z\0=7=:j90:=45rs0;`>5<4sW;2o63<9d825d=:;h=1=45213;965`<5:n2676a3tyii7>52z\aa>;c>3k;7p}7}Y;j>01?o6:03:?xu6>90;6?uQ1728940d2h:0q~=78;296~X40116?9;51058yv>d290>wS6l;<3;m27o:77m;<31f?76i27:?o4>1`9~w=d=83;;49h02n6375;;a?84f>33i70j8:8`89g`=1k16h=46b:?0`3<>j27:>446b:?70??e34;8977m;<1:3??e3492o77m;<1;5??e349j?77m;<1`f??e3ty8m>4?:3y]7d5<5:k86?>i;|q21a<72;qU=8j4=2c:>47f3ty8oo4?:3y]7fd<5:ii6?>i;|q2=g<72;qU=4l4=2ae>47f3ty8444?:3y]7=?<5121=<74}r1:3?6=:rT85:52385965`52z\0=f=:;0i1>=h4}r67>5<5sW>?70:;:32e?xu4l?0;6>uQ3e4896b12;:m70j=:03b?xuc;3:1>v3k2;32f>;c<3;n<6s|3`394?4|5:k965=>=90l01lm51d28945428;j70?<7;32e>{t;jo1<74c7349o=7?>9:p`3<72:q6h;4=0g9>`2a:p74g=838p1>?n:32e?85613;:n6s|12094?4|589865=>=9h;015:51d28945428;h70?<7;32g>{tl80;6?u2d18b4>;c:3;n<6s|30`94?4|5:;i6?>i;<12=?76i2wxmk4?:2y>e`4c73tyh:7>52z?ab?4a927h;7?j0:pg=<72:q6nk4=f39>g2<69h16o44>e19~wfc=838p1n9510`89f`=9l:0q~=76;296~;40>0:i=52397954?6=4={<:6>76a342?628o;7p}k7;296~;c?38;j63l9;32f>{t9:21<77}:;h31=h>4=2ca>47>3tyjh7>52z?b`?47n27jo7?>b:p<3<72;q64;4=0g9><1<69h1v>77:18185>?3k;70=69;3f4>{tl90;69u219:95d6<5m:1>=h4=010>47e34;8;7?>b:p53e=83>p1<67:0;g?871k38;j63>30822f=:=h4=`a954g52z?1e3<58o16>l;510`8yv5>l3:1>v3<9d82a5=:;h:1=<74}r3:4?6=:r7:454=039>56d=98i0q~=>c;297~;49k0j<63<1`8b4>;49m0:i=5rs00`>5<5s4;957o?;<305?75k2wx>l950;0x97g02;:m70{t;821<74c7349o57?>c:p02<72;q6894n0:?7f?203tyhm7>53z?`f?7b827hj7?>a:?0`a<6901vnm50;0x9fb=9l:01nh510`8yv7?13:1?v3>8982b6=:9:;1=574=5`95=?56z?2<=<6n<16=>?519c891d=91k01>o=:03b?85??3;:m638c9>0g<60k16?l<510`896>028;i70=nd;32f>{t91i1<78t=0:;>4`>34;8=7?7c:?7f?7?k278m?4>1b9>7=1=98i01>oi:03a?xu60m0;6:u219:95cd<589:6<6k;<6a>4>c349257?>a:?0e7<69m16?59510f896ga28;h7p}>8d83>2}:9121=kj4=012>4>b34>i6<6j;<1:=?76j278m?4>1d9>7=1=98o01>oi:03g?xu60o0;6?u219:95c`<5:k967a7=9l:0q~0j<63=a78b4>;5i00:i=5rs2:7>5<5s493=7o?;<1;1?7b82wx4:4?:5y><37ab=9l:0q~=nc;296~;4im0:i=523`5954d53z?0ec<6m916?l7510`896ea28;i7p}7}:;h91m=523``95`654z?2<=<6i;16>l;51d28945428;o70?<7;32`>{t9:<1<74c734;8n7?>b:p57g=838p1<=>:00b?875j3;n<6s|12c94?4|589i6o?:0g3?xu4lh0;6?u23e;954g<5:ni65:?`f?76m27hh7?>e:?g0?76m278ho4>1`9'6c6=k=1e>hh50:pg6<72gg<69m16oi4>1`9>`1<69m16?il510`8 7`72j>0b?ki:09~wf4=83?p1oh520089fd=98i01nj510`89a2=98i01>jm:03f?!4a83i?7cw0li:332?8ee28;i70mk:03`?8b328;i70=kb;32g>"5n90h86`=eg80?xud83:19v3mf;024>;dj3;:m63ld;32`>;c<3;:m63{zj:=:6=48:b822~J4>k:188k6752900e5j50;9a6fe=8331>7?>{M172?7|@8k87pB<4685!5a03:0(>h9:251?!50=39mm6*{#;9i1=l64Z9;95~422tP9ih4={3596d=h:8=1<7*=09815==i:9=1=65`20494?"58109=55a21596>=h:8?1<7*=09815==i:9=1?65`20194?"58109=55a21590>=h:881<7*=09815==i:9=1965`20394?"58109=55a21592>=h:8:1<7*=09815==i:9=1;65m7;2954:9:0yK5d56133-9ni7o?;%1e4?47n2.8in46b:l0a=<63t.8b89Y<<<5s;?1>>4rZ3gf>7}5?38:6pg6b;29?j4613:1(?>7:33;?k47?3:07b<>7;29 76?2;;37c3:1(?>7:33;?k47?3807b<>5;29 76?2;;37c7:33;?k47?3>07b<>2;29 76?2;;37c7:33;?k47?3<07b<>0;29 76?2;;37c=65a89m651=821bm54?:%033g8;;7?4;hc4>5<#:921m45a21596>=ni?0;6)=i01e>=954:9je6<72-8;47o6;o033?3<3`k96=4+21:9e<=i:9=1:65fa083>!4703k27c4}O9h90q)=?c;3ae>of83:17b5}#;9i1h45G3568H62128q/=?953g68yl>f2900el>50;9l65`=831v4l50;0xZ03m6s|1ec94?4|5>09vPn0:?4>d63;pD5;c594?5=83:p(>>l:e;8L6233E9?:7?t$004>6`33tc3m7>5;hc3>5<5<5sW3i70958`9~w4bf2909w09521d8Z76a3ty:ho4?:3y]e5=:?3k;7psmd;297?7=;rF88;4>{I3b7>{#;9i1=om4i`294?=h:9l1<75f9c83>>d029086=4?{%13g?b>3A9?86B<4782!75?39m86sf8`83>>of83:17bj3:1>vP6b:?4>=g52z?4>76a3W8;j6s|1e`94?4|Vh:01:4n0:~f44=8391=7=tL265>4}O9h90q)=?c;3a`>of83:17b5}#;9i1h45G3568H62128q/=?953g68yl>f2900el>50;9l65`=831v4l50;0xZ03m6s|1ec94?4|5>09vPn0:?4>d653;397~J450;9l65`=831b5o4?::`4>5<4290;w)=?c;f:?M53<2F88;4>{%313?5a<2wb4l4?::kb4?6=3f8;j7>5;|q:f?6=:rT2n638:9c8yv7ci3:1>v38:32e?[47n2wx=il50;0xZd6<5>0j<6srb0694?5=939p@>:9:0yK5d55;h;a>5<0;6>4?:1y'75e=l01C?9:4L265>4}#9;=1?k:4}h:b>5<=h4}r3gf?6=:rTj<638:`28yxd6n3:1?7?53zN003<6sA;j?6s+31a95f75<7s-9;o7j6;I170>J4{n0h0;66gn0;29?j47n3:17p}6b;296~X>j27<65o4}r3ge?6=:r7<6?>i;_03b>{t9mh1<750;195?5|D:>=66gn0;29?j47n3:17d7m:188f2<72:0;6=u+31a9`<=O;=>0@>:9:0y'571=;o>0qd6n:188md6=831d>=h50;9~w{t9mk1<74}|q:f?6=1rT2n638:8`89=<>j27o64l4=009=g=:9:02n63>4;;a?87a20h01?>59c9~w77>2909wS<>9:?14?47n2.9j=4=199m6``=82wx><950;0xZ77034;m6?>i;%0e4?4602d9ik4>;|q153<72;qU><84=06965`<,;l;6??7;o0fb?452z\150=:9:095rs330>5<5sW8:?63>2;03b>"5n909=55a2dd90>{t:881<71;296~X5981647hh56:p646=838pR???;<5965`<,;l;6??7;o0fb?1<58l1m=5+2g29e<=i:ll1=6s|a683>7}Yi>16=94n0:&1b53;c3?!4a83k27cd6<,;l;6l74n3ge>1=z{h91<77>52z\b6>;?2h:0(?h?:`;8j7ca2?1vl?50;0xZd7<5>0j<6*=f18b=>h5mo0<7psm1e094?772l0::vB<4782M7f;2wG?9955z&0b3<4>l1/?hh5b29'7ce=02.8j<4=e19'7cd=?2w/?=m51`48^=?=:r8=6?:5}[0fa?4|:009>7s`2dc94?"58109i45a21594>=h:l21<7*=0981a<=i:9=1=65`2d594?"58109i45a21596>=h:l<1<7*=0981a<=i:9=1?65`2d694?"58109i45a21590>=h:l91<7*=0981a<=i:9=1965`2d094?"58109i45a21592>=h:l;1<7*=0981a<=i:9=1;65fbe83>!4703hh7c=65bb9m651=921bnl4?:%035<#:921nn5a21597>=nj>0;6)07dl9:18'65>=jj1e>=955:9jf0<72-8;47ll;o033?0<3`h?6=4+21:9ff=i:9=1;65m1e194?5=939p@>:9:0yK5d55<<6gm1;29?ld52900c?k?:188f62c29086=4?{%13g?2b3A9?86g>1`83>>o69k0;66a>e183>>{e;=o1<7=50;2x 66d2=o0D>:;;h32e?6=3`;:n7>5;n3f4?6=3th88k4?:283>5}#;9i1=h=4H267?l76i3:17d?>b;29?j7b83:17p}m1;297~Xe92788i4>1c9>71c=98k0q~l=:180[d5349?h7?>a:?00`<69k1v?k?:181[4b82788k4>e19~w62d2909w0=;d;3f4>;45<5s49?i7?j0:?00c<69k1vq~l>:181[d6349><7l>;|qa6?6=:rTi>63<518a6>{t:l:1<75<42808wA=;6;3xL4g43t.8ce9jf4<722ci>7>5;n0f4?6=3k9><7>53;191~"48j089=5fb083>>oe:3:17b50z&04f<3m2B8895f10c94?=n98h1<75`1d294?=zj:>n6=4<:183!57k3>n7E=;4:k25d<722c:=o4?::m2a5<722wi?9h50;194?6|,::h6o69h0;66g>1c83>>i6m90;66s|b083>6}Yj816?9j510`8962b28;j7p}m2;297~Xe:2788i4>1`9>71c=98h0q~v3<4e82a5=:;=l1=e19>71`=98h0qp}m1;296~Xe92789=4m1:pf7<72;qUn?523429f7=z{;o;6=4={_0f4>;4=909i=5r}c3g1?6=;3;1?vB<4782M7f;2w/?=m51bf8mg7=831bn?4?::m1a5<722h89=4?:280>0}#;9i1?8>4ic394?=nj;0;66a=e183>>d44?:1y'75e=5<5<53;294~"48j0?i6F<459j54g=831b=:i:180>5<7s-9;o7?j3:J001=n98k1<75f10`94?=h9l:1<75rsc394?5|Vk;01>:k:03a?853m3;:m6s|b383>6}Yj;16?9j510c8962b28;i7p}=e183>7}Y:l:01>:i:0g3?xu4m67}Yj816?8>5b09~wg4=838pRo<4=273>g452z\1a5=:;<:1>h>4}|`2`3<72:0:6>uC35495~N6i:1v(>>l:0ag?ld62900eo<50;9l6`6=831i?8>50;197?3|,::h6>;?;h`2>5<:;;h32e?6=3`;:n7>5;n3f4?6=3th88h4?:283>5}#;9i18h5G3568m47f2900ee29K7125<5<4sWh:70=;d;32f>;4:k:03b?853m3;:n6s|2d294?4|V;o;70=;f;3f4>{t;=i1<74c7349?j7?>a:p71b=838p1>:j:0g3?853n3;:n6srsc394?4|Vk;01>;?:c38yvd52909wSl=;<164?d53ty9i=4?:3y]6`6<5:?;6?k?;|a5a1=8391=7=tL265>4}O9h90q)=?c;3``>oe93:17dl=:188k7c72900n>;?:180>6<2s-9;o7=:0:ka5?6=3`h96=44o3g3>5<o6=4<:183!57k3>n7E=;4:k25d<722c:=o4?::m2a5<722wi?9k50;194?6|,::h69k4H267?l76i3:17d?>b;29?j7b83:17pl<4g83>6<729q/?=m51d18L6233`;:m7>5;h32f?6=3f;n<7>5;|qa5?6=;rTi=63<4e825g=:;=o1=5<4sWh970=;d;32e>;45<5sW8n<63<4g82a5=z{:>h6=4={<17`?7b82788k4>1`9~w62c2909w0=;e;3f4>;45<5sWh:70=:0;`2?xue:3:1>vPm2:?015h>50;0xZ7c7349><77>5;n0f4?6=3k9?h7>53;294~"48j0?i6F<459j54g=831b=:j:180>5<7s-9;o7:j;I170>o69h0;66g>1c83>>i6m90;66sm35d94?5=83:p(>>l:0g0?M53<2c:=l4?::k25g<722e:i=4?::pf4<72:qUn<5235f954d<5:>n663<4e825d=:;=o1=52z?00a<6m916?9h510c8yv53l3:1>v3<4d82a5=:;=l1={tj;0;6?uQb39>706=j;1v?k?:181[4b82789=4=e19~yg7c13:1?7?53zN003<6sA;j?6s+31a95fb>i5m90;66l<5183>6<425}#;9i18h5G3568m47f2900e5<4290;w)=?c;3f7>N4<=1b=50;9~wg7=839pRo?4=26g>47e349?i7?>a:pf7<72:qUn?5235f954g<5:>n6h>4=26e>4c73ty88n4?:3y>71b=9l:01>:i:03b?xu4m652d28yxd4=l0;6>4?:1y'75e=5<5<53;294~"48j0?h6F<459j54g=831b=8=:180>5<7s-9;o7:k;I170>o69h0;66g>1c83>>i6m90;66sm37694?5=83:p(>>l:5f8L6233`;:m7>5;h32f?6=3f;n<7>5;|`023<72:0;6=u+31a90a=O;=>0e50z&04f<3l2B8895f10c94?=n98h1<75`1d294?=zj;oi6=4=:183!57k3;946F<459j54?=831d=h>50;9~w63c2908w0?k4;`2?852m3;n<63<61825g=z{:?m6=4<{<3g1?d6349=<7?j0:?027<69k1v>8>:18087c>3h:70=92;3f4>;4>=0:=o5rs240>5<4s4;o;7l>;<150?7b8278:;4>1c9~w6022908w0?k8;`2?851>3;n<63<69825g=z{:<<6=4={<3g=?d6349=47?j0:p6`g=838pR?kn;<3g=?4b82.9j=4=e89m6``=82wx>h650;0xZ7c?34;o47hh51:p6`1=838pR?k8;<3g3?4b82.9j=4=e89m6``=:2wx>h850;0xZ7c134;o:7hh53:p6`2=838pR?k;;<3g1?4b82.9j=4=e89m6``=<2wx>h=50;0xZ7c434;o87hh55:p6`4=838pR?k=;<3g7?4b82.9j=4=e89m6``=>2wx>h?50;0xZ7c6348nn7?j0:&1b5<5m01e>hh57:pfa<72;qUni521e;9f7=#:o:1nn5a2dd94>{tjk0;6>uQbc9>5a>=j;16?;6510c8 7`72ki0b?ki:09~wgg=839pRoo4=0f4>g4<5:<=65<4sWh270?k6;`1?851<3;:m6*=f18ag>h5mo087p}m7;297~Xe?27:h84m2:?027<69h1/>k>5bb9m6``=<2wxn;4?:2y]f3=:9m>1n?52372954g<,;l;6om4n3ge>0=z{k?1<7=t^c7894b42k801>;j:03b?!4a83hh7ci7?>b:?1ag<6901/>k>5bb9m6``=?2wv4l50;0xZ02n6s|20;94?5|V;;2709520;894b52kn0(?h?:33;?k4bn3:0q~<>7;297~X59>16;7<>7:?2`7<850;1xZ77134=1><84=0f1>gg<,;l;6??7;o0fb?453z\150=:?38:963>d38a=>"5n909=55a2dd97>{t:891<7=t^330?81=:89013?46:27:h?4m6:&1b5<5911e>hh55:p647=839pR??>;<59647<58n96o;4$3d3>77?3g8nj784}r024?6=;rT9==527;024>;6l;0i86*=f1815==i:ll1;6s|34a94?4|5>0jm63>d381ad=#:o:1?8l4n3ge>5=z{:?j6=4={<59e==:9m81>h64$3d3>63e3g8nj7?4}r16=?6=:r7<6l94=0f1>7c03-8m<7=:b:l1ac<53ty8954?:3y>3?g134;o>7hh53:p700=838p1:4n4:?2`7<5m=1/>k>534`8j7ca2=1v>;::18181=i:16=i<52d18 7`72:?i7cv38:`0894b52;o97)h5mo0=7p}<5283>7}:?3k:70?k2;0f5>"5n9089o5a2dd93>{zj;n=6=4::386I53>3;pD4$2d5>6133-9ni7o?;%1e4?47n2.8in46b:l0a=<63-9nh77l;o1f=?7<,:l96?h>;o1fe?65}#;9i1hl5G3568H62128q/=?953g68yl>b2900e4=50;9j5;n03b?6=3th8884?:083>5}#;9i1=<64H267?j76?3:17p}6b;296~X>j27<65o4}r;`>5<5sW3h70958d9~w4bf2909w0=;5;323>;02090q~?kb;296~;02;:m7S4=68b4>{zj:02n6s|9b83>7}Y1j16?;l510`8yv47n3:1>vP=0g9>3?47n2wx>k?50;1xZ7`634=1m=5237`954g52z?4>7;tL265>4}O9h90qA=;7;cx 6`?291/?k853668 6cb2h:0(>h?:32e?!5bk33i7c=j8;38 6cc20i0b>k6:19'7c4=:o;0b>kn:19~ 66d28i?7d7m:188m5<22;0>wA=;6;3xL4g43t.8a89je5<722e950z&04f>o>;3:17d6n:188md6=831d>=h50;9~f622290:6=4?{%13g?7602B8895`10594?=z{0h1<7d`83>7}:;=?1=<94=68:7>{t9mh1<74}|`02g<72:0;6=u+31a95`3<@:>?7d?>a;29?l76j3:17b?j0;29?xu>j3:1>vP6b:?4>3?g7349=n7?>a:p73g=838p1:46c:?02g<6m91vqo=>3;291?4==rF88;4>{I3b7>{K;==1mv*39<86*"4n909h4m00;7)=i2;0e5>h4mh0;7p*<0b82g1=n1k0;66g6c;29?lg72900c?>i:188m7`62900n:4?:481>0}K;=<1=vF>a29~ 66d28k27do?:188k76a2900e4l50;9j=f<722c2?7>5;c594?3=83:p(>>l:ec8L6233`2n6=44i8194?=n0h0;66gn0;29?j47n3:17pl<4483>4<729q/?=m510:8L6233f;:;7>5;|q:f?6=:rT2n638:9c8yv?d2909wS7l;<59<`=z{8nj6=4={<171?76?27<64=4}r3gf?6=:r7<6?>i;_03b>{t9mi1<78m:180>5<7s-9;o7?j5:J001=n98k1<75f10`94?=h9l:1<75rs8`94?4|V0h01:46b:p=f<72;qU5n5237`954d52z\14c=:?38;j6s|2g394?5|V;l:7095a19>73d=98k0q~=9a;296~;020i01>8m:0g3?x{e;881<7;52;7xH62128qC=l=4}M173?g|,:l36=5+3g49722<,:on6l>4$2d3>76a3-9no77m;o1f4=#;o81>k?4n2gb>5=z,::h65<>i58o0;66g=f083>>d0290>6?4:{M172?7|@8k87p*<0b82e<=ni90;66a=0g83>>o>j3:17d7l:188m<5=831i;7>55;294~"48j0om6F<459j<`<722c2?7>5;h:b>5<>6=4>:183!57k3;:46F<459l541=831v4l50;0xZ03m6s|9b83>7}Y1j16;76j;|q2`d<72;q6?9;5105892<>;2wx=il50;0x92<58o1U>=h4}r3gg?6=:rTj<638:`28yxd4>k0;6>4?:1y'75e=9l>0D>:;;h32e?6=3`;:n7>5;n3f4?6=3ty2n7>52z\:f>;020h0q~7l:181[?d349=n7?>b:p65`=838pR?>i;<5965`53z\1b4=:?3k;70=9b;32e>{t;?k1<7;4>k0:i=5r}c0g`?6=:3:10e5<4290;w)=?c;6g?M53<2c:=l4?::k25g<722e:i=4?::a6a>=8331<7>t$22`>4ba3A9?86g>1`83>>o69k0;66g>1b83>>o69m0;66g>1d83>>o69o0;66g>2183>>o6:80;66a>e183>>{e;9l1<7650;2x 66d28nn7E=;4:k25d<722c:=o4?::k25f<722c:=i4?::k25`<722c:=k4?::k265<722e:i=4?::a6ag=8381<7>t$22`>44?3A9?86g>1883>>i6m90;66sm30394?4=83:p(>>l:00;?M53<2c:=44?::m2a5<722wx>ik50;0xZ7bb348oj7?j0:p6fd=838pR?mm;<0g2??d3ty9hn4?:3y]6ae<5;no6rTo463=cb8:f>;5l?02n63<0e8:f>;49:02n63<138:f>{t;9n1<72;03b>{t0m0;69uQ8e9>75b=:o;01>?<:3d2?856:38m=6s|30194?4|5:;86?>i;<121?76j2wx>i850;1x97b12;:m70;5lo0:=l5rs3f:>5<4s48o:7k279hl4>e19~w6772909w0=?d;;`?85693;n<6s|2e594?5|5:;>6189~w6732909w0=>2;;`?856=3;n<6s|31g94?4|5::m6i;50;1x97ed2;;270;5l10:><5+2g296a25<3s48ho7<>7:?1`c<69j16>i651328966a288;7)h5mo0:7p}=d383>1}::ji1><84=3fe>47c348o47?>f:?04c<69o1/>k>52e68j7ca2;1v?j>:18084dk38:963=d9825`=:;9l1=7b33g8nj7=4}r0g4?6=;r79on4=129>6a>=98n01>>i:03g?!4a838o86`=eg87?xu5ko0;6>u22ba9644<5;n36nk50;1x97ed2;;:70;48o0:=o5+2g296a25<4s48ho7<>0:?1`=<69h16?=h510c8 7`72;n?7c4;3`I53>3;pD2:m0``<722e85?4?::k0<4<722e5;hf;>5<l1<75f8083>>o5jh0;66g=bb83>>o5jl0;66a<8883>>o6:;0;66l:e;297?4={I3b7>{K;==1=v*>i58o0;66g6b;29?g1=8391<7>t$22`>a?<@:>?7A=;6;3x 4402:l?7pg7a;29?lg72900c?>i:188yg?a29096=4?{%13g?7502B8895f10;94?=h9l:1<75rs8`94?4|V0h014h510;8yv7ci3:1>v38:9c89<`=9l:0q~?kb;296~;02;:m7S4=68b4>{zj;hi6=4::386I53>3;pD6133-9ni7o?;%1e4?47n2.8in46b:l0a=<63-9nh77l;o1f=?7<,:l96?h>;o1fe?65}#;9i1hl5G3568m=c=831b5>4?::k;e?6=3`k;6=44o32e>5<51;294~"48j0:=55G3568k4702900q~7m:181[?e34=14l5rs8a94?4|V0i01:47e:p5ag=838p1>:::034?81=1:1vi;|q2`f<72;qUm=527;c3?x{e;?h1<7=50;2x 66d28o?7E=;4:k25d<722c:=o4?::m2a5<722wx5o4?:3y]=g=:?33i7p}6c;296~X>k278:o4>1c9~w76a2909wS76a3ty9j<4?:2y]6c7<5>0j<63<6c825d=z{:4}|`1fa<72<0968uC35495~N6i:1v@>:8:`y'7c>=:2.8j;4<759'7`c=i91/?k>521d8 6cd20h0b>k7:09'7`b=1j1e?h751:&0b7<5n81e?ho50:'75e=9k80e4l50;9j=f<722cj<7>5;n03b?6=3`8m=7>5;c594?3=:3?p@>:9:0yK5d55;h;a>5<>d0290>6=4?{%13g?bf3A9?86g7e;29?l?42900e5o50;9je5<722e9t$22`>47?3A9?86a>1683>>{t1k0;6?uQ9c9>3?>f3ty2o7>52z\:g>;021o0q~?ka;296~;4<<0:=:527;;0?xu6lk0;6?u27;03b>X58o1v0e7}Y:9l01:4=0g9~w7`62908wSd6<5:7<2sE9?:7?tH0c0?xJ4<>0jw)=i8;08 6`12:=?7)=je;c3?!5a838;j6*h4m10:7)=jd;;`?k5b13;0(>h=:3d2?k5bi3:0q)=?c;3a6>o>j3:17d7l:188md6=831d>=h50;9j6c7=831i;7>55;091~J450;9l65`=831b5o4?::k:g?6=3`386=44b683>0<729q/?=m5d`9K712>o?i3:17do?:188k76a2900qo=;5;295?6=8r.8199K7125<5sW3i70958`9~w{t9mk1<747034=15>5rs0fa>5<5s4=1>=h4^32e?xu6lj0;6?uQa19>3?g73twi?;l50;194?6|,::h6o69h0;66g>1c83>>i6m90;66s|9c83>7}Y1k16;77m;|q:g?6=:rT2o63<6c825g=z{;:m6=4={_03b>;02;:m7p}=f083>6}Y:o;01:4n0:?02g<69h1v>8n:18181=1j16?;l51d28yxd?83:197<55zN003<6sA;j?6sC3559e~"4n1097)=i6;140>"4ml0j<6*"4mm02o6`>o>k3:17do?:188k76a2900e?h>:188f2<72<0968uC35495~N6i:1v(>>l:0c:?lg72900c?>i:188m:;;h:f>5<>of83:17b:;;n323?6=3ty2n7>52z\:f>;021k0q~7l:181[?d34=14h5rs0fb>5<5s49?97?>7:?4><552z?4>76a3W8;j6s|1ea94?4|Vh:01:4n0:~f60e29086=4?{%13g?7b<2B8895f10c94?=n98h1<75`1d294?=z{0h1<7j2wx5n4?:3y]=f=:;?h1={t:o;1<7=t^3d2?81=i916?;l510c8yv51i3:1>v38:8a8960e28o;7psm8383>0<52<6lu+3g:96>"4n?08;95+3dg9e5=#;o:1>=h4$2g`>>of83:17b=64?::`4>5<2290;w)=?c;fb?M53<2c3i7>5;h;0>5<>i58o0;66sm35794?7=83:p(>>l:03;?M53<2e:=:4?::p=g<72;qU5o527;:b?xu>k3:1>vP6c:?4>=c52z?000<69>16;77<;|q2`g<72;q6;7e59K7125<5<5sW3i70959c9~w47e3ty9095<4sW8m=638:`28960e28;j7p}<6`83>7}:?33h70=9b;3f4>{zj:396=4::284I53>3;pD6133-9ni7o?;%1e4?47n2.8in46b:l0a=<63-9nh77l;o1f=?7<,:l86>>m;o1ff?65}#;9i1hl5G3568m=c=831b5>4?::k;e?6=3`k;6=44o32e>5<51;294~"48j0:=55G3568k4702900q~7m:181[?e34=14l5rs8a94?4|V0i01:47e:p5ag=838p1>:::034?81=1:1vi;|q2`f<72;qUm=527;c3?x{e;?h1<7=50;2x 66d28o?7E=;4:k25d<722c:=o4?::m2a5<722wi?;j50;194?6|,::h6o69h0;66g>1c83>>i6m90;66s|9c83>7}Y1k16;77m;|q:g?6=:rT2o63<6e825g=z{h:1<77}Y:9l01:4=0g9~w66e2908wS=?b:?02g<69h16?;j510c8yv51k3:1>v38:8a8960c28o;7p}<6`83>7}:?3k;70=9b;3f4>{zj:;36=4::284I53>3;pD6133-9ni7o?;%1e4?47n2.8in46b:l0a=<63-9nh77l;o1f=?7<,:l96?h>;o1fe?65}#;9i1hl5G3568m=c=831b5>4?::k;e?6=3`k;6=44o32e>5<51;294~"48j0:=55G3568k4702900q~7m:181[?e34=14l5rs8a94?4|V0i01:47e:p5ag=838p1>:::034?81=1:1vi;|q2`f<72;qUm=527;c3?x{e;:;;h32e?6=3`;:n7>5;n3f4?6=3th8:o4?:283>5}#;9i1=h:4H267?l76i3:17d?>b;29?j7b83:17p}6b;296~X>j27<64l4}r;`>5<5sW3h70=9b;32f>{ti90;6?uQa19>70c=98h0q~;<16a?76i278:o4>1`9~w63c2909w095a19>70c=9l:0q~=9a;296~;020i01>8m:0g3?x{e?j0;6>4?:1y'75e=9l>0D>:;;h32e?6=3`;:n7>5;n3f4?6=3th9o94?:583>5}#;9i19=5G3568m47f2900e3290>6=4?{%13g?7b12B8895f10c94?=n98h1<75f10a94?=n98n1<75`1d294?=zj:2n6=4=:183!57k3;946F<459j54?=831d=h>50;9~f6c429096=4?{%13g?7502B8895f10;94?=h9l:1<75rb2;5>5<4290;w)=?c;6f?M53<2c:=l4?::k25g<722e:i=4?::a6f0=8391<7>t$22`>4c43A9?86g>1`83>>o69k0;66a>e183>>{e:j21<7=50;2x 66d28o87E=;4:k25d<722c:=o4?::m2a5<722wi>no50;194?6|,::h6o69h0;66g>1c83>>i6m90;66sm39f94?5=83:p(>>l:5g8L6233`;:m7>5;h32f?6=3f;n<7>5;|`0a7<72:0;6=u+31a90`=O;=>0e5<5sW9oi63;41;095<2sW93=638c;32e>;40m0:=l523d0954g<5?:1=<74}r5a>5<>sW=i70;5jm09j<522cd96c7<51:1>k?4=9096c7<5:396>>m;<12;5jk02n63=be8:f>;5jo02n6370;;a?8>520h01>7=:8`8967?20h0q~9i:180[1a342;64m4=96954g520i015:510a8yv4ei3:1?vP=b`9>6gd=1j16>n8510c8yv4ek3:1?vP=bb9>6gb=1j16>n6510c8yv4em3:1?vP=bd9>6g`=1j16>no510c8yv5?13:1>vP<889>7=c=9l:0q~?=2;297~X6:;16?4<5a19>74>=i91v:k50;1x9=2=9l:01>79:03b?85?l3;:n6s|39a94?4|5:2n61`<58o16;n4>1c9~w7e22909w0;5k?0:i=5rs3a4>5<5s48h87?>b:?1g=<6m91v?m6:18184d<3;:o63=c`82a5=z{1:1<71=5<5s4296?>i;<:7>47c3ty8=54?:3y>74>=:9l01>k=:03a?xu5jk0;6?u22c`965`<5;i=6oj521d897e?28;i7p}=bg83>7}::kl1>=h4=3ab>47e3ty8i<4?:3y>7`5=98301>k=:0g3?xu5j00;6?u22b695`6<5:3=6i7o?;<43>4c73ty8584?:2y>7<4=1j16?<659b9>7<0=9l:0qpl<7b83>7<729q/?=m513:8L6233`;:57>5;n3f4?6=3th84=4?:383>5}#;9i1=?64H267?l7613:17b?j0;29?xd4=l0;6>4?:1y'75e=5<5<53;294~"48j0:i>5G3568m47f2900e5<5290;w)=?c;31<>N4<=1b=<750;9l5`6=831v4<50;0xZ<4<5:=265m4}r1:7?6=:rT85>5236497<47>54z\0<7=:;o?1?5?4=25b>6>6349<:7=71:p7a`=838pR>ji;<142?5cm2wx?nm50;1xZ6ed349m97=lb:?03d<4kk1v>m8:181[5d?278j846m:181[5?j278;44<889~w6dd2909wS=mc:?0b0<4j91v>6i:181[5?n278j84<8g9~w6e32908wS=l4:?036<4k=16?:753b68yv5><3:1>vP<959>72?=;080q~=73;296~X40:16?:753938yv5?03:1>vP<899>72?=;120q~=md;296~X4jm16?k;53e08yv5b83:1>vP72?=;mo0q~=ld;296~X4km16?:753b`8yv5e<3:1>vP7c3=;k>0q~=8b;296~X4?k16?:m51d28yv50n3:1>vP<7g9>7=6=9l:0q~=n4;297~X4i=16?k;53`18961f2:k87p}60;296~X>8278;447b:p=4<72?qU5<523619;1h5523649`==z{:i>6=4={_1`1>;4n<08o85rse794?4|Vm?01>96:cg8yv5>?3:1>vP<969>72?=;0=0q~=n5;296~X4i<16?:753`18yv5c?3:1>vP72?=;m<0q~=6a;296~X41h16?:o538c8yv5>k3:1>vP<9b9>72?=;0i0q~=j5;290~X4m<16?:=53d6896`22:o?70=8a;1f0>{t;1k1<739356s|2eg94?4|5:l>6?jj;<145?4cm2wx>nl50;0x96`22;ii70=81;0`f>{t9:?1<7452349<57?<5:p50c=838p1>9<:07f?850i3;>i6s|13;94?4|5:=j6<<6;<14=?7512wx>hm50;0x96142;oh70=:e;32e>{t:o91<77`4349n;7?>b:p00<72;q6?:75459>72c=98h0q~:9:181850i3>?70=8e;32e>{t:mi1<77bd349<=77c3=?k16?:o57c9>727=0m16?:857c9~w6102909w0=86;0aa>;4?10:i=5rs043>5<5s4991v>>k:181850939;h631c9~w6c12909w0=j7;3f4>;4?10:=45rs3a2>5<5s49m979b9~w7e72909w0=8a;0a=>;4??09nn5rs25g>5<5s499:?03`<6m91v<;<:181850i3;>?63<78821a=z{;8j6=4={<147?45i278j84=2`9~w63c2909w0=86;5e?852m3;n<6s|2`c94?4|5:=86?on;<1e1?4fi2wx=?<50;0x9614288970=86;316>{t0:0;6?u236c93`=:;><14<5rs0;2>5<5s496?:03:?xu59o0;6?uQ20d896`22;;m7)h5mo0;7p}=1e83>7}Y:8n01>h::33g?!4a838:i6`=eg82?xu5:10;6?uQ23:896`22;837)h5mo097p}=2683>7}Y:;=01>h::304?!4a838:i6`=eg80?xu5:?0;6?uQ234896`22;8=7)h5mo0?7p}=2483>7}Y:;?01>h::306?!4a838:i6`=eg86?xu5:=0;6?uQ236896`22;8?7)h5mo0=7p}=2283>7}Y:;901>h::300?!4a838:i6`=eg84?xu5:;0;6?uQ230896`22;897)h5mo037p}=2083>7}Y:;;01>h::302?!4a838:i6`=eg8:?xu5:90;6?uQ232896`22;8;7)h5mo0j7p}=1b83>7}Y:8i01>h::33`?!4a838:i6`=eg8a?xu083:1>vP80:?036<4j2.9j=49f:l1ac<73ty=i7>52z\5a>;4?:0856*=f185b>h5mo0:7p}9d;297~X1l278;>4<8:?0b0<402.9j=49f:l1ac<53ty=o7>53z\5g>;4?:08:63"5n90=j6`=eg80?xu1i3:1?vP9a:?036<4<278j84<4:&1b5<1n2d9ik4;;|q5=?6=;rT=563<72807>;4n<08?6*=f185b>h5mo0>7p}98;297~X10278;>4<2:?0b0<4:2.9j=49f:l1ac<13ty=;7>53z\53>;4?:08=63"5n90=j6`=eg84?xu1>3:1?vP96:?036<48278j84<0:&1b5<1n2d9ik47;|q51?6=;rT=963<7281b>;4n<09j6*=f185b>h5mo027p}94;297~X1<278;>4=e:?0b0<5m2.9j=49f:l1ac53z\57>;4?:09h63"5n90=j6`=eg8a?xu1:3:1?vP92:?036<5k278j84=c:&1b5<1n2d9ik4l;|q55?6=;rT==63<7281f>;4n<09n6*=f185b>h5mo0o7p}88;297~X00278;>4;3:?0b0<3;2.9j=49f:l1ac53z\43>;4?:0?>63"5n90=j6`=eg8e?xu0>3:1>vP86:?0b0<392.9j=49f:l1ac<682wx;84?:3y]30=:;o?18=5+2g292c=i:ll1=<5rs6694?4|V>>01>h::2d8 7`72?l0b?ki:008yv142909wS9<;<1e1?5b3-8m<78i;o0fb?743ty<>7>52z\46>;4n<08h6*=f185b>h5mo0:86s|7083>7}Y?816?k;53b9'6c6=>o1e>hh5149~w3d=838pR;l4=2d6>63<,;l;6;h4n3ge>40 + + + + + +]> + diff --git a/cpld/XC95144XL/MXSE 2.rpt b/cpld/XC95144XL/MXSE 2.rpt new file mode 100644 index 0000000..add4798 --- /dev/null +++ b/cpld/XC95144XL/MXSE 2.rpt @@ -0,0 +1,1797 @@ + +cpldfit: version P.20131013 Xilinx Inc. + Fitter Report +Design Name: MXSE Date: 12-11-2021, 6:24AM +Device Used: XC95144XL-10-TQ100 +Fitting Status: Successful + +************************* Mapped Resource Summary ************************** + +Macrocells Product Terms Function Block Registers Pins +Used/Tot Used/Tot Inps Used/Tot Used/Tot Used/Tot +110/144 ( 76%) 439 /720 ( 61%) 244/432 ( 56%) 84 /144 ( 58%) 67 /81 ( 83%) + +** Function Block Resources ** + +Function Mcells FB Inps Pterms IO +Block Used/Tot Used/Tot Used/Tot Used/Tot +FB1 9/18 36/54 80/90 11/11* +FB2 18/18* 35/54 34/90 6/10 +FB3 14/18 35/54 77/90 6/10 +FB4 10/18 36/54 82/90 10/10* +FB5 17/18 35/54 47/90 4/10 +FB6 18/18* 24/54 37/90 10/10* +FB7 17/18 35/54 76/90 10/10* +FB8 7/18 8/54 6/90 10/10* + ----- ----- ----- ----- + 110/144 244/432 439/720 67/81 + +* - Resource is exhausted + +** Global Control Resources ** + +Signal 'CLK2X_IOB' mapped onto global clock net GCK1. +Signal 'CLK_FSB' mapped onto global clock net GCK2. +Signal 'CLK_IOB' mapped onto global clock net GCK3. +Global output enable net(s) unused. +Signal 'nRES' mapped onto global set/reset net GSR. + +** Pin Resources ** + +Signal Type Required Mapped | Pin Type Used Total +------------------------------------|------------------------------------ +Input : 31 31 | I/O : 63 73 +Output : 32 32 | GCK/IO : 3 3 +Bidirectional : 0 0 | GTS/IO : 0 4 +GCK : 3 3 | GSR/IO : 1 1 +GTS : 0 0 | +GSR : 1 1 | + ---- ---- + Total 67 67 + +** Power Data ** + +There are 110 macrocells in high performance mode (MCHP). +There are 0 macrocells in low power mode (MCLP). +End of Mapped Resource Summary + ************************** Errors and Warnings *************************** + +WARNING:Cpld - Unable to retrieve the path to the iSE Project Repository. Will + use the default filename of 'MXSE.ise'. + ************************* Summary of Mapped Logic ************************ + +** 32 Outputs ** + +Signal Total Total Loc Pin Pin Pin Pwr Slew Reg Init +Name Pts Inps No. Type Use Mode Rate State +nDTACK_FSB 23 32 FB1_2 11 I/O O STD FAST RESET +nBERR_FSB 3 9 FB1_6 14 I/O O STD FAST +nOE 1 2 FB1_11 17 I/O O STD FAST +nLDS_IOB 3 7 FB2_11 6 I/O O STD FAST RESET +nUDS_IOB 3 7 FB2_12 7 I/O O STD FAST RESET +nDoutOE 2 7 FB2_14 8 I/O O STD FAST RESET +nAS_IOB 1 5 FB2_17 10 I/O O STD FAST RESET +nRAS 3 8 FB3_5 24 I/O O STD FAST +nADoutLE1 2 3 FB3_11 29 I/O O STD FAST SET +nVMA_IOB 2 9 FB3_15 33 I/O O STD FAST RESET +RA<0> 2 3 FB4_2 87 I/O O STD FAST +RA<2> 2 3 FB4_8 91 I/O O STD FAST +RA<4> 2 3 FB4_12 94 I/O O STD FAST +nDinOE 2 6 FB4_17 97 I/O O STD FAST +RA<1> 2 3 FB5_2 35 I/O O STD FAST +RA<3> 2 3 FB5_8 39 I/O O STD FAST +nROMCS 2 5 FB5_12 42 I/O O STD FAST +nCAS 1 1 FB6_2 74 I/O O STD FAST RESET +nRAMLWE 1 5 FB6_6 77 I/O O STD FAST +nRAMUWE 1 5 FB6_9 79 I/O O STD FAST +nROMWE 1 2 FB6_12 81 I/O O STD FAST +nVPA_FSB 1 2 FB6_15 85 I/O O STD FAST +RA<5> 2 3 FB7_2 50 I/O O STD FAST +RA<6> 2 3 FB7_6 53 I/O O STD FAST +RA<7> 2 3 FB7_9 55 I/O O STD FAST +RA<8> 2 3 FB7_12 58 I/O O STD FAST +RA<9> 2 3 FB7_15 60 I/O O STD FAST +RA<11> 1 1 FB8_2 63 I/O O STD FAST +RA<10> 1 1 FB8_6 65 I/O O STD FAST +nADoutLE0 1 2 FB8_9 67 I/O O STD FAST +nAoutOE 0 0 FB8_12 70 I/O O STD FAST +nDinLE 1 2 FB8_15 72 I/O O STD FAST RESET + +** 78 Buried Nodes ** + +Signal Total Total Loc Pwr Reg Init +Name Pts Inps Mode State +$OpTx$FX_DC$606 5 12 FB1_4 STD +fsb/Ready1r 7 17 FB1_7 STD RESET +fsb/VPA__or00001/fsb/VPA__or00001_D2 8 20 FB1_10 STD +fsb/Ready2r 9 22 FB1_12 STD RESET +fsb/VPA 22 30 FB1_15 STD RESET +$OpTx$FX_DC$602 2 5 FB1_17 STD +iobm/VPArr 1 1 FB2_1 STD RESET +iobm/VPArf 1 1 FB2_2 STD RESET +iobm/RESrr 1 1 FB2_3 STD RESET +iobm/RESrf 1 1 FB2_4 STD RESET +iobm/IOREQr 1 1 FB2_5 STD RESET +cnt/RefCnt<3> 1 3 FB2_6 STD RESET +cnt/RefCnt<2> 1 2 FB2_7 STD RESET +cnt/RefCnt<1> 1 1 FB2_8 STD RESET +fsb/BERR1r 2 4 FB2_9 STD RESET +cnt/RefDone 2 10 FB2_10 STD RESET +cnt/TimeoutBPre 3 11 FB2_13 STD RESET +TimeoutB 3 12 FB2_15 STD RESET +TimeoutA 3 10 FB2_16 STD RESET +iobs/IOReady 4 8 FB2_18 STD RESET +IORW0 18 20 FB3_3 STD RESET +iobs/IOACTr 1 1 FB3_4 STD RESET +iobs/Clear1 1 3 FB3_6 STD RESET +fsb/ASrf 1 1 FB3_7 STD RESET +ALE0S 1 2 FB3_8 STD RESET +iobs/PS_FSM_FFd2 14 19 FB3_9 STD RESET +iobs/PS_FSM_FFd1 2 3 FB3_12 STD RESET +IOREQ 14 19 FB3_13 STD RESET +iobm/ETACK 1 6 FB3_14 STD RESET +iobs/IORW1 16 19 FB3_17 STD RESET +ram/BACTr 1 2 FB3_18 STD RESET +ram/RASEL 20 15 FB4_1 STD RESET +cs/nOverlay1 2 3 FB4_3 STD RESET +iobs/Load1 14 18 FB4_6 STD RESET +iobs/Once 17 18 FB4_10 STD RESET +ram/RAMDIS1 18 15 FB4_14 STD RESET +fsb/BERR0r 3 8 FB4_16 STD RESET +IOACT 10 15 FB5_1 STD RESET +iobm/IOS_FSM_FFd7 1 3 FB5_3 STD RESET +iobm/IOS_FSM_FFd6 1 1 FB5_4 STD RESET + +Signal Total Total Loc Pwr Reg Init +Name Pts Inps Mode State +iobm/IOS_FSM_FFd5 1 1 FB5_5 STD RESET +iobm/IOS_FSM_FFd4 1 1 FB5_6 STD RESET +iobm/BERRrr 1 1 FB5_7 STD RESET +iobm/BERRrf 1 1 FB5_9 STD RESET +cnt/RefCnt<0> 0 0 FB5_10 STD RESET +iobm/IOS_FSM_FFd8 2 4 FB5_11 STD SET +ALE0M 2 7 FB5_13 STD RESET +iobm/IOS_FSM_FFd2 4 9 FB5_14 STD RESET +BERR_IOBS 4 8 FB5_15 STD RESET +iobm/IOS_FSM_FFd3 5 10 FB5_16 STD RESET +IOBERR 8 11 FB5_18 STD RESET +iobm/Er2 1 1 FB6_1 STD RESET +iobm/DTACKrr 1 1 FB6_3 STD RESET +iobm/DTACKrf 1 1 FB6_4 STD RESET +RefAck 1 2 FB6_5 STD RESET +iobs/IOU1 2 2 FB6_7 STD RESET +iobs/IOL1 2 2 FB6_8 STD RESET +iobm/ES<3> 3 6 FB6_10 STD RESET +iobm/ES<1> 3 4 FB6_11 STD RESET +iobm/ES<0> 3 7 FB6_13 STD RESET +IOU0 3 5 FB6_14 STD RESET +IOL0 3 5 FB6_16 STD RESET +iobm/ES<4> 4 7 FB6_17 STD RESET +iobm/ES<2> 5 7 FB6_18 STD RESET +cnt/RefCnt<5> 1 5 FB7_1 STD RESET +cnt/RefCnt<4> 1 4 FB7_3 STD RESET +cs/nOverlay0 2 7 FB7_4 STD RESET +ram/RS_FSM_FFd3 11 14 FB7_5 STD RESET +fsb/Ready0r 3 8 FB7_7 STD RESET +ram/RS_FSM_FFd1 5 10 FB7_8 STD RESET +ram/Once 5 10 FB7_10 STD RESET +ram/RAMDIS2 7 15 FB7_11 STD RESET +ram/RS_FSM_FFd2 13 14 FB7_13 STD RESET +cnt/RefCnt<7> 1 7 FB7_14 STD RESET +cnt/RefCnt<6> 1 6 FB7_16 STD RESET +ram/RAMReady 16 15 FB7_17 STD RESET +iobm/IOS_FSM_FFd1 1 1 FB8_17 STD RESET +iobm/Er 1 1 FB8_18 STD RESET + +** 35 Inputs ** + +Signal Loc Pin Pin Pin +Name No. Type Use +A_FSB<9> FB1_3 12 I/O I +nAS_FSB FB1_5 13 I/O I +A_FSB<5> FB1_8 15 I/O I +A_FSB<2> FB1_9 16 I/O I +nBERR_IOB FB1_12 18 I/O I +A_FSB<6> FB1_14 19 I/O I +A_FSB<7> FB1_15 20 I/O I +CLK2X_IOB FB1_17 22~ GCK/I/O GCK +nRES FB2_2 99~ GSR/I/O GSR/I +A_FSB<22> FB2_15 9 I/O I +CLK_FSB FB3_2 23~ GCK/I/O GCK +nLDS_FSB FB3_6 25 I/O I +CLK_IOB FB3_8 27~ GCK/I/O GCK/I +A_FSB<10> FB4_5 89 I/O I +A_FSB<1> FB4_6 90 I/O I +A_FSB<12> FB4_9 92 I/O I +A_FSB<14> FB4_11 93 I/O I +A_FSB<16> FB4_14 95 I/O I +A_FSB<17> FB4_15 96 I/O I +E_IOB FB5_17 49 I/O I +A_FSB<18> FB6_5 76 I/O I +A_FSB<3> FB6_8 78 I/O I +A_FSB<15> FB6_11 80 I/O I +A_FSB<13> FB6_14 82 I/O I +A_FSB<11> FB6_17 86 I/O I +nUDS_FSB FB7_5 52 I/O I +nDTACK_IOB FB7_8 54 I/O I +A_FSB<4> FB7_11 56 I/O I +nVPA_IOB FB7_14 59 I/O I +nWE_FSB FB7_17 61 I/O I +A_FSB<8> FB8_5 64 I/O I +A_FSB<23> FB8_8 66 I/O I +A_FSB<21> FB8_11 68 I/O I +A_FSB<20> FB8_14 71 I/O I +A_FSB<19> FB8_17 73 I/O I + +Legend: +Pin No. - ~ - User Assigned + ************************** Function Block Details ************************ +Legend: +Total Pt - Total product terms used by the macrocell signal +Imp Pt - Product terms imported from other macrocells +Exp Pt - Product terms exported to other macrocells + in direction shown +Unused Pt - Unused local product terms remaining in macrocell +Loc - Location where logic was mapped in device +Pin Type/Use - I - Input GCK - Global Clock + O - Output GTS - Global Output Enable + (b) - Buried macrocell GSR - Global Set/Reset +X - Signal used as input to the macrocell logic. +Pin No. - ~ - User Assigned + *********************************** FB1 *********************************** +Number of function block inputs used/remaining: 36/18 +Number of signals used by logic mapping into function block: 36 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +(unused) 0 0 \/5 0 FB1_1 (b) (b) +nDTACK_FSB 23 18<- 0 0 FB1_2 11 I/O O +(unused) 0 0 /\5 0 FB1_3 12 I/O I +$OpTx$FX_DC$606 5 3<- /\3 0 FB1_4 (b) (b) +(unused) 0 0 /\3 2 FB1_5 13 I/O I +nBERR_FSB 3 0 \/2 0 FB1_6 14 I/O O +fsb/Ready1r 7 2<- 0 0 FB1_7 (b) (b) +(unused) 0 0 0 5 FB1_8 15 I/O I +(unused) 0 0 \/3 2 FB1_9 16 I/O I +fsb/VPA__or00001/fsb/VPA__or00001_D2 + 8 3<- 0 0 FB1_10 (b) (b) +nOE 1 0 \/4 0 FB1_11 17 I/O O +fsb/Ready2r 9 4<- 0 0 FB1_12 18 I/O I +(unused) 0 0 \/5 0 FB1_13 (b) (b) +(unused) 0 0 \/5 0 FB1_14 19 I/O I +fsb/VPA 22 17<- 0 0 FB1_15 20 I/O I +(unused) 0 0 /\5 0 FB1_16 (b) (b) +$OpTx$FX_DC$602 2 0 /\2 1 FB1_17 22 GCK/I/O GCK +(unused) 0 0 \/5 0 FB1_18 (b) (b) + +Signals Used by Logic in Function Block + 1: $OpTx$FX_DC$602 13: A_FSB<20> 25: fsb/BERR1r + 2: $OpTx$FX_DC$606 14: A_FSB<21> 26: fsb/Ready0r + 3: A_FSB<10> 15: A_FSB<22> 27: fsb/Ready1r + 4: A_FSB<11> 16: A_FSB<23> 28: fsb/Ready2r + 5: A_FSB<12> 17: A_FSB<8> 29: fsb/VPA + 6: A_FSB<13> 18: A_FSB<9> 30: fsb/VPA__or00001/fsb/VPA__or00001_D2 + 7: A_FSB<14> 19: BERR_IOBS 31: iobs/IOReady + 8: A_FSB<15> 20: TimeoutA 32: nADoutLE1 + 9: A_FSB<16> 21: TimeoutB 33: nAS_FSB + 10: A_FSB<17> 22: cs/nOverlay1 34: nDTACK_FSB + 11: A_FSB<18> 23: fsb/ASrf 35: nWE_FSB + 12: A_FSB<19> 24: fsb/BERR0r 36: ram/RAMReady + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +nDTACK_FSB X.XXXXXXXXXXXXXXXXXX.XXXXXXX..XXXXXX.... 32 +$OpTx$FX_DC$606 .....XX.XXXXXXX......X.........X..X..... 12 +nBERR_FSB ............XXXX..X.X..XX.......X....... 9 +fsb/Ready1r .....XX.XXXXXXXX.....XX...X...XXX.X..... 17 +fsb/VPA__or00001/fsb/VPA__or00001_D2 + ..XXXXXXXXXXXXXXXX.X.X.....X......X..... 20 +nOE ................................X.X..... 2 +fsb/Ready2r ..XXXXXXXXXXXXXXXX.X.XX....X....X.X..... 22 +fsb/VPA XXXXXXXXXXXXXXXXXXX..XXXXXX.XXX.X..X.... 30 +$OpTx$FX_DC$602 ............XXXX....X................... 5 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB2 *********************************** +Number of function block inputs used/remaining: 35/19 +Number of signals used by logic mapping into function block: 35 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +iobm/VPArr 1 0 0 4 FB2_1 (b) (b) +iobm/VPArf 1 0 0 4 FB2_2 99 GSR/I/O GSR/I +iobm/RESrr 1 0 0 4 FB2_3 (b) (b) +iobm/RESrf 1 0 0 4 FB2_4 (b) (b) +iobm/IOREQr 1 0 0 4 FB2_5 1 GTS/I/O (b) +cnt/RefCnt<3> 1 0 0 4 FB2_6 2 GTS/I/O (b) +cnt/RefCnt<2> 1 0 0 4 FB2_7 (b) (b) +cnt/RefCnt<1> 1 0 0 4 FB2_8 3 GTS/I/O (b) +fsb/BERR1r 2 0 0 3 FB2_9 4 GTS/I/O (b) +cnt/RefDone 2 0 0 3 FB2_10 (b) (b) +nLDS_IOB 3 0 0 2 FB2_11 6 I/O O +nUDS_IOB 3 0 0 2 FB2_12 7 I/O O +cnt/TimeoutBPre 3 0 0 2 FB2_13 (b) (b) +nDoutOE 2 0 0 3 FB2_14 8 I/O O +TimeoutB 3 0 0 2 FB2_15 9 I/O I +TimeoutA 3 0 0 2 FB2_16 (b) (b) +nAS_IOB 1 0 0 4 FB2_17 10 I/O O +iobs/IOReady 4 0 0 1 FB2_18 (b) (b) + +Signals Used by Logic in Function Block + 1: BERR_IOBS 13: cnt/RefCnt<3> 25: iobm/IOS_FSM_FFd5 + 2: IOBERR 14: cnt/RefCnt<4> 26: iobm/IOS_FSM_FFd6 + 3: IOL0 15: cnt/RefCnt<5> 27: iobm/IOS_FSM_FFd7 + 4: IOREQ 16: cnt/RefCnt<6> 28: iobs/IOACTr + 5: IORW0 17: cnt/RefCnt<7> 29: iobs/IOReady + 6: IOU0 18: cnt/RefDone 30: iobs/Once + 7: RefAck 19: cnt/TimeoutBPre 31: iobs/PS_FSM_FFd2 + 8: TimeoutA 20: fsb/ASrf 32: nADoutLE1 + 9: TimeoutB 21: fsb/BERR1r 33: nAS_FSB + 10: cnt/RefCnt<0> 22: iobm/IOS_FSM_FFd2 34: nRES + 11: cnt/RefCnt<1> 23: iobm/IOS_FSM_FFd3 35: nVPA_IOB + 12: cnt/RefCnt<2> 24: iobm/IOS_FSM_FFd4 + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +iobm/VPArr ..................................X..... 1 +iobm/VPArf ..................................X..... 1 +iobm/RESrr .................................X...... 1 +iobm/RESrf .................................X...... 1 +iobm/IOREQr ...X.................................... 1 +cnt/RefCnt<3> .........XXX............................ 3 +cnt/RefCnt<2> .........XX............................. 2 +cnt/RefCnt<1> .........X.............................. 1 +fsb/BERR1r X..................XX...........X....... 4 +cnt/RefDone ......X..XXXXXXXXX...................... 10 +nLDS_IOB ..X.X.................XXXXX............. 7 +nUDS_IOB ....XX................XXXXX............. 7 +cnt/TimeoutBPre .........XXXXXXXX.XX............X....... 11 +nDoutOE ....X................XXXXXX............. 7 +TimeoutB ........XXXXXXXXX.XX............X....... 12 +TimeoutA .......X.XXXXXXX...X............X....... 10 +nAS_IOB ......................XXXXX............. 5 +iobs/IOReady .X.................X.......XXXXXX....... 8 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB3 *********************************** +Number of function block inputs used/remaining: 35/19 +Number of signals used by logic mapping into function block: 35 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +(unused) 0 0 \/4 1 FB3_1 (b) (b) +(unused) 0 0 \/5 0 FB3_2 23 GCK/I/O GCK +IORW0 18 13<- 0 0 FB3_3 (b) (b) +iobs/IOACTr 1 0 /\4 0 FB3_4 (b) (b) +nRAS 3 0 0 2 FB3_5 24 I/O O +iobs/Clear1 1 0 0 4 FB3_6 25 I/O I +fsb/ASrf 1 0 0 4 FB3_7 (b) (b) +ALE0S 1 0 \/4 0 FB3_8 27 GCK/I/O GCK/I +iobs/PS_FSM_FFd2 14 9<- 0 0 FB3_9 28 I/O (b) +(unused) 0 0 /\5 0 FB3_10 (b) (b) +nADoutLE1 2 0 \/2 1 FB3_11 29 I/O O +iobs/PS_FSM_FFd1 2 2<- \/5 0 FB3_12 30 I/O (b) +IOREQ 14 9<- 0 0 FB3_13 (b) (b) +iobm/ETACK 1 0 /\4 0 FB3_14 32 I/O (b) +nVMA_IOB 2 0 \/2 1 FB3_15 33 I/O O +(unused) 0 0 \/5 0 FB3_16 (b) (b) +iobs/IORW1 16 11<- 0 0 FB3_17 34 I/O (b) +ram/BACTr 1 0 /\4 0 FB3_18 (b) (b) + +Signals Used by Logic in Function Block + 1: A_FSB<13> 13: RefAck 25: iobs/IORW1 + 2: A_FSB<14> 14: cs/nOverlay1 26: iobs/Load1 + 3: A_FSB<16> 15: fsb/ASrf 27: iobs/Once + 4: A_FSB<17> 16: iobm/ES<0> 28: iobs/PS_FSM_FFd1 + 5: A_FSB<18> 17: iobm/ES<1> 29: iobs/PS_FSM_FFd2 + 6: A_FSB<19> 18: iobm/ES<2> 30: nADoutLE1 + 7: A_FSB<20> 19: iobm/ES<3> 31: nAS_FSB + 8: A_FSB<21> 20: iobm/ES<4> 32: nVMA_IOB + 9: A_FSB<22> 21: iobm/VPArf 33: nWE_FSB + 10: A_FSB<23> 22: iobm/VPArr 34: ram/RAMDIS1 + 11: IOACT 23: iobs/Clear1 35: ram/RAMDIS2 + 12: IORW0 24: iobs/IOACTr + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +IORW0 XXXXXXXXXX.X.XX.........X.XXXXX.X....... 20 +iobs/IOACTr ..........X............................. 1 +nRAS .......XXX..XX................X..XX..... 8 +iobs/Clear1 ...........................XXX.......... 3 +fsb/ASrf ..............................X......... 1 +ALE0S ...........................XX........... 2 +iobs/PS_FSM_FFd2 XXXXXXXXXX...XX........X..XXXXX.X....... 19 +nADoutLE1 ......................X..X...X.......... 3 +iobs/PS_FSM_FFd1 .......................X...XX........... 3 +IOREQ XXXXXXXXXX...XX........X..XXXXX.X....... 19 +iobm/ETACK ...............XXXXX...........X........ 6 +nVMA_IOB ..........X....XXXXXXX.........X........ 9 +iobs/IORW1 XXXXXXXXXX...XX.........X.XXXXX.X....... 19 +ram/BACTr ..............X...............X......... 2 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB4 *********************************** +Number of function block inputs used/remaining: 36/18 +Number of signals used by logic mapping into function block: 36 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +ram/RASEL 20 15<- 0 0 FB4_1 (b) (b) +RA<0> 2 2<- /\5 0 FB4_2 87 I/O O +cs/nOverlay1 2 0 /\2 1 FB4_3 (b) (b) +(unused) 0 0 0 5 FB4_4 (b) +(unused) 0 0 \/4 1 FB4_5 89 I/O I +iobs/Load1 14 9<- 0 0 FB4_6 90 I/O I +(unused) 0 0 /\5 0 FB4_7 (b) (b) +RA<2> 2 0 \/2 1 FB4_8 91 I/O O +(unused) 0 0 \/5 0 FB4_9 92 I/O I +iobs/Once 17 12<- 0 0 FB4_10 (b) (b) +(unused) 0 0 /\5 0 FB4_11 93 I/O I +RA<4> 2 0 \/3 0 FB4_12 94 I/O O +(unused) 0 0 \/5 0 FB4_13 (b) (b) +ram/RAMDIS1 18 13<- 0 0 FB4_14 95 I/O I +(unused) 0 0 /\5 0 FB4_15 96 I/O I +fsb/BERR0r 3 0 \/2 0 FB4_16 (b) (b) +nDinOE 2 2<- \/5 0 FB4_17 97 I/O O +(unused) 0 0 \/5 0 FB4_18 (b) (b) + +Signals Used by Logic in Function Block + 1: A_FSB<10> 13: A_FSB<23> 25: iobs/Once + 2: A_FSB<12> 14: A_FSB<3> 26: iobs/PS_FSM_FFd1 + 3: A_FSB<13> 15: A_FSB<5> 27: iobs/PS_FSM_FFd2 + 4: A_FSB<14> 16: TimeoutB 28: nADoutLE1 + 5: A_FSB<16> 17: cnt/RefCnt<5> 29: nAS_FSB + 6: A_FSB<17> 18: cnt/RefCnt<6> 30: nWE_FSB + 7: A_FSB<18> 19: cnt/RefCnt<7> 31: ram/BACTr + 8: A_FSB<19> 20: cnt/RefDone 32: ram/Once + 9: A_FSB<1> 21: cs/nOverlay0 33: ram/RASEL + 10: A_FSB<20> 22: cs/nOverlay1 34: ram/RS_FSM_FFd1 + 11: A_FSB<21> 23: fsb/ASrf 35: ram/RS_FSM_FFd2 + 12: A_FSB<22> 24: fsb/BERR0r 36: ram/RS_FSM_FFd3 + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +ram/RASEL ..........XXX...XXXX.XX.....X.XX.XXX.... 15 +RA<0> X.......X.......................X....... 3 +cs/nOverlay1 ....................X.X.....X........... 3 +iobs/Load1 ..XXXXXX.XXXX........XX.XXXXXX.......... 18 +RA<2> .X...........X..................X....... 3 +iobs/Once ..XXXXXX.XXXX........XX.XXXXXX.......... 18 +RA<4> ...X..........X.................X....... 3 +ram/RAMDIS1 ..........XXX...XXXX.XX.....X.XX.XXX.... 15 +fsb/BERR0r .........XXXX..X......XX....X........... 8 +nDinOE .........XXXX...............XX.......... 6 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB5 *********************************** +Number of function block inputs used/remaining: 35/19 +Number of signals used by logic mapping into function block: 35 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +IOACT 10 5<- 0 0 FB5_1 (b) (b) +RA<1> 2 0 /\3 0 FB5_2 35 I/O O +iobm/IOS_FSM_FFd7 1 0 0 4 FB5_3 (b) (b) +iobm/IOS_FSM_FFd6 1 0 0 4 FB5_4 (b) (b) +iobm/IOS_FSM_FFd5 1 0 0 4 FB5_5 36 I/O (b) +iobm/IOS_FSM_FFd4 1 0 0 4 FB5_6 37 I/O (b) +iobm/BERRrr 1 0 0 4 FB5_7 (b) (b) +RA<3> 2 0 0 3 FB5_8 39 I/O O +iobm/BERRrf 1 0 0 4 FB5_9 40 I/O (b) +cnt/RefCnt<0> 0 0 0 5 FB5_10 (b) (b) +iobm/IOS_FSM_FFd8 2 0 0 3 FB5_11 41 I/O (b) +nROMCS 2 0 0 3 FB5_12 42 I/O O +ALE0M 2 0 0 3 FB5_13 (b) (b) +iobm/IOS_FSM_FFd2 4 0 0 1 FB5_14 43 I/O (b) +BERR_IOBS 4 0 0 1 FB5_15 46 I/O (b) +iobm/IOS_FSM_FFd3 5 0 0 0 FB5_16 (b) (b) +(unused) 0 0 \/5 0 FB5_17 49 I/O I +IOBERR 8 5<- \/2 0 FB5_18 (b) (b) + +Signals Used by Logic in Function Block + 1: A_FSB<11> 13: fsb/ASrf 25: iobm/IOS_FSM_FFd7 + 2: A_FSB<13> 14: iobm/BERRrf 26: iobm/IOS_FSM_FFd8 + 3: A_FSB<20> 15: iobm/BERRrr 27: iobm/RESrf + 4: A_FSB<21> 16: iobm/DTACKrf 28: iobm/RESrr + 5: A_FSB<22> 17: iobm/DTACKrr 29: iobs/IOACTr + 6: A_FSB<23> 18: iobm/ETACK 30: iobs/Once + 7: A_FSB<2> 19: iobm/IOREQr 31: iobs/PS_FSM_FFd2 + 8: A_FSB<4> 20: iobm/IOS_FSM_FFd1 32: nADoutLE1 + 9: BERR_IOBS 21: iobm/IOS_FSM_FFd3 33: nAS_FSB + 10: CLK_IOB 22: iobm/IOS_FSM_FFd4 34: nBERR_IOB + 11: IOBERR 23: iobm/IOS_FSM_FFd5 35: ram/RASEL + 12: cs/nOverlay1 24: iobm/IOS_FSM_FFd6 + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +IOACT .........X...XXXXXX.XXXXXXXX............ 15 +RA<1> X.....X...........................X..... 3 +iobm/IOS_FSM_FFd7 .........X........X......X.............. 3 +iobm/IOS_FSM_FFd6 ........................X............... 1 +iobm/IOS_FSM_FFd5 .......................X................ 1 +iobm/IOS_FSM_FFd4 ......................X................. 1 +iobm/BERRrr .................................X...... 1 +RA<3> .X.....X..........................X..... 3 +iobm/BERRrf .................................X...... 1 +cnt/RefCnt<0> ........................................ 0 +iobm/IOS_FSM_FFd8 .........X........XX.....X.............. 4 +nROMCS ..XXXX.....X............................ 5 +ALE0M ..................X.XXXXXX.............. 7 +iobm/IOS_FSM_FFd2 .........X...XXXXX..X.....XX............ 9 +BERR_IOBS ........X.X.X...............XXXXX....... 8 +iobm/IOS_FSM_FFd3 .........X...XXXXX..XX....XX............ 10 +IOBERR .........XX..XXXXX..X.....XX.....X...... 11 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB6 *********************************** +Number of function block inputs used/remaining: 24/30 +Number of signals used by logic mapping into function block: 24 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +iobm/Er2 1 0 0 4 FB6_1 (b) (b) +nCAS 1 0 0 4 FB6_2 74 I/O O +iobm/DTACKrr 1 0 0 4 FB6_3 (b) (b) +iobm/DTACKrf 1 0 0 4 FB6_4 (b) (b) +RefAck 1 0 0 4 FB6_5 76 I/O I +nRAMLWE 1 0 0 4 FB6_6 77 I/O O +iobs/IOU1 2 0 0 3 FB6_7 (b) (b) +iobs/IOL1 2 0 0 3 FB6_8 78 I/O I +nRAMUWE 1 0 0 4 FB6_9 79 I/O O +iobm/ES<3> 3 0 0 2 FB6_10 (b) (b) +iobm/ES<1> 3 0 0 2 FB6_11 80 I/O I +nROMWE 1 0 0 4 FB6_12 81 I/O O +iobm/ES<0> 3 0 0 2 FB6_13 (b) (b) +IOU0 3 0 0 2 FB6_14 82 I/O I +nVPA_FSB 1 0 0 4 FB6_15 85 I/O O +IOL0 3 0 0 2 FB6_16 (b) (b) +iobm/ES<4> 4 0 0 1 FB6_17 86 I/O I +iobm/ES<2> 5 0 0 0 FB6_18 (b) (b) + +Signals Used by Logic in Function Block + 1: fsb/VPA 9: iobs/IOL1 17: nLDS_FSB + 2: iobm/ES<0> 10: iobs/IOU1 18: nUDS_FSB + 3: iobm/ES<1> 11: iobs/Load1 19: nWE_FSB + 4: iobm/ES<2> 12: iobs/PS_FSM_FFd1 20: ram/RAMDIS1 + 5: iobm/ES<3> 13: iobs/PS_FSM_FFd2 21: ram/RAMDIS2 + 6: iobm/ES<4> 14: nADoutLE1 22: ram/RASEL + 7: iobm/Er 15: nAS_FSB 23: ram/RS_FSM_FFd1 + 8: iobm/Er2 16: nDTACK_IOB 24: ram/RS_FSM_FFd2 + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +iobm/Er2 ......X................................. 1 +nCAS .....................X.................. 1 +iobm/DTACKrr ...............X........................ 1 +iobm/DTACKrf ...............X........................ 1 +RefAck ......................XX................ 2 +nRAMLWE ..............X.X.XXX................... 5 +iobs/IOU1 ..........X......X...................... 2 +iobs/IOL1 ..........X.....X....................... 2 +nRAMUWE ..............X..XXXX................... 5 +iobm/ES<3> .XXXX.XX................................ 6 +iobm/ES<1> .XX...XX................................ 4 +nROMWE ..............X...X..................... 2 +iobm/ES<0> .XXXXXXX................................ 7 +IOU0 .........X.XXX...X...................... 5 +nVPA_FSB X.............X......................... 2 +IOL0 ........X..XXX..X....................... 5 +iobm/ES<4> .XXXXXXX................................ 7 +iobm/ES<2> .XXXXXXX................................ 7 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB7 *********************************** +Number of function block inputs used/remaining: 35/19 +Number of signals used by logic mapping into function block: 35 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +cnt/RefCnt<5> 1 0 /\1 3 FB7_1 (b) (b) +RA<5> 2 0 0 3 FB7_2 50 I/O O +cnt/RefCnt<4> 1 0 0 4 FB7_3 (b) (b) +cs/nOverlay0 2 0 \/3 0 FB7_4 (b) (b) +ram/RS_FSM_FFd3 11 6<- 0 0 FB7_5 52 I/O I +RA<6> 2 0 /\3 0 FB7_6 53 I/O O +fsb/Ready0r 3 0 0 2 FB7_7 (b) (b) +ram/RS_FSM_FFd1 5 0 0 0 FB7_8 54 I/O I +RA<7> 2 0 \/3 0 FB7_9 55 I/O O +ram/Once 5 3<- \/3 0 FB7_10 (b) (b) +ram/RAMDIS2 7 3<- \/1 0 FB7_11 56 I/O I +RA<8> 2 1<- \/4 0 FB7_12 58 I/O O +ram/RS_FSM_FFd2 13 8<- 0 0 FB7_13 (b) (b) +cnt/RefCnt<7> 1 0 /\4 0 FB7_14 59 I/O I +RA<9> 2 0 \/1 2 FB7_15 60 I/O O +cnt/RefCnt<6> 1 1<- \/5 0 FB7_16 (b) (b) +ram/RAMReady 16 11<- 0 0 FB7_17 61 I/O I +(unused) 0 0 /\5 0 FB7_18 (b) (b) + +Signals Used by Logic in Function Block + 1: A_FSB<15> 13: A_FSB<9> 25: fsb/ASrf + 2: A_FSB<16> 14: cnt/RefCnt<0> 26: fsb/Ready0r + 3: A_FSB<17> 15: cnt/RefCnt<1> 27: nAS_FSB + 4: A_FSB<18> 16: cnt/RefCnt<2> 28: ram/BACTr + 5: A_FSB<19> 17: cnt/RefCnt<3> 29: ram/Once + 6: A_FSB<20> 18: cnt/RefCnt<4> 30: ram/RAMDIS2 + 7: A_FSB<21> 19: cnt/RefCnt<5> 31: ram/RAMReady + 8: A_FSB<22> 20: cnt/RefCnt<6> 32: ram/RASEL + 9: A_FSB<23> 21: cnt/RefCnt<7> 33: ram/RS_FSM_FFd1 + 10: A_FSB<6> 22: cnt/RefDone 34: ram/RS_FSM_FFd2 + 11: A_FSB<7> 23: cs/nOverlay0 35: ram/RS_FSM_FFd3 + 12: A_FSB<8> 24: cs/nOverlay1 + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +cnt/RefCnt<5> .............XXXXX...................... 5 +RA<5> X........X.....................X........ 3 +cnt/RefCnt<4> .............XXXX....................... 4 +cs/nOverlay0 .....XXXX.............X.X.X............. 7 +ram/RS_FSM_FFd3 ......XXX.........XXXX.XX.X.X...XXX..... 14 +RA<6> .X........X....................X........ 3 +fsb/Ready0r ......XXX..............XXXX...X......... 8 +ram/RS_FSM_FFd1 ......XXX..............XX.X.X...XXX..... 10 +RA<7> ..X........X...................X........ 3 +ram/Once ......XXX..............XX.X.X...XXX..... 10 +ram/RAMDIS2 ......XXX.........XXXX.XX.X.XX..XXX..... 15 +RA<8> ...X........X..................X........ 3 +ram/RS_FSM_FFd2 ......XXX.........XXXX.XX.XX....XXX..... 14 +cnt/RefCnt<7> .............XXXXXXX.................... 7 +RA<9> ....XX.........................X........ 3 +cnt/RefCnt<6> .............XXXXXX..................... 6 +ram/RAMReady ......XXX.........XXXX.XX.XXX...XXX..... 15 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB8 *********************************** +Number of function block inputs used/remaining: 8/46 +Number of signals used by logic mapping into function block: 8 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +(unused) 0 0 0 5 FB8_1 (b) +RA<11> 1 0 0 4 FB8_2 63 I/O O +(unused) 0 0 0 5 FB8_3 (b) +(unused) 0 0 0 5 FB8_4 (b) +(unused) 0 0 0 5 FB8_5 64 I/O I +RA<10> 1 0 0 4 FB8_6 65 I/O O +(unused) 0 0 0 5 FB8_7 (b) +(unused) 0 0 0 5 FB8_8 66 I/O I +nADoutLE0 1 0 0 4 FB8_9 67 I/O O +(unused) 0 0 0 5 FB8_10 (b) +(unused) 0 0 0 5 FB8_11 68 I/O I +nAoutOE 0 0 0 5 FB8_12 70 I/O O +(unused) 0 0 0 5 FB8_13 (b) +(unused) 0 0 0 5 FB8_14 71 I/O I +nDinLE 1 0 0 4 FB8_15 72 I/O O +(unused) 0 0 0 5 FB8_16 (b) +iobm/IOS_FSM_FFd1 1 0 0 4 FB8_17 73 I/O I +iobm/Er 1 0 0 4 FB8_18 (b) (b) + +Signals Used by Logic in Function Block + 1: ALE0M 4: A_FSB<21> 7: iobm/IOS_FSM_FFd3 + 2: ALE0S 5: E_IOB 8: iobm/IOS_FSM_FFd4 + 3: A_FSB<19> 6: iobm/IOS_FSM_FFd2 + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +RA<11> ..X..................................... 1 +RA<10> ...X.................................... 1 +nADoutLE0 XX...................................... 2 +nAoutOE ........................................ 0 +nDinLE ......XX................................ 2 +iobm/IOS_FSM_FFd1 .....X.................................. 1 +iobm/Er ....X................................... 1 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + ******************************* Equations ******************************** + +********** Mapped Logic ********** + + +$OpTx$FX_DC$602 <= ((NOT TimeoutB) + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20))); + + +$OpTx$FX_DC$606 <= ((A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB) + OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND + cs/nOverlay1 AND NOT nWE_FSB AND NOT nADoutLE1) + OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND + cs/nOverlay1 AND NOT nWE_FSB AND NOT nADoutLE1) + OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20)) + OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB)); + +FDCPE_ALE0M: FDCPE port map (ALE0M,ALE0M_D,CLK2X_IOB,'0','0'); +ALE0M_D <= ((NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND + NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND + NOT iobm/IOREQr) + OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND + NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND + NOT iobm/IOS_FSM_FFd8)); + +FDCPE_ALE0S: FDCPE port map (ALE0S,ALE0S_D,CLK_FSB,'0','0'); +ALE0S_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); + +FTCPE_BERR_IOBS: FTCPE port map (BERR_IOBS,BERR_IOBS_T,CLK_FSB,'0','0'); +BERR_IOBS_T <= ((BERR_IOBS AND nAS_FSB AND NOT fsb/ASrf) + OR (iobs/Once AND BERR_IOBS AND NOT IOBERR AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1) + OR (iobs/Once AND NOT BERR_IOBS AND IOBERR AND NOT nAS_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1) + OR (iobs/Once AND NOT BERR_IOBS AND IOBERR AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND fsb/ASrf AND nADoutLE1)); + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +FDCPE_IOACT: FDCPE port map (IOACT,IOACT_D,CLK2X_IOB,'0','0'); +IOACT_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND + NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd8 AND + iobm/BERRrf AND iobm/BERRrr) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND + NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd8 AND + iobm/DTACKrf AND iobm/DTACKrr) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND + NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd8 AND + iobm/RESrf AND iobm/RESrr) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND + NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOREQr AND + iobm/DTACKrf AND iobm/DTACKrr) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND + NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOREQr AND + iobm/RESrf AND iobm/RESrr) + OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND + NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND + NOT iobm/IOREQr) + OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND + NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND + NOT iobm/IOS_FSM_FFd8) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND + NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND iobm/ETACK AND + NOT iobm/IOREQr) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND + NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND iobm/ETACK AND + NOT iobm/IOS_FSM_FFd8) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND + NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOREQr AND + iobm/BERRrf AND iobm/BERRrr)); + +FTCPE_IOBERR: FTCPE port map (IOBERR,IOBERR_T,CLK2X_IOB,'0','0'); +IOBERR_T <= ((nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND + CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr) + OR (nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND + CLK_IOB AND iobm/RESrf AND iobm/RESrr) + OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND + CLK_IOB AND iobm/BERRrf AND iobm/BERRrr) + OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND + CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr) + OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND + CLK_IOB AND iobm/RESrf AND iobm/RESrr) + OR (nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND + CLK_IOB AND iobm/ETACK) + OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND + CLK_IOB AND iobm/ETACK) + OR (nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND + CLK_IOB AND iobm/BERRrf AND iobm/BERRrr)); + +FDCPE_IOL0: FDCPE port map (IOL0,IOL0_D,CLK_FSB,'0','0',IOL0_CE); +IOL0_D <= ((NOT nLDS_FSB AND nADoutLE1) + OR (iobs/IOL1 AND NOT nADoutLE1)); +IOL0_CE <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); + +FDCPE_IOREQ: FDCPE port map (IOREQ,IOREQ_D,CLK_FSB,'0','0'); +IOREQ_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21) AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + cs/nOverlay1 AND NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1) + OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr) + OR (iobs/Once AND NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/PS_FSM_FFd2 AND + nADoutLE1) + OR (nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT fsb/ASrf AND + nADoutLE1)); + +FTCPE_IORW0: FTCPE port map (IORW0,IORW0_T,CLK_FSB,'0','0'); +IORW0_T <= ((EXP22_.EXP) + OR (A_FSB(23) AND NOT iobs/Once AND NOT IORW0 AND nWE_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) + OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND + IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND + IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND + fsb/ASrf AND nADoutLE1) + OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND + NOT IORW0 AND nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND + NOT IORW0 AND nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND + fsb/ASrf AND nADoutLE1) + OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND + NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND + NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) + OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND + NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND + NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) + OR (IORW0 AND NOT iobs/IORW1 AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1) + OR (NOT IORW0 AND iobs/IORW1 AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1) + OR (A_FSB(23) AND NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND + NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (A_FSB(23) AND NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) + OR (A_FSB(23) AND NOT iobs/Once AND NOT IORW0 AND nWE_FSB AND + NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)); + +FDCPE_IOU0: FDCPE port map (IOU0,IOU0_D,CLK_FSB,'0','0',IOU0_CE); +IOU0_D <= ((NOT nUDS_FSB AND nADoutLE1) + OR (iobs/IOU1 AND NOT nADoutLE1)); +IOU0_CE <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); + + +RA(0) <= ((A_FSB(10) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(1))); + + +RA(1) <= ((A_FSB(11) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(2))); + + +RA(2) <= ((A_FSB(12) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(3))); + + +RA(3) <= ((A_FSB(13) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(4))); + + +RA(4) <= ((A_FSB(14) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(5))); + + +RA(5) <= ((A_FSB(15) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(6))); + + +RA(6) <= ((A_FSB(16) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(7))); + + +RA(7) <= ((A_FSB(8) AND ram/RASEL) + OR (A_FSB(17) AND NOT ram/RASEL)); + + +RA(8) <= ((A_FSB(9) AND ram/RASEL) + OR (A_FSB(18) AND NOT ram/RASEL)); + + +RA(9) <= ((A_FSB(20) AND ram/RASEL) + OR (A_FSB(19) AND NOT ram/RASEL)); + + +RA(10) <= A_FSB(21); + + +RA(11) <= A_FSB(19); + +FDCPE_RefAck: FDCPE port map (RefAck,RefAck_D,CLK_FSB,'0','0'); +RefAck_D <= (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1); + +FTCPE_TimeoutA: FTCPE port map (TimeoutA,TimeoutA_T,CLK_FSB,'0','0'); +TimeoutA_T <= ((TimeoutA AND nAS_FSB AND NOT fsb/ASrf) + OR (NOT TimeoutA AND NOT nAS_FSB AND NOT cnt/RefCnt(0) AND + NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND + NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4)) + OR (NOT TimeoutA AND NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND + NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND + NOT cnt/RefCnt(4) AND fsb/ASrf)); + +FTCPE_TimeoutB: FTCPE port map (TimeoutB,TimeoutB_T,CLK_FSB,'0','0'); +TimeoutB_T <= ((TimeoutB AND nAS_FSB AND NOT fsb/ASrf) + OR (NOT TimeoutB AND cnt/TimeoutBPre AND NOT nAS_FSB AND + NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND + NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7)) + OR (NOT TimeoutB AND cnt/TimeoutBPre AND NOT cnt/RefCnt(0) AND + NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND + NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7) AND fsb/ASrf)); + +FTCPE_cnt/RefCnt0: FTCPE port map (cnt/RefCnt(0),'1',CLK_FSB,'0','0'); + +FTCPE_cnt/RefCnt1: FTCPE port map (cnt/RefCnt(1),cnt/RefCnt(0),CLK_FSB,'0','0'); + +FTCPE_cnt/RefCnt2: FTCPE port map (cnt/RefCnt(2),cnt/RefCnt_T(2),CLK_FSB,'0','0'); +cnt/RefCnt_T(2) <= (cnt/RefCnt(0) AND cnt/RefCnt(1)); + +FTCPE_cnt/RefCnt3: FTCPE port map (cnt/RefCnt(3),cnt/RefCnt_T(3),CLK_FSB,'0','0'); +cnt/RefCnt_T(3) <= (cnt/RefCnt(0) AND cnt/RefCnt(1) AND cnt/RefCnt(2)); + +FTCPE_cnt/RefCnt4: FTCPE port map (cnt/RefCnt(4),cnt/RefCnt_T(4),CLK_FSB,'0','0'); +cnt/RefCnt_T(4) <= (cnt/RefCnt(0) AND cnt/RefCnt(1) AND cnt/RefCnt(2) AND + cnt/RefCnt(3)); + +FTCPE_cnt/RefCnt5: FTCPE port map (cnt/RefCnt(5),cnt/RefCnt_T(5),CLK_FSB,'0','0'); +cnt/RefCnt_T(5) <= (cnt/RefCnt(0) AND cnt/RefCnt(1) AND cnt/RefCnt(2) AND + cnt/RefCnt(3) AND cnt/RefCnt(4)); + +FTCPE_cnt/RefCnt6: FTCPE port map (cnt/RefCnt(6),cnt/RefCnt_T(6),CLK_FSB,'0','0'); +cnt/RefCnt_T(6) <= (cnt/RefCnt(0) AND cnt/RefCnt(5) AND cnt/RefCnt(1) AND + cnt/RefCnt(2) AND cnt/RefCnt(3) AND cnt/RefCnt(4)); + +FTCPE_cnt/RefCnt7: FTCPE port map (cnt/RefCnt(7),cnt/RefCnt_T(7),CLK_FSB,'0','0'); +cnt/RefCnt_T(7) <= (cnt/RefCnt(0) AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND + cnt/RefCnt(1) AND cnt/RefCnt(2) AND cnt/RefCnt(3) AND cnt/RefCnt(4)); + +FDCPE_cnt/RefDone: FDCPE port map (cnt/RefDone,cnt/RefDone_D,CLK_FSB,'0','0'); +cnt/RefDone_D <= ((NOT cnt/RefDone AND NOT RefAck) + OR (NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND + NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND + NOT cnt/RefCnt(7))); + +FTCPE_cnt/TimeoutBPre: FTCPE port map (cnt/TimeoutBPre,cnt/TimeoutBPre_T,CLK_FSB,'0','0'); +cnt/TimeoutBPre_T <= ((cnt/TimeoutBPre AND nAS_FSB AND NOT fsb/ASrf) + OR (NOT cnt/TimeoutBPre AND NOT nAS_FSB AND NOT cnt/RefCnt(0) AND + NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND + NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7)) + OR (NOT cnt/TimeoutBPre AND NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND + NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND + NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7) AND fsb/ASrf)); + +FTCPE_cs/nOverlay0: FTCPE port map (cs/nOverlay0,cs/nOverlay0_T,CLK_FSB,NOT nRES,'0'); +cs/nOverlay0_T <= ((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND + NOT cs/nOverlay0 AND NOT nAS_FSB) + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND + NOT cs/nOverlay0 AND fsb/ASrf)); + +FDCPE_cs/nOverlay1: FDCPE port map (cs/nOverlay1,cs/nOverlay0,CLK_FSB,'0','0',cs/nOverlay1_CE); +cs/nOverlay1_CE <= (nAS_FSB AND NOT fsb/ASrf); + +FDCPE_fsb/ASrf: FDCPE port map (fsb/ASrf,NOT nAS_FSB,NOT CLK_FSB,'0','0'); + +FDCPE_fsb/BERR0r: FDCPE port map (fsb/BERR0r,fsb/BERR0r_D,CLK_FSB,'0','0'); +fsb/BERR0r_D <= ((NOT TimeoutB AND NOT fsb/BERR0r) + OR (nAS_FSB AND NOT fsb/ASrf) + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND + NOT fsb/BERR0r)); + +FDCPE_fsb/BERR1r: FDCPE port map (fsb/BERR1r,fsb/BERR1r_D,CLK_FSB,'0','0'); +fsb/BERR1r_D <= ((NOT BERR_IOBS AND NOT fsb/BERR1r) + OR (nAS_FSB AND NOT fsb/ASrf)); + +FDCPE_fsb/Ready0r: FDCPE port map (fsb/Ready0r,fsb/Ready0r_D,CLK_FSB,'0','0'); +fsb/Ready0r_D <= ((nAS_FSB AND NOT fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND + NOT fsb/Ready0r AND NOT ram/RAMReady) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND NOT fsb/Ready0r AND NOT ram/RAMReady)); + +FDCPE_fsb/Ready1r: FDCPE port map (fsb/Ready1r,fsb/Ready1r_D,CLK_FSB,'0','0'); +fsb/Ready1r_D <= ((A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND + cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND + NOT nADoutLE1) + OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND + cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND + NOT nADoutLE1) + OR (nAS_FSB AND NOT fsb/ASrf) + OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady) + OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND + NOT iobs/IOReady) + OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND + NOT fsb/Ready1r AND NOT iobs/IOReady) + OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND + NOT fsb/Ready1r AND NOT iobs/IOReady)); + +FDCPE_fsb/Ready2r: FDCPE port map (fsb/Ready2r,fsb/Ready2r_D,CLK_FSB,'0','0'); +fsb/Ready2r_D <= ((nAS_FSB AND NOT fsb/ASrf) + OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND + NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND + NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND + NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND + NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)); + +FDCPE_fsb/VPA: FDCPE port map (fsb/VPA,fsb/VPA_D,CLK_FSB,'0','0'); +fsb/VPA_D <= ((EXP18_.EXP) + OR (BERR_IOBS AND fsb/VPA AND fsb/ASrf) + OR (fsb/BERR0r AND fsb/VPA AND fsb/ASrf) + OR (fsb/BERR1r AND fsb/VPA AND fsb/ASrf) + OR (fsb/VPA AND fsb/ASrf AND + fsb/VPA__or00001/fsb/VPA__or00001_D2) + OR (fsb/VPA AND fsb/ASrf AND NOT $OpTx$FX_DC$602) + OR ($OpTx$FX_DC$602.EXP) + OR (NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND NOT nAS_FSB AND + $OpTx$FX_DC$606) + OR (NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND fsb/ASrf AND + $OpTx$FX_DC$606) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND + NOT fsb/Ready0r AND fsb/VPA AND NOT nAS_FSB AND NOT ram/RAMReady) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND + NOT fsb/Ready0r AND fsb/VPA AND fsb/ASrf AND NOT ram/RAMReady) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND NOT fsb/Ready0r AND fsb/VPA AND NOT nAS_FSB AND NOT ram/RAMReady) + OR (BERR_IOBS AND fsb/VPA AND NOT nAS_FSB) + OR (fsb/BERR0r AND fsb/VPA AND NOT nAS_FSB) + OR (fsb/BERR1r AND fsb/VPA AND NOT nAS_FSB) + OR (fsb/VPA AND NOT nAS_FSB AND + fsb/VPA__or00001/fsb/VPA__or00001_D2) + OR (fsb/VPA AND NOT nAS_FSB AND NOT $OpTx$FX_DC$602)); + + +fsb/VPA__or00001/fsb/VPA__or00001_D2 <= ((A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND + NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND + NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND + NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND + NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)); + +FDCPE_iobm/BERRrf: FDCPE port map (iobm/BERRrf,NOT nBERR_IOB,NOT CLK2X_IOB,'0','0'); + +FDCPE_iobm/BERRrr: FDCPE port map (iobm/BERRrr,NOT nBERR_IOB,CLK2X_IOB,'0','0'); + +FDCPE_iobm/DTACKrf: FDCPE port map (iobm/DTACKrf,NOT nDTACK_IOB,NOT CLK2X_IOB,'0','0'); + +FDCPE_iobm/DTACKrr: FDCPE port map (iobm/DTACKrr,NOT nDTACK_IOB,CLK2X_IOB,'0','0'); + +FTCPE_iobm/ES0: FTCPE port map (iobm/ES(0),iobm/ES_T(0),CLK2X_IOB,'0','0'); +iobm/ES_T(0) <= ((iobm/ES(0) AND NOT iobm/Er AND iobm/Er2) + OR (NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND + NOT iobm/ES(3) AND NOT iobm/ES(4) AND iobm/Er) + OR (NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND + NOT iobm/ES(3) AND NOT iobm/ES(4) AND NOT iobm/Er2)); + +FDCPE_iobm/ES1: FDCPE port map (iobm/ES(1),iobm/ES_D(1),CLK2X_IOB,'0','0'); +iobm/ES_D(1) <= ((iobm/ES(0) AND iobm/ES(1)) + OR (NOT iobm/ES(0) AND NOT iobm/ES(1)) + OR (NOT iobm/Er AND iobm/Er2)); + +FDCPE_iobm/ES2: FDCPE port map (iobm/ES(2),iobm/ES_D(2),CLK2X_IOB,'0','0'); +iobm/ES_D(2) <= ((NOT iobm/ES(0) AND NOT iobm/ES(2)) + OR (NOT iobm/ES(1) AND NOT iobm/ES(2)) + OR (NOT iobm/Er AND iobm/Er2) + OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2)) + OR (NOT iobm/ES(2) AND NOT iobm/ES(3) AND iobm/ES(4))); + +FTCPE_iobm/ES3: FTCPE port map (iobm/ES(3),iobm/ES_T(3),CLK2X_IOB,'0','0'); +iobm/ES_T(3) <= ((iobm/ES(3) AND NOT iobm/Er AND iobm/Er2) + OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND iobm/Er) + OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND NOT iobm/Er2)); + +FTCPE_iobm/ES4: FTCPE port map (iobm/ES(4),iobm/ES_T(4),CLK2X_IOB,'0','0'); +iobm/ES_T(4) <= ((iobm/ES(4) AND NOT iobm/Er AND iobm/Er2) + OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND + iobm/ES(3) AND iobm/Er) + OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND + iobm/ES(3) AND NOT iobm/Er2) + OR (iobm/ES(0) AND iobm/ES(1) AND NOT iobm/ES(2) AND + NOT iobm/ES(3) AND iobm/ES(4))); + +FDCPE_iobm/ETACK: FDCPE port map (iobm/ETACK,iobm/ETACK_D,CLK2X_IOB,'0','0'); +iobm/ETACK_D <= (NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND + NOT iobm/ES(3) AND iobm/ES(4)); + +FDCPE_iobm/Er: FDCPE port map (iobm/Er,E_IOB,NOT CLK_IOB,'0','0'); + +FDCPE_iobm/Er2: FDCPE port map (iobm/Er2,iobm/Er,CLK2X_IOB,'0','0'); + +FDCPE_iobm/IOREQr: FDCPE port map (iobm/IOREQr,IOREQ,NOT CLK2X_IOB,'0','0'); + +FDCPE_iobm/IOS_FSM_FFd1: FDCPE port map (iobm/IOS_FSM_FFd1,iobm/IOS_FSM_FFd2,CLK2X_IOB,'0','0'); + +FDCPE_iobm/IOS_FSM_FFd2: FDCPE port map (iobm/IOS_FSM_FFd2,iobm/IOS_FSM_FFd2_D,CLK2X_IOB,'0','0'); +iobm/IOS_FSM_FFd2_D <= ((iobm/IOS_FSM_FFd3 AND CLK_IOB AND iobm/ETACK) + OR (iobm/IOS_FSM_FFd3 AND CLK_IOB AND iobm/BERRrf AND + iobm/BERRrr) + OR (iobm/IOS_FSM_FFd3 AND CLK_IOB AND iobm/DTACKrf AND + iobm/DTACKrr) + OR (iobm/IOS_FSM_FFd3 AND CLK_IOB AND iobm/RESrf AND + iobm/RESrr)); + +FDCPE_iobm/IOS_FSM_FFd3: FDCPE port map (iobm/IOS_FSM_FFd3,iobm/IOS_FSM_FFd3_D,CLK2X_IOB,'0','0'); +iobm/IOS_FSM_FFd3_D <= ((NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4) + OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/ETACK) + OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/BERRrf AND + iobm/BERRrr) + OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/DTACKrf AND + iobm/DTACKrr) + OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/RESrf AND + iobm/RESrr)); + +FDCPE_iobm/IOS_FSM_FFd4: FDCPE port map (iobm/IOS_FSM_FFd4,iobm/IOS_FSM_FFd5,CLK2X_IOB,'0','0'); + +FDCPE_iobm/IOS_FSM_FFd5: FDCPE port map (iobm/IOS_FSM_FFd5,iobm/IOS_FSM_FFd6,CLK2X_IOB,'0','0'); + +FDCPE_iobm/IOS_FSM_FFd6: FDCPE port map (iobm/IOS_FSM_FFd6,iobm/IOS_FSM_FFd7,CLK2X_IOB,'0','0'); + +FDCPE_iobm/IOS_FSM_FFd7: FDCPE port map (iobm/IOS_FSM_FFd7,iobm/IOS_FSM_FFd7_D,CLK2X_IOB,'0','0'); +iobm/IOS_FSM_FFd7_D <= (NOT CLK_IOB AND iobm/IOREQr AND iobm/IOS_FSM_FFd8); + +FDCPE_iobm/IOS_FSM_FFd8: FDCPE port map (iobm/IOS_FSM_FFd8,iobm/IOS_FSM_FFd8_D,CLK2X_IOB,'0','0'); +iobm/IOS_FSM_FFd8_D <= ((NOT iobm/IOS_FSM_FFd8 AND NOT iobm/IOS_FSM_FFd1) + OR (NOT CLK_IOB AND iobm/IOREQr AND NOT iobm/IOS_FSM_FFd1)); + +FDCPE_iobm/RESrf: FDCPE port map (iobm/RESrf,NOT nRES,NOT CLK2X_IOB,'0','0'); + +FDCPE_iobm/RESrr: FDCPE port map (iobm/RESrr,NOT nRES,CLK2X_IOB,'0','0'); + +FDCPE_iobm/VPArf: FDCPE port map (iobm/VPArf,NOT nVPA_IOB,NOT CLK2X_IOB,'0','0'); + +FDCPE_iobm/VPArr: FDCPE port map (iobm/VPArr,NOT nVPA_IOB,CLK2X_IOB,'0','0'); + +FDCPE_iobs/Clear1: FDCPE port map (iobs/Clear1,iobs/Clear1_D,CLK_FSB,'0','0'); +iobs/Clear1_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1); + +FDCPE_iobs/IOACTr: FDCPE port map (iobs/IOACTr,IOACT,CLK_FSB,'0','0'); + +FDCPE_iobs/IOL1: FDCPE port map (iobs/IOL1,NOT nLDS_FSB,CLK_FSB,'0','0',iobs/Load1); + +FTCPE_iobs/IORW1: FTCPE port map (iobs/IORW1,iobs/IORW1_T,CLK_FSB,'0','0'); +iobs/IORW1_T <= ((iobs/Once) + OR (NOT nADoutLE1) + OR (nVMA_IOB_OBUF.EXP) + OR (NOT nWE_FSB AND NOT iobs/IORW1) + OR (nAS_FSB AND NOT fsb/ASrf) + OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21)) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19)) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18)) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17)) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16)) + OR (NOT A_FSB(23) AND NOT A_FSB(20)) + OR (nWE_FSB AND iobs/IORW1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT iobs/IORW1)); + +FTCPE_iobs/IOReady: FTCPE port map (iobs/IOReady,iobs/IOReady_T,CLK_FSB,'0','0'); +iobs/IOReady_T <= ((iobs/IOReady AND nAS_FSB AND NOT fsb/ASrf) + OR (iobs/Once AND IOBERR AND iobs/IOReady AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1) + OR (iobs/Once AND NOT IOBERR AND NOT iobs/IOReady AND NOT nAS_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1) + OR (iobs/Once AND NOT IOBERR AND NOT iobs/IOReady AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND fsb/ASrf AND nADoutLE1)); + +FDCPE_iobs/IOU1: FDCPE port map (iobs/IOU1,NOT nUDS_FSB,CLK_FSB,'0','0',iobs/Load1); + +FDCPE_iobs/Load1: FDCPE port map (iobs/Load1,iobs/Load1_D,CLK_FSB,'0','0'); +iobs/Load1_D <= ((iobs/Once) + OR (NOT nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21)) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19)) + OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18)) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17)) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16)) + OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21)) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + cs/nOverlay1) + OR (NOT A_FSB(23) AND NOT A_FSB(20)) + OR (nAS_FSB AND NOT fsb/ASrf) + OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)); + +FDCPE_iobs/Once: FDCPE port map (iobs/Once,iobs/Once_D,CLK_FSB,'0','0'); +iobs/Once_D <= ((RA_2_OBUF.EXP) + OR (A_FSB(23) AND NOT iobs/Once AND iobs/PS_FSM_FFd1) + OR (NOT iobs/Once AND iobs/PS_FSM_FFd2 AND NOT nADoutLE1) + OR (NOT iobs/Once AND iobs/PS_FSM_FFd1 AND NOT nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND + NOT iobs/Once) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND NOT iobs/Once) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND NOT iobs/Once) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND NOT iobs/Once) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND NOT iobs/Once) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT iobs/Once AND nWE_FSB) + OR (nAS_FSB AND NOT fsb/ASrf) + OR (A_FSB(23) AND NOT iobs/Once AND iobs/PS_FSM_FFd2) + OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/Once) + OR (A_FSB(22) AND NOT iobs/Once AND iobs/PS_FSM_FFd2) + OR (A_FSB(22) AND NOT iobs/Once AND iobs/PS_FSM_FFd1)); + +FDCPE_iobs/PS_FSM_FFd1: FDCPE port map (iobs/PS_FSM_FFd1,iobs/PS_FSM_FFd1_D,CLK_FSB,'0','0'); +iobs/PS_FSM_FFd1_D <= ((iobs/PS_FSM_FFd2) + OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr)); + +FDCPE_iobs/PS_FSM_FFd2: FDCPE port map (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_D,CLK_FSB,'0','0'); +iobs/PS_FSM_FFd2_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21) AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + cs/nOverlay1 AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1 AND + iobs/IOACTr) + OR (NOT iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1 AND + NOT iobs/IOACTr) + OR (iobs/Once AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND + nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND + NOT fsb/ASrf AND nADoutLE1)); + + +nADoutLE0 <= (NOT ALE0M AND NOT ALE0S); + +FDCPE_nADoutLE1: FDCPE port map (nADoutLE1,nADoutLE1_D,CLK_FSB,'0','0'); +nADoutLE1_D <= ((iobs/Load1) + OR (NOT iobs/Clear1 AND NOT nADoutLE1)); + +FDCPE_nAS_IOB: FDCPE port map (nAS_IOB,nAS_IOB_D,NOT CLK2X_IOB,'0','0'); +nAS_IOB_D <= (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND + NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7); + + +nAoutOE <= '0'; + + +nBERR_FSB <= ((nAS_FSB) + OR (NOT BERR_IOBS AND NOT TimeoutB AND NOT fsb/BERR0r AND NOT fsb/BERR1r) + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND + NOT BERR_IOBS AND NOT fsb/BERR0r AND NOT fsb/BERR1r)); + +FDCPE_nCAS: FDCPE port map (nCAS,NOT ram/RASEL,NOT CLK_FSB,'0','0'); + +FDCPE_nDTACK_FSB: FDCPE port map (nDTACK_FSB,nDTACK_FSB_D,CLK_FSB,'0','0'); +nDTACK_FSB_D <= ((EXP21_.EXP) + OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady AND + nDTACK_FSB) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND + NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady) + OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND + NOT iobs/IOReady AND nDTACK_FSB) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady) + OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND + NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB) + OR ($OpTx$FX_DC$606.EXP) + OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND + NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB) + OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND + cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND + nDTACK_FSB AND NOT nADoutLE1) + OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND + cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND + nDTACK_FSB AND NOT nADoutLE1) + OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r AND + nDTACK_FSB) + OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r AND + nDTACK_FSB) + OR (BERR_IOBS AND nDTACK_FSB) + OR (fsb/BERR0r AND nDTACK_FSB) + OR (fsb/BERR1r AND nDTACK_FSB) + OR (nAS_FSB AND NOT fsb/ASrf) + OR (nDTACK_FSB AND NOT $OpTx$FX_DC$602)); + +FDCPE_nDinLE: FDCPE port map (nDinLE,nDinLE_D,NOT CLK2X_IOB,'0','0'); +nDinLE_D <= (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4); + + +nDinOE <= ((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB) + OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND nWE_FSB AND + NOT nAS_FSB)); + +FDCPE_nDoutOE: FDCPE port map (nDoutOE,nDoutOE_D,CLK2X_IOB,'0','0'); +nDoutOE_D <= ((NOT IORW0) + OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND + NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND + NOT iobm/IOS_FSM_FFd2)); + +FDCPE_nLDS_IOB: FDCPE port map (nLDS_IOB,nLDS_IOB_D,NOT CLK2X_IOB,'0','0'); +nLDS_IOB_D <= ((NOT IOL0) + OR (IORW0 AND NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND + NOT iobm/IOS_FSM_FFd5) + OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND + NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7)); + + +nOE <= NOT ((nWE_FSB AND NOT nAS_FSB)); + + +nRAMLWE <= NOT ((NOT nWE_FSB AND NOT nLDS_FSB AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND + NOT ram/RAMDIS1)); + + +nRAMUWE <= NOT ((NOT nWE_FSB AND NOT nUDS_FSB AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND + NOT ram/RAMDIS1)); + + +nRAS <= NOT (((RefAck) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND + NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RAMDIS1) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RAMDIS1))); + + +nROMCS <= NOT (((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20)) + OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND + NOT cs/nOverlay1))); + + +nROMWE <= NOT ((NOT nWE_FSB AND NOT nAS_FSB)); + +FDCPE_nUDS_IOB: FDCPE port map (nUDS_IOB,nUDS_IOB_D,NOT CLK2X_IOB,'0','0'); +nUDS_IOB_D <= ((NOT IOU0) + OR (IORW0 AND NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND + NOT iobm/IOS_FSM_FFd5) + OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND + NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7)); + +FTCPE_nVMA_IOB: FTCPE port map (nVMA_IOB,nVMA_IOB_T,CLK2X_IOB,'0','0'); +nVMA_IOB_T <= ((NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND + NOT iobm/ES(3) AND NOT iobm/ES(4)) + OR (nVMA_IOB AND iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND + NOT iobm/ES(3) AND NOT iobm/ES(4) AND IOACT AND iobm/VPArf AND iobm/VPArr)); + + +nVPA_FSB <= NOT ((fsb/VPA AND NOT nAS_FSB)); + +FDCPE_ram/BACTr: FDCPE port map (ram/BACTr,ram/BACTr_D,CLK_FSB,'0','0'); +ram/BACTr_D <= (nAS_FSB AND NOT fsb/ASrf); + +FTCPE_ram/Once: FTCPE port map (ram/Once,ram/Once_T,CLK_FSB,'0','0'); +ram/Once_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3 AND fsb/ASrf) + OR (ram/Once AND nAS_FSB AND NOT fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND + NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3)); + +FDCPE_ram/RAMDIS1: FDCPE port map (ram/RAMDIS1,ram/RAMDIS1_D,CLK_FSB,'0','0'); +ram/RAMDIS1_D <= ((RA_4_OBUF.EXP) + OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND + NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) + OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND + NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND + NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) + OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND + NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND + cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) + OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND + NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) + OR (ram/Once AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND + cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) + OR (NOT cnt/RefDone AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3 AND + cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) + OR (NOT cnt/RefDone AND nAS_FSB AND NOT ram/RS_FSM_FFd1 AND + cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND NOT fsb/ASrf) + OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1) + OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3) + OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3) + OR (A_FSB(23) AND NOT cnt/RefDone AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND + NOT ram/BACTr AND fsb/ASrf)); + +FTCPE_ram/RAMDIS2: FTCPE port map (ram/RAMDIS2,ram/RAMDIS2_T,CLK_FSB,'0','0'); +ram/RAMDIS2_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND ram/Once AND + NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND + fsb/ASrf) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND + cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND + cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND fsb/ASrf) + OR (ram/RAMDIS2 AND nAS_FSB AND NOT fsb/ASrf) + OR (ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND + ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND + cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) + OR (ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND + ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND + cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND ram/Once AND + NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND + cnt/RefCnt(7))); + +FDCPE_ram/RAMReady: FDCPE port map (ram/RAMReady,ram/RAMReady_D,CLK_FSB,'0','0'); +ram/RAMReady_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND + NOT nAS_FSB AND NOT ram/RS_FSM_FFd1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND + NOT ram/RS_FSM_FFd1 AND fsb/ASrf) + OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND + NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) + OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND + NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf) + OR (cnt/RefCnt(5).EXP) + OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3) + OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1) + OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3) + OR (A_FSB(23) AND NOT cnt/RefDone AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND + NOT ram/BACTr AND fsb/ASrf) + OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND + NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND + NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + OR (NOT A_FSB(21) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND + NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + OR (NOT A_FSB(21) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND + NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd1)); + +FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,CLK_FSB,'0','0'); +ram/RASEL_D <= ((A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) + OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND + NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) + OR (NOT cnt/RefDone AND nAS_FSB AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd1 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND + NOT fsb/ASrf) + OR (NOT cnt/RefDone AND nAS_FSB AND ram/RS_FSM_FFd1 AND + ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND + NOT fsb/ASrf) + OR (nDinOE_OBUF.EXP) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND + NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf) + OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) + OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND + NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) + OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3) + OR (A_FSB(23) AND NOT cnt/RefDone AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) + OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd1 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7))); + +FTCPE_ram/RS_FSM_FFd1: FTCPE port map (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_T,CLK_FSB,'0','0'); +ram/RS_FSM_FFd1_T <= ((ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND + NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3 AND fsb/ASrf)); + +FTCPE_ram/RS_FSM_FFd2: FTCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_T,CLK_FSB,'0','0'); +ram/RS_FSM_FFd2_T <= ((nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND + NOT cnt/RefCnt(5) AND NOT fsb/ASrf) + OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND + NOT cnt/RefCnt(6) AND NOT fsb/ASrf) + OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND + NOT cnt/RefCnt(7) AND NOT fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf) + OR (NOT cnt/RefDone AND nAS_FSB AND ram/RS_FSM_FFd2 AND + ram/RS_FSM_FFd1 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND + NOT fsb/ASrf) + OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3) + OR (cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) + OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND + NOT cnt/RefCnt(5) AND ram/BACTr) + OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND + NOT cnt/RefCnt(6) AND ram/BACTr) + OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND ram/BACTr AND + NOT cnt/RefCnt(7))); + +FTCPE_ram/RS_FSM_FFd3: FTCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_T,CLK_FSB,'0','0'); +ram/RS_FSM_FFd3_T <= ((A_FSB(22) AND NOT A_FSB(21) AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) + OR (A_FSB(22) AND cs/nOverlay1 AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) + OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3 AND NOT fsb/ASrf) + OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) + OR (NOT cnt/RefDone AND NOT nAS_FSB AND ram/RS_FSM_FFd2 AND + ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND + cnt/RefCnt(7)) + OR (NOT cnt/RefDone AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND + ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND + fsb/ASrf) + OR (A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3) + OR (ram/Once AND cnt/RefDone AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) + OR (ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3 AND NOT cnt/RefCnt(5)) + OR (ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3 AND NOT cnt/RefCnt(6)) + OR (ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3 AND NOT cnt/RefCnt(7))); + +Register Legend: + FDCPE (Q,D,C,CLR,PRE,CE); + FTCPE (Q,D,C,CLR,PRE,CE); + LDCP (Q,D,G,CLR,PRE); + + ****************************** Device Pin Out ***************************** + +Device : XC95144XL-10-TQ100 + + + -------------------------------------------------- + /100 98 96 94 92 90 88 86 84 82 80 78 76 \ + | 99 97 95 93 91 89 87 85 83 81 79 77 | + | 1 75 | + | 2 74 | + | 3 73 | + | 4 72 | + | 5 71 | + | 6 70 | + | 7 69 | + | 8 68 | + | 9 67 | + | 10 66 | + | 11 65 | + | 12 64 | + | 13 XC95144XL-10-TQ100 63 | + | 14 62 | + | 15 61 | + | 16 60 | + | 17 59 | + | 18 58 | + | 19 57 | + | 20 56 | + | 21 55 | + | 22 54 | + | 23 53 | + | 24 52 | + | 25 51 | + | 27 29 31 33 35 37 39 41 43 45 47 49 | + \26 28 30 32 34 36 38 40 42 44 46 48 50 / + -------------------------------------------------- + + +Pin Signal Pin Signal +No. Name No. Name + 1 KPR 51 VCC + 2 KPR 52 nUDS_FSB + 3 KPR 53 RA<6> + 4 KPR 54 nDTACK_IOB + 5 VCC 55 RA<7> + 6 nLDS_IOB 56 A_FSB<4> + 7 nUDS_IOB 57 VCC + 8 nDoutOE 58 RA<8> + 9 A_FSB<22> 59 nVPA_IOB + 10 nAS_IOB 60 RA<9> + 11 nDTACK_FSB 61 nWE_FSB + 12 A_FSB<9> 62 GND + 13 nAS_FSB 63 RA<11> + 14 nBERR_FSB 64 A_FSB<8> + 15 A_FSB<5> 65 RA<10> + 16 A_FSB<2> 66 A_FSB<23> + 17 nOE 67 nADoutLE0 + 18 nBERR_IOB 68 A_FSB<21> + 19 A_FSB<6> 69 GND + 20 A_FSB<7> 70 nAoutOE + 21 GND 71 A_FSB<20> + 22 CLK2X_IOB 72 nDinLE + 23 CLK_FSB 73 A_FSB<19> + 24 nRAS 74 nCAS + 25 nLDS_FSB 75 GND + 26 VCC 76 A_FSB<18> + 27 CLK_IOB 77 nRAMLWE + 28 KPR 78 A_FSB<3> + 29 nADoutLE1 79 nRAMUWE + 30 KPR 80 A_FSB<15> + 31 GND 81 nROMWE + 32 KPR 82 A_FSB<13> + 33 nVMA_IOB 83 TDO + 34 KPR 84 GND + 35 RA<1> 85 nVPA_FSB + 36 KPR 86 A_FSB<11> + 37 KPR 87 RA<0> + 38 VCC 88 VCC + 39 RA<3> 89 A_FSB<10> + 40 KPR 90 A_FSB<1> + 41 KPR 91 RA<2> + 42 nROMCS 92 A_FSB<12> + 43 KPR 93 A_FSB<14> + 44 GND 94 RA<4> + 45 TDI 95 A_FSB<16> + 46 KPR 96 A_FSB<17> + 47 TMS 97 nDinOE + 48 TCK 98 VCC + 49 E_IOB 99 nRES + 50 RA<5> 100 GND + + +Legend : NC = Not Connected, unbonded pin + PGND = Unused I/O configured as additional Ground pin + TIE = Unused I/O floating -- must tie to VCC, GND or other signal + KPR = Unused I/O with weak keeper (leave unconnected) + VCC = Dedicated Power Pin + GND = Dedicated Ground Pin + TDI = Test Data In, JTAG pin + TDO = Test Data Out, JTAG pin + TCK = Test Clock, JTAG pin + TMS = Test Mode Select, JTAG pin + PROHIBITED = User reserved pin + **************************** Compiler Options **************************** + +Following is a list of all global compiler options used by the fitter run. + +Device(s) Specified : xc95144xl-10-TQ100 +Optimization Method : SPEED +Multi-Level Logic Optimization : ON +Ignore Timing Specifications : OFF +Default Register Power Up Value : LOW +Keep User Location Constraints : ON +What-You-See-Is-What-You-Get : OFF +Exhaustive Fitting : OFF +Keep Unused Inputs : OFF +Slew Rate : FAST +Power Mode : STD +Ground on Unused IOs : OFF +Set I/O Pin Termination : KEEPER +Global Clock Optimization : ON +Global Set/Reset Optimization : ON +Global Ouput Enable Optimization : ON +Input Limit : 54 +Pterm Limit : 25 diff --git a/cpld/XC95144XL/MXSE 2.syr b/cpld/XC95144XL/MXSE 2.syr new file mode 100644 index 0000000..4a8f658 --- /dev/null +++ b/cpld/XC95144XL/MXSE 2.syr @@ -0,0 +1,474 @@ +Release 14.7 - xst P.20131013 (nt) +Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. +--> Parameter TMPDIR set to xst/projnav.tmp + + +Total REAL time to Xst completion: 0.00 secs +Total CPU time to Xst completion: 0.36 secs + +--> Parameter xsthdpdir set to xst + + +Total REAL time to Xst completion: 0.00 secs +Total CPU time to Xst completion: 0.36 secs + +--> Reading design: MXSE.prj + +TABLE OF CONTENTS + 1) Synthesis Options Summary + 2) HDL Compilation + 3) Design Hierarchy Analysis + 4) HDL Analysis + 5) HDL Synthesis + 5.1) HDL Synthesis Report + 6) Advanced HDL Synthesis + 6.1) Advanced HDL Synthesis Report + 7) Low Level Synthesis + 8) Partition Report + 9) Final Report + +========================================================================= +* Synthesis Options Summary * +========================================================================= +---- Source Parameters +Input File Name : "MXSE.prj" +Input Format : mixed +Ignore Synthesis Constraint File : NO + +---- Target Parameters +Output File Name : "MXSE" +Output Format : NGC +Target Device : XC9500XL CPLDs + +---- Source Options +Top Module Name : MXSE +Automatic FSM Extraction : YES +FSM Encoding Algorithm : Auto +Safe Implementation : No +Mux Extraction : Yes +Resource Sharing : YES + +---- Target Options +Add IO Buffers : YES +MACRO Preserve : YES +XOR Preserve : YES +Equivalent register Removal : YES + +---- General Options +Optimization Goal : Speed +Optimization Effort : 1 +Keep Hierarchy : Yes +Netlist Hierarchy : As_Optimized +RTL Output : Yes +Hierarchy Separator : / +Bus Delimiter : <> +Case Specifier : Maintain +Verilog 2001 : YES + +---- Other Options +Clock Enable : YES +wysiwyg : NO + +========================================================================= + + +========================================================================= +* HDL Compilation * +========================================================================= +Compiling verilog file "../RAM.v" in library work +Compiling verilog file "../IOBS.v" in library work +Module compiled +Compiling verilog file "../IOBM.v" in library work +Module compiled +Compiling verilog file "../FSB.v" in library work +Module compiled +Compiling verilog file "../CS.v" in library work +Module compiled +Compiling verilog file "../CNT.v" in library work +Module compiled +Compiling verilog file "../MXSE.v" in library work +Module compiled +Module compiled +No errors in compilation +Analysis of file <"MXSE.prj"> succeeded. + + +========================================================================= +* Design Hierarchy Analysis * +========================================================================= +Analyzing hierarchy for module in library . + +Analyzing hierarchy for module in library . + +Analyzing hierarchy for module in library . + +Analyzing hierarchy for module in library . + +Analyzing hierarchy for module in library . + +Analyzing hierarchy for module in library . + +Analyzing hierarchy for module in library . + + +========================================================================= +* HDL Analysis * +========================================================================= +Analyzing top module . +Module is correct for synthesis. + +Analyzing module in library . +Module is correct for synthesis. + +Analyzing module in library . +Module is correct for synthesis. + +Analyzing module in library . +Module is correct for synthesis. + +Analyzing module in library . +Module is correct for synthesis. + +Analyzing module in library . +Module is correct for synthesis. + +Analyzing module in library . +Module is correct for synthesis. + + +========================================================================= +* HDL Synthesis * +========================================================================= + +Performing bidirectional port resolution... + +Synthesizing Unit . + Related source file is "../CS.v". + Found 1-bit register for signal . + Found 1-bit register for signal . + Summary: + inferred 2 D-type flip-flop(s). +Unit synthesized. + + +Synthesizing Unit . + Related source file is "../RAM.v". + Found finite state machine for signal . + ----------------------------------------------------------------------- + | States | 8 | + | Transitions | 18 | + | Inputs | 6 | + | Outputs | 9 | + | Clock | CLK (rising_edge) | + | Power Up State | 000 | + | Encoding | automatic | + | Implementation | automatic | + ----------------------------------------------------------------------- + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Summary: + inferred 1 Finite State Machine(s). + inferred 6 D-type flip-flop(s). +Unit synthesized. + + +Synthesizing Unit . + Related source file is "../IOBS.v". + Found finite state machine for signal . + ----------------------------------------------------------------------- + | States | 4 | + | Transitions | 10 | + | Inputs | 5 | + | Outputs | 5 | + | Clock | CLK (rising_edge) | + | Power Up State | 00 | + | Encoding | automatic | + | Implementation | automatic | + ----------------------------------------------------------------------- + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Summary: + inferred 1 Finite State Machine(s). + inferred 9 D-type flip-flop(s). +Unit synthesized. + + +Synthesizing Unit . + Related source file is "../IOBM.v". + Found finite state machine for signal . + ----------------------------------------------------------------------- + | States | 8 | + | Transitions | 15 | + | Inputs | 6 | + | Outputs | 9 | + | Clock | C16M (rising_edge) | + | Power Up State | 000 | + | Encoding | automatic | + | Implementation | automatic | + ----------------------------------------------------------------------- + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 5-bit up counter for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Summary: + inferred 1 Finite State Machine(s). + inferred 1 Counter(s). + inferred 20 D-type flip-flop(s). +Unit synthesized. + + +Synthesizing Unit . + Related source file is "../CNT.v". + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 8-bit up counter for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Summary: + inferred 1 Counter(s). +Unit synthesized. + + +Synthesizing Unit . + Related source file is "../FSB.v". + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Summary: + inferred 1 D-type flip-flop(s). +Unit synthesized. + + +Synthesizing Unit . + Related source file is "../MXSE.v". +Unit synthesized. + + +========================================================================= +HDL Synthesis Report + +Macro Statistics +# Counters : 2 + 5-bit up counter : 1 + 8-bit up counter : 1 +# Registers : 58 + 1-bit register : 58 + +========================================================================= + +========================================================================= +* Advanced HDL Synthesis * +========================================================================= + +Analyzing FSM for best encoding. +Optimizing FSM on signal with one-hot encoding. +------------------- + State | Encoding +------------------- + 000 | 00000001 + 001 | 00000010 + 010 | 00000100 + 011 | 00001000 + 100 | 00010000 + 101 | 00100000 + 110 | 01000000 + 111 | 10000000 +------------------- +Analyzing FSM for best encoding. +Optimizing FSM on signal with johnson encoding. +------------------- + State | Encoding +------------------- + 00 | 00 + 11 | 01 + 10 | 11 + 01 | 10 +------------------- +Analyzing FSM for best encoding. +Optimizing FSM on signal with user encoding. +------------------- + State | Encoding +------------------- + 000 | 000 + 010 | 010 + 101 | 101 + 001 | 001 + 011 | 011 + 100 | 100 + 111 | 111 + 110 | 110 +------------------- + +========================================================================= +Advanced HDL Synthesis Report + +Macro Statistics +# FSMs : 3 +# Counters : 2 + 5-bit up counter : 1 + 8-bit up counter : 1 +# Registers : 38 + Flip-Flops : 38 + +========================================================================= + +========================================================================= +* Low Level Synthesis * +========================================================================= + +Optimizing unit ... + +Optimizing unit ... + implementation constraint: INIT=r : nOverlay0 + implementation constraint: INIT=r : nOverlay1 + +Optimizing unit ... + implementation constraint: INIT=r : RAMReady + implementation constraint: INIT=r : RASEL + implementation constraint: INIT=r : RAMDIS1 + implementation constraint: INIT=r : RefRAS + implementation constraint: INIT=r : RAMDIS2 + implementation constraint: INIT=r : Once + implementation constraint: INIT=r : RS_FSM_FFd1 + implementation constraint: INIT=r : RS_FSM_FFd2 + implementation constraint: INIT=r : RS_FSM_FFd3 + +Optimizing unit ... + implementation constraint: INIT=r : IOACTr + implementation constraint: INIT=r : PS_FSM_FFd2 + implementation constraint: INIT=r : Once + implementation constraint: INIT=r : PS_FSM_FFd1 + +Optimizing unit ... + implementation constraint: INIT=r : ASrf + +Optimizing unit ... + implementation constraint: INIT=r : ETACK + implementation constraint: INIT=r : IOREQr + implementation constraint: INIT=r : IOS_FSM_FFd1 + implementation constraint: INIT=r : IOS_FSM_FFd2 + implementation constraint: INIT=r : IOS_FSM_FFd3 + implementation constraint: INIT=r : IOS_FSM_FFd4 + implementation constraint: INIT=r : IOS_FSM_FFd5 + implementation constraint: INIT=r : IOS_FSM_FFd6 + implementation constraint: INIT=r : IOS_FSM_FFd7 + implementation constraint: INIT=s : IOS_FSM_FFd8 + +Optimizing unit ... + implementation constraint: INIT=r : RefDone + implementation constraint: INIT=r : RefCnt_7 + implementation constraint: INIT=r : RefCnt_6 + implementation constraint: INIT=r : RefCnt_5 + implementation constraint: INIT=r : RefCnt_4 + implementation constraint: INIT=r : RefCnt_3 + implementation constraint: INIT=r : RefCnt_2 + implementation constraint: INIT=r : RefCnt_1 + implementation constraint: INIT=r : RefCnt_0 + +========================================================================= +* Partition Report * +========================================================================= + +Partition Implementation Status +------------------------------- + + No Partitions were found in this design. + +------------------------------- + +========================================================================= +* Final Report * +========================================================================= +Final Results +RTL Top Level Output File Name : MXSE.ngr +Top Level Output File Name : MXSE +Output Format : NGC +Optimization Goal : Speed +Keep Hierarchy : Yes +Target Technology : XC9500XL CPLDs +Macro Preserve : YES +XOR Preserve : YES +Clock Enable : YES +wysiwyg : NO + +Design Statistics +# IOs : 67 + +Cell Usage : +# BELS : 570 +# AND2 : 160 +# AND3 : 20 +# AND4 : 15 +# AND5 : 1 +# AND6 : 3 +# AND7 : 1 +# AND8 : 3 +# GND : 6 +# INV : 243 +# OR2 : 92 +# OR3 : 8 +# OR4 : 5 +# VCC : 1 +# XOR2 : 12 +# FlipFlops/Latches : 84 +# FD : 57 +# FDCE : 27 +# IO Buffers : 67 +# IBUF : 35 +# OBUF : 32 +========================================================================= + + +Total REAL time to Xst completion: 3.00 secs +Total CPU time to Xst completion: 3.01 secs + +--> + +Total memory usage is 232788 kilobytes + +Number of errors : 0 ( 0 filtered) +Number of warnings : 0 ( 0 filtered) +Number of infos : 0 ( 0 filtered) + diff --git a/cpld/XC95144XL/MXSE 2.tspec b/cpld/XC95144XL/MXSE 2.tspec new file mode 100644 index 0000000..fa7edb3 --- /dev/null +++ b/cpld/XC95144XL/MXSE 2.tspec @@ -0,0 +1,393 @@ +TS_CLK2X_IOB:FROM:IOBERR.Q:TO:IOBERR.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:IOBERR.D:666 +TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:IOBERR.D:666 +TS_CLK2X_IOB:FROM:iobm/DTACKrr.Q:TO:IOBERR.D:666 +TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:IOBERR.D:666 +TS_CLK2X_IOB:FROM:iobm/RESrr.Q:TO:IOBERR.D:666 +TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:IOBERR.D:666 +TS_CLK2X_IOB:FROM:iobm/BERRrr.Q:TO:IOBERR.D:666 +TS_CLK2X_IOB:FROM:iobm/ETACK.Q:TO:IOBERR.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd3.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:iobm/IOS_FSM_FFd3.D:666 +TS_CLK2X_IOB:FROM:iobm/ETACK.Q:TO:iobm/IOS_FSM_FFd3.D:666 +TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:iobm/IOS_FSM_FFd3.D:666 +TS_CLK2X_IOB:FROM:iobm/BERRrr.Q:TO:iobm/IOS_FSM_FFd3.D:666 +TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:iobm/IOS_FSM_FFd3.D:666 +TS_CLK2X_IOB:FROM:iobm/DTACKrr.Q:TO:iobm/IOS_FSM_FFd3.D:666 +TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:iobm/IOS_FSM_FFd3.D:666 +TS_CLK2X_IOB:FROM:iobm/RESrr.Q:TO:iobm/IOS_FSM_FFd3.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd8.Q:TO:iobm/IOS_FSM_FFd7.D:666 +TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:iobm/IOS_FSM_FFd7.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd8.Q:TO:iobm/IOS_FSM_FFd8.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/IOS_FSM_FFd8.D:666 +TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:iobm/IOS_FSM_FFd8.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:IOACT.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd8.Q:TO:IOACT.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:IOACT.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:IOACT.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:IOACT.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:IOACT.D:666 +TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:IOACT.D:666 +TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:IOACT.D:666 +TS_CLK2X_IOB:FROM:iobm/DTACKrr.Q:TO:IOACT.D:666 +TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:IOACT.D:666 +TS_CLK2X_IOB:FROM:iobm/RESrr.Q:TO:IOACT.D:666 +TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:IOACT.D:666 +TS_CLK2X_IOB:FROM:iobm/BERRrr.Q:TO:IOACT.D:666 +TS_CLK2X_IOB:FROM:iobm/ETACK.Q:TO:IOACT.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd2.D:666 +TS_CLK2X_IOB:FROM:iobm/ETACK.Q:TO:iobm/IOS_FSM_FFd2.D:666 +TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:iobm/IOS_FSM_FFd2.D:666 +TS_CLK2X_IOB:FROM:iobm/BERRrr.Q:TO:iobm/IOS_FSM_FFd2.D:666 +TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:iobm/IOS_FSM_FFd2.D:666 +TS_CLK2X_IOB:FROM:iobm/DTACKrr.Q:TO:iobm/IOS_FSM_FFd2.D:666 +TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:iobm/IOS_FSM_FFd2.D:666 +TS_CLK2X_IOB:FROM:iobm/RESrr.Q:TO:iobm/IOS_FSM_FFd2.D:666 +TS_CLK_FSB:FROM:cs/nOverlay0.Q:TO:cs/nOverlay1.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:cs/nOverlay1.CE:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/Once.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/Once.D:400 +TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/Once.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/Once.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/Once.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/Once.D:400 +TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:cnt/RefDone.D:400 +TS_CLK_FSB:FROM:RefAck.Q:TO:cnt/RefDone.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/RefDone.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:cnt/RefDone.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:cnt/RefDone.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefDone.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefDone.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefDone.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefDone.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefDone.D:400 +TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/Once.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/Once.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Once.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Once.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/Once.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/Once.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:BERR_IOBS.D:400 +TS_CLK_FSB:FROM:BERR_IOBS.Q:TO:BERR_IOBS.D:400 +TS_CLK_FSB:FROM:iobs/Once.Q:TO:BERR_IOBS.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:BERR_IOBS.D:400 +TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:BERR_IOBS.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:BERR_IOBS.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:IORW0.D:400 +TS_CLK_FSB:FROM:iobs/Once.Q:TO:IORW0.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:IORW0.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:IORW0.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:IORW0.D:400 +TS_CLK_FSB:FROM:IORW0.Q:TO:IORW0.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:IORW0.D:400 +TS_CLK_FSB:FROM:iobs/IORW1.Q:TO:IORW0.D:400 +TS_CLK_FSB:FROM:cs/nOverlay0.Q:TO:cs/nOverlay0.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:cs/nOverlay0.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:IOL0.D:400 +TS_CLK_FSB:FROM:iobs/IOL1.Q:TO:IOL0.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:IOL0.CE:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:IOL0.CE:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:IOU0.D:400 +TS_CLK_FSB:FROM:iobs/IOU1.Q:TO:IOU0.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:IOU0.CE:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:IOU0.CE:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:TimeoutA.D:400 +TS_CLK_FSB:FROM:TimeoutA.Q:TO:TimeoutA.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:TimeoutA.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:TimeoutA.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:TimeoutA.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:TimeoutA.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:TimeoutA.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:TimeoutA.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:TimeoutA.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:TimeoutB.D:400 +TS_CLK_FSB:FROM:TimeoutB.Q:TO:TimeoutB.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:TimeoutB.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:TimeoutB.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:TimeoutB.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:TimeoutB.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:TimeoutB.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:TimeoutB.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:TimeoutB.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:TimeoutB.D:400 +TS_CLK_FSB:FROM:cnt/TimeoutBPre.Q:TO:TimeoutB.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:cnt/TimeoutBPre.D:400 +TS_CLK_FSB:FROM:cnt/TimeoutBPre.Q:TO:cnt/TimeoutBPre.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/TimeoutBPre.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:cnt/TimeoutBPre.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:cnt/TimeoutBPre.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/TimeoutBPre.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/TimeoutBPre.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/TimeoutBPre.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/TimeoutBPre.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/TimeoutBPre.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/BERR0r.D:400 +TS_CLK_FSB:FROM:TimeoutB.Q:TO:fsb/BERR0r.D:400 +TS_CLK_FSB:FROM:fsb/BERR0r.Q:TO:fsb/BERR0r.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/BERR1r.D:400 +TS_CLK_FSB:FROM:BERR_IOBS.Q:TO:fsb/BERR1r.D:400 +TS_CLK_FSB:FROM:fsb/BERR1r.Q:TO:fsb/BERR1r.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/Ready0r.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/Ready0r.D:400 +TS_CLK_FSB:FROM:fsb/Ready0r.Q:TO:fsb/Ready0r.D:400 +TS_CLK_FSB:FROM:ram/RAMReady.Q:TO:fsb/Ready0r.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/Ready1r.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:fsb/Ready1r.D:400 +TS_CLK_FSB:FROM:fsb/Ready1r.Q:TO:fsb/Ready1r.D:400 +TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:fsb/Ready1r.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/Ready1r.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/Ready2r.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/Ready2r.D:400 +TS_CLK_FSB:FROM:TimeoutA.Q:TO:fsb/Ready2r.D:400 +TS_CLK_FSB:FROM:fsb/Ready2r.Q:TO:fsb/Ready2r.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:fsb/Ready0r.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:ram/RAMReady.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:fsb/VPA.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:BERR_IOBS.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:fsb/BERR0r.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:fsb/BERR1r.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:fsb/Ready1r.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:TimeoutA.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:fsb/Ready2r.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:TimeoutB.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:iobs/Load1.Q:TO:iobs/IOL1.CE:400 +TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/IORW1.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/IORW1.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/IORW1.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/IORW1.D:400 +TS_CLK_FSB:FROM:iobs/IORW1.Q:TO:iobs/IORW1.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/IORW1.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/IORW1.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/IOReady.D:400 +TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:iobs/IOReady.D:400 +TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/IOReady.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/IOReady.D:400 +TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:iobs/IOReady.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/IOReady.D:400 +TS_CLK_FSB:FROM:iobs/Load1.Q:TO:iobs/IOU1.CE:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RAMDIS2.D:400 +TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RAMDIS2.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RAMDIS2.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMDIS2.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMDIS2.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMDIS2.D:400 +TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RAMDIS2.D:400 +TS_CLK_FSB:FROM:ram/RAMDIS2.Q:TO:ram/RAMDIS2.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RAMDIS2.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RAMDIS2.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RAMDIS2.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:nDTACK_FSB_OBUF.D:400 +TS_CLK_FSB:FROM:TimeoutA.Q:TO:nDTACK_FSB_OBUF.D:400 +TS_CLK_FSB:FROM:fsb/Ready2r.Q:TO:nDTACK_FSB_OBUF.D:400 +TS_CLK_FSB:FROM:nDTACK_FSB_OBUF.Q:TO:nDTACK_FSB_OBUF.D:400 +TS_CLK_FSB:FROM:fsb/Ready1r.Q:TO:nDTACK_FSB_OBUF.D:400 +TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:nDTACK_FSB_OBUF.D:400 +TS_CLK_FSB:FROM:fsb/Ready0r.Q:TO:nDTACK_FSB_OBUF.D:400 +TS_CLK_FSB:FROM:ram/RAMReady.Q:TO:nDTACK_FSB_OBUF.D:400 +TS_CLK_FSB:FROM:BERR_IOBS.Q:TO:nDTACK_FSB_OBUF.D:400 +TS_CLK_FSB:FROM:fsb/BERR0r.Q:TO:nDTACK_FSB_OBUF.D:400 +TS_CLK_FSB:FROM:fsb/BERR1r.Q:TO:nDTACK_FSB_OBUF.D:400 +TS_CLK_FSB:FROM:TimeoutB.Q:TO:nDTACK_FSB_OBUF.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:nDTACK_FSB_OBUF.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:nDTACK_FSB_OBUF.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RASEL.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RASEL.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RASEL.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RASEL.D:400 +TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RASEL.D:400 +TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RASEL.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RASEL.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RASEL.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RASEL.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RASEL.D:400 +TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RASEL.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd1.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd1.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd1.D:400 +TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RS_FSM_FFd1.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd1.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd1.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd3.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd3.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd3.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd3.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd3.D:400 +TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RS_FSM_FFd3.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RS_FSM_FFd3.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RS_FSM_FFd3.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RS_FSM_FFd3.D:400 +TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RS_FSM_FFd3.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/PS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/PS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/PS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/PS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:iobs/PS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/PS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/PS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/PS_FSM_FFd1.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/PS_FSM_FFd1.D:400 +TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:iobs/PS_FSM_FFd1.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<5>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<5>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<5>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<5>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<5>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/RefCnt<6>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<6>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<6>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<6>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<6>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<6>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<1>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<2>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<2>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<3>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<3>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<3>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<4>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<4>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<4>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<4>.D:400 +TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/Load1.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/Load1.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/Load1.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/Load1.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Load1.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Load1.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/BACTr.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/RefCnt<7>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:cnt/RefCnt<7>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<7>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<7>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<7>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<7>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<7>.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:RefAck.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:RefAck.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:ALE0S.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:ALE0S.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:IOREQ.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:IOREQ.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:IOREQ.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:IOREQ.D:400 +TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:IOREQ.D:400 +TS_CLK_FSB:FROM:iobs/Once.Q:TO:IOREQ.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:IOREQ.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Clear1.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Clear1.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/Clear1.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMDIS1.D:400 +TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RAMDIS1.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RAMDIS1.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RAMDIS1.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RAMDIS1.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RAMDIS1.D:400 +TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RAMDIS1.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RAMDIS1.D:400 +TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RAMDIS1.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMDIS1.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMDIS1.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RAMReady.D:400 +TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RAMReady.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMReady.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RAMReady.D:400 +TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RAMReady.D:400 +TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RAMReady.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RAMReady.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RAMReady.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RAMReady.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMReady.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMReady.D:400 +TS_CLK_FSB:FROM:ram/RASEL.Q:TO:nCAS_OBUF.D:400 +TS_CLK_FSB:FROM:iobs/Load1.Q:TO:nADoutLE1_OBUF.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:nADoutLE1_OBUF.D:400 +TS_CLK_FSB:FROM:iobs/Clear1.Q:TO:nADoutLE1_OBUF.D:400 +TS_CLK2X_IOB:FROM:nVMA_IOB_OBUF.Q:TO:nVMA_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:nVMA_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:nVMA_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:nVMA_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:nVMA_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:nVMA_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:IOACT.Q:TO:nVMA_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/VPArf.Q:TO:nVMA_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/VPArr.Q:TO:nVMA_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<0>.D:666 +TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<0>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<0>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<0>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<0>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ES<0>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<1>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<1>.D:666 +TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<1>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<2>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<2>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<2>.D:666 +TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<2>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<2>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ES<2>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<3>.D:666 +TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<3>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<3>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<3>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<3>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ES<4>.D:666 +TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<4>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<4>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<4>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<4>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<4>.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:iobm/IOS_FSM_FFd4.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:iobm/IOS_FSM_FFd5.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:iobm/IOS_FSM_FFd6.D:666 +TS_CLK2X_IOB:FROM:nVMA_IOB_OBUF.Q:TO:iobm/ETACK.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ETACK.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ETACK.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ETACK.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ETACK.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ETACK.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:ALE0M.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:ALE0M.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:ALE0M.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:ALE0M.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:ALE0M.D:666 +TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:ALE0M.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd8.Q:TO:ALE0M.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/IOS_FSM_FFd1.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nAS_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:nAS_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:nAS_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:nAS_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:nAS_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nDinLE_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:nDinLE_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nDoutOE_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:nDoutOE_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:nDoutOE_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:nDoutOE_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:nDoutOE_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:nDoutOE_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nLDS_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:nLDS_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:nLDS_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:nLDS_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:nLDS_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nUDS_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:nUDS_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:nUDS_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:nUDS_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:nUDS_IOB_OBUF.D:666 diff --git a/cpld/XC95144XL/MXSE 2.vm6 b/cpld/XC95144XL/MXSE 2.vm6 new file mode 100644 index 0000000..b8ad9c0 --- /dev/null +++ b/cpld/XC95144XL/MXSE 2.vm6 @@ -0,0 +1,8379 @@ +NDS Database: version P.20131013 + +NDS_INFO | xc9500xl | 95144XL100 | XC95144XL-10-TQ100 + +DEVICE | 95144XL | 95144XL100 | + +NETWORK | MXSE | 0 | 0 | 16391 + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_9_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<9> | 9483 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_8_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<8> | 9484 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_15_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<15> | 9485 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_14_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<14> | 9486 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_13_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<13> | 9487 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_12_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<12> | 9488 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_11_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<11> | 9489 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_10_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<10> | 9490 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_23_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<23> | 9491 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_22_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<22> | 9492 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_21_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<21> | 9493 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_20_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<20> | 9494 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_19_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<19> | 9495 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_18_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<18> | 9496 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_17_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<17> | 9497 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_16_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<16> | 9498 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | CLK2X_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 2 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | CLK2X_IOB | 9499 | PI | 577 | 0 | MXSE_COPY_0_COPY_0 | CLK2X_IOB;CLK2X_IOB;CLK2X_IOB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | nVMA_IOB_OBUF | MXSE_COPY_0_COPY_0 | 2155877376 | 16 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVMA_IOB_OBUF | 9318 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9365 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOACT | 9385 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/VPArf | 9393 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/VPArr | 9394 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nVMA_IOB_OBUF$Q | 9317 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | nVMA_IOB_OBUF | 9318 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nVMA_IOB_OBUF.EXP | 10020 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.EXP | nVMA_IOB_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nVMA_IOB_OBUF.SI | nVMA_IOB_OBUF | 0 | 15 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVMA_IOB_OBUF | 9318 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9365 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOACT | 9385 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/VPArf | 9393 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/VPArr | 9394 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nVMA_IOB_OBUF.D1 | 9519 | ? | 648 | 4096 | nVMA_IOB_OBUF | NULL | NULL | nVMA_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nVMA_IOB_OBUF.D2 | 9520 | ? | 648 | 4096 | nVMA_IOB_OBUF | NULL | NULL | nVMA_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 6 | IV_FALSE | nVMA_IOB_OBUF | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> +SPPTERM | 9 | IV_TRUE | nVMA_IOB_OBUF | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> | IV_TRUE | IOACT | IV_TRUE | iobm/VPArf | IV_TRUE | iobm/VPArr +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nVMA_IOB_OBUF.EXP | 10009 | ? | 615 | 0 | nVMA_IOB_OBUF | NULL | NULL | nVMA_IOB_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 + +SRFF_INSTANCE | nVMA_IOB_OBUF.REG | nVMA_IOB_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nVMA_IOB_OBUF.D | 9518 | ? | 648 | 0 | nVMA_IOB_OBUF | NULL | NULL | nVMA_IOB_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nVMA_IOB_OBUF.Q | 9521 | ? | 661 | 0 | nVMA_IOB_OBUF | NULL | NULL | nVMA_IOB_OBUF.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +INPUT_INSTANCE | 0 | 0 | NULL | CLK_FSB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 2 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | CLK_FSB | 9500 | PI | 578 | 0 | MXSE_COPY_0_COPY_0 | CLK_FSB;CLK_FSB;CLK_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_1 | 9320 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV + +INPUT_INSTANCE | 0 | 0 | NULL | nAS_FSB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nAS_FSB | 9506 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+OptxMapped | cs/nOverlay1 | MXSE_COPY_0_COPY_0 | 2155873280 | 7 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay0 | 9332 | ? | 598 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_1_IBUF | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | cs/nOverlay1.EXP | 10037 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.EXP | cs/nOverlay1 | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | cs/nOverlay1.SI | cs/nOverlay1 | 0 | 6 | 4 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay0 | 9332 | ? | 598 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_1_IBUF | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cs/nOverlay1.D1 | 9523 | ? | 590 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cs/nOverlay1.D2 | 9524 | ? | 590 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cs/nOverlay0 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | cs/nOverlay1.EXP | 10024 | ? | 0 | 0 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_1_IBUF +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cs/nOverlay1.CE | 9525 | ? | 592 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf + +SRFF_INSTANCE | cs/nOverlay1.REG | cs/nOverlay1 | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cs/nOverlay1.D | 9522 | ? | 590 | 0 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cs/nOverlay1.CE | 9525 | ? | 592 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cs/nOverlay1.Q | 9526 | ? | 645 | 0 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | ram/Once | MXSE_COPY_0_COPY_0 | 2155877376 | 17 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9350 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_7_OBUF.EXP | 10069 | ? | 593 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.EXP | RA_7_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | ram/Once.EXP | 10070 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.EXP | ram/Once | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | ram/Once.SI | ram/Once | 0 | 16 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9350 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_7_OBUF.EXP | 10069 | ? | 593 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.EXP | RA_7_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/Once.D1 | 9528 | ? | 593 | 4096 | ram/Once | NULL | NULL | ram/Once.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/Once.D2 | 9529 | ? | 593 | 4096 | ram/Once | NULL | NULL | ram/Once.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | RA_7_OBUF.EXP +SPPTERM | 3 | IV_TRUE | ram/Once | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | ram/Once.EXP | 10059 | ? | 619 | 0 | ram/Once | NULL | NULL | ram/Once.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf +SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | ram/Once.REG | ram/Once | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/Once.D | 9527 | ? | 593 | 0 | ram/Once | NULL | NULL | ram/Once.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/Once.Q | 9530 | ? | 645 | 0 | ram/Once | NULL | NULL | ram/Once.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | cnt/RefDone | MXSE_COPY_0_COPY_0 | 2155873536 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefAck | 9389 | ? | 594 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/RefDone.SI | cnt/RefDone | 0 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefAck | 9389 | ? | 594 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefDone.D1 | 9532 | ? | 594 | 4096 | cnt/RefDone | NULL | NULL | cnt/RefDone.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefDone.D2 | 9533 | ? | 594 | 4096 | cnt/RefDone | NULL | NULL | cnt/RefDone.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | cnt/RefDone | IV_FALSE | RefAck +SPPTERM | 8 | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_FALSE | cnt/RefCnt<7> + +SRFF_INSTANCE | cnt/RefDone.REG | cnt/RefDone | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefDone.D | 9531 | ? | 594 | 0 | cnt/RefDone | NULL | NULL | cnt/RefDone.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefDone.Q | 9534 | ? | 645 | 0 | cnt/RefDone | NULL | NULL | cnt/RefDone.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +INPUT_INSTANCE | 0 | 0 | NULL | nWE_FSB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nWE_FSB | 9502 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/Once | MXSE_COPY_0_COPY_0 | 2155873536 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP28_.EXP | 10041 | ? | 595 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP28_.EXP | EXP28_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP29_.EXP | 10042 | ? | 595 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP29_.EXP | EXP29_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobs/Once.SI | iobs/Once | 0 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP28_.EXP | 10041 | ? | 595 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP28_.EXP | EXP28_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP29_.EXP | 10042 | ? | 595 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP29_.EXP | EXP29_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/Once.D1 | 9536 | ? | 595 | 4096 | iobs/Once | NULL | NULL | iobs/Once.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/Once.D2 | 9537 | ? | 595 | 4096 | iobs/Once | NULL | NULL | iobs/Once.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP28_.EXP +SPPTERM | 1 | IV_TRUE | EXP29_.EXP +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd2 +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/Once +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd2 +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd1 + +SRFF_INSTANCE | iobs/Once.REG | iobs/Once | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/Once.D | 9535 | ? | 595 | 0 | iobs/Once | NULL | NULL | iobs/Once.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/Once.Q | 9538 | ? | 640 | 0 | iobs/Once | NULL | NULL | iobs/Once.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | BERR_IOBS | MXSE_COPY_0_COPY_0 | 2155877376 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 9327 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9375 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | BERR_IOBS.SI | BERR_IOBS | 0 | 8 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 9327 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9375 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | BERR_IOBS.D1 | 9540 | ? | 596 | 4096 | BERR_IOBS | NULL | NULL | BERR_IOBS.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | BERR_IOBS.D2 | 9541 | ? | 596 | 4096 | BERR_IOBS | NULL | NULL | BERR_IOBS.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | BERR_IOBS | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 6 | IV_TRUE | iobs/Once | IV_TRUE | BERR_IOBS | IV_FALSE | IOBERR | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | ALE1 +SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | BERR_IOBS | IV_TRUE | IOBERR | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | ALE1 +SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | BERR_IOBS | IV_TRUE | IOBERR | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 + +SRFF_INSTANCE | BERR_IOBS.REG | BERR_IOBS | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | BERR_IOBS.D | 9539 | ? | 596 | 0 | BERR_IOBS | NULL | NULL | BERR_IOBS.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | BERR_IOBS.Q | 9542 | ? | 620 | 0 | BERR_IOBS | NULL | NULL | BERR_IOBS.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +INPUT_INSTANCE | 0 | 0 | NULL | nBERR_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nBERR_IOB | 9501 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 9326 | ? | 672 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | CLK_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 2 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | CLK_IOB | 9507 | PI | 579 | 0 | MXSE_COPY_0_COPY_0 | CLK_IOB;CLK_IOB;CLK_IOB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_4 | 9370 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 7 | 5 | II_FCLKINV + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | IOBERR | MXSE_COPY_0_COPY_0 | 2155877376 | 18 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 9326 | ? | 672 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 9327 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ETACK | 9380 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOREQr | 9381 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9402 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9403 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9405 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9406 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP33_.EXP | 10053 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP33_.EXP | EXP33_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | IOBERR | 9327 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | IOBERR.EXP | 10054 | ? | 665 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.EXP | IOBERR | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | IOBERR.SI | IOBERR | 0 | 17 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 9326 | ? | 672 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 9327 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ETACK | 9380 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOREQr | 9381 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9402 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9403 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9405 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9406 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP33_.EXP | 10053 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP33_.EXP | EXP33_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOBERR.D1 | 9544 | ? | 650 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOBERR.D2 | 9545 | ? | 650 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP33_.EXP +SPPTERM | 5 | IV_TRUE | nBERR_IOB_IBUF | IV_TRUE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/ETACK +SPPTERM | 5 | IV_FALSE | nBERR_IOB_IBUF | IV_FALSE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/ETACK +SPPTERM | 6 | IV_TRUE | nBERR_IOB_IBUF | IV_TRUE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | IOBERR.EXP | 10051 | ? | 665 | 0 | IOBERR | NULL | NULL | IOBERR.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 8 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOREQr | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr +SPPTERM | 8 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOREQr | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr + +SRFF_INSTANCE | IOBERR.REG | IOBERR | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | IOBERR.D | 9543 | ? | 650 | 0 | IOBERR | NULL | NULL | IOBERR.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | IOBERR.Q | 9546 | ? | 650 | 0 | IOBERR | NULL | NULL | IOBERR.REG | 0 | 8 | SRFF_Q +TNAME | CLK_IOB | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | IORW0 | MXSE_COPY_0_COPY_0 | 2155877376 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 9346 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP23_.EXP | 10013 | ? | 597 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr.EXP | 10014 | ? | 597 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.EXP | iobs/IOACTr | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | IORW0.SI | IORW0 | 0 | 12 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 9346 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP23_.EXP | 10013 | ? | 597 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr.EXP | 10014 | ? | 597 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.EXP | iobs/IOACTr | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IORW0.D1 | 9548 | ? | 597 | 4096 | IORW0 | NULL | NULL | IORW0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IORW0.D2 | 9549 | ? | 597 | 4096 | IORW0 | NULL | NULL | IORW0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP23_.EXP +SPPTERM | 1 | IV_TRUE | iobs/IOACTr.EXP +SPPTERM | 5 | IV_TRUE | IORW0 | IV_FALSE | iobs/IORW1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | ALE1 +SPPTERM | 5 | IV_FALSE | IORW0 | IV_TRUE | iobs/IORW1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | ALE1 +SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | IORW0 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 + +SRFF_INSTANCE | IORW0.REG | IORW0 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | IORW0.D | 9547 | ? | 597 | 0 | IORW0 | NULL | NULL | IORW0.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | IORW0.Q | 9550 | ? | 682 | 0 | IORW0 | NULL | NULL | IORW0.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +INPUT_INSTANCE | 0 | 0 | NULL | nRES_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 2 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nRES | 9503 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nRES_IBUF | 9330 | ? | 677 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 9 | 5 | II_FSRINV +NODE | FSR-IO_5 | 9331 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 9 | 5 | II_FSRINV + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cs/nOverlay0 | MXSE_COPY_0_COPY_0 | 2155877376 | 13 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay0 | 9332 | ? | 598 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FSR-IO_5 | 9331 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 9 | 5 | II_FSRINV +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cs/nOverlay0 | 9332 | ? | 598 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | cs/nOverlay0.EXP | 10067 | ? | 624 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.EXP | cs/nOverlay0 | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | cs/nOverlay0.SI | cs/nOverlay0 | 0 | 11 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay0 | 9332 | ? | 598 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cs/nOverlay0.D1 | 9552 | ? | 598 | 4096 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cs/nOverlay0.D2 | 9553 | ? | 598 | 6144 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay0 | IV_FALSE | nAS_FSB_IBUF +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay0 | IV_TRUE | fsb/ASrf +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | cs/nOverlay0.EXP | 10056 | ? | 624 | 0 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | fsb/ASrf + +SRFF_INSTANCE | cs/nOverlay0.REG | cs/nOverlay0 | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cs/nOverlay0.D | 9551 | ? | 598 | 0 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 3 | 8 | SRFF_R +NODE | FSR-IO_5 | 9331 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 9 | 5 | II_FSRINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cs/nOverlay0.Q | 9554 | ? | 598 | 0 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +INPUT_INSTANCE | 0 | 0 | NULL | nLDS_FSB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nLDS_FSB | 9504 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 9344 | ? | 613 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+OptxMapped | IOL0 | MXSE_COPY_0_COPY_0 | 2155873280 | 6 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOL1 | 9345 | ? | 600 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nLDS_FSB_IBUF | 9344 | ? | 613 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | IOL0 | 9333 | ? | 681 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | IOL0.SI | IOL0 | 0 | 5 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOL1 | 9345 | ? | 600 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nLDS_FSB_IBUF | 9344 | ? | 613 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOL0.D1 | 9556 | ? | 600 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOL0.D2 | 9557 | ? | 600 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | nLDS_FSB_IBUF | IV_TRUE | ALE1 +SPPTERM | 2 | IV_TRUE | iobs/IOL1 | IV_FALSE | ALE1 +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | IOL0.CE | 9558 | ? | 601 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 + +SRFF_INSTANCE | IOL0.REG | IOL0 | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | IOL0.D | 9555 | ? | 600 | 0 | IOL0 | NULL | NULL | IOL0.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | IOL0.CE | 9558 | ? | 601 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | IOL0.Q | 9559 | ? | 681 | 0 | IOL0 | NULL | NULL | IOL0.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +INPUT_INSTANCE | 0 | 0 | NULL | nUDS_FSB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nUDS_FSB | 9505 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 9348 | ? | 617 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+OptxMapped | IOU0 | MXSE_COPY_0_COPY_0 | 2155873280 | 6 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOU1 | 9349 | ? | 602 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nUDS_FSB_IBUF | 9348 | ? | 617 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | IOU0 | 9334 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | IOU0.SI | IOU0 | 0 | 5 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOU1 | 9349 | ? | 602 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nUDS_FSB_IBUF | 9348 | ? | 617 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOU0.D1 | 9561 | ? | 602 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOU0.D2 | 9562 | ? | 602 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | nUDS_FSB_IBUF | IV_TRUE | ALE1 +SPPTERM | 2 | IV_TRUE | iobs/IOU1 | IV_FALSE | ALE1 +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | IOU0.CE | 9563 | ? | 603 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 + +SRFF_INSTANCE | IOU0.REG | IOU0 | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | IOU0.D | 9560 | ? | 602 | 0 | IOU0 | NULL | NULL | IOU0.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | IOU0.CE | 9563 | ? | 603 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | IOU0.Q | 9564 | ? | 682 | 0 | IOU0 | NULL | NULL | IOU0.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | TimeoutA | MXSE_COPY_0_COPY_0 | 2155877376 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | TimeoutA.SI | TimeoutA | 0 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | TimeoutA.D1 | 9566 | ? | 604 | 4096 | TimeoutA | NULL | NULL | TimeoutA.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | TimeoutA.D2 | 9567 | ? | 604 | 4096 | TimeoutA | NULL | NULL | TimeoutA.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | TimeoutA | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 9 | IV_FALSE | TimeoutA | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> +SPPTERM | 9 | IV_FALSE | TimeoutA | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | TimeoutA.REG | TimeoutA | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | TimeoutA.D | 9565 | ? | 604 | 0 | TimeoutA | NULL | NULL | TimeoutA.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | TimeoutA.Q | 9568 | ? | 620 | 0 | TimeoutA | NULL | NULL | TimeoutA.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | TimeoutB | MXSE_COPY_0_COPY_0 | 2155877376 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9336 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimeoutBPre | 9337 | ? | 606 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.Q | cnt/TimeoutBPre | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | TimeoutB | 9336 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | TimeoutB.SI | TimeoutB | 0 | 12 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9336 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimeoutBPre | 9337 | ? | 606 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.Q | cnt/TimeoutBPre | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | TimeoutB.D1 | 9570 | ? | 605 | 4096 | TimeoutB | NULL | NULL | TimeoutB.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | TimeoutB.D2 | 9571 | ? | 605 | 4096 | TimeoutB | NULL | NULL | TimeoutB.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | TimeoutB | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 11 | IV_FALSE | TimeoutB | IV_TRUE | cnt/TimeoutBPre | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_FALSE | cnt/RefCnt<7> +SPPTERM | 11 | IV_FALSE | TimeoutB | IV_TRUE | cnt/TimeoutBPre | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_FALSE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | TimeoutB.REG | TimeoutB | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | TimeoutB.D | 9569 | ? | 605 | 0 | TimeoutB | NULL | NULL | TimeoutB.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | TimeoutB.Q | 9572 | ? | 620 | 0 | TimeoutB | NULL | NULL | TimeoutB.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/TimeoutBPre | MXSE_COPY_0_COPY_0 | 2155877376 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimeoutBPre | 9337 | ? | 606 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.Q | cnt/TimeoutBPre | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/TimeoutBPre | 9337 | ? | 606 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.Q | cnt/TimeoutBPre | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/TimeoutBPre.SI | cnt/TimeoutBPre | 0 | 11 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimeoutBPre | 9337 | ? | 606 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.Q | cnt/TimeoutBPre | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/TimeoutBPre.D1 | 9574 | ? | 606 | 4096 | cnt/TimeoutBPre | NULL | NULL | cnt/TimeoutBPre.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/TimeoutBPre.D2 | 9575 | ? | 606 | 4096 | cnt/TimeoutBPre | NULL | NULL | cnt/TimeoutBPre.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | cnt/TimeoutBPre | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 10 | IV_FALSE | cnt/TimeoutBPre | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_FALSE | cnt/RefCnt<7> +SPPTERM | 10 | IV_FALSE | cnt/TimeoutBPre | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_FALSE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | cnt/TimeoutBPre.REG | cnt/TimeoutBPre | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/TimeoutBPre.D | 9573 | ? | 606 | 0 | cnt/TimeoutBPre | NULL | NULL | cnt/TimeoutBPre.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/TimeoutBPre.Q | 9576 | ? | 606 | 0 | cnt/TimeoutBPre | NULL | NULL | cnt/TimeoutBPre.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/BERR0r | MXSE_COPY_0_COPY_0 | 2155873536 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9336 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | fsb/BERR0r.EXP | 10046 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.EXP | fsb/BERR0r | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | fsb/BERR0r.SI | fsb/BERR0r | 0 | 9 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9336 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/BERR0r.D1 | 9578 | ? | 607 | 4096 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/BERR0r.D2 | 9579 | ? | 607 | 4096 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | TimeoutB | IV_FALSE | fsb/BERR0r +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/BERR0r +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | fsb/BERR0r.EXP | 10033 | ? | 0 | 0 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF +SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF + +SRFF_INSTANCE | fsb/BERR0r.REG | fsb/BERR0r | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | fsb/BERR0r.D | 9577 | ? | 607 | 0 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | fsb/BERR0r.Q | 9580 | ? | 620 | 0 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/BERR1r | MXSE_COPY_0_COPY_0 | 2155873536 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | fsb/BERR1r.SI | fsb/BERR1r | 0 | 4 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/BERR1r.D1 | 9582 | ? | 608 | 4096 | fsb/BERR1r | NULL | NULL | fsb/BERR1r.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/BERR1r.D2 | 9583 | ? | 608 | 4096 | fsb/BERR1r | NULL | NULL | fsb/BERR1r.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR1r +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf + +SRFF_INSTANCE | fsb/BERR1r.REG | fsb/BERR1r | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | fsb/BERR1r.D | 9581 | ? | 608 | 0 | fsb/BERR1r | NULL | NULL | fsb/BERR1r.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | fsb/BERR1r.Q | 9584 | ? | 620 | 0 | fsb/BERR1r | NULL | NULL | fsb/BERR1r.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/Ready0r | MXSE_COPY_0_COPY_0 | 2155873536 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9340 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9409 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | fsb/Ready0r | 9340 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | fsb/Ready0r.SI | fsb/Ready0r | 0 | 8 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9340 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9409 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/Ready0r.D1 | 9586 | ? | 609 | 4096 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/Ready0r.D2 | 9587 | ? | 609 | 4096 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_FALSE | ram/RAMReady +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_FALSE | ram/RAMReady + +SRFF_INSTANCE | fsb/Ready0r.REG | fsb/Ready0r | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | fsb/Ready0r.D | 9585 | ? | 609 | 0 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | fsb/Ready0r.Q | 9588 | ? | 620 | 0 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/Ready1r | MXSE_COPY_0_COPY_0 | 2155873536 | 18 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_FSB_OBUF.EXP | 9993 | ? | 610 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | fsb/Ready1r.SI | fsb/Ready1r | 0 | 17 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_FSB_OBUF.EXP | 9993 | ? | 610 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/Ready1r.D1 | 9590 | ? | 610 | 4096 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/Ready1r.D2 | 9591 | ? | 610 | 4096 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nBERR_FSB_OBUF.EXP +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady +SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady +SPPTERM | 11 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady +SPPTERM | 11 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady + +SRFF_INSTANCE | fsb/Ready1r.REG | fsb/Ready1r | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | fsb/Ready1r.D | 9589 | ? | 610 | 0 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | fsb/Ready1r.Q | 9592 | ? | 620 | 0 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/Ready2r | MXSE_COPY_0_COPY_0 | 2155873536 | 22 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nOE_OBUF.EXP | 9995 | ? | 611 | 0 | MXSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | fsb/Ready2r.SI | fsb/Ready2r | 0 | 21 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nOE_OBUF.EXP | 9995 | ? | 611 | 0 | MXSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/Ready2r.D1 | 9594 | ? | 611 | 4096 | fsb/Ready2r | NULL | NULL | fsb/Ready2r.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/Ready2r.D2 | 9595 | ? | 611 | 4096 | fsb/Ready2r | NULL | NULL | fsb/Ready2r.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nOE_OBUF.EXP +SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r + +SRFF_INSTANCE | fsb/Ready2r.REG | fsb/Ready2r | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | fsb/Ready2r.D | 9593 | ? | 611 | 0 | fsb/Ready2r | NULL | NULL | fsb/Ready2r.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | fsb/Ready2r.Q | 9596 | ? | 620 | 0 | fsb/Ready2r | NULL | NULL | fsb/Ready2r.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | fsb/VPA | MXSE_COPY_0_COPY_0 | 2155873280 | 10 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9343 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | 9480 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.Q | fsb/VPA__or00001/fsb/VPA__or00001_D2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP19_.EXP | 9997 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP20_.EXP | 9998 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | fsb/VPA | 9343 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | fsb/VPA.SI | fsb/VPA | 0 | 9 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9343 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | 9480 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.Q | fsb/VPA__or00001/fsb/VPA__or00001_D2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP19_.EXP | 9997 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP20_.EXP | 9998 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/VPA.D1 | 9598 | ? | 612 | 4096 | fsb/VPA | NULL | NULL | fsb/VPA.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/VPA.D2 | 9599 | ? | 612 | 4096 | fsb/VPA | NULL | NULL | fsb/VPA.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP19_.EXP +SPPTERM | 1 | IV_TRUE | EXP20_.EXP +SPPTERM | 3 | IV_TRUE | BERR_IOBS | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF +SPPTERM | 3 | IV_TRUE | fsb/BERR0r | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF +SPPTERM | 3 | IV_TRUE | fsb/BERR1r | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF +SPPTERM | 3 | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM +SPPTERM | 3 | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | $OpTx$FX_DC$602.UIM + +SRFF_INSTANCE | fsb/VPA.REG | fsb/VPA | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | fsb/VPA.D | 9597 | ? | 612 | 0 | fsb/VPA | NULL | NULL | fsb/VPA.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | fsb/VPA.Q | 9600 | ? | 612 | 0 | fsb/VPA | NULL | NULL | fsb/VPA.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOL1 | MXSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nLDS_FSB_IBUF | 9344 | ? | 613 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Load1 | 9383 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/IOL1 | 9345 | ? | 600 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobs/IOL1.SI | iobs/IOL1 | 0 | 2 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nLDS_FSB_IBUF | 9344 | ? | 613 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Load1 | 9383 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOL1.D1 | 9602 | ? | 613 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOL1.D2 | 9603 | ? | 613 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nLDS_FSB_IBUF +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOL1.CE | 9604 | ? | 614 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_TRUE | iobs/Load1 + +SRFF_INSTANCE | iobs/IOL1.REG | iobs/IOL1 | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/IOL1.D | 9601 | ? | 613 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | iobs/IOL1.CE | 9604 | ? | 614 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_TRUE | iobs/Load1 +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/IOL1.Q | 9605 | ? | 600 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | iobs/IORW1 | MXSE_COPY_0_COPY_0 | 2155877632 | 10 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 9346 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP25_.EXP | 10021 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr.EXP | 10022 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.EXP | ram/BACTr | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 9346 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobs/IORW1.SI | iobs/IORW1 | 0 | 9 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 9346 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP25_.EXP | 10021 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr.EXP | 10022 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.EXP | ram/BACTr | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IORW1.D1 | 9607 | ? | 615 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IORW1.D2 | 9608 | ? | 615 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/Once +SPPTERM | 1 | IV_FALSE | ALE1 +SPPTERM | 1 | IV_TRUE | EXP25_.EXP +SPPTERM | 1 | IV_TRUE | ram/BACTr.EXP +SPPTERM | 2 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF +SPPTERM | 2 | IV_TRUE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/IORW1 + +SRFF_INSTANCE | iobs/IORW1.REG | iobs/IORW1 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/IORW1.D | 9606 | ? | 615 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/IORW1.Q | 9609 | ? | 615 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/IOReady | MXSE_COPY_0_COPY_0 | 2155877376 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 9327 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9375 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobs/IOReady.SI | iobs/IOReady | 0 | 8 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 9327 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9375 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOReady.D1 | 9611 | ? | 616 | 4096 | iobs/IOReady | NULL | NULL | iobs/IOReady.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOReady.D2 | 9612 | ? | 616 | 4096 | iobs/IOReady | NULL | NULL | iobs/IOReady.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | iobs/IOReady | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 6 | IV_TRUE | iobs/Once | IV_TRUE | IOBERR | IV_TRUE | iobs/IOReady | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | ALE1 +SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | IOBERR | IV_FALSE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | ALE1 +SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | IOBERR | IV_FALSE | iobs/IOReady | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 + +SRFF_INSTANCE | iobs/IOReady.REG | iobs/IOReady | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/IOReady.D | 9610 | ? | 616 | 0 | iobs/IOReady | NULL | NULL | iobs/IOReady.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/IOReady.Q | 9613 | ? | 620 | 0 | iobs/IOReady | NULL | NULL | iobs/IOReady.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOU1 | MXSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nUDS_FSB_IBUF | 9348 | ? | 617 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Load1 | 9383 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/IOU1 | 9349 | ? | 602 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobs/IOU1.SI | iobs/IOU1 | 0 | 2 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nUDS_FSB_IBUF | 9348 | ? | 617 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Load1 | 9383 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOU1.D1 | 9615 | ? | 617 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOU1.D2 | 9616 | ? | 617 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nUDS_FSB_IBUF +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOU1.CE | 9617 | ? | 618 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_TRUE | iobs/Load1 + +SRFF_INSTANCE | iobs/IOU1.REG | iobs/IOU1 | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/IOU1.D | 9614 | ? | 617 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | iobs/IOU1.CE | 9617 | ? | 618 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_TRUE | iobs/Load1 +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/IOU1.Q | 9618 | ? | 602 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | ram/RAMDIS2 | MXSE_COPY_0_COPY_0 | 2155877376 | 18 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9350 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once.EXP | 10070 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.EXP | ram/Once | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RAMDIS2 | 9350 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | ram/RAMDIS2.EXP | 10071 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.EXP | ram/RAMDIS2 | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | ram/RAMDIS2.SI | ram/RAMDIS2 | 0 | 17 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9350 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once.EXP | 10070 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.EXP | ram/Once | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RAMDIS2.D1 | 9620 | ? | 619 | 4096 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RAMDIS2.D2 | 9621 | ? | 619 | 4096 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/Once.EXP +SPPTERM | 3 | IV_TRUE | ram/RAMDIS2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 10 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 10 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf +SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | ram/RAMDIS2.EXP | 10060 | ? | 0 | 0 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | ram/RASEL + +SRFF_INSTANCE | ram/RAMDIS2.REG | ram/RAMDIS2 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RAMDIS2.D | 9619 | ? | 619 | 0 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RAMDIS2.Q | 9622 | ? | 619 | 0 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | nDTACK_FSB_OBUF | MXSE_COPY_0_COPY_0 | 2155873280 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9353 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP14_.EXP | 9989 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP15_.EXP | 9990 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nDTACK_FSB_OBUF | 9352 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | nDTACK_FSB_OBUF.UIM | 9353 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | nDTACK_FSB_OBUF.SI | nDTACK_FSB_OBUF | 0 | 9 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9353 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP14_.EXP | 9989 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP15_.EXP | 9990 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDTACK_FSB_OBUF.D1 | 9624 | ? | 620 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nDTACK_FSB_OBUF.D2 | 9625 | ? | 620 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP14_.EXP +SPPTERM | 1 | IV_TRUE | EXP15_.EXP +SPPTERM | 2 | IV_TRUE | BERR_IOBS | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 2 | IV_TRUE | fsb/BERR0r | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 2 | IV_TRUE | fsb/BERR1r | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 2 | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | $OpTx$FX_DC$602.UIM + +SRFF_INSTANCE | nDTACK_FSB_OBUF.REG | nDTACK_FSB_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nDTACK_FSB_OBUF.D | 9623 | ? | 620 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nDTACK_FSB_OBUF.Q | 9626 | ? | 620 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RASEL | MXSE_COPY_0_COPY_0 | 2155873280 | 14 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_0_OBUF.EXP | 10036 | ? | 621 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.EXP | RA_0_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP32_.EXP | 10048 | ? | 621 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP32_.EXP | EXP32_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RASEL.SI | ram/RASEL | 0 | 13 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_0_OBUF.EXP | 10036 | ? | 621 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.EXP | RA_0_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP32_.EXP | 10048 | ? | 621 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP32_.EXP | EXP32_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RASEL.D1 | 9628 | ? | 621 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RASEL.D2 | 9629 | ? | 621 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | RA_0_OBUF.EXP +SPPTERM | 1 | IV_TRUE | EXP32_.EXP +SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd3 +SPPTERM | 3 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr +SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> + +SRFF_INSTANCE | ram/RASEL.REG | ram/RASEL | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RASEL.D | 9627 | ? | 621 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RASEL.Q | 9630 | ? | 646 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | ram/RS_FSM_FFd2 | MXSE_COPY_0_COPY_0 | 2155877632 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_8_OBUF.EXP | 10072 | ? | 622 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.EXP | RA_8_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7>.EXP | 10073 | ? | 622 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.EXP | cnt/RefCnt<7> | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RS_FSM_FFd2.SI | ram/RS_FSM_FFd2 | 0 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_8_OBUF.EXP | 10072 | ? | 622 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.EXP | RA_8_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7>.EXP | 10073 | ? | 622 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.EXP | cnt/RefCnt<7> | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd2.D1 | 9632 | ? | 622 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd2.D2 | 9633 | ? | 622 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | RA_8_OBUF.EXP +SPPTERM | 1 | IV_TRUE | cnt/RefCnt<7>.EXP +SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 3 | IV_TRUE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<5> | IV_TRUE | ram/BACTr +SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<6> | IV_TRUE | ram/BACTr +SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/BACTr | IV_FALSE | cnt/RefCnt<7> + +SRFF_INSTANCE | ram/RS_FSM_FFd2.REG | ram/RS_FSM_FFd2 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RS_FSM_FFd2.D | 9631 | ? | 622 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd2.Q | 9634 | ? | 645 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | ram/RS_FSM_FFd1 | MXSE_COPY_0_COPY_0 | 2155877376 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RS_FSM_FFd1.SI | ram/RS_FSM_FFd1 | 0 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd1.D1 | 9636 | ? | 623 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd1.D2 | 9637 | ? | 623 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd3 +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf +SPPTERM | 9 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 9 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | ram/RS_FSM_FFd1.REG | ram/RS_FSM_FFd1 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RS_FSM_FFd1.D | 9635 | ? | 623 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd1.Q | 9638 | ? | 645 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | ram/RS_FSM_FFd3 | MXSE_COPY_0_COPY_0 | 2155877632 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay0.EXP | 10067 | ? | 624 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.EXP | cs/nOverlay0 | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_6_OBUF.EXP | 10068 | ? | 624 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RS_FSM_FFd3.SI | ram/RS_FSM_FFd3 | 0 | 11 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay0.EXP | 10067 | ? | 624 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.EXP | cs/nOverlay0 | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_6_OBUF.EXP | 10068 | ? | 624 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd3.D1 | 9640 | ? | 624 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd3.D2 | 9641 | ? | 624 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cs/nOverlay0.EXP +SPPTERM | 1 | IV_TRUE | RA_6_OBUF.EXP +SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 5 | IV_TRUE | ram/Once | IV_TRUE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 5 | IV_TRUE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<5> +SPPTERM | 5 | IV_TRUE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<6> +SPPTERM | 5 | IV_TRUE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<7> + +SRFF_INSTANCE | ram/RS_FSM_FFd3.REG | ram/RS_FSM_FFd3 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RS_FSM_FFd3.D | 9639 | ? | 624 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd3.Q | 9642 | ? | 645 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/PS_FSM_FFd2 | MXSE_COPY_0_COPY_0 | 2155873536 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9375 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE0S.EXP | 10015 | ? | 625 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0S.EXP | ALE0S | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP24_.EXP | 10016 | ? | 625 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobs/PS_FSM_FFd2.SI | iobs/PS_FSM_FFd2 | 0 | 11 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9375 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE0S.EXP | 10015 | ? | 625 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0S.EXP | ALE0S | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP24_.EXP | 10016 | ? | 625 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/PS_FSM_FFd2.D1 | 9644 | ? | 625 | 4096 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/PS_FSM_FFd2.D2 | 9645 | ? | 625 | 4096 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ALE0S.EXP +SPPTERM | 1 | IV_TRUE | EXP24_.EXP +SPPTERM | 3 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/IOACTr +SPPTERM | 3 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_FALSE | iobs/IOACTr +SPPTERM | 4 | IV_TRUE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 + +SRFF_INSTANCE | iobs/PS_FSM_FFd2.REG | iobs/PS_FSM_FFd2 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/PS_FSM_FFd2.D | 9643 | ? | 625 | 0 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/PS_FSM_FFd2.Q | 9646 | ? | 643 | 0 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | iobm/ES<0> | MXSE_COPY_0_COPY_0 | 2155877632 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er2 | 9390 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9365 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/ES<0>.SI | iobm/ES<0> | 0 | 7 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er2 | 9390 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9365 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<0>.D1 | 9648 | ? | 651 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<0>.D2 | 9649 | ? | 651 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | iobm/ES<0> | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 +SPPTERM | 6 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> | IV_TRUE | iobm/Er +SPPTERM | 6 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> | IV_FALSE | iobm/Er2 + +SRFF_INSTANCE | iobm/ES<0>.REG | iobm/ES<0> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/ES<0>.D | 9647 | ? | 651 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/ES<0>.Q | 9650 | ? | 661 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/ES<1> | MXSE_COPY_0_COPY_0 | 2155873536 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er2 | 9390 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/ES<1>.SI | iobm/ES<1> | 0 | 4 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er2 | 9390 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<1>.D1 | 9652 | ? | 652 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<1>.D2 | 9653 | ? | 652 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> +SPPTERM | 2 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> +SPPTERM | 2 | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 + +SRFF_INSTANCE | iobm/ES<1>.REG | iobm/ES<1> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/ES<1>.D | 9651 | ? | 652 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/ES<1>.Q | 9654 | ? | 661 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/IOS_FSM_FFd3 | MXSE_COPY_0_COPY_0 | 2155873536 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ETACK | 9380 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9402 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9403 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9405 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9406 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/IOS_FSM_FFd3.SI | iobm/IOS_FSM_FFd3 | 0 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ETACK | 9380 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9402 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9403 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9405 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9406 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd3.D1 | 9656 | ? | 653 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd3.D2 | 9657 | ? | 653 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 +SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/ETACK +SPPTERM | 4 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr +SPPTERM | 4 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr +SPPTERM | 4 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr + +SRFF_INSTANCE | iobm/IOS_FSM_FFd3.REG | iobm/IOS_FSM_FFd3 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/IOS_FSM_FFd3.D | 9655 | ? | 653 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd3.Q | 9658 | ? | 682 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.REG | 0 | 8 | SRFF_Q +TNAME | CLK_IOB | CLK2X_IOB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/ES<2> | MXSE_COPY_0_COPY_0 | 2155873536 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er2 | 9390 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9365 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/ES<2>.SI | iobm/ES<2> | 0 | 7 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er2 | 9390 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9365 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<2>.D1 | 9660 | ? | 654 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<2>.D2 | 9661 | ? | 654 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<2> +SPPTERM | 2 | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> +SPPTERM | 2 | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 +SPPTERM | 3 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> +SPPTERM | 3 | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_TRUE | iobm/ES<4> + +SRFF_INSTANCE | iobm/ES<2>.REG | iobm/ES<2> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/ES<2>.D | 9659 | ? | 654 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/ES<2>.Q | 9662 | ? | 661 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/PS_FSM_FFd1 | MXSE_COPY_0_COPY_0 | 2155873280 | 11 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nADoutLE1_OBUF.EXP | 10017 | ? | 626 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.EXP | nADoutLE1_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | iobs/PS_FSM_FFd1.EXP | 10018 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.EXP | iobs/PS_FSM_FFd1 | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | iobs/PS_FSM_FFd1.SI | iobs/PS_FSM_FFd1 | 0 | 10 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nADoutLE1_OBUF.EXP | 10017 | ? | 626 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.EXP | nADoutLE1_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/PS_FSM_FFd1.D1 | 9664 | ? | 626 | 4096 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/PS_FSM_FFd1.D2 | 9665 | ? | 626 | 4096 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nADoutLE1_OBUF.EXP +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | iobs/PS_FSM_FFd1.EXP | 10007 | ? | 640 | 0 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 + +SRFF_INSTANCE | iobs/PS_FSM_FFd1.REG | iobs/PS_FSM_FFd1 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/PS_FSM_FFd1.D | 9663 | ? | 626 | 0 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/PS_FSM_FFd1.Q | 9666 | ? | 643 | 0 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobm/ES<3> | MXSE_COPY_0_COPY_0 | 2155877376 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er2 | 9390 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/ES<3>.SI | iobm/ES<3> | 0 | 6 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er2 | 9390 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<3>.D1 | 9668 | ? | 655 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<3>.D2 | 9669 | ? | 655 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | iobm/ES<3> | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 +SPPTERM | 4 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/Er +SPPTERM | 4 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_FALSE | iobm/Er2 + +SRFF_INSTANCE | iobm/ES<3>.REG | iobm/ES<3> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/ES<3>.D | 9667 | ? | 655 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/ES<3>.Q | 9670 | ? | 661 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobm/ES<4> | MXSE_COPY_0_COPY_0 | 2155877376 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9365 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er2 | 9390 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9365 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/ES<4>.SI | iobm/ES<4> | 0 | 7 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9365 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er2 | 9390 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<4>.D1 | 9672 | ? | 656 | 4096 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<4>.D2 | 9673 | ? | 656 | 4096 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | iobm/ES<4> | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 +SPPTERM | 5 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/ES<3> | IV_TRUE | iobm/Er +SPPTERM | 5 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/ES<3> | IV_FALSE | iobm/Er2 +SPPTERM | 5 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_TRUE | iobm/ES<4> + +SRFF_INSTANCE | iobm/ES<4>.REG | iobm/ES<4> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/ES<4>.D | 9671 | ? | 656 | 0 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/ES<4>.Q | 9674 | ? | 661 | 0 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd4 | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/IOS_FSM_FFd4.SI | iobm/IOS_FSM_FFd4 | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd4.D1 | 9676 | ? | 657 | 4096 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd4.D2 | 9677 | ? | 657 | 4096 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd5 + +SRFF_INSTANCE | iobm/IOS_FSM_FFd4.REG | iobm/IOS_FSM_FFd4 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/IOS_FSM_FFd4.D | 9675 | ? | 657 | 0 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd4.Q | 9678 | ? | 682 | 0 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd5 | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/IOS_FSM_FFd5.SI | iobm/IOS_FSM_FFd5 | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd5.D1 | 9680 | ? | 658 | 4096 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd5.D2 | 9681 | ? | 658 | 4096 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd6 + +SRFF_INSTANCE | iobm/IOS_FSM_FFd5.REG | iobm/IOS_FSM_FFd5 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/IOS_FSM_FFd5.D | 9679 | ? | 658 | 0 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd5.Q | 9682 | ? | 682 | 0 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd6 | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/IOS_FSM_FFd6.SI | iobm/IOS_FSM_FFd6 | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd6.D1 | 9684 | ? | 659 | 4096 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd6.D2 | 9685 | ? | 659 | 4096 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd7 + +SRFF_INSTANCE | iobm/IOS_FSM_FFd6.REG | iobm/IOS_FSM_FFd6 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/IOS_FSM_FFd6.D | 9683 | ? | 659 | 0 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd6.Q | 9686 | ? | 682 | 0 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd7 | MXSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOREQr | 9381 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd8 | 9382 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/IOS_FSM_FFd7.SI | iobm/IOS_FSM_FFd7 | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOREQr | 9381 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd8 | 9382 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd7.D1 | 9688 | ? | 660 | 4096 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd7.D2 | 9689 | ? | 660 | 4096 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_FALSE | CLK_IOB_IBUF | IV_TRUE | iobm/IOREQr | IV_TRUE | iobm/IOS_FSM_FFd8 + +SRFF_INSTANCE | iobm/IOS_FSM_FFd7.REG | iobm/IOS_FSM_FFd7 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/IOS_FSM_FFd7.D | 9687 | ? | 660 | 0 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd7.Q | 9690 | ? | 682 | 0 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.REG | 0 | 8 | SRFF_Q +TNAME | CLK_IOB | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<0> | MXSE_COPY_0_COPY_0 | 2155877376 | 1 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/RefCnt<0>.SI | cnt/RefCnt<0> | 0 | 0 | 2 +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<0>.D1 | 9692 | ? | 627 | 4096 | cnt/RefCnt<0> | NULL | NULL | cnt/RefCnt<0>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefCnt<0>.D2 | 9693 | ? | 627 | 4096 | cnt/RefCnt<0> | NULL | NULL | cnt/RefCnt<0>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 0 | IV_DC + +SRFF_INSTANCE | cnt/RefCnt<0>.REG | cnt/RefCnt<0> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefCnt<0>.D | 9691 | ? | 627 | 0 | cnt/RefCnt<0> | NULL | NULL | cnt/RefCnt<0>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<0>.Q | 9694 | ? | 637 | 0 | cnt/RefCnt<0> | NULL | NULL | cnt/RefCnt<0>.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<5> | MXSE_COPY_0_COPY_0 | 2155877376 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | cnt/RefCnt<5>.EXP | 10066 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.EXP | cnt/RefCnt<5> | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | cnt/RefCnt<5>.SI | cnt/RefCnt<5> | 0 | 9 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<5>.D1 | 9696 | ? | 628 | 0 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefCnt<5>.D2 | 9697 | ? | 628 | 4096 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 5 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<2> | IV_TRUE | cnt/RefCnt<3> | IV_TRUE | cnt/RefCnt<4> +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | cnt/RefCnt<5>.EXP | 10055 | ? | 645 | 0 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_FALSE | cnt/RefDone | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> + +SRFF_INSTANCE | cnt/RefCnt<5>.REG | cnt/RefCnt<5> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefCnt<5>.D | 9695 | ? | 628 | 0 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<5>.Q | 9698 | ? | 645 | 0 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<6> | MXSE_COPY_0_COPY_0 | 2155877376 | 12 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_9_OBUF.EXP | 10074 | ? | 629 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.EXP | RA_9_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | cnt/RefCnt<6>.EXP | 10075 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.EXP | cnt/RefCnt<6> | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | cnt/RefCnt<6>.SI | cnt/RefCnt<6> | 0 | 11 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_9_OBUF.EXP | 10074 | ? | 629 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.EXP | RA_9_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<6>.D1 | 9700 | ? | 629 | 0 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefCnt<6>.D2 | 9701 | ? | 629 | 4096 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | RA_9_OBUF.EXP +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | cnt/RefCnt<6>.EXP | 10064 | ? | 645 | 0 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | cnt/RefCnt<6>.REG | cnt/RefCnt<6> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefCnt<6>.D | 9699 | ? | 629 | 0 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<6>.Q | 9702 | ? | 645 | 0 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOACTr | MXSE_COPY_0_COPY_0 | 2155873280 | 19 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOACT | 9385 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 9375 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | iobs/IOACTr.EXP | 10014 | ? | 597 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.EXP | iobs/IOACTr | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | iobs/IOACTr.SI | iobs/IOACTr | 0 | 18 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOACT | 9385 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOACTr.D1 | 9704 | ? | 630 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOACTr.D2 | 9705 | ? | 630 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | IOACT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | iobs/IOACTr.EXP | 10003 | ? | 597 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 15 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 15 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 15 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 15 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 + +SRFF_INSTANCE | iobs/IOACTr.REG | iobs/IOACTr | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/IOACTr.D | 9703 | ? | 630 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/IOACTr.Q | 9706 | ? | 640 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<1> | MXSE_COPY_0_COPY_0 | 2155877376 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/RefCnt<1>.SI | cnt/RefCnt<1> | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<1>.D1 | 9708 | ? | 631 | 0 | cnt/RefCnt<1> | NULL | NULL | cnt/RefCnt<1>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefCnt<1>.D2 | 9709 | ? | 631 | 4096 | cnt/RefCnt<1> | NULL | NULL | cnt/RefCnt<1>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cnt/RefCnt<0> + +SRFF_INSTANCE | cnt/RefCnt<1>.REG | cnt/RefCnt<1> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefCnt<1>.D | 9707 | ? | 631 | 0 | cnt/RefCnt<1> | NULL | NULL | cnt/RefCnt<1>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<1>.Q | 9710 | ? | 637 | 0 | cnt/RefCnt<1> | NULL | NULL | cnt/RefCnt<1>.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<2> | MXSE_COPY_0_COPY_0 | 2155877376 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/RefCnt<2>.SI | cnt/RefCnt<2> | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<2>.D1 | 9712 | ? | 632 | 0 | cnt/RefCnt<2> | NULL | NULL | cnt/RefCnt<2>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefCnt<2>.D2 | 9713 | ? | 632 | 4096 | cnt/RefCnt<2> | NULL | NULL | cnt/RefCnt<2>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<1> + +SRFF_INSTANCE | cnt/RefCnt<2>.REG | cnt/RefCnt<2> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefCnt<2>.D | 9711 | ? | 632 | 0 | cnt/RefCnt<2> | NULL | NULL | cnt/RefCnt<2>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<2>.Q | 9714 | ? | 637 | 0 | cnt/RefCnt<2> | NULL | NULL | cnt/RefCnt<2>.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<3> | MXSE_COPY_0_COPY_0 | 2155877376 | 4 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/RefCnt<3>.SI | cnt/RefCnt<3> | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<3>.D1 | 9716 | ? | 633 | 0 | cnt/RefCnt<3> | NULL | NULL | cnt/RefCnt<3>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefCnt<3>.D2 | 9717 | ? | 633 | 4096 | cnt/RefCnt<3> | NULL | NULL | cnt/RefCnt<3>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<2> + +SRFF_INSTANCE | cnt/RefCnt<3>.REG | cnt/RefCnt<3> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefCnt<3>.D | 9715 | ? | 633 | 0 | cnt/RefCnt<3> | NULL | NULL | cnt/RefCnt<3>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<3>.Q | 9718 | ? | 637 | 0 | cnt/RefCnt<3> | NULL | NULL | cnt/RefCnt<3>.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<4> | MXSE_COPY_0_COPY_0 | 2155877376 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/RefCnt<4>.SI | cnt/RefCnt<4> | 0 | 4 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<4>.D1 | 9720 | ? | 634 | 0 | cnt/RefCnt<4> | NULL | NULL | cnt/RefCnt<4>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefCnt<4>.D2 | 9721 | ? | 634 | 4096 | cnt/RefCnt<4> | NULL | NULL | cnt/RefCnt<4>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<2> | IV_TRUE | cnt/RefCnt<3> + +SRFF_INSTANCE | cnt/RefCnt<4>.REG | cnt/RefCnt<4> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefCnt<4>.D | 9719 | ? | 634 | 0 | cnt/RefCnt<4> | NULL | NULL | cnt/RefCnt<4>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<4>.Q | 9722 | ? | 637 | 0 | cnt/RefCnt<4> | NULL | NULL | cnt/RefCnt<4>.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/ETACK | MXSE_COPY_0_COPY_0 | 2155873280 | 17 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVMA_IOB_OBUF | 9318 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9365 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/ETACK | 9380 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | iobm/ETACK.EXP | 10019 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.EXP | iobm/ETACK | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | iobm/ETACK.SI | iobm/ETACK | 0 | 16 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVMA_IOB_OBUF | 9318 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9365 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ETACK.D1 | 9724 | ? | 661 | 4096 | iobm/ETACK | NULL | NULL | iobm/ETACK.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ETACK.D2 | 9725 | ? | 661 | 4096 | iobm/ETACK | NULL | NULL | iobm/ETACK.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 6 | IV_FALSE | nVMA_IOB_OBUF | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_TRUE | iobm/ES<4> +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | iobm/ETACK.EXP | 10008 | ? | 640 | 0 | iobm/ETACK | NULL | NULL | iobm/ETACK.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 + +SRFF_INSTANCE | iobm/ETACK.REG | iobm/ETACK | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/ETACK.D | 9723 | ? | 661 | 0 | iobm/ETACK | NULL | NULL | iobm/ETACK.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/ETACK.Q | 9726 | ? | 667 | 0 | iobm/ETACK | NULL | NULL | iobm/ETACK.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/IOREQr | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOREQ | 9397 | ? | 662 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOREQ.Q | IOREQ | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/IOREQr | 9381 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/IOREQr.SI | iobm/IOREQr | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOREQ | 9397 | ? | 662 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOREQ.Q | IOREQ | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOREQr.D1 | 9728 | ? | 662 | 4096 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOREQr.D2 | 9729 | ? | 662 | 4096 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | IOREQ + +SRFF_INSTANCE | iobm/IOREQr.REG | iobm/IOREQr | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/IOREQr.D | 9727 | ? | 662 | 0 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/IOREQr.Q | 9730 | ? | 670 | 0 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | iobm/IOS_FSM_FFd8 | MXSE_COPY_0_COPY_0 | 2155873024 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd8 | 9382 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9404 | ? | 664 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOREQr | 9381 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd8 | 9382 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/IOS_FSM_FFd8.SI | iobm/IOS_FSM_FFd8 | 0 | 4 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd8 | 9382 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9404 | ? | 664 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOREQr | 9381 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd8.D1 | 9732 | ? | 664 | 4096 | iobm/IOS_FSM_FFd8 | NULL | NULL | iobm/IOS_FSM_FFd8.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd8.D2 | 9733 | ? | 664 | 4096 | iobm/IOS_FSM_FFd8 | NULL | NULL | iobm/IOS_FSM_FFd8.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd8 | IV_FALSE | iobm/IOS_FSM_FFd1 +SPPTERM | 3 | IV_FALSE | CLK_IOB_IBUF | IV_TRUE | iobm/IOREQr | IV_FALSE | iobm/IOS_FSM_FFd1 + +SRFF_INSTANCE | iobm/IOS_FSM_FFd8.REG | iobm/IOS_FSM_FFd8 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/IOS_FSM_FFd8.D | 9731 | ? | 664 | 0 | iobm/IOS_FSM_FFd8 | NULL | NULL | iobm/IOS_FSM_FFd8.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd8.Q | 9734 | ? | 670 | 0 | iobm/IOS_FSM_FFd8 | NULL | NULL | iobm/IOS_FSM_FFd8.REG | 0 | 8 | SRFF_Q +TNAME | CLK_IOB | CLK2X_IOB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/Load1 | MXSE_COPY_0_COPY_0 | 2155873536 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP26_.EXP | 10038 | ? | 635 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP27_.EXP | 10039 | ? | 635 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP27_.EXP | EXP27_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 9383 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobs/Load1.SI | iobs/Load1 | 0 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP26_.EXP | 10038 | ? | 635 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP27_.EXP | 10039 | ? | 635 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP27_.EXP | EXP27_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/Load1.D1 | 9736 | ? | 635 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/Load1.D2 | 9737 | ? | 635 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/Once +SPPTERM | 1 | IV_FALSE | ALE1 +SPPTERM | 1 | IV_TRUE | EXP26_.EXP +SPPTERM | 1 | IV_TRUE | EXP27_.EXP +SPPTERM | 2 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 2 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 + +SRFF_INSTANCE | iobs/Load1.REG | iobs/Load1 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/Load1.D | 9735 | ? | 635 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/Load1.Q | 9738 | ? | 647 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/BACTr | MXSE_COPY_0_COPY_0 | 2155873536 | 9 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | ram/BACTr.EXP | 10022 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.EXP | ram/BACTr | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | ram/BACTr.SI | ram/BACTr | 0 | 8 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/BACTr.D1 | 9740 | ? | 636 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/BACTr.D2 | 9741 | ? | 636 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | ram/BACTr.EXP | 10011 | ? | 615 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF + +SRFF_INSTANCE | ram/BACTr.REG | ram/BACTr | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/BACTr.D | 9739 | ? | 636 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/BACTr.Q | 9742 | ? | 645 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | IOACT | MXSE_COPY_0_COPY_0 | 2155873536 | 14 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOREQr | 9381 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd8 | 9382 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ETACK | 9380 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_1_OBUF.EXP | 10052 | ? | 665 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.EXP | RA_1_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR.EXP | 10054 | ? | 665 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.EXP | IOBERR | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | IOACT | 9385 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | IOACT.SI | IOACT | 0 | 13 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOREQr | 9381 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd8 | 9382 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ETACK | 9380 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_1_OBUF.EXP | 10052 | ? | 665 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.EXP | RA_1_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR.EXP | 10054 | ? | 665 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.EXP | IOBERR | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOACT.D1 | 9744 | ? | 665 | 4096 | IOACT | NULL | NULL | IOACT.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOACT.D2 | 9745 | ? | 665 | 4096 | IOACT | NULL | NULL | IOACT.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | RA_1_OBUF.EXP +SPPTERM | 1 | IV_TRUE | IOBERR.EXP +SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOREQr +SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd8 +SPPTERM | 7 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_TRUE | iobm/ETACK | IV_FALSE | iobm/IOREQr +SPPTERM | 7 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_TRUE | iobm/ETACK | IV_FALSE | iobm/IOS_FSM_FFd8 +SPPTERM | 8 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOREQr | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr + +SRFF_INSTANCE | IOACT.REG | IOACT | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | IOACT.D | 9743 | ? | 665 | 0 | IOACT | NULL | NULL | IOACT.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | IOACT.Q | 9746 | ? | 648 | 0 | IOACT | NULL | NULL | IOACT.REG | 0 | 8 | SRFF_Q +TNAME | CLK_IOB | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<7> | MXSE_COPY_0_COPY_0 | 2155877376 | 19 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | cnt/RefCnt<7>.EXP | 10073 | ? | 622 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.EXP | cnt/RefCnt<7> | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | cnt/RefCnt<7>.SI | cnt/RefCnt<7> | 0 | 18 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<7>.D1 | 9748 | ? | 637 | 0 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefCnt<7>.D2 | 9749 | ? | 637 | 4096 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 7 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<2> | IV_TRUE | cnt/RefCnt<3> | IV_TRUE | cnt/RefCnt<4> +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | cnt/RefCnt<7>.EXP | 10062 | ? | 622 | 0 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf +SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf + +SRFF_INSTANCE | cnt/RefCnt<7>.REG | cnt/RefCnt<7> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefCnt<7>.D | 9747 | ? | 637 | 0 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<7>.Q | 9750 | ? | 645 | 0 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +INPUT_INSTANCE | 0 | 0 | NULL | E_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | E_IOB | 9508 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | E_IOB_IBUF | 9387 | ? | 587 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | E_IOB_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/Er | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | E_IOB_IBUF | 9387 | ? | 587 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | E_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK-IO_4 | 9370 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/Er.SI | iobm/Er | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | E_IOB_IBUF | 9387 | ? | 587 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | E_IOB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/Er.D1 | 9752 | ? | 587 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/Er.D2 | 9753 | ? | 587 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | E_IOB_IBUF + +SRFF_INSTANCE | iobm/Er.REG | iobm/Er | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/Er.D | 9751 | ? | 587 | 0 | iobm/Er | NULL | NULL | iobm/Er.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK-IO_4 | 9370 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/Er.Q | 9754 | ? | 666 | 0 | iobm/Er | NULL | NULL | iobm/Er.REG | 0 | 8 | SRFF_Q +TNAME | CLK_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | RefAck | MXSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | RefAck | 9389 | ? | 594 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | RefAck.SI | RefAck | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RefAck.D1 | 9756 | ? | 638 | 4096 | RefAck | NULL | NULL | RefAck.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RefAck.D2 | 9757 | ? | 638 | 4096 | RefAck | NULL | NULL | RefAck.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 + +SRFF_INSTANCE | RefAck.REG | RefAck | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RefAck.D | 9755 | ? | 638 | 0 | RefAck | NULL | NULL | RefAck.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RefAck.Q | 9758 | ? | 594 | 0 | RefAck | NULL | NULL | RefAck.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/Er2 | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9390 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/Er2.SI | iobm/Er2 | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/Er2.D1 | 9760 | ? | 666 | 4096 | iobm/Er2 | NULL | NULL | iobm/Er2.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/Er2.D2 | 9761 | ? | 666 | 4096 | iobm/Er2 | NULL | NULL | iobm/Er2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobm/Er + +SRFF_INSTANCE | iobm/Er2.REG | iobm/Er2 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/Er2.D | 9759 | ? | 666 | 0 | iobm/Er2 | NULL | NULL | iobm/Er2.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/Er2.Q | 9762 | ? | 656 | 0 | iobm/Er2 | NULL | NULL | iobm/Er2.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd2 | MXSE_COPY_0_COPY_0 | 2155873280 | 10 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ETACK | 9380 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9402 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9403 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9405 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9406 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9391 | ? | 680 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/IOS_FSM_FFd2.SI | iobm/IOS_FSM_FFd2 | 0 | 9 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ETACK | 9380 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9402 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9403 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9405 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9406 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd2.D1 | 9764 | ? | 667 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd2.D2 | 9765 | ? | 667 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/ETACK +SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr +SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr +SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr + +SRFF_INSTANCE | iobm/IOS_FSM_FFd2.REG | iobm/IOS_FSM_FFd2 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/IOS_FSM_FFd2.D | 9763 | ? | 667 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd2.Q | 9766 | ? | 680 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.REG | 0 | 8 | SRFF_Q +TNAME | CLK_IOB | CLK2X_IOB + +INPUT_INSTANCE | 0 | 0 | NULL | nVPA_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nVPA_IOB | 9509 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nVPA_IOB_IBUF | 9392 | ? | 669 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/VPArf | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVPA_IOB_IBUF | 9392 | ? | 669 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/VPArf | 9393 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/VPArf.SI | iobm/VPArf | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVPA_IOB_IBUF | 9392 | ? | 669 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/VPArf.D1 | 9768 | ? | 668 | 4096 | iobm/VPArf | NULL | NULL | iobm/VPArf.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/VPArf.D2 | 9769 | ? | 668 | 4096 | iobm/VPArf | NULL | NULL | iobm/VPArf.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nVPA_IOB_IBUF + +SRFF_INSTANCE | iobm/VPArf.REG | iobm/VPArf | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/VPArf.D | 9767 | ? | 668 | 0 | iobm/VPArf | NULL | NULL | iobm/VPArf.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/VPArf.Q | 9770 | ? | 648 | 0 | iobm/VPArf | NULL | NULL | iobm/VPArf.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/VPArr | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVPA_IOB_IBUF | 9392 | ? | 669 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/VPArr | 9394 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/VPArr.SI | iobm/VPArr | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVPA_IOB_IBUF | 9392 | ? | 669 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/VPArr.D1 | 9772 | ? | 669 | 4096 | iobm/VPArr | NULL | NULL | iobm/VPArr.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/VPArr.D2 | 9773 | ? | 669 | 4096 | iobm/VPArr | NULL | NULL | iobm/VPArr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nVPA_IOB_IBUF + +SRFF_INSTANCE | iobm/VPArr.REG | iobm/VPArr | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/VPArr.D | 9771 | ? | 669 | 0 | iobm/VPArr | NULL | NULL | iobm/VPArr.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/VPArr.Q | 9774 | ? | 648 | 0 | iobm/VPArr | NULL | NULL | iobm/VPArr.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ALE0M | MXSE_COPY_0_COPY_0 | 2155873536 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOREQr | 9381 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd8 | 9382 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ALE0M | 9395 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ALE0M.SI | ALE0M | 0 | 7 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOREQr | 9381 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd8 | 9382 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ALE0M.D1 | 9776 | ? | 670 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ALE0M.D2 | 9777 | ? | 670 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOREQr +SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd8 + +SRFF_INSTANCE | ALE0M.REG | ALE0M | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ALE0M.D | 9775 | ? | 670 | 0 | ALE0M | NULL | NULL | ALE0M.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ALE0M.Q | 9778 | ? | 0 | 0 | ALE0M | NULL | NULL | ALE0M.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | ALE0S | MXSE_COPY_0_COPY_0 | 2155873280 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ALE0S | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | ALE0S.EXP | 10015 | ? | 625 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0S.EXP | ALE0S | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | ALE0S.SI | ALE0S | 0 | 9 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ALE0S.D1 | 9780 | ? | 639 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ALE0S.D2 | 9781 | ? | 639 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | ALE0S.EXP | 10004 | ? | 625 | 0 | ALE0S | NULL | NULL | ALE0S.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 + +SRFF_INSTANCE | ALE0S.REG | ALE0S | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ALE0S.D | 9779 | ? | 639 | 0 | ALE0S | NULL | NULL | ALE0S.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ALE0S.Q | 9782 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | IOREQ | MXSE_COPY_0_COPY_0 | 2155873536 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9375 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1.EXP | 10018 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.EXP | iobs/PS_FSM_FFd1 | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ETACK.EXP | 10019 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.EXP | iobm/ETACK | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | IOREQ | 9397 | ? | 662 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOREQ.Q | IOREQ | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | IOREQ.SI | IOREQ | 0 | 11 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9375 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1.EXP | 10018 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.EXP | iobs/PS_FSM_FFd1 | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ETACK.EXP | 10019 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.EXP | iobm/ETACK | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOREQ.D1 | 9784 | ? | 640 | 4096 | IOREQ | NULL | NULL | IOREQ.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOREQ.D2 | 9785 | ? | 640 | 4096 | IOREQ | NULL | NULL | IOREQ.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/PS_FSM_FFd1.EXP +SPPTERM | 1 | IV_TRUE | iobm/ETACK.EXP +SPPTERM | 2 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/PS_FSM_FFd1 +SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/IOACTr +SPPTERM | 3 | IV_TRUE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 + +SRFF_INSTANCE | IOREQ.REG | IOREQ | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | IOREQ.D | 9783 | ? | 640 | 0 | IOREQ | NULL | NULL | IOREQ.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | IOREQ.Q | 9786 | ? | 662 | 0 | IOREQ | NULL | NULL | IOREQ.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | fsb/ASrf | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK-IO_1 | 9320 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | fsb/ASrf.SI | fsb/ASrf | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/ASrf.D1 | 9788 | ? | 641 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/ASrf.D2 | 9789 | ? | 641 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nAS_FSB_IBUF + +SRFF_INSTANCE | fsb/ASrf.REG | fsb/ASrf | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | fsb/ASrf.D | 9787 | ? | 641 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK-IO_1 | 9320 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | fsb/ASrf.Q | 9790 | ? | 645 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/BERRrf | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 9326 | ? | 672 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/BERRrf.SI | iobm/BERRrf | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 9326 | ? | 672 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/BERRrf.D1 | 9792 | ? | 671 | 4096 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/BERRrf.D2 | 9793 | ? | 671 | 4096 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nBERR_IOB_IBUF + +SRFF_INSTANCE | iobm/BERRrf.REG | iobm/BERRrf | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/BERRrf.D | 9791 | ? | 671 | 0 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/BERRrf.Q | 9794 | ? | 667 | 0 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/BERRrr | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 9326 | ? | 672 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/BERRrr.SI | iobm/BERRrr | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 9326 | ? | 672 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/BERRrr.D1 | 9796 | ? | 672 | 4096 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/BERRrr.D2 | 9797 | ? | 672 | 4096 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nBERR_IOB_IBUF + +SRFF_INSTANCE | iobm/BERRrr.REG | iobm/BERRrr | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/BERRrr.D | 9795 | ? | 672 | 0 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/BERRrr.Q | 9798 | ? | 667 | 0 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +INPUT_INSTANCE | 0 | 0 | NULL | nDTACK_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nDTACK_IOB | 9510 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nDTACK_IOB_IBUF | 9401 | ? | 674 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/DTACKrf | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_IOB_IBUF | 9401 | ? | 674 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/DTACKrf | 9402 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/DTACKrf.SI | iobm/DTACKrf | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_IOB_IBUF | 9401 | ? | 674 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/DTACKrf.D1 | 9800 | ? | 673 | 4096 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/DTACKrf.D2 | 9801 | ? | 673 | 4096 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nDTACK_IOB_IBUF + +SRFF_INSTANCE | iobm/DTACKrf.REG | iobm/DTACKrf | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/DTACKrf.D | 9799 | ? | 673 | 0 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/DTACKrf.Q | 9802 | ? | 667 | 0 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/DTACKrr | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_IOB_IBUF | 9401 | ? | 674 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/DTACKrr | 9403 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/DTACKrr.SI | iobm/DTACKrr | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_IOB_IBUF | 9401 | ? | 674 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/DTACKrr.D1 | 9804 | ? | 674 | 4096 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/DTACKrr.D2 | 9805 | ? | 674 | 4096 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nDTACK_IOB_IBUF + +SRFF_INSTANCE | iobm/DTACKrr.REG | iobm/DTACKrr | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/DTACKrr.D | 9803 | ? | 674 | 0 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/DTACKrr.Q | 9806 | ? | 667 | 0 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd1 | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9391 | ? | 680 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9404 | ? | 664 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/IOS_FSM_FFd1.SI | iobm/IOS_FSM_FFd1 | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9391 | ? | 680 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd1.D1 | 9808 | ? | 675 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd1.D2 | 9809 | ? | 675 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd2 + +SRFF_INSTANCE | iobm/IOS_FSM_FFd1.REG | iobm/IOS_FSM_FFd1 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/IOS_FSM_FFd1.D | 9807 | ? | 675 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd1.Q | 9810 | ? | 664 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/RESrf | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRES_IBUF | 9330 | ? | 677 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/RESrf | 9405 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/RESrf.SI | iobm/RESrf | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRES_IBUF | 9330 | ? | 677 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/RESrf.D1 | 9812 | ? | 676 | 4096 | iobm/RESrf | NULL | NULL | iobm/RESrf.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/RESrf.D2 | 9813 | ? | 676 | 4096 | iobm/RESrf | NULL | NULL | iobm/RESrf.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nRES_IBUF + +SRFF_INSTANCE | iobm/RESrf.REG | iobm/RESrf | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/RESrf.D | 9811 | ? | 676 | 0 | iobm/RESrf | NULL | NULL | iobm/RESrf.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/RESrf.Q | 9814 | ? | 667 | 0 | iobm/RESrf | NULL | NULL | iobm/RESrf.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/RESrr | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRES_IBUF | 9330 | ? | 677 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/RESrr | 9406 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/RESrr.SI | iobm/RESrr | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRES_IBUF | 9330 | ? | 677 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/RESrr.D1 | 9816 | ? | 677 | 4096 | iobm/RESrr | NULL | NULL | iobm/RESrr.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/RESrr.D2 | 9817 | ? | 677 | 4096 | iobm/RESrr | NULL | NULL | iobm/RESrr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nRES_IBUF + +SRFF_INSTANCE | iobm/RESrr.REG | iobm/RESrr | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/RESrr.D | 9815 | ? | 677 | 0 | iobm/RESrr | NULL | NULL | iobm/RESrr.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/RESrr.Q | 9818 | ? | 667 | 0 | iobm/RESrr | NULL | NULL | iobm/RESrr.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/Clear1 | MXSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/Clear1 | 9407 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobs/Clear1.SI | iobs/Clear1 | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/Clear1.D1 | 9820 | ? | 643 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/Clear1.D2 | 9821 | ? | 643 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | ALE1 + +SRFF_INSTANCE | iobs/Clear1.REG | iobs/Clear1 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/Clear1.D | 9819 | ? | 643 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/Clear1.Q | 9822 | ? | 647 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RAMDIS1 | MXSE_COPY_0_COPY_0 | 2155873280 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP30_.EXP | 10044 | ? | 644 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP30_.EXP | EXP30_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP31_.EXP | 10045 | ? | 644 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP31_.EXP | EXP31_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RAMDIS1 | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RAMDIS1.SI | ram/RAMDIS1 | 0 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP30_.EXP | 10044 | ? | 644 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP30_.EXP | EXP30_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP31_.EXP | 10045 | ? | 644 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP31_.EXP | EXP31_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RAMDIS1.D1 | 9824 | ? | 644 | 4096 | ram/RAMDIS1 | NULL | NULL | ram/RAMDIS1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RAMDIS1.D2 | 9825 | ? | 644 | 4096 | ram/RAMDIS1 | NULL | NULL | ram/RAMDIS1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP30_.EXP +SPPTERM | 1 | IV_TRUE | EXP31_.EXP +SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 +SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 +SPPTERM | 3 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr +SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | ram/RAMDIS1.REG | ram/RAMDIS1 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RAMDIS1.D | 9823 | ? | 644 | 0 | ram/RAMDIS1 | NULL | NULL | ram/RAMDIS1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RAMDIS1.Q | 9826 | ? | 0 | 0 | ram/RAMDIS1 | NULL | NULL | ram/RAMDIS1.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/RAMReady | MXSE_COPY_0_COPY_0 | 2155873536 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6>.EXP | 10075 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.EXP | cnt/RefCnt<6> | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP34_.EXP | 10076 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP34_.EXP | EXP34_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RAMReady | 9409 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RAMReady.SI | ram/RAMReady | 0 | 12 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6>.EXP | 10075 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.EXP | cnt/RefCnt<6> | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP34_.EXP | 10076 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP34_.EXP | EXP34_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RAMReady.D1 | 9828 | ? | 645 | 4096 | ram/RAMReady | NULL | NULL | ram/RAMReady.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RAMReady.D2 | 9829 | ? | 645 | 4096 | ram/RAMReady | NULL | NULL | ram/RAMReady.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cnt/RefCnt<6>.EXP +SPPTERM | 1 | IV_TRUE | EXP34_.EXP +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr +SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr +SPPTERM | 6 | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr +SPPTERM | 6 | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 + +SRFF_INSTANCE | ram/RAMReady.REG | ram/RAMReady | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RAMReady.D | 9827 | ? | 645 | 0 | ram/RAMReady | NULL | NULL | ram/RAMReady.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RAMReady.Q | 9830 | ? | 620 | 0 | ram/RAMReady | NULL | NULL | ram/RAMReady.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_1_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<1> | 9511 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_1_IBUF | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_2_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<2> | 9512 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_2_IBUF | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_3_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<3> | 9513 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_3_IBUF | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_4_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<4> | 9514 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_4_IBUF | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_5_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<5> | 9515 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_5_IBUF | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_6_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<6> | 9516 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_6_IBUF | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_7_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<7> | 9517 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_7_IBUF | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nAS_IOB_OBUF | MXSE_COPY_0_COPY_0 | 2424308736 | 6 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nAS_IOB_OBUF | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAS_IOB_OBUF.Q | nAS_IOB_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nAS_IOB_OBUF.SI | nAS_IOB_OBUF | 0 | 5 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nAS_IOB_OBUF.D1 | 9832 | ? | 678 | 4096 | nAS_IOB_OBUF | NULL | NULL | nAS_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nAS_IOB_OBUF.D2 | 9833 | ? | 678 | 4096 | nAS_IOB_OBUF | NULL | NULL | nAS_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 5 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_FALSE | iobm/IOS_FSM_FFd7 + +SRFF_INSTANCE | nAS_IOB_OBUF.REG | nAS_IOB_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nAS_IOB_OBUF.D | 9831 | ? | 678 | 0 | nAS_IOB_OBUF | NULL | NULL | nAS_IOB_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nAS_IOB_OBUF.Q | 9834 | ? | 0 | 0 | nAS_IOB_OBUF | NULL | NULL | nAS_IOB_OBUF.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nCAS_OBUF | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK-IO_1 | 9320 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nCAS_OBUF | 9418 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nCAS_OBUF.SI | nCAS_OBUF | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nCAS_OBUF.D1 | 9836 | ? | 646 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nCAS_OBUF.D2 | 9837 | ? | 646 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | ram/RASEL + +SRFF_INSTANCE | nCAS_OBUF.REG | nCAS_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nCAS_OBUF.D | 9835 | ? | 646 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK-IO_1 | 9320 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nCAS_OBUF.Q | 9838 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped+Ce | nDinLE_OBUF | MXSE_COPY_0_COPY_0 | 2424308992 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nDinLE_OBUF | 9419 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nDinLE_OBUF.SI | nDinLE_OBUF | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDinLE_OBUF.D1 | 9840 | ? | 679 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nDinLE_OBUF.D2 | 9841 | ? | 679 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 + +SRFF_INSTANCE | nDinLE_OBUF.REG | nDinLE_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nDinLE_OBUF.D | 9839 | ? | 679 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nDinLE_OBUF.Q | 9842 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | nDoutOE_OBUF | MXSE_COPY_0_COPY_0 | 2155873280 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9391 | ? | 680 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nDoutOE_OBUF | 9420 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nDoutOE_OBUF.SI | nDoutOE_OBUF | 0 | 7 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9391 | ? | 680 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDoutOE_OBUF.D1 | 9844 | ? | 680 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nDoutOE_OBUF.D2 | 9845 | ? | 680 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | IORW0 +SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd2 + +SRFF_INSTANCE | nDoutOE_OBUF.REG | nDoutOE_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nDoutOE_OBUF.D | 9843 | ? | 680 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nDoutOE_OBUF.Q | 9846 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nLDS_IOB_OBUF | MXSE_COPY_0_COPY_0 | 2424308736 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOL0 | 9333 | ? | 681 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nLDS_IOB_OBUF | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nLDS_IOB_OBUF.Q | nLDS_IOB_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nLDS_IOB_OBUF.SI | nLDS_IOB_OBUF | 0 | 7 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOL0 | 9333 | ? | 681 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nLDS_IOB_OBUF.D1 | 9848 | ? | 681 | 4096 | nLDS_IOB_OBUF | NULL | NULL | nLDS_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nLDS_IOB_OBUF.D2 | 9849 | ? | 681 | 4096 | nLDS_IOB_OBUF | NULL | NULL | nLDS_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | IOL0 +SPPTERM | 4 | IV_TRUE | IORW0 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 +SPPTERM | 5 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_FALSE | iobm/IOS_FSM_FFd7 + +SRFF_INSTANCE | nLDS_IOB_OBUF.REG | nLDS_IOB_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nLDS_IOB_OBUF.D | 9847 | ? | 681 | 0 | nLDS_IOB_OBUF | NULL | NULL | nLDS_IOB_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nLDS_IOB_OBUF.Q | 9850 | ? | 0 | 0 | nLDS_IOB_OBUF | NULL | NULL | nLDS_IOB_OBUF.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nUDS_IOB_OBUF | MXSE_COPY_0_COPY_0 | 2424308736 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOU0 | 9334 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nUDS_IOB_OBUF | 9422 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nUDS_IOB_OBUF.Q | nUDS_IOB_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nUDS_IOB_OBUF.SI | nUDS_IOB_OBUF | 0 | 7 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOU0 | 9334 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nUDS_IOB_OBUF.D1 | 9852 | ? | 682 | 4096 | nUDS_IOB_OBUF | NULL | NULL | nUDS_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nUDS_IOB_OBUF.D2 | 9853 | ? | 682 | 4096 | nUDS_IOB_OBUF | NULL | NULL | nUDS_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | IOU0 +SPPTERM | 4 | IV_TRUE | IORW0 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 +SPPTERM | 5 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_FALSE | iobm/IOS_FSM_FFd7 + +SRFF_INSTANCE | nUDS_IOB_OBUF.REG | nUDS_IOB_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nUDS_IOB_OBUF.D | 9851 | ? | 682 | 0 | nUDS_IOB_OBUF | NULL | NULL | nUDS_IOB_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nUDS_IOB_OBUF.Q | 9854 | ? | 0 | 0 | nUDS_IOB_OBUF | NULL | NULL | nUDS_IOB_OBUF.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | OptxMapped | RA_0_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 13 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1.EXP | 10037 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.EXP | cs/nOverlay1 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_0_OBUF | 9423 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | RA_0_OBUF.EXP | 10036 | ? | 621 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.EXP | RA_0_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | RA_0_OBUF.SI | RA_0_OBUF | 0 | 13 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1.EXP | 10037 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.EXP | cs/nOverlay1 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_0_OBUF.D1 | 9856 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_0_OBUF.D2 | 9857 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cs/nOverlay1.EXP +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_0_OBUF.EXP | 10023 | ? | 621 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr +SPPTERM | 7 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf +SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf + +SRFF_INSTANCE | RA_0_OBUF.REG | RA_0_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_0_OBUF.D | 9855 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_0_OBUF.Q | 9858 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_1_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 15 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_2_IBUF | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd8 | 9382 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9402 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9403 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9405 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9406 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_1_OBUF | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | RA_1_OBUF.EXP | 10052 | ? | 665 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.EXP | RA_1_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | RA_1_OBUF.SI | RA_1_OBUF | 0 | 15 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_2_IBUF | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd8 | 9382 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9402 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9403 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9405 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9406 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_1_OBUF.D1 | 9860 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_1_OBUF.D2 | 9861 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_11_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_2_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_1_OBUF.EXP | 10049 | ? | 665 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 8 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd8 | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr +SPPTERM | 8 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd8 | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr +SPPTERM | 8 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd8 | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr + +SRFF_INSTANCE | RA_1_OBUF.REG | RA_1_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_1_OBUF.D | 9859 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_1_OBUF.Q | 9862 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_2_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_3_IBUF | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_2_OBUF | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | RA_2_OBUF.EXP | 10040 | ? | 595 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | RA_2_OBUF.SI | RA_2_OBUF | 0 | 10 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_3_IBUF | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_2_OBUF.D1 | 9864 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_2_OBUF.D2 | 9865 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_12_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_3_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_2_OBUF.EXP | 10027 | ? | 595 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Once +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once + +SRFF_INSTANCE | RA_2_OBUF.REG | RA_2_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_2_OBUF.D | 9863 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_2_OBUF.Q | 9866 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_3_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_4_IBUF | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_3_OBUF | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.Q | RA_3_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | RA_3_OBUF.SI | RA_3_OBUF | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_4_IBUF | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_3_OBUF.D1 | 9868 | ? | 0 | 4096 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_3_OBUF.D2 | 9869 | ? | 0 | 4096 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_4_IBUF + +SRFF_INSTANCE | RA_3_OBUF.REG | RA_3_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_3_OBUF.D | 9867 | ? | 0 | 0 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_3_OBUF.Q | 9870 | ? | 0 | 0 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_4_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 11 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_5_IBUF | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_4_OBUF | 9427 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | RA_4_OBUF.EXP | 10043 | ? | 644 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | RA_4_OBUF.SI | RA_4_OBUF | 0 | 11 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_5_IBUF | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_4_OBUF.D1 | 9872 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_4_OBUF.D2 | 9873 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_5_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_4_OBUF.EXP | 10030 | ? | 644 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 7 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> + +SRFF_INSTANCE | RA_4_OBUF.REG | RA_4_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_4_OBUF.D | 9871 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_4_OBUF.Q | 9874 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_5_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_6_IBUF | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_5_OBUF | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | RA_5_OBUF.SI | RA_5_OBUF | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_6_IBUF | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_5_OBUF.D1 | 9876 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_5_OBUF.D2 | 9877 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_6_IBUF + +SRFF_INSTANCE | RA_5_OBUF.REG | RA_5_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_5_OBUF.D | 9875 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_5_OBUF.Q | 9878 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_6_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 14 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_7_IBUF | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_6_OBUF | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | RA_6_OBUF.EXP | 10068 | ? | 624 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | RA_6_OBUF.SI | RA_6_OBUF | 0 | 14 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_7_IBUF | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_6_OBUF.D1 | 9880 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_6_OBUF.D2 | 9881 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_7_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_6_OBUF.EXP | 10057 | ? | 624 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | RA_6_OBUF.REG | RA_6_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_6_OBUF.D | 9879 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_6_OBUF.Q | 9882 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_7_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 13 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_7_OBUF | 9430 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | RA_7_OBUF.EXP | 10069 | ? | 593 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.EXP | RA_7_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | RA_7_OBUF.SI | RA_7_OBUF | 0 | 13 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_7_OBUF.D1 | 9884 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_7_OBUF.D2 | 9885 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | ram/RASEL +SPPTERM | 2 | IV_TRUE | A_FSB_17_IBUF | IV_FALSE | ram/RASEL +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_7_OBUF.EXP | 10058 | ? | 593 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf +SPPTERM | 9 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 9 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | RA_7_OBUF.REG | RA_7_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_7_OBUF.D | 9883 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_7_OBUF.Q | 9886 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_8_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 13 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2.EXP | 10071 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.EXP | ram/RAMDIS2 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_8_OBUF | 9431 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | RA_8_OBUF.EXP | 10072 | ? | 622 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.EXP | RA_8_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | RA_8_OBUF.SI | RA_8_OBUF | 0 | 13 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2.EXP | 10071 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.EXP | ram/RAMDIS2 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_8_OBUF.D1 | 9888 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_8_OBUF.D2 | 9889 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/RAMDIS2.EXP +SPPTERM | 2 | IV_TRUE | A_FSB_18_IBUF | IV_FALSE | ram/RASEL +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_8_OBUF.EXP | 10061 | ? | 622 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | fsb/ASrf +SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | fsb/ASrf +SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 + +SRFF_INSTANCE | RA_8_OBUF.REG | RA_8_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_8_OBUF.D | 9887 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_8_OBUF.Q | 9890 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_9_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 9 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_9_OBUF | 9432 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | RA_9_OBUF.EXP | 10074 | ? | 629 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.EXP | RA_9_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | RA_9_OBUF.SI | RA_9_OBUF | 0 | 9 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_9_OBUF.D1 | 9892 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_9_OBUF.D2 | 9893 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | ram/RASEL +SPPTERM | 2 | IV_TRUE | A_FSB_19_IBUF | IV_FALSE | ram/RASEL +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_9_OBUF.EXP | 10063 | ? | 629 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<2> | IV_TRUE | cnt/RefCnt<3> | IV_TRUE | cnt/RefCnt<4> + +SRFF_INSTANCE | RA_9_OBUF.REG | RA_9_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_9_OBUF.D | 9891 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_9_OBUF.Q | 9894 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | nBERR_FSB_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 20 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9336 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nBERR_FSB_OBUF | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nBERR_FSB_OBUF.EXP | 9993 | ? | 610 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nBERR_FSB_OBUF.SI | nBERR_FSB_OBUF | 0 | 20 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9336 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nBERR_FSB_OBUF.D1 | 9896 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nBERR_FSB_OBUF.D2 | 9897 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nAS_FSB_IBUF +SPPTERM | 4 | IV_FALSE | BERR_IOBS | IV_FALSE | TimeoutB | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nBERR_FSB_OBUF.EXP | 9981 | ? | 610 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 13 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_FALSE | ALE1 +SPPTERM | 13 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_FALSE | ALE1 + +SRFF_INSTANCE | nBERR_FSB_OBUF.REG | nBERR_FSB_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nBERR_FSB_OBUF.D | 9895 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nBERR_FSB_OBUF.Q | 9898 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nOE_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 21 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nOE_OBUF | 9434 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nOE_OBUF.EXP | 9995 | ? | 611 | 0 | MXSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nOE_OBUF.SI | nOE_OBUF | 0 | 21 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nOE_OBUF.D1 | 9900 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nOE_OBUF.D2 | 9901 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nOE_OBUF.EXP | 9983 | ? | 611 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r + +SRFF_INSTANCE | nOE_OBUF.REG | nOE_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nOE_OBUF.D | 9899 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nOE_OBUF.Q | 9902 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nROMWE_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nROMWE_OBUF | 9435 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nROMWE_OBUF.SI | nROMWE_OBUF | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nROMWE_OBUF.D1 | 9904 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nROMWE_OBUF.D2 | 9905 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF + +SRFF_INSTANCE | nROMWE_OBUF.REG | nROMWE_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nROMWE_OBUF.D | 9903 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nROMWE_OBUF.Q | 9906 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nVPA_FSB_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9343 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nVPA_FSB_OBUF | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nVPA_FSB_OBUF.SI | nVPA_FSB_OBUF | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9343 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nVPA_FSB_OBUF.D1 | 9908 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nVPA_FSB_OBUF.D2 | 9909 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF + +SRFF_INSTANCE | nVPA_FSB_OBUF.REG | nVPA_FSB_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nVPA_FSB_OBUF.D | 9907 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nVPA_FSB_OBUF.Q | 9910 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | nADoutLE0_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE0M | 9395 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE0S | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nADoutLE0_OBUF | 9437 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nADoutLE0_OBUF.SI | nADoutLE0_OBUF | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE0M | 9395 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE0S | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nADoutLE0_OBUF.D1 | 9912 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nADoutLE0_OBUF.D2 | 9913 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | ALE0M | IV_FALSE | ALE0S + +SRFF_INSTANCE | nADoutLE0_OBUF.REG | nADoutLE0_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nADoutLE0_OBUF.D | 9911 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nADoutLE0_OBUF.Q | 9914 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | nDinOE_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 14 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r.EXP | 10046 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.EXP | fsb/BERR0r | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nDinOE_OBUF | 9438 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nDinOE_OBUF.EXP | 10047 | ? | 621 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.EXP | nDinOE_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nDinOE_OBUF.SI | nDinOE_OBUF | 0 | 14 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r.EXP | 10046 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.EXP | fsb/BERR0r | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDinOE_OBUF.D1 | 9916 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nDinOE_OBUF.D2 | 9917 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | fsb/BERR0r.EXP +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nDinOE_OBUF.EXP | 10034 | ? | 621 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 8 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> + +SRFF_INSTANCE | nDinOE_OBUF.REG | nDinOE_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nDinOE_OBUF.D | 9915 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nDinOE_OBUF.Q | 9918 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nRAS_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefAck | 9389 | ? | 594 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9350 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS1 | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nRAS_OBUF | 9439 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nRAS_OBUF.SI | nRAS_OBUF | 0 | 8 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefAck | 9389 | ? | 594 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9350 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS1 | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRAS_OBUF.D1 | 9920 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nRAS_OBUF.D2 | 9921 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | RefAck +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMDIS1 +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMDIS1 + +SRFF_INSTANCE | nRAS_OBUF.REG | nRAS_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nRAS_OBUF.D | 9919 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nRAS_OBUF.Q | 9922 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | A_FSB_19_IBUF$BUF0 | MXSE_COPY_0_COPY_0 | 2155872256 | 1 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | A_FSB_19_IBUF$BUF0 | 9440 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.Q | A_FSB_19_IBUF$BUF0 | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | A_FSB_19_IBUF$BUF0.SI | A_FSB_19_IBUF$BUF0 | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | A_FSB_19_IBUF$BUF0.D1 | 9924 | ? | 0 | 4096 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | A_FSB_19_IBUF$BUF0.D2 | 9925 | ? | 0 | 4096 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | A_FSB_19_IBUF + +SRFF_INSTANCE | A_FSB_19_IBUF$BUF0.REG | A_FSB_19_IBUF$BUF0 | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | A_FSB_19_IBUF$BUF0.D | 9923 | ? | 0 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | A_FSB_19_IBUF$BUF0.Q | 9926 | ? | 0 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | A_FSB_21_IBUF$BUF0 | MXSE_COPY_0_COPY_0 | 2155872256 | 1 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | A_FSB_21_IBUF$BUF0 | 9441 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.Q | A_FSB_21_IBUF$BUF0 | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | A_FSB_21_IBUF$BUF0.SI | A_FSB_21_IBUF$BUF0 | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | A_FSB_21_IBUF$BUF0.D1 | 9928 | ? | 0 | 4096 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | A_FSB_21_IBUF$BUF0.D2 | 9929 | ? | 0 | 4096 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | A_FSB_21_IBUF + +SRFF_INSTANCE | A_FSB_21_IBUF$BUF0.REG | A_FSB_21_IBUF$BUF0 | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | A_FSB_21_IBUF$BUF0.D | 9927 | ? | 0 | 0 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | A_FSB_21_IBUF$BUF0.Q | 9930 | ? | 0 | 0 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | nADoutLE1_OBUF | MXSE_COPY_0_COPY_0 | 2155873024 | 7 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Clear1 | 9407 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Load1 | 9383 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9375 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nADoutLE1_OBUF | 9442 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nADoutLE1_OBUF.EXP | 10017 | ? | 626 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.EXP | nADoutLE1_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nADoutLE1_OBUF.SI | nADoutLE1_OBUF | 0 | 6 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Clear1 | 9407 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Load1 | 9383 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9375 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nADoutLE1_OBUF.D1 | 9932 | ? | 647 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nADoutLE1_OBUF.D2 | 9933 | ? | 647 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/Load1 +SPPTERM | 2 | IV_FALSE | iobs/Clear1 | IV_FALSE | ALE1 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nADoutLE1_OBUF.EXP | 10006 | ? | 626 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | iobs/PS_FSM_FFd2 +SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/IOACTr + +SRFF_INSTANCE | nADoutLE1_OBUF.REG | nADoutLE1_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nADoutLE1_OBUF.D | 9931 | ? | 647 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nADoutLE1_OBUF.Q | 9934 | ? | 647 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+OptxMapped | nRAMLWE_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nLDS_FSB_IBUF | 9344 | ? | 613 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9350 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS1 | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nRAMLWE_OBUF | 9444 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nRAMLWE_OBUF.SI | nRAMLWE_OBUF | 0 | 5 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nLDS_FSB_IBUF | 9344 | ? | 613 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9350 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS1 | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRAMLWE_OBUF.D1 | 9936 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nRAMLWE_OBUF.D2 | 9937 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 5 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nLDS_FSB_IBUF | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMDIS1 + +SRFF_INSTANCE | nRAMLWE_OBUF.REG | nRAMLWE_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nRAMLWE_OBUF.D | 9935 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nRAMLWE_OBUF.Q | 9938 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nRAMUWE_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nUDS_FSB_IBUF | 9348 | ? | 617 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9350 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS1 | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nRAMUWE_OBUF | 9445 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nRAMUWE_OBUF.SI | nRAMUWE_OBUF | 0 | 5 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nUDS_FSB_IBUF | 9348 | ? | 617 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9350 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS1 | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRAMUWE_OBUF.D1 | 9940 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nRAMUWE_OBUF.D2 | 9941 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 5 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nUDS_FSB_IBUF | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMDIS1 + +SRFF_INSTANCE | nRAMUWE_OBUF.REG | nRAMUWE_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nRAMUWE_OBUF.D | 9939 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nRAMUWE_OBUF.Q | 9942 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nROMCS_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nROMCS_OBUF | 9446 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nROMCS_OBUF.SI | nROMCS_OBUF | 0 | 5 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nROMCS_OBUF.D1 | 9944 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nROMCS_OBUF.D2 | 9945 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay1 + +SRFF_INSTANCE | nROMCS_OBUF.REG | nROMCS_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nROMCS_OBUF.D | 9943 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nROMCS_OBUF.Q | 9946 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | FbkInv+Merge+OptxMapped | nAoutOE_OBUF | MXSE_COPY_0_COPY_0 | 2155907072 | 0 | 1 +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nAoutOE_OBUF$Q | 9447 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nAoutOE_OBUF.SI | nAoutOE_OBUF | 0 | 0 | 2 +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nAoutOE_OBUF.D1 | 9948 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nAoutOE_OBUF.D2 | 9949 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 0 | IV_ZERO + +SRFF_INSTANCE | nAoutOE_OBUF.REG | nAoutOE_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nAoutOE_OBUF.D | 9947 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nAoutOE_OBUF.Q | 9950 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.REG | 0 | 8 | SRFF_Q + +OUTPUT_INSTANCE | 0 | nVMA_IOB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nVMA_IOB_OBUF$Q | 9317 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nVMA_IOB | 9448 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVMA_IOB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nDTACK_FSB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nDTACK_FSB_OBUF | 9352 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nDTACK_FSB | 9449 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_FSB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nAS_IOB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nAS_IOB_OBUF | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAS_IOB_OBUF.Q | nAS_IOB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nAS_IOB | 9450 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_IOB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nCAS | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nCAS_OBUF | 9418 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nCAS | 9451 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nCAS | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nDinLE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nDinLE_OBUF | 9419 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nDinLE | 9452 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDinLE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nDoutOE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nDoutOE_OBUF | 9420 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nDoutOE | 9453 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDoutOE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nLDS_IOB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nLDS_IOB_OBUF | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nLDS_IOB_OBUF.Q | nLDS_IOB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nLDS_IOB | 9454 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_IOB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nUDS_IOB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nUDS_IOB_OBUF | 9422 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nUDS_IOB_OBUF.Q | nUDS_IOB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nUDS_IOB | 9455 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_IOB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<0> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_0_OBUF | 9423 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<0> | 9456 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<0> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<1> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_1_OBUF | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<1> | 9457 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<1> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<2> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_2_OBUF | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<2> | 9458 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<2> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<3> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_3_OBUF | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.Q | RA_3_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<3> | 9459 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<3> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<4> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_4_OBUF | 9427 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<4> | 9460 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<4> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<5> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_5_OBUF | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<5> | 9461 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<5> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<6> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_6_OBUF | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<6> | 9462 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<6> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<7> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_7_OBUF | 9430 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<7> | 9463 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<7> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<8> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_8_OBUF | 9431 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<8> | 9464 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<8> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<9> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_9_OBUF | 9432 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<9> | 9465 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<9> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nBERR_FSB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nBERR_FSB_OBUF | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nBERR_FSB | 9466 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_FSB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nOE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nOE_OBUF | 9434 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nOE | 9467 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nOE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nROMWE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nROMWE_OBUF | 9435 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nROMWE | 9468 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nROMWE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nVPA_FSB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nVPA_FSB_OBUF | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nVPA_FSB | 9469 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_FSB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nADoutLE0 | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nADoutLE0_OBUF | 9437 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nADoutLE0 | 9470 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE0 | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nDinOE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nDinOE_OBUF | 9438 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nDinOE | 9471 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDinOE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nRAS | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nRAS_OBUF | 9439 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nRAS | 9472 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRAS | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<11> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | A_FSB_19_IBUF$BUF0 | 9440 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.Q | A_FSB_19_IBUF$BUF0 | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<11> | 9473 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<11> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<10> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | A_FSB_21_IBUF$BUF0 | 9441 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.Q | A_FSB_21_IBUF$BUF0 | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<10> | 9474 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<10> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nADoutLE1 | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nADoutLE1_OBUF | 9442 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nADoutLE1 | 9475 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE1 | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nRAMLWE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nRAMLWE_OBUF | 9444 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nRAMLWE | 9476 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRAMLWE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nRAMUWE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nRAMUWE_OBUF | 9445 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nRAMUWE | 9477 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRAMUWE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nROMCS | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nROMCS_OBUF | 9446 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nROMCS | 9478 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nROMCS | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nAoutOE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nAoutOE_OBUF$Q | 9447 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nAoutOE | 9479 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAoutOE | 0 | 6 | OI_OUT + +MACROCELL_INSTANCE | SoftPfbk | fsb/VPA__or00001/fsb/VPA__or00001_D2 | MXSE_COPY_0_COPY_0 | 2181038080 | 21 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP17_.EXP | 9994 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | 9480 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.Q | fsb/VPA__or00001/fsb/VPA__or00001_D2 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | fsb/VPA__or00001/fsb/VPA__or00001_D2.SI | fsb/VPA__or00001/fsb/VPA__or00001_D2 | 0 | 21 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP17_.EXP | 9994 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.D1 | 9952 | ? | 612 | 4096 | fsb/VPA__or00001/fsb/VPA__or00001_D2 | NULL | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.D2 | 9953 | ? | 612 | 4096 | fsb/VPA__or00001/fsb/VPA__or00001_D2 | NULL | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP17_.EXP +SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r + +SRFF_INSTANCE | fsb/VPA__or00001/fsb/VPA__or00001_D2.REG | fsb/VPA__or00001/fsb/VPA__or00001_D2 | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.D | 9951 | ? | 612 | 0 | fsb/VPA__or00001/fsb/VPA__or00001_D2 | NULL | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.Q | 9954 | ? | 612 | 0 | fsb/VPA__or00001/fsb/VPA__or00001_D2 | NULL | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | SoftPfbk | $OpTx$FX_DC$602 | MXSE_COPY_0_COPY_0 | 2181038080 | 25 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9336 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | 9480 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.Q | fsb/VPA__or00001/fsb/VPA__or00001_D2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$606.UIM | 9482 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$606.Q | $OpTx$FX_DC$606 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | $OpTx$FX_DC$602.EXP | 9999 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.EXP | $OpTx$FX_DC$602 | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | $OpTx$FX_DC$602.SI | $OpTx$FX_DC$602 | 0 | 25 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9336 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | 9480 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.Q | fsb/VPA__or00001/fsb/VPA__or00001_D2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$606.UIM | 9482 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$606.Q | $OpTx$FX_DC$606 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | $OpTx$FX_DC$602.D1 | 9956 | ? | 620 | 4096 | $OpTx$FX_DC$602 | NULL | NULL | $OpTx$FX_DC$602.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | $OpTx$FX_DC$602.D2 | 9957 | ? | 620 | 4096 | $OpTx$FX_DC$602 | NULL | NULL | $OpTx$FX_DC$602.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | TimeoutB +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | $OpTx$FX_DC$602.EXP | 9987 | ? | 612 | 0 | $OpTx$FX_DC$602 | NULL | NULL | $OpTx$FX_DC$602.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 23 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_TRUE | iobs/IOReady | IV_TRUE | fsb/ASrf | IV_FALSE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | IV_TRUE | $OpTx$FX_DC$602.UIM +SPPTERM | 23 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_TRUE | fsb/ASrf | IV_FALSE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | IV_TRUE | $OpTx$FX_DC$602.UIM | IV_FALSE | $OpTx$FX_DC$606.UIM + +SRFF_INSTANCE | $OpTx$FX_DC$602.REG | $OpTx$FX_DC$602 | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | $OpTx$FX_DC$602.D | 9955 | ? | 620 | 0 | $OpTx$FX_DC$602 | NULL | NULL | $OpTx$FX_DC$602.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | $OpTx$FX_DC$602.Q | 9958 | ? | 620 | 0 | $OpTx$FX_DC$602 | NULL | NULL | $OpTx$FX_DC$602.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | SoftPfbk | $OpTx$FX_DC$606 | MXSE_COPY_0_COPY_0 | 2181038080 | 28 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9353 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP16_.EXP | 9992 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | $OpTx$FX_DC$606.UIM | 9482 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$606.Q | $OpTx$FX_DC$606 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | $OpTx$FX_DC$606.EXP | 9991 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$606.EXP | $OpTx$FX_DC$606 | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | $OpTx$FX_DC$606.SI | $OpTx$FX_DC$606 | 0 | 28 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9353 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP16_.EXP | 9992 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | $OpTx$FX_DC$606.D1 | 9960 | ? | 612 | 4096 | $OpTx$FX_DC$606 | NULL | NULL | $OpTx$FX_DC$606.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | $OpTx$FX_DC$606.D2 | 9961 | ? | 612 | 4096 | $OpTx$FX_DC$606 | NULL | NULL | $OpTx$FX_DC$606.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP16_.EXP +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF +SPPTERM | 9 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | $OpTx$FX_DC$606.EXP | 9979 | ? | 620 | 0 | $OpTx$FX_DC$606 | NULL | NULL | $OpTx$FX_DC$606.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 20 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 21 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_TRUE | fsb/Ready1r | IV_TRUE | $OpTx$FX_DC$602.UIM +SPPTERM | 21 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_TRUE | iobs/IOReady | IV_TRUE | $OpTx$FX_DC$602.UIM + +SRFF_INSTANCE | $OpTx$FX_DC$606.REG | $OpTx$FX_DC$606 | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | $OpTx$FX_DC$606.D | 9959 | ? | 612 | 0 | $OpTx$FX_DC$606 | NULL | NULL | $OpTx$FX_DC$606.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | $OpTx$FX_DC$606.Q | 9962 | ? | 612 | 0 | $OpTx$FX_DC$606 | NULL | NULL | $OpTx$FX_DC$606.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | NULL | EXP14_ | MXSE_COPY_0_COPY_0 | 2147483648 | 17 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9353 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9340 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9409 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP21_.EXP | 10000 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP14_.EXP | 9989 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP14_.SI | EXP14_ | 0 | 17 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9353 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9340 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9409 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP21_.EXP | 10000 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP14_.EXP | 9977 | ? | 620 | 0 | EXP14_ | NULL | NULL | EXP14_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | EXP21_.EXP +SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | ram/RAMReady +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | ram/RAMReady +SPPTERM | 12 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM + +MACROCELL_INSTANCE | NULL | EXP15_ | MXSE_COPY_0_COPY_0 | 2147483648 | 25 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9353 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$606.EXP | 9991 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$606.EXP | $OpTx$FX_DC$606 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP15_.EXP | 9990 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP15_.SI | EXP15_ | 0 | 25 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9353 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$606.EXP | 9991 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$606.EXP | $OpTx$FX_DC$606 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP15_.EXP | 9978 | ? | 620 | 0 | EXP15_ | NULL | NULL | EXP15_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | $OpTx$FX_DC$606.EXP +SPPTERM | 12 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 14 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | ALE1 +SPPTERM | 14 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | ALE1 +SPPTERM | 20 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 20 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM + +MACROCELL_INSTANCE | NULL | EXP16_ | MXSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP16_.EXP | 9992 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP16_.SI | EXP16_ | 0 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP16_.EXP | 9980 | ? | 612 | 0 | EXP16_ | NULL | NULL | EXP16_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 9 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF +SPPTERM | 11 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | ALE1 +SPPTERM | 11 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | ALE1 + +MACROCELL_INSTANCE | NULL | EXP17_ | MXSE_COPY_0_COPY_0 | 2147483648 | 20 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP17_.EXP | 9994 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP17_.SI | EXP17_ | 0 | 20 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP17_.EXP | 9982 | ? | 612 | 0 | EXP17_ | NULL | NULL | EXP17_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r + +MACROCELL_INSTANCE | NULL | EXP18_ | MXSE_COPY_0_COPY_0 | 2147483648 | 30 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9340 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9343 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9409 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | 9480 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.Q | fsb/VPA__or00001/fsb/VPA__or00001_D2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$606.UIM | 9482 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$606.Q | $OpTx$FX_DC$606 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP18_.EXP | 9996 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP18_.SI | EXP18_ | 0 | 30 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9340 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9343 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9409 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | 9480 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.Q | fsb/VPA__or00001/fsb/VPA__or00001_D2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$606.UIM | 9482 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$606.Q | $OpTx$FX_DC$606 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP18_.EXP | 9984 | ? | 612 | 0 | EXP18_ | NULL | NULL | EXP18_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | fsb/VPA | IV_TRUE | fsb/ASrf | IV_FALSE | ram/RAMReady +SPPTERM | 23 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_TRUE | fsb/Ready1r | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | IV_TRUE | $OpTx$FX_DC$602.UIM +SPPTERM | 23 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_TRUE | fsb/Ready1r | IV_TRUE | fsb/ASrf | IV_FALSE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | IV_TRUE | $OpTx$FX_DC$602.UIM +SPPTERM | 23 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_TRUE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | IV_TRUE | $OpTx$FX_DC$602.UIM +SPPTERM | 23 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | IV_TRUE | $OpTx$FX_DC$602.UIM | IV_FALSE | $OpTx$FX_DC$606.UIM + +MACROCELL_INSTANCE | NULL | EXP19_ | MXSE_COPY_0_COPY_0 | 2147483648 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9343 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | 9480 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.Q | fsb/VPA__or00001/fsb/VPA__or00001_D2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP18_.EXP | 9996 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP19_.EXP | 9997 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP19_.SI | EXP19_ | 0 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9343 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | 9480 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.Q | fsb/VPA__or00001/fsb/VPA__or00001_D2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP18_.EXP | 9996 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP19_.EXP | 9985 | ? | 612 | 0 | EXP19_ | NULL | NULL | EXP19_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | EXP18_.EXP +SPPTERM | 3 | IV_TRUE | BERR_IOBS | IV_TRUE | fsb/VPA | IV_TRUE | fsb/ASrf +SPPTERM | 3 | IV_TRUE | fsb/BERR0r | IV_TRUE | fsb/VPA | IV_TRUE | fsb/ASrf +SPPTERM | 3 | IV_TRUE | fsb/BERR1r | IV_TRUE | fsb/VPA | IV_TRUE | fsb/ASrf +SPPTERM | 3 | IV_TRUE | fsb/VPA | IV_TRUE | fsb/ASrf | IV_TRUE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM +SPPTERM | 3 | IV_TRUE | fsb/VPA | IV_TRUE | fsb/ASrf | IV_FALSE | $OpTx$FX_DC$602.UIM + +MACROCELL_INSTANCE | NULL | EXP20_ | MXSE_COPY_0_COPY_0 | 2147483648 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9343 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$606.UIM | 9482 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$606.Q | $OpTx$FX_DC$606 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9340 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9409 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$602.EXP | 9999 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.EXP | $OpTx$FX_DC$602 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP20_.EXP | 9998 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP20_.SI | EXP20_ | 0 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9343 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$606.UIM | 9482 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$606.Q | $OpTx$FX_DC$606 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9340 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9409 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$602.EXP | 9999 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.EXP | $OpTx$FX_DC$602 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP20_.EXP | 9986 | ? | 612 | 0 | EXP20_ | NULL | NULL | EXP20_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | $OpTx$FX_DC$602.EXP +SPPTERM | 5 | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | $OpTx$FX_DC$606.UIM +SPPTERM | 5 | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/ASrf | IV_TRUE | $OpTx$FX_DC$606.UIM +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMReady +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | fsb/VPA | IV_TRUE | fsb/ASrf | IV_FALSE | ram/RAMReady +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMReady + +MACROCELL_INSTANCE | NULL | EXP21_ | MXSE_COPY_0_COPY_0 | 2147483648 | 21 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9353 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP21_.EXP | 10000 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP21_.SI | EXP21_ | 0 | 21 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9353 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP21_.EXP | 9988 | ? | 620 | 0 | EXP21_ | NULL | NULL | EXP21_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 20 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 20 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 20 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 20 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 20 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM + +MACROCELL_INSTANCE | NULL | EXP22_ | MXSE_COPY_0_COPY_0 | 2147483648 | 18 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP22_.EXP | 10012 | ? | 597 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP22_.SI | EXP22_ | 0 | 18 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP22_.EXP | 10001 | ? | 597 | 0 | EXP22_ | NULL | NULL | EXP22_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 16 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 16 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 16 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 16 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 + +MACROCELL_INSTANCE | NULL | EXP23_ | MXSE_COPY_0_COPY_0 | 2147483648 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP22_.EXP | 10012 | ? | 597 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP23_.EXP | 10013 | ? | 597 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP23_.SI | EXP23_ | 0 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP22_.EXP | 10012 | ? | 597 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP23_.EXP | 10002 | ? | 597 | 0 | EXP23_ | NULL | NULL | EXP23_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | EXP22_.EXP +SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | IORW0 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 10 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 10 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 10 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_FALSE | IORW0 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 10 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_FALSE | IORW0 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 + +MACROCELL_INSTANCE | NULL | EXP24_ | MXSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP24_.EXP | 10016 | ? | 625 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP24_.SI | EXP24_ | 0 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP24_.EXP | 10005 | ? | 625 | 0 | EXP24_ | NULL | NULL | EXP24_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 7 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 + +MACROCELL_INSTANCE | NULL | EXP25_ | MXSE_COPY_0_COPY_0 | 2147483648 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 9346 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVMA_IOB_OBUF.EXP | 10020 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.EXP | nVMA_IOB_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP25_.EXP | 10021 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP25_.SI | EXP25_ | 0 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 9346 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVMA_IOB_OBUF.EXP | 10020 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.EXP | nVMA_IOB_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP25_.EXP | 10010 | ? | 615 | 0 | EXP25_ | NULL | NULL | EXP25_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | nVMA_IOB_OBUF.EXP +SPPTERM | 2 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/IORW1 +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 2 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 + +MACROCELL_INSTANCE | NULL | EXP26_ | MXSE_COPY_0_COPY_0 | 2147483648 | 6 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP26_.EXP | 10038 | ? | 635 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP26_.SI | EXP26_ | 0 | 6 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP26_.EXP | 10025 | ? | 635 | 0 | EXP26_ | NULL | NULL | EXP26_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF + +MACROCELL_INSTANCE | NULL | EXP27_ | MXSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP27_.EXP | 10039 | ? | 635 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP27_.EXP | EXP27_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP27_.SI | EXP27_ | 0 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP27_.EXP | 10026 | ? | 635 | 0 | EXP27_ | NULL | NULL | EXP27_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF +SPPTERM | 4 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 + +MACROCELL_INSTANCE | NULL | EXP28_ | MXSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_2_OBUF.EXP | 10040 | ? | 595 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP28_.EXP | 10041 | ? | 595 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP28_.EXP | EXP28_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP28_.SI | EXP28_ | 0 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_2_OBUF.EXP | 10040 | ? | 595 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP28_.EXP | 10028 | ? | 595 | 0 | EXP28_ | NULL | NULL | EXP28_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | RA_2_OBUF.EXP +SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd1 +SPPTERM | 3 | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | ALE1 +SPPTERM | 3 | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd1 | IV_FALSE | ALE1 +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/Once +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once + +MACROCELL_INSTANCE | NULL | EXP29_ | MXSE_COPY_0_COPY_0 | 2147483648 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP29_.EXP | 10042 | ? | 595 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP29_.EXP | EXP29_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP29_.SI | EXP29_ | 0 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP29_.EXP | 10029 | ? | 595 | 0 | EXP29_ | NULL | NULL | EXP29_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/Once +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/Once +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/Once +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/Once +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_TRUE | nWE_FSB_IBUF + +MACROCELL_INSTANCE | NULL | EXP30_ | MXSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_4_OBUF.EXP | 10043 | ? | 644 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP30_.EXP | 10044 | ? | 644 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP30_.EXP | EXP30_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP30_.SI | EXP30_ | 0 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_4_OBUF.EXP | 10043 | ? | 644 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP30_.EXP | 10031 | ? | 644 | 0 | EXP30_ | NULL | NULL | EXP30_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | RA_4_OBUF.EXP +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr + +MACROCELL_INSTANCE | NULL | EXP31_ | MXSE_COPY_0_COPY_0 | 2147483648 | 14 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP31_.EXP | 10045 | ? | 644 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP31_.EXP | EXP31_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP31_.SI | EXP31_ | 0 | 14 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP31_.EXP | 10032 | ? | 644 | 0 | EXP31_ | NULL | NULL | EXP31_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 6 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 6 | IV_FALSE | cnt/RefDone | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 7 | IV_FALSE | cnt/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf + +MACROCELL_INSTANCE | NULL | EXP32_ | MXSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDinOE_OBUF.EXP | 10047 | ? | 621 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.EXP | nDinOE_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP32_.EXP | 10048 | ? | 621 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP32_.EXP | EXP32_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP32_.SI | EXP32_ | 0 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDinOE_OBUF.EXP | 10047 | ? | 621 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.EXP | nDinOE_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP32_.EXP | 10035 | ? | 621 | 0 | EXP32_ | NULL | NULL | EXP32_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | nDinOE_OBUF.EXP +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr + +MACROCELL_INSTANCE | NULL | EXP33_ | MXSE_COPY_0_COPY_0 | 2147483648 | 10 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 9326 | ? | 672 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 9327 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9402 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9403 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9405 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9406 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP33_.EXP | 10053 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP33_.EXP | EXP33_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP33_.SI | EXP33_ | 0 | 10 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 9326 | ? | 672 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 9327 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9402 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9403 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9405 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9406 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP33_.EXP | 10050 | ? | 650 | 0 | EXP33_ | NULL | NULL | EXP33_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_TRUE | nBERR_IOB_IBUF | IV_TRUE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr +SPPTERM | 6 | IV_TRUE | nBERR_IOB_IBUF | IV_TRUE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr +SPPTERM | 6 | IV_FALSE | nBERR_IOB_IBUF | IV_FALSE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr +SPPTERM | 6 | IV_FALSE | nBERR_IOB_IBUF | IV_FALSE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr +SPPTERM | 6 | IV_FALSE | nBERR_IOB_IBUF | IV_FALSE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr + +MACROCELL_INSTANCE | NULL | EXP34_ | MXSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5>.EXP | 10066 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.EXP | cnt/RefCnt<5> | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP34_.EXP | 10076 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP34_.EXP | EXP34_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP34_.SI | EXP34_ | 0 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5>.EXP | 10066 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.EXP | cnt/RefCnt<5> | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP34_.EXP | 10065 | ? | 645 | 0 | EXP34_ | NULL | NULL | EXP34_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | cnt/RefCnt<5>.EXP +SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 +SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 +SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr +SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf + +FB_INSTANCE | FOOBAR1_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | EXP14_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | nDTACK_FSB_OBUF | 1 | NULL | 0 | nDTACK_FSB | 1 | 11 | 49152 +FBPIN | 3 | EXP15_ | 1 | A_FSB_9_IBUF | 1 | NULL | 0 | 12 | 49152 +FBPIN | 4 | $OpTx$FX_DC$606 | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | EXP16_ | 1 | nAS_FSB_IBUF | 1 | NULL | 0 | 13 | 49152 +FBPIN | 6 | nBERR_FSB_OBUF | 1 | NULL | 0 | nBERR_FSB | 1 | 14 | 49152 +FBPIN | 7 | fsb/Ready1r | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | NULL | 0 | A_FSB_5_IBUF | 1 | NULL | 0 | 15 | 49152 +FBPIN | 9 | EXP17_ | 1 | A_FSB_2_IBUF | 1 | NULL | 0 | 16 | 49152 +FBPIN | 10 | fsb/VPA__or00001/fsb/VPA__or00001_D2 | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | nOE_OBUF | 1 | NULL | 0 | nOE | 1 | 17 | 49152 +FBPIN | 12 | fsb/Ready2r | 1 | nBERR_IOB_IBUF | 1 | NULL | 0 | 18 | 49152 +FBPIN | 13 | EXP18_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | EXP19_ | 1 | A_FSB_6_IBUF | 1 | NULL | 0 | 19 | 49152 +FBPIN | 15 | fsb/VPA | 1 | A_FSB_7_IBUF | 1 | NULL | 0 | 20 | 49152 +FBPIN | 16 | EXP20_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | $OpTx$FX_DC$602 | 1 | CLK2X_IOB_IBUF | 1 | NULL | 0 | 22 | 57344 +FBPIN | 18 | EXP21_ | 1 | NULL | 0 | NULL | 0 + +FB_INSTANCE | FOOBAR2_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | iobm/VPArr | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | iobm/VPArf | 1 | nRES_IBUF | 1 | NULL | 0 | 99 | 51200 +FBPIN | 3 | iobm/RESrr | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | iobm/RESrf | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | iobm/IOREQr | 1 | NULL | 0 | NULL | 0 | 1 | 53248 +FBPIN | 6 | cnt/RefCnt<3> | 1 | NULL | 0 | NULL | 0 | 2 | 53248 +FBPIN | 7 | cnt/RefCnt<2> | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | cnt/RefCnt<1> | 1 | NULL | 0 | NULL | 0 | 3 | 53248 +FBPIN | 9 | fsb/BERR1r | 1 | NULL | 0 | NULL | 0 | 4 | 53248 +FBPIN | 10 | cnt/RefDone | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | nLDS_IOB_OBUF | 1 | NULL | 0 | nLDS_IOB | 1 | 6 | 49152 +FBPIN | 12 | nUDS_IOB_OBUF | 1 | NULL | 0 | nUDS_IOB | 1 | 7 | 49152 +FBPIN | 13 | cnt/TimeoutBPre | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | nDoutOE_OBUF | 1 | NULL | 0 | nDoutOE | 1 | 8 | 49152 +FBPIN | 15 | TimeoutB | 1 | A_FSB_22_IBUF | 1 | NULL | 0 | 9 | 49152 +FBPIN | 16 | TimeoutA | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | nAS_IOB_OBUF | 1 | NULL | 0 | nAS_IOB | 1 | 10 | 49152 +FBPIN | 18 | iobs/IOReady | 1 | NULL | 0 | NULL | 0 + +FB_INSTANCE | FOOBAR3_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | EXP22_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | EXP23_ | 1 | CLK_FSB_IBUF | 1 | NULL | 0 | 23 | 57344 +FBPIN | 3 | IORW0 | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | iobs/IOACTr | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | nRAS_OBUF | 1 | NULL | 0 | nRAS | 1 | 24 | 49152 +FBPIN | 6 | iobs/Clear1 | 1 | nLDS_FSB_IBUF | 1 | NULL | 0 | 25 | 49152 +FBPIN | 7 | fsb/ASrf | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | ALE0S | 1 | CLK_IOB_IBUF | 1 | NULL | 0 | 27 | 57344 +FBPIN | 9 | iobs/PS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 | 28 | 49152 +FBPIN | 10 | EXP24_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | nADoutLE1_OBUF | 1 | NULL | 0 | nADoutLE1 | 1 | 29 | 49152 +FBPIN | 12 | iobs/PS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 | 30 | 49152 +FBPIN | 13 | IOREQ | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | iobm/ETACK | 1 | NULL | 0 | NULL | 0 | 32 | 49152 +FBPIN | 15 | nVMA_IOB_OBUF | 1 | NULL | 0 | nVMA_IOB | 1 | 33 | 49152 +FBPIN | 16 | EXP25_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | iobs/IORW1 | 1 | NULL | 0 | NULL | 0 | 34 | 49152 +FBPIN | 18 | ram/BACTr | 1 | NULL | 0 | NULL | 0 + +FB_INSTANCE | FOOBAR4_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | ram/RASEL | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | RA_0_OBUF | 1 | NULL | 0 | RA<0> | 1 | 87 | 49152 +FBPIN | 3 | cs/nOverlay1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | EXP26_ | 1 | A_FSB_10_IBUF | 1 | NULL | 0 | 89 | 49152 +FBPIN | 6 | iobs/Load1 | 1 | A_FSB_1_IBUF | 1 | NULL | 0 | 90 | 49152 +FBPIN | 7 | EXP27_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | RA_2_OBUF | 1 | NULL | 0 | RA<2> | 1 | 91 | 49152 +FBPIN | 9 | EXP28_ | 1 | A_FSB_12_IBUF | 1 | NULL | 0 | 92 | 49152 +FBPIN | 10 | iobs/Once | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | EXP29_ | 1 | A_FSB_14_IBUF | 1 | NULL | 0 | 93 | 49152 +FBPIN | 12 | RA_4_OBUF | 1 | NULL | 0 | RA<4> | 1 | 94 | 49152 +FBPIN | 13 | EXP30_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | ram/RAMDIS1 | 1 | A_FSB_16_IBUF | 1 | NULL | 0 | 95 | 49152 +FBPIN | 15 | EXP31_ | 1 | A_FSB_17_IBUF | 1 | NULL | 0 | 96 | 49152 +FBPIN | 16 | fsb/BERR0r | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | nDinOE_OBUF | 1 | NULL | 0 | nDinOE | 1 | 97 | 49152 +FBPIN | 18 | EXP32_ | 1 | NULL | 0 | NULL | 0 + +FB_INSTANCE | FOOBAR5_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | IOACT | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | RA_1_OBUF | 1 | NULL | 0 | RA<1> | 1 | 35 | 49152 +FBPIN | 3 | iobm/IOS_FSM_FFd7 | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | iobm/IOS_FSM_FFd6 | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | iobm/IOS_FSM_FFd5 | 1 | NULL | 0 | NULL | 0 | 36 | 49152 +FBPIN | 6 | iobm/IOS_FSM_FFd4 | 1 | NULL | 0 | NULL | 0 | 37 | 49152 +FBPIN | 7 | iobm/BERRrr | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | RA_3_OBUF | 1 | NULL | 0 | RA<3> | 1 | 39 | 49152 +FBPIN | 9 | iobm/BERRrf | 1 | NULL | 0 | NULL | 0 | 40 | 49152 +FBPIN | 10 | cnt/RefCnt<0> | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | iobm/IOS_FSM_FFd8 | 1 | NULL | 0 | NULL | 0 | 41 | 49152 +FBPIN | 12 | nROMCS_OBUF | 1 | NULL | 0 | nROMCS | 1 | 42 | 49152 +FBPIN | 13 | ALE0M | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | iobm/IOS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 | 43 | 49152 +FBPIN | 15 | BERR_IOBS | 1 | NULL | 0 | NULL | 0 | 46 | 49152 +FBPIN | 16 | iobm/IOS_FSM_FFd3 | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | EXP33_ | 1 | E_IOB_IBUF | 1 | NULL | 0 | 49 | 49152 +FBPIN | 18 | IOBERR | 1 | NULL | 0 | NULL | 0 + +FB_INSTANCE | FOOBAR6_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | iobm/Er2 | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | nCAS_OBUF | 1 | NULL | 0 | nCAS | 1 | 74 | 49152 +FBPIN | 3 | iobm/DTACKrr | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | iobm/DTACKrf | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | RefAck | 1 | A_FSB_18_IBUF | 1 | NULL | 0 | 76 | 49152 +FBPIN | 6 | nRAMLWE_OBUF | 1 | NULL | 0 | nRAMLWE | 1 | 77 | 49152 +FBPIN | 7 | iobs/IOU1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | iobs/IOL1 | 1 | A_FSB_3_IBUF | 1 | NULL | 0 | 78 | 49152 +FBPIN | 9 | nRAMUWE_OBUF | 1 | NULL | 0 | nRAMUWE | 1 | 79 | 49152 +FBPIN | 10 | iobm/ES<3> | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | iobm/ES<1> | 1 | A_FSB_15_IBUF | 1 | NULL | 0 | 80 | 49152 +FBPIN | 12 | nROMWE_OBUF | 1 | NULL | 0 | nROMWE | 1 | 81 | 49152 +FBPIN | 13 | iobm/ES<0> | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | IOU0 | 1 | A_FSB_13_IBUF | 1 | NULL | 0 | 82 | 49152 +FBPIN | 15 | nVPA_FSB_OBUF | 1 | NULL | 0 | nVPA_FSB | 1 | 85 | 49152 +FBPIN | 16 | IOL0 | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | iobm/ES<4> | 1 | A_FSB_11_IBUF | 1 | NULL | 0 | 86 | 49152 +FBPIN | 18 | iobm/ES<2> | 1 | NULL | 0 | NULL | 0 + +FB_INSTANCE | FOOBAR7_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | cnt/RefCnt<5> | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | RA_5_OBUF | 1 | NULL | 0 | RA<5> | 1 | 50 | 49152 +FBPIN | 3 | cnt/RefCnt<4> | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | cs/nOverlay0 | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | ram/RS_FSM_FFd3 | 1 | nUDS_FSB_IBUF | 1 | NULL | 0 | 52 | 49152 +FBPIN | 6 | RA_6_OBUF | 1 | NULL | 0 | RA<6> | 1 | 53 | 49152 +FBPIN | 7 | fsb/Ready0r | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | ram/RS_FSM_FFd1 | 1 | nDTACK_IOB_IBUF | 1 | NULL | 0 | 54 | 49152 +FBPIN | 9 | RA_7_OBUF | 1 | NULL | 0 | RA<7> | 1 | 55 | 49152 +FBPIN | 10 | ram/Once | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | ram/RAMDIS2 | 1 | A_FSB_4_IBUF | 1 | NULL | 0 | 56 | 49152 +FBPIN | 12 | RA_8_OBUF | 1 | NULL | 0 | RA<8> | 1 | 58 | 49152 +FBPIN | 13 | ram/RS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | cnt/RefCnt<7> | 1 | nVPA_IOB_IBUF | 1 | NULL | 0 | 59 | 49152 +FBPIN | 15 | RA_9_OBUF | 1 | NULL | 0 | RA<9> | 1 | 60 | 49152 +FBPIN | 16 | cnt/RefCnt<6> | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | ram/RAMReady | 1 | nWE_FSB_IBUF | 1 | NULL | 0 | 61 | 49152 +FBPIN | 18 | EXP34_ | 1 | NULL | 0 | NULL | 0 + +FB_INSTANCE | FOOBAR8_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 2 | A_FSB_19_IBUF$BUF0 | 1 | NULL | 0 | RA<11> | 1 | 63 | 49152 +FBPIN | 5 | NULL | 0 | A_FSB_8_IBUF | 1 | NULL | 0 | 64 | 49152 +FBPIN | 6 | A_FSB_21_IBUF$BUF0 | 1 | NULL | 0 | RA<10> | 1 | 65 | 49152 +FBPIN | 8 | NULL | 0 | A_FSB_23_IBUF | 1 | NULL | 0 | 66 | 49152 +FBPIN | 9 | nADoutLE0_OBUF | 1 | NULL | 0 | nADoutLE0 | 1 | 67 | 49152 +FBPIN | 11 | NULL | 0 | A_FSB_21_IBUF | 1 | NULL | 0 | 68 | 49152 +FBPIN | 12 | nAoutOE_OBUF | 1 | NULL | 0 | nAoutOE | 1 | 70 | 49152 +FBPIN | 14 | NULL | 0 | A_FSB_20_IBUF | 1 | NULL | 0 | 71 | 49152 +FBPIN | 15 | nDinLE_OBUF | 1 | NULL | 0 | nDinLE | 1 | 72 | 49152 +FBPIN | 17 | iobm/IOS_FSM_FFd1 | 1 | A_FSB_19_IBUF | 1 | NULL | 0 | 73 | 49152 +FBPIN | 18 | iobm/Er | 1 | NULL | 0 | NULL | 0 + +FB_INSTANCE | INPUTPINS_FOOBAR9_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 + +BUSINFO | A_FSB<23:1> | 23 | 0 | 0 | A_FSB<10> | 13 | A_FSB<11> | 12 | A_FSB<12> | 11 | A_FSB<13> | 10 | A_FSB<14> | 9 | A_FSB<15> | 8 | A_FSB<16> | 7 | A_FSB<17> | 6 | A_FSB<18> | 5 | A_FSB<19> | 4 | A_FSB<1> | 22 | A_FSB<20> | 3 | A_FSB<21> | 2 | A_FSB<22> | 1 | A_FSB<23> | 0 | A_FSB<2> | 21 | A_FSB<3> | 20 | A_FSB<4> | 19 | A_FSB<5> | 18 | A_FSB<6> | 17 | A_FSB<7> | 16 | A_FSB<8> | 15 | A_FSB<9> | 14 +BUSINFO | RA<11:0> | 12 | 0 | 1 | RA<0> | 11 | RA<10> | 1 | RA<11> | 0 | RA<1> | 10 | RA<2> | 9 | RA<3> | 8 | RA<4> | 7 | RA<5> | 6 | RA<6> | 5 | RA<7> | 4 | RA<8> | 3 | RA<9> | 2 + +FB_ORDER_OF_INPUTS | FOOBAR1_ | 0 | A_FSB<10> | 89 | 1 | nDTACK_FSB_OBUF.UIM | NULL | 2 | cs/nOverlay1 | NULL | 3 | $OpTx$FX_DC$606.UIM | NULL | 4 | A_FSB<16> | 95 +FB_ORDER_OF_INPUTS | FOOBAR1_ | 5 | A_FSB<21> | 68 | 6 | fsb/Ready1r | NULL | 7 | A_FSB<13> | 82 | 8 | fsb/BERR1r | NULL | 9 | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 10 | ALE1 | NULL | 11 | fsb/Ready2r | NULL | 13 | A_FSB<20> | 71 | 14 | fsb/VPA | NULL | 15 | TimeoutA | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 16 | $OpTx$FX_DC$602.UIM | NULL | 17 | iobs/IOReady | NULL | 21 | A_FSB<17> | 96 | 24 | nAS_FSB | 13 | 27 | BERR_IOBS | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 29 | ram/RAMReady | NULL | 30 | A_FSB<14> | 93 | 32 | A_FSB<8> | 64 | 33 | fsb/ASrf | NULL | 37 | TimeoutB | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 38 | A_FSB<22> | 9 | 41 | A_FSB<19> | 73 | 42 | A_FSB<15> | 80 | 43 | A_FSB<11> | 86 | 44 | A_FSB<9> | 12 +FB_ORDER_OF_INPUTS | FOOBAR1_ | 46 | A_FSB<18> | 76 | 47 | nWE_FSB | 61 | 48 | A_FSB<23> | 66 | 49 | A_FSB<12> | 92 | 50 | fsb/BERR0r | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 52 | fsb/Ready0r | NULL + +FB_IMUX_INDEX | FOOBAR1_ | 144 | 1 | 56 | 3 | 164 | 197 | 6 | 151 | 26 | 9 | 46 | 11 | -1 | 189 | 14 | 33 | 16 | 35 | -1 | -1 | -1 | 168 | -1 | -1 | 212 | -1 | -1 | 86 | -1 | 124 | 152 | -1 | 209 | 42 | -1 | -1 | -1 | 32 | 202 | -1 | -1 | 183 | 155 | 147 | 210 | -1 | 175 | 213 | 205 | 150 | 69 | -1 | 114 | -1 + + +FB_ORDER_OF_INPUTS | FOOBAR2_ | 0 | cnt/RefCnt<5> | NULL | 2 | IORW0 | NULL | 3 | nAS_FSB | 13 | 4 | iobm/IOS_FSM_FFd5 | NULL | 5 | cnt/RefCnt<3> | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 6 | cnt/RefCnt<2> | NULL | 7 | cnt/RefCnt<1> | NULL | 8 | nVPA_IOB | 59 | 9 | iobs/Once | NULL | 10 | ALE1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 12 | cnt/TimeoutBPre | NULL | 13 | iobm/IOS_FSM_FFd2 | NULL | 14 | BERR_IOBS | NULL | 15 | IOL0 | NULL | 17 | IOBERR | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 19 | cnt/RefCnt<6> | NULL | 21 | nRES | 99 | 22 | iobs/IOACTr | NULL | 23 | fsb/ASrf | NULL | 24 | IOREQ | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 28 | RefAck | NULL | 30 | cnt/RefCnt<0> | NULL | 31 | iobs/PS_FSM_FFd2 | NULL | 33 | fsb/BERR1r | NULL | 36 | iobm/IOS_FSM_FFd6 | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 37 | TimeoutB | NULL | 38 | cnt/RefDone | NULL | 39 | iobm/IOS_FSM_FFd7 | NULL | 40 | iobs/IOReady | NULL | 43 | iobm/IOS_FSM_FFd3 | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 44 | cnt/RefCnt<4> | NULL | 46 | cnt/RefCnt<7> | NULL | 49 | IOU0 | NULL | 51 | iobm/IOS_FSM_FFd4 | NULL | 52 | TimeoutA | NULL + +FB_IMUX_INDEX | FOOBAR2_ | 108 | -1 | 38 | 212 | 76 | 23 | 24 | 25 | 217 | 63 | 46 | -1 | 30 | 85 | 86 | 105 | -1 | 89 | -1 | 123 | -1 | 176 | 39 | 42 | 48 | -1 | -1 | -1 | 94 | -1 | 81 | 44 | -1 | 26 | -1 | -1 | 75 | 32 | 27 | 74 | 35 | -1 | -1 | 87 | 110 | -1 | 121 | -1 | -1 | 103 | -1 | 77 | 33 | -1 + + +FB_ORDER_OF_INPUTS | FOOBAR3_ | 0 | iobm/VPArr | NULL | 1 | iobm/VPArf | NULL | 2 | cs/nOverlay1 | NULL | 4 | A_FSB<16> | 95 | 5 | A_FSB<21> | 68 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 7 | A_FSB<13> | 82 | 8 | iobs/PS_FSM_FFd2 | NULL | 9 | iobm/ES<3> | NULL | 10 | iobm/ES<1> | NULL | 12 | iobm/ES<0> | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 13 | ram/RAMDIS1 | NULL | 14 | nVMA_IOB_OBUF | NULL | 15 | A_FSB<18> | 76 | 16 | iobs/IORW1 | NULL | 17 | iobm/ES<2> | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 19 | iobs/Load1 | NULL | 21 | A_FSB<17> | 96 | 24 | nAS_FSB | 13 | 28 | RefAck | NULL | 29 | A_FSB<23> | 66 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 30 | A_FSB<14> | 93 | 33 | fsb/ASrf | NULL | 34 | ram/RAMDIS2 | NULL | 35 | iobm/ES<4> | NULL | 36 | iobs/Once | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 37 | iobs/Clear1 | NULL | 38 | iobs/IOACTr | NULL | 39 | IORW0 | NULL | 41 | ALE1 | NULL | 43 | A_FSB<19> | 73 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 45 | IOACT | NULL | 47 | nWE_FSB | 61 | 48 | iobs/PS_FSM_FFd1 | NULL | 50 | A_FSB<20> | 71 | 52 | A_FSB<22> | 9 + +FB_IMUX_INDEX | FOOBAR3_ | 18 | 19 | 56 | -1 | 164 | 197 | -1 | 151 | 44 | 99 | 100 | -1 | 102 | 67 | 50 | 175 | 52 | 107 | -1 | 59 | -1 | 168 | -1 | -1 | 212 | -1 | -1 | -1 | 94 | 205 | 152 | -1 | -1 | 42 | 118 | 106 | 63 | 41 | 39 | 38 | -1 | 46 | -1 | 183 | -1 | 72 | -1 | 213 | 47 | -1 | 189 | -1 | 202 | -1 + + +FB_ORDER_OF_INPUTS | FOOBAR4_ | 0 | ram/RASEL | NULL | 2 | A_FSB<1> | 90 | 3 | cs/nOverlay0 | NULL | 4 | nWE_FSB | 61 | 6 | fsb/ASrf | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 7 | ram/RS_FSM_FFd1 | NULL | 8 | iobs/PS_FSM_FFd2 | NULL | 9 | cnt/RefDone | NULL | 10 | A_FSB<22> | 9 | 11 | iobs/PS_FSM_FFd1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 12 | ram/RS_FSM_FFd2 | NULL | 13 | cnt/RefCnt<7> | NULL | 14 | TimeoutB | NULL | 15 | fsb/BERR0r | NULL | 17 | ram/BACTr | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 19 | cnt/RefCnt<6> | NULL | 20 | A_FSB<12> | 92 | 21 | A_FSB<17> | 96 | 22 | ram/RS_FSM_FFd3 | NULL | 23 | A_FSB<18> | 76 +FB_ORDER_OF_INPUTS | FOOBAR4_ | 24 | nAS_FSB | 13 | 27 | A_FSB<3> | 78 | 28 | A_FSB<10> | 89 | 29 | A_FSB<20> | 71 | 30 | A_FSB<14> | 93 +FB_ORDER_OF_INPUTS | FOOBAR4_ | 33 | cs/nOverlay1 | NULL | 34 | A_FSB<5> | 15 | 35 | A_FSB<23> | 66 | 36 | iobs/Once | NULL | 41 | ALE1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 43 | A_FSB<19> | 73 | 46 | A_FSB<13> | 82 | 47 | A_FSB<21> | 68 | 48 | A_FSB<16> | 95 | 49 | ram/Once | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 50 | cnt/RefCnt<5> | NULL + +FB_IMUX_INDEX | FOOBAR4_ | 54 | -1 | 146 | 111 | 213 | -1 | 42 | 115 | 44 | 27 | 202 | 47 | 120 | 121 | 32 | 69 | -1 | 53 | -1 | 123 | 150 | 168 | 112 | 175 | 212 | -1 | -1 | 167 | 144 | 189 | 152 | -1 | -1 | 56 | 216 | 205 | 63 | -1 | -1 | -1 | -1 | 46 | -1 | 183 | -1 | -1 | 151 | 197 | 164 | 117 | 108 | -1 | -1 | -1 + + +FB_ORDER_OF_INPUTS | FOOBAR5_ | 0 | nBERR_IOB | 18 | 2 | iobm/RESrr | NULL | 3 | iobm/RESrf | NULL | 4 | iobm/IOREQr | NULL | 5 | iobm/IOS_FSM_FFd4 | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 6 | iobm/BERRrr | NULL | 7 | A_FSB<13> | 82 | 8 | iobm/BERRrf | NULL | 9 | iobs/Once | NULL | 10 | ALE1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 13 | iobm/ETACK | NULL | 14 | A_FSB<4> | 56 | 15 | iobm/IOS_FSM_FFd3 | NULL | 16 | iobm/IOS_FSM_FFd1 | NULL | 20 | iobm/DTACKrf | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 22 | iobs/IOACTr | NULL | 23 | fsb/ASrf | NULL | 24 | nAS_FSB | 13 | 27 | BERR_IOBS | NULL | 29 | iobm/IOS_FSM_FFd5 | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 30 | CLK_IOB | 27 | 31 | iobs/PS_FSM_FFd2 | NULL | 32 | iobm/IOS_FSM_FFd8 | NULL | 33 | cs/nOverlay1 | NULL | 35 | A_FSB<23> | 66 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 36 | iobm/IOS_FSM_FFd6 | NULL | 38 | A_FSB<22> | 9 | 39 | iobm/IOS_FSM_FFd7 | NULL | 43 | A_FSB<11> | 86 | 45 | A_FSB<2> | 16 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 46 | IOBERR | NULL | 47 | A_FSB<21> | 68 | 50 | A_FSB<20> | 71 | 51 | iobm/DTACKrr | NULL | 52 | ram/RASEL | NULL + +FB_IMUX_INDEX | FOOBAR5_ | 226 | -1 | 20 | 21 | 22 | 77 | 78 | 151 | 80 | 63 | 46 | -1 | -1 | 49 | 223 | 87 | 142 | -1 | -1 | -1 | 93 | -1 | 39 | 42 | 212 | -1 | -1 | 86 | -1 | 76 | 248 | 44 | 82 | 56 | -1 | 205 | 75 | -1 | 202 | 74 | -1 | -1 | -1 | 147 | -1 | 218 | 89 | 197 | -1 | -1 | 189 | 92 | 54 | -1 + + +FB_ORDER_OF_INPUTS | FOOBAR6_ | 0 | iobm/Er2 | NULL | 4 | nWE_FSB | 61 | 5 | iobs/Load1 | NULL | 6 | iobs/IOU1 | NULL | 7 | iobs/IOL1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 8 | iobs/PS_FSM_FFd2 | NULL | 11 | iobs/PS_FSM_FFd1 | NULL | 16 | iobm/ES<4> | NULL | 17 | iobm/Er | NULL | 24 | nAS_FSB | 13 +FB_ORDER_OF_INPUTS | FOOBAR6_ | 27 | iobm/ES<1> | NULL | 33 | nLDS_FSB | 25 | 34 | ram/RAMDIS2 | NULL | 37 | ram/RS_FSM_FFd1 | NULL | 41 | ALE1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 42 | nUDS_FSB | 52 | 43 | iobm/ES<0> | NULL | 45 | ram/RAMDIS1 | NULL | 46 | nDTACK_IOB | 54 | 47 | iobm/ES<3> | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 48 | ram/RS_FSM_FFd2 | NULL | 49 | fsb/VPA | NULL | 52 | ram/RASEL | NULL | 53 | iobm/ES<2> | NULL + +FB_IMUX_INDEX | FOOBAR6_ | 90 | -1 | -1 | -1 | 213 | 59 | 96 | 97 | 44 | -1 | -1 | 47 | -1 | -1 | -1 | -1 | 106 | 143 | -1 | -1 | -1 | -1 | -1 | -1 | 212 | -1 | -1 | 100 | -1 | -1 | -1 | -1 | -1 | 242 | 118 | -1 | -1 | 115 | -1 | -1 | -1 | 46 | 243 | 102 | -1 | 67 | 231 | 99 | 120 | 14 | -1 | -1 | 54 | 107 + + +FB_ORDER_OF_INPUTS | FOOBAR7_ | 0 | ram/RASEL | NULL | 1 | A_FSB<9> | 12 | 2 | cs/nOverlay1 | NULL | 3 | nAS_FSB | 13 | 4 | A_FSB<16> | 95 +FB_ORDER_OF_INPUTS | FOOBAR7_ | 5 | A_FSB<21> | 68 | 6 | fsb/Ready0r | NULL | 7 | A_FSB<19> | 73 | 8 | A_FSB<17> | 96 | 9 | cnt/RefDone | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 10 | ram/RAMDIS2 | NULL | 11 | A_FSB<15> | 80 | 12 | ram/RS_FSM_FFd2 | NULL | 13 | cnt/RefCnt<7> | NULL | 15 | cnt/RefCnt<6> | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 16 | ram/RAMReady | NULL | 23 | A_FSB<18> | 76 | 29 | A_FSB<20> | 71 | 30 | cnt/RefCnt<0> | NULL | 32 | A_FSB<8> | 64 +FB_ORDER_OF_INPUTS | FOOBAR7_ | 33 | fsb/ASrf | NULL | 34 | cnt/RefCnt<1> | NULL | 35 | A_FSB<23> | 66 | 37 | ram/RS_FSM_FFd1 | NULL | 39 | A_FSB<7> | 20 +FB_ORDER_OF_INPUTS | FOOBAR7_ | 42 | cnt/RefCnt<2> | NULL | 43 | ram/RS_FSM_FFd3 | NULL | 44 | cnt/RefCnt<4> | NULL | 45 | A_FSB<6> | 19 | 47 | cnt/RefCnt<5> | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 48 | cnt/RefCnt<3> | NULL | 49 | ram/Once | NULL | 50 | ram/BACTr | NULL | 51 | cs/nOverlay0 | NULL | 52 | A_FSB<22> | 9 + +FB_IMUX_INDEX | FOOBAR7_ | 54 | 210 | 56 | 212 | 164 | 197 | 114 | 183 | 168 | 27 | 118 | 155 | 120 | 121 | -1 | 123 | 124 | -1 | -1 | -1 | -1 | -1 | -1 | 175 | -1 | -1 | -1 | -1 | -1 | 189 | 81 | -1 | 209 | 42 | 25 | 205 | -1 | 115 | -1 | 230 | -1 | -1 | 24 | 112 | 110 | 228 | -1 | 108 | 23 | 117 | 53 | 111 | 202 | -1 + + +FB_ORDER_OF_INPUTS | FOOBAR8_ | 6 | E_IOB | 49 | 7 | ALE0S | NULL | 12 | ALE0M | NULL | 41 | A_FSB<19> | 73 | 43 | iobm/IOS_FSM_FFd3 | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 47 | A_FSB<21> | 68 | 51 | iobm/IOS_FSM_FFd4 | NULL | 53 | iobm/IOS_FSM_FFd2 | NULL + +FB_IMUX_INDEX | FOOBAR8_ | -1 | -1 | -1 | -1 | -1 | -1 | 249 | 43 | -1 | -1 | -1 | -1 | 84 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 183 | -1 | 87 | -1 | -1 | -1 | 197 | -1 | -1 | -1 | 77 | -1 | 85 + + +GLOBAL_FCLK | CLK2X_IOB | 0 | 0 | CLK_FSB | 1 | 1 | CLK_IOB | 2 | 2 + +GLOBAL_FSR | nRES | 0 | 0 + +TIME_TSPEC | TS_CLK_IOB | PERIOD:CLK_IOB:142.857nS:HIGH:71.428nS | CLK_IOB | 10003 | 1428 | 714 | NULL + +TIME_TSPEC | TS_CLK_FSB | PERIOD:CLK_FSB:40.000nS:HIGH:20.000nS | CLK_FSB | 10003 | 400 | 200 | NULL + +TIME_TSPEC | TS_CLK2X_IOB | PERIOD:CLK2X_IOB:66.666nS:HIGH:33.333nS | CLK2X_IOB | 10003 | 666 | 333 | NULL diff --git a/cpld/XC95144/MXSE.bld b/cpld/XC95144XL/MXSE.bld similarity index 61% rename from cpld/XC95144/MXSE.bld rename to cpld/XC95144XL/MXSE.bld index cf937b6..56735c1 100644 --- a/cpld/XC95144/MXSE.bld +++ b/cpld/XC95144XL/MXSE.bld @@ -1,15 +1,16 @@ Release 14.7 ngdbuild P.20131013 (nt) Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -Command Line: C:\Xilinx\14.7\ISE_DS\ISE\bin\nt\unwrapped\ngdbuild.exe -intstyle -ise -dd _ngo -uc MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +Command Line: C:\Xilinx\14.7\ISE_DS\ISE\bin\nt\unwrapped\ngdbuild.exe -intstyle +ise -dd _ngo -uc //192.168.64.1/Repos/Warp-SE/cpld/MXSE.ucf -p +xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -Reading NGO file "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.ngc" -... +Reading NGO file "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.ngc" ... Gathering constraint information from source properties... Done. -Annotating constraints to design from ucf file "MXSE.ucf" ... +Annotating constraints to design from ucf file +"//192.168.64.1/Repos/Warp-SE/cpld/MXSE.ucf" ... Resolving constraint associations... Checking Constraint Associations... Done... @@ -27,10 +28,10 @@ NGDBUILD Design Results Summary: Number of errors: 0 Number of warnings: 0 -Total memory usage is 130576 kilobytes +Total memory usage is 117492 kilobytes Writing NGD file "MXSE.ngd" ... -Total REAL time to NGDBUILD completion: 1 sec -Total CPU time to NGDBUILD completion: 1 sec +Total REAL time to NGDBUILD completion: 18 sec +Total CPU time to NGDBUILD completion: 17 sec Writing NGDBUILD log file "MXSE.bld"... diff --git a/cpld/XC95144XL/MXSE.cmd_log b/cpld/XC95144XL/MXSE.cmd_log new file mode 100644 index 0000000..b838722 --- /dev/null +++ b/cpld/XC95144XL/MXSE.cmd_log @@ -0,0 +1,10 @@ +xst -intstyle ise -ifn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.xst" -ofn "//192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc //192.168.64.1/Repos/Warp-SE/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +XSLTProcess MXSE_build.xml +tsim -intstyle ise MXSE MXSE.nga +ngdbuild -intstyle ise -dd _ngo -uc //192.168.64.1/Repos/Warp-SE/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +XSLTProcess MXSE_build.xml +tsim -intstyle ise MXSE MXSE.nga +taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm diff --git a/cpld/XC95144XL/MXSE.gyd b/cpld/XC95144XL/MXSE.gyd new file mode 100644 index 0000000..dd26d74 --- /dev/null +++ b/cpld/XC95144XL/MXSE.gyd @@ -0,0 +1,113 @@ +Pin Freeze File: version P.20131013 + +95144XL100 XC95144XL-10-TQ100 +A_FSB<10> S:PIN8 +A_FSB<11> S:PIN9 +A_FSB<12> S:PIN10 +A_FSB<13> S:PIN11 +A_FSB<14> S:PIN12 +A_FSB<15> S:PIN13 +A_FSB<16> S:PIN14 +A_FSB<17> S:PIN15 +A_FSB<18> S:PIN16 +A_FSB<19> S:PIN17 +A_FSB<1> S:PIN94 +A_FSB<20> S:PIN18 +A_FSB<21> S:PIN19 +A_FSB<22> S:PIN20 +A_FSB<23> S:PIN24 +A_FSB<2> S:PIN95 +A_FSB<3> S:PIN96 +A_FSB<4> S:PIN97 +A_FSB<5> S:PIN2 +A_FSB<6> S:PIN3 +A_FSB<7> S:PIN4 +A_FSB<8> S:PIN6 +A_FSB<9> S:PIN7 +CLK2X_IOB S:PIN22 +CLK_FSB S:PIN27 +CLK_IOB S:PIN23 +E_IOB S:PIN25 +nAS_FSB S:PIN32 +nBERR_IOB S:PIN76 +nDTACK_IOB S:PIN78 +nLDS_FSB S:PIN30 +nRES S:PIN91 +nUDS_FSB S:PIN33 +nVPA_IOB S:PIN77 +nWE_FSB S:PIN29 +RA<11> S:PIN63 +RA<10> S:PIN55 +RA<0> S:PIN53 +RA<1> S:PIN50 +RA<2> S:PIN43 +RA<3> S:PIN41 +RA<4> S:PIN40 +RA<5> S:PIN42 +RA<6> S:PIN46 +RA<7> S:PIN52 +RA<8> S:PIN54 +RA<9> S:PIN56 +nADoutLE0 S:PIN85 +nADoutLE1 S:PIN82 +nAS_IOB S:PIN81 +nAoutOE S:PIN87 +nBERR_FSB S:PIN70 +nCAS S:PIN36 +nDTACK_FSB S:PIN28 +nDinLE S:PIN86 +nDinOE S:PIN90 +nDoutOE S:PIN89 +nLDS_IOB S:PIN79 +nOE S:PIN37 +nRAMLWE S:PIN65 +nRAMUWE S:PIN66 +nRAS S:PIN64 +nROMCS S:PIN35 +nROMWE S:PIN34 +nUDS_IOB S:PIN80 +nVMA_IOB S:PIN74 +nVPA_FSB S:PIN93 + + +;The remaining section of the .gyd file is for documentation purposes only. +;It shows where your internal equations were placed in the last successful fit. + +PARTITION FB1_1 iobs/IOACTr iobm/IOREQr iobm/Er2 iobm/DTACKrr + iobm/DTACKrf iobm/BERRrr iobm/BERRrf fsb/ASrf + cnt/RefCnt<7> cnt/RefCnt<6> cnt/RefCnt<5> cnt/RefCnt<4> + cnt/RefCnt<3> cnt/RefCnt<2> cnt/RefCnt<1> cnt/RefCnt<0> + RefAck $OpTx$FX_DC$607 +PARTITION FB2_14 iobm/VPArr iobm/VPArf iobm/RESrr iobm/RESrf + iobm/Er +PARTITION FB3_1 EXP14_ fsb/VPA EXP15_ EXP16_ + fsb/Ready1r EXP17_ EXP18_ EXP19_ + nDTACK_FSB_OBUF EXP20_ EXP21_ EXP22_ + iobs/IORW1 EXP23_ fsb/Ready2r EXP24_ + nROMWE_OBUF EXP25_ +PARTITION FB4_1 ram/BACTr nAoutOE_OBUF iobs/Clear1 ALE0S + nDoutOE_OBUF nDinOE_OBUF iobs/PS_FSM_FFd1 iobs/IOU1 + iobs/IOL1 iobm/IOS_FSM_FFd2 nVPA_FSB_OBUF iobm/IOS_FSM_FFd1 + fsb/BERR1r cs/nOverlay1 $OpTx$FX_DC$608 IOU0 + IOL0 iobs/IOReady +PARTITION FB5_1 EXP26_ nROMCS_OBUF EXP27_ iobs/PS_FSM_FFd2 + nCAS_OBUF nOE_OBUF EXP28_ iobs/Once + RA_4_OBUF EXP29_ RA_3_OBUF RA_5_OBUF + IOREQ RA_2_OBUF RA_6_OBUF BERR_IOBS + EXP30_ IORW0 +PARTITION FB6_1 iobm/ETACK nVMA_IOB_OBUF ALE0M iobm/ES<3> + iobm/ES<1> iobm/ES<0> iobm/IOS_FSM_FFd4 iobm/ES<4> + nLDS_IOB_OBUF iobm/IOS_FSM_FFd3 nUDS_IOB_OBUF nAS_IOB_OBUF + iobm/ES<2> nADoutLE1_OBUF nADoutLE0_OBUF IOACT + nDinLE_OBUF IOBERR +PARTITION FB7_1 TimeoutB RA_1_OBUF cnt/RefDone fsb/Ready0r + RA_7_OBUF RA_0_OBUF cs/nOverlay0 RA_8_OBUF + A_FSB_21_IBUF$BUF0 cnt/TimeoutBPre RA_9_OBUF TimeoutA + EXP31_ ram/RS_FSM_FFd3 EXP32_ ram/RS_FSM_FFd2 + EXP33_ ram/RAMReady +PARTITION FB8_1 ram/RASEL A_FSB_19_IBUF$BUF0 fsb/BERR0r ram/RS_FSM_FFd1 + nRAS_OBUF nRAMLWE_OBUF ram/Once nRAMUWE_OBUF + iobs/Load1 EXP34_ EXP35_ nBERR_FSB_OBUF + ram/RAMDIS2 EXP36_ ram/RAMDIS1 EXP37_ + EXP38_ EXP39_ + diff --git a/cpld/XC95144/MXSE.lso b/cpld/XC95144XL/MXSE.lso similarity index 83% rename from cpld/XC95144/MXSE.lso rename to cpld/XC95144XL/MXSE.lso index b8f99f5..22de730 100644 --- a/cpld/XC95144/MXSE.lso +++ b/cpld/XC95144XL/MXSE.lso @@ -1 +1 @@ -work +work diff --git a/cpld/XC95144/MXSE.mfd b/cpld/XC95144XL/MXSE.mfd similarity index 56% rename from cpld/XC95144/MXSE.mfd rename to cpld/XC95144XL/MXSE.mfd index 5868a50..50361c6 100644 --- a/cpld/XC95144/MXSE.mfd +++ b/cpld/XC95144XL/MXSE.mfd @@ -1,2801 +1,2829 @@ -MDF Database: version 1.0 -MDF_INFO | MXSE | XC95144XL-10-TQ100 -MACROCELL | 0 | 10 | nVMA_IOB_OBUF -ATTRIBUTES | 4621058 | 0 -OUTPUTMC | 3 | 1 | 4 | 0 | 11 | 0 | 9 -INPUTS | 13 | A_FSB<23> | A_FSB<21> | A_FSB<18> | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | A_FSB<17> | A_FSB<16> | A_FSB<14> | A_FSB<13> | A_FSB<22> | cs/nOverlay1 | BERR_IOBS.EXP -INPUTMC | 5 | 0 | 8 | 5 | 4 | 5 | 14 | 5 | 7 | 0 | 11 -INPUTP | 8 | 127 | 134 | 147 | 136 | 145 | 102 | 19 | 153 -EXPORTS | 1 | 0 | 9 -IMPORTS | 1 | 0 | 11 -EQ | 16 | - nVMA_IOB.T = ;Imported pterms FB1_12 - !nVMA_IOB & !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & - !iobm/ES<3> & !iobm/ES<4> - # nVMA_IOB & iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & - !iobm/ES<3> & !iobm/ES<4> & IOACT & iobm/VPArf & iobm/VPArr; - nVMA_IOB.CLK = CLK2X_IOB; // GCK - nVMA_IOB_OBUF.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<18> & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 5 | 7 | cs/nOverlay1 -ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 41 | 5 | 0 | 7 | 11 | 0 | 10 | 5 | 9 | 7 | 5 | 7 | 7 | 7 | 16 | 0 | 2 | 2 | 7 | 7 | 2 | 2 | 2 | 5 | 15 | 5 | 13 | 5 | 2 | 0 | 7 | 2 | 8 | 0 | 5 | 2 | 15 | 2 | 12 | 2 | 1 | 0 | 1 | 6 | 17 | 0 | 8 | 0 | 9 | 0 | 16 | 0 | 17 | 2 | 0 | 2 | 4 | 2 | 5 | 2 | 6 | 2 | 10 | 2 | 11 | 2 | 17 | 5 | 17 | 7 | 0 | 7 | 1 | 7 | 8 | 7 | 9 | 7 | 10 | 7 | 14 | 7 | 15 -INPUTS | 3 | cs/nOverlay0 | nAS_FSB | fsb/ASrf -INPUTMC | 2 | 0 | 14 | 4 | 2 -INPUTP | 1 | 112 -EQ | 3 | - cs/nOverlay1.D = cs/nOverlay0; - cs/nOverlay1.CLK = CLK_FSB; // GCK - cs/nOverlay1.CE = nAS_FSB & !fsb/ASrf; -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 5 | 0 | ram/Once -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 10 | 5 | 0 | 2 | 7 | 2 | 2 | 5 | 13 | 5 | 3 | 2 | 11 | 2 | 12 | 2 | 1 | 2 | 6 | 2 | 17 -INPUTS | 10 | ram/Once | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | A_FSB<21> -INPUTMC | 6 | 5 | 0 | 4 | 2 | 5 | 7 | 5 | 16 | 5 | 13 | 5 | 3 -INPUTP | 4 | 112 | 127 | 153 | 134 -EQ | 13 | - ram/Once.T = ram/Once & nAS_FSB & !fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & - !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & fsb/ASrf; - ram/Once.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 3 | 12 | cnt/RefDone -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 19 | 3 | 12 | 2 | 7 | 2 | 3 | 5 | 16 | 5 | 3 | 2 | 16 | 2 | 12 | 2 | 0 | 2 | 1 | 2 | 2 | 2 | 4 | 2 | 6 | 2 | 11 | 2 | 13 | 2 | 14 | 2 | 15 | 2 | 17 | 5 | 4 | 5 | 17 -INPUTS | 10 | cnt/RefDone | RefAck | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> | cnt/RefCnt<7> -INPUTMC | 10 | 3 | 12 | 5 | 17 | 4 | 4 | 3 | 4 | 3 | 3 | 4 | 3 | 3 | 9 | 3 | 7 | 3 | 6 | 3 | 2 -EQ | 5 | - !cnt/RefDone.D = !cnt/RefDone & !RefAck - # !cnt/RefCnt<0> & !cnt/RefCnt<5> & !cnt/RefCnt<6> & - !cnt/RefCnt<1> & !cnt/RefCnt<2> & !cnt/RefCnt<3> & !cnt/RefCnt<4> & - !cnt/RefCnt<7>; - cnt/RefDone.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 7 | 12 | iobs/Once -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 15 | 7 | 12 | 0 | 11 | 0 | 15 | 0 | 3 | 5 | 12 | 0 | 8 | 2 | 9 | 0 | 6 | 0 | 12 | 0 | 14 | 0 | 16 | 0 | 17 | 7 | 11 | 7 | 13 | 7 | 14 -INPUTS | 10 | A_FSB<22> | iobs/Once | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<20> | EXP33_.EXP | EXP34_.EXP -INPUTMC | 6 | 7 | 12 | 0 | 8 | 5 | 4 | 4 | 2 | 7 | 11 | 7 | 13 -INPUTP | 4 | 153 | 112 | 127 | 22 -IMPORTS | 2 | 7 | 11 | 7 | 13 -EQ | 24 | - !iobs/Once.D = nAS_FSB & !fsb/ASrf - # A_FSB<23> & !iobs/Once & iobs/PS_FSM_FFd2 - # !A_FSB<23> & !A_FSB<20> & !iobs/Once - # A_FSB<22> & !iobs/Once & iobs/PS_FSM_FFd2 - # A_FSB<22> & !iobs/Once & iobs/PS_FSM_FFd1 -;Imported pterms FB8_12 - # A_FSB<23> & !iobs/Once & iobs/PS_FSM_FFd1 - # !iobs/Once & iobs/PS_FSM_FFd2 & !nADoutLE1 - # !iobs/Once & iobs/PS_FSM_FFd1 & !nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & !iobs/Once - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & - !iobs/Once -;Imported pterms FB8_14 - # !A_FSB<23> & A_FSB<21> & !A_FSB<19> & !iobs/Once - # !A_FSB<23> & A_FSB<21> & !A_FSB<18> & !iobs/Once - # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & !iobs/Once - # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & !iobs/Once - # !A_FSB<23> & A_FSB<21> & !iobs/Once & nWE_FSB -;Imported pterms FB8_15 - # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & - !iobs/Once - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1 & !iobs/Once; - iobs/Once.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 0 | 11 | BERR_IOBS -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 10 | 0 | 11 | 3 | 10 | 7 | 17 | 7 | 4 | 0 | 1 | 0 | 0 | 0 | 12 | 7 | 1 | 7 | 6 | 0 | 10 -INPUTS | 18 | BERR_IOBS | nAS_FSB | fsb/ASrf | iobs/Once | iobs/PS_FSM_FFd2 | iobs/IOACTr | IOBERR | nADoutLE1 | nVMA_IOB | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> | iobm/ES<4> | IOACT | iobm/VPArf | iobm/VPArr | fsb/BERR0r.EXP -INPUTMC | 17 | 0 | 11 | 4 | 2 | 7 | 12 | 0 | 8 | 4 | 0 | 4 | 17 | 5 | 14 | 0 | 10 | 1 | 14 | 1 | 12 | 1 | 17 | 1 | 9 | 1 | 15 | 4 | 16 | 6 | 15 | 6 | 14 | 0 | 12 -INPUTP | 1 | 112 -EXPORTS | 1 | 0 | 10 -IMPORTS | 1 | 0 | 12 -EQ | 13 | - BERR_IOBS.T = BERR_IOBS & nAS_FSB & !fsb/ASrf - # iobs/Once & BERR_IOBS & !iobs/PS_FSM_FFd2 & - !iobs/IOACTr & !IOBERR & nADoutLE1 - # iobs/Once & !BERR_IOBS & !nAS_FSB & - !iobs/PS_FSM_FFd2 & !iobs/IOACTr & IOBERR & nADoutLE1 -;Imported pterms FB1_13 - # iobs/Once & !BERR_IOBS & !iobs/PS_FSM_FFd2 & - !iobs/IOACTr & IOBERR & fsb/ASrf & nADoutLE1; - BERR_IOBS.CLK = CLK_FSB; // GCK - BERR_IOBS.EXP = !nVMA_IOB & !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & - !iobm/ES<3> & !iobm/ES<4> - # nVMA_IOB & iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & - !iobm/ES<3> & !iobm/ES<4> & IOACT & iobm/VPArf & iobm/VPArr -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 0 | 15 | IORW0 -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 7 | 0 | 15 | 1 | 16 | 1 | 10 | 1 | 11 | 0 | 14 | 0 | 16 | 0 | 17 -INPUTS | 12 | IORW0 | iobs/IORW1 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | A_FSB<23> | iobs/Once | nWE_FSB | nAS_FSB | fsb/ASrf | cs/nOverlay0.EXP | EXP14_.EXP -INPUTMC | 9 | 0 | 15 | 0 | 3 | 0 | 8 | 5 | 4 | 5 | 14 | 7 | 12 | 4 | 2 | 0 | 14 | 0 | 16 -INPUTP | 3 | 127 | 97 | 112 -IMPORTS | 2 | 0 | 14 | 0 | 16 -EQ | 60 | - IORW0.T = IORW0 & !iobs/IORW1 & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & !nADoutLE1 - # !IORW0 & iobs/IORW1 & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & !nADoutLE1 - # A_FSB<23> & !iobs/Once & IORW0 & !nWE_FSB & - !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<23> & !iobs/Once & IORW0 & !nWE_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 - # A_FSB<23> & !iobs/Once & !IORW0 & nWE_FSB & - !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 -;Imported pterms FB1_15 - # A_FSB<23> & !iobs/Once & !IORW0 & nWE_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 - # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !iobs/Once & - IORW0 & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !iobs/Once & - IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & - fsb/ASrf & nADoutLE1 - # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !iobs/Once & - !IORW0 & nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !iobs/Once & - !IORW0 & nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & - fsb/ASrf & nADoutLE1 -;Imported pterms FB1_17 - # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & - !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & - !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 - # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & - !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & - !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 - # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 -;Imported pterms FB1_18 - # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 - # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1; - IORW0.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 0 | 14 | cs/nOverlay0 -ATTRIBUTES | 4367104 | 0 -OUTPUTMC | 3 | 5 | 7 | 0 | 13 | 0 | 15 -INPUTS | 13 | A_FSB<23> | iobs/Once | IORW0 | nWE_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | fsb/ASrf | nADoutLE1 | A_FSB<22> | A_FSB<21> | A_FSB<20> | nAS_FSB | A_FSB_21_IBUF$BUF0.EXP -INPUTMC | 7 | 7 | 12 | 0 | 15 | 0 | 8 | 5 | 4 | 4 | 2 | 5 | 14 | 0 | 13 -INPUTP | 6 | 127 | 97 | 153 | 134 | 22 | 112 -EXPORTS | 1 | 0 | 15 -IMPORTS | 1 | 0 | 13 -EQ | 21 | - cs/nOverlay0.T = ;Imported pterms FB1_14 - !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & - !cs/nOverlay0 & !nAS_FSB - # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & - !cs/nOverlay0 & fsb/ASrf; - cs/nOverlay0.CLK = CLK_FSB; // GCK - !cs/nOverlay0.AR = nRES; // GSR - cs/nOverlay0.EXP = A_FSB<23> & !iobs/Once & !IORW0 & nWE_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 - # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !iobs/Once & - IORW0 & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !iobs/Once & - IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & - fsb/ASrf & nADoutLE1 - # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !iobs/Once & - !IORW0 & nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !iobs/Once & - !IORW0 & nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & - fsb/ASrf & nADoutLE1 -GLOBALS | 2 | 2 | CLK_FSB | 4 | nRES - -MACROCELL | 5 | 10 | IOL0 -ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 1 | 1 | 10 -INPUTS | 5 | iobs/IOL1 | nADoutLE1 | nLDS_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 -INPUTMC | 4 | 5 | 6 | 5 | 14 | 0 | 8 | 5 | 4 -INPUTP | 1 | 15 -EQ | 4 | - IOL0.D = !nLDS_FSB & nADoutLE1 - # iobs/IOL1 & !nADoutLE1; - IOL0.CLK = CLK_FSB; // GCK - IOL0.CE = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1; -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 3 | 17 | IOU0 -ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 1 | 1 | 11 -INPUTS | 5 | iobs/IOU1 | nADoutLE1 | nUDS_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 -INPUTMC | 4 | 4 | 7 | 5 | 14 | 0 | 8 | 5 | 4 -INPUTP | 1 | 28 -EQ | 4 | - IOU0.D = !nUDS_FSB & nADoutLE1 - # iobs/IOU1 & !nADoutLE1; - IOU0.CLK = CLK_FSB; // GCK - IOU0.CE = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1; -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 3 | 16 | TimeoutA -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 7 | 3 | 16 | 7 | 7 | 7 | 15 | 7 | 2 | 7 | 1 | 7 | 6 | 7 | 8 -INPUTS | 10 | TimeoutA | nAS_FSB | fsb/ASrf | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> -INPUTMC | 9 | 3 | 16 | 4 | 2 | 4 | 4 | 3 | 4 | 3 | 3 | 4 | 3 | 3 | 9 | 3 | 7 | 3 | 6 -INPUTP | 1 | 112 -EQ | 8 | - TimeoutA.T = TimeoutA & nAS_FSB & !fsb/ASrf - # !TimeoutA & !nAS_FSB & !cnt/RefCnt<0> & - !cnt/RefCnt<5> & !cnt/RefCnt<6> & !cnt/RefCnt<1> & !cnt/RefCnt<2> & - !cnt/RefCnt<3> & !cnt/RefCnt<4> - # !TimeoutA & !cnt/RefCnt<0> & !cnt/RefCnt<5> & - !cnt/RefCnt<6> & !cnt/RefCnt<1> & !cnt/RefCnt<2> & !cnt/RefCnt<3> & - !cnt/RefCnt<4> & fsb/ASrf; - TimeoutA.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 3 | 15 | TimeoutB -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 9 | 3 | 15 | 0 | 12 | 7 | 17 | 7 | 4 | 0 | 1 | 7 | 1 | 7 | 3 | 7 | 6 | 7 | 16 -INPUTS | 12 | TimeoutB | nAS_FSB | fsb/ASrf | cnt/TimeoutBPre | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> | cnt/RefCnt<7> -INPUTMC | 11 | 3 | 15 | 4 | 2 | 3 | 13 | 4 | 4 | 3 | 4 | 3 | 3 | 4 | 3 | 3 | 9 | 3 | 7 | 3 | 6 | 3 | 2 -INPUTP | 1 | 112 -EQ | 8 | - TimeoutB.T = TimeoutB & nAS_FSB & !fsb/ASrf - # !TimeoutB & cnt/TimeoutBPre & !nAS_FSB & - !cnt/RefCnt<0> & !cnt/RefCnt<5> & !cnt/RefCnt<6> & !cnt/RefCnt<1> & - !cnt/RefCnt<2> & !cnt/RefCnt<3> & !cnt/RefCnt<4> & !cnt/RefCnt<7> - # !TimeoutB & cnt/TimeoutBPre & !cnt/RefCnt<0> & - !cnt/RefCnt<5> & !cnt/RefCnt<6> & !cnt/RefCnt<1> & !cnt/RefCnt<2> & - !cnt/RefCnt<3> & !cnt/RefCnt<4> & !cnt/RefCnt<7> & fsb/ASrf; - TimeoutB.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 3 | 13 | cnt/TimeoutBPre -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 2 | 3 | 15 | 3 | 13 -INPUTS | 11 | cnt/TimeoutBPre | nAS_FSB | fsb/ASrf | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> | cnt/RefCnt<7> -INPUTMC | 10 | 3 | 13 | 4 | 2 | 4 | 4 | 3 | 4 | 3 | 3 | 4 | 3 | 3 | 9 | 3 | 7 | 3 | 6 | 3 | 2 -INPUTP | 1 | 112 -EQ | 8 | - cnt/TimeoutBPre.T = cnt/TimeoutBPre & nAS_FSB & !fsb/ASrf - # !cnt/TimeoutBPre & !nAS_FSB & !cnt/RefCnt<0> & - !cnt/RefCnt<5> & !cnt/RefCnt<6> & !cnt/RefCnt<1> & !cnt/RefCnt<2> & - !cnt/RefCnt<3> & !cnt/RefCnt<4> & !cnt/RefCnt<7> - # !cnt/TimeoutBPre & !cnt/RefCnt<0> & !cnt/RefCnt<5> & - !cnt/RefCnt<6> & !cnt/RefCnt<1> & !cnt/RefCnt<2> & !cnt/RefCnt<3> & - !cnt/RefCnt<4> & !cnt/RefCnt<7> & fsb/ASrf; - cnt/TimeoutBPre.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 0 | 12 | fsb/BERR0r -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 8 | 0 | 12 | 7 | 17 | 7 | 4 | 0 | 1 | 0 | 0 | 7 | 1 | 7 | 6 | 0 | 11 -INPUTS | 14 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | fsb/BERR0r | TimeoutB | nAS_FSB | fsb/ASrf | iobs/Once | BERR_IOBS | iobs/PS_FSM_FFd2 | iobs/IOACTr | IOBERR | nADoutLE1 -INPUTMC | 9 | 0 | 12 | 3 | 15 | 4 | 2 | 7 | 12 | 0 | 11 | 0 | 8 | 4 | 0 | 4 | 17 | 5 | 14 -INPUTP | 5 | 127 | 153 | 134 | 22 | 112 -EXPORTS | 1 | 0 | 11 -EQ | 7 | - !fsb/BERR0r.D = !TimeoutB & !fsb/BERR0r - # nAS_FSB & !fsb/ASrf - # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & A_FSB<20> & - !fsb/BERR0r; - fsb/BERR0r.CLK = CLK_FSB; // GCK - fsb/BERR0r.EXP = iobs/Once & !BERR_IOBS & !iobs/PS_FSM_FFd2 & - !iobs/IOACTr & IOBERR & fsb/ASrf & nADoutLE1 -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 3 | 10 | fsb/BERR1r -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 7 | 3 | 10 | 7 | 17 | 7 | 4 | 0 | 1 | 0 | 0 | 7 | 1 | 7 | 6 -INPUTS | 4 | BERR_IOBS | fsb/BERR1r | nAS_FSB | fsb/ASrf -INPUTMC | 3 | 0 | 11 | 3 | 10 | 4 | 2 -INPUTP | 1 | 112 -EQ | 3 | - !fsb/BERR1r.D = !BERR_IOBS & !fsb/BERR1r - # nAS_FSB & !fsb/ASrf; - fsb/BERR1r.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 5 | 9 | fsb/Ready0r -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 5 | 9 | 7 | 16 | 7 | 0 | 7 | 5 -INPUTS | 8 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | fsb/Ready0r | ram/RAMReady | nAS_FSB | fsb/ASrf -INPUTMC | 4 | 5 | 7 | 5 | 9 | 2 | 12 | 4 | 2 -INPUTP | 4 | 127 | 153 | 134 | 112 -EQ | 6 | - !fsb/Ready0r.D = nAS_FSB & !fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !fsb/Ready0r & !ram/RAMReady - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !fsb/Ready0r & !ram/RAMReady; - fsb/Ready0r.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 7 | 8 | fsb/Ready1r -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 10 | 7 | 6 | 7 | 16 | 7 | 3 | 7 | 0 | 7 | 1 | 7 | 2 | 7 | 5 | 7 | 9 | 7 | 10 | 7 | 7 -INPUTS | 22 | nAS_FSB | fsb/ASrf | A_FSB<8> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | TimeoutA | fsb/Ready2r | EXP32_.EXP -INPUTMC | 5 | 4 | 2 | 5 | 7 | 3 | 16 | 7 | 7 | 7 | 9 -INPUTP | 17 | 112 | 117 | 140 | 102 | 19 | 104 | 105 | 143 | 127 | 153 | 134 | 22 | 98 | 147 | 136 | 145 | 97 -EXPORTS | 1 | 7 | 7 -IMPORTS | 1 | 7 | 9 -EQ | 34 | - !fsb/Ready1r.D = nAS_FSB & !fsb/ASrf -;Imported pterms FB8_10 - # A_FSB<23> & !fsb/Ready1r & !iobs/IOReady - # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !fsb/Ready1r & - !iobs/IOReady - # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & - !fsb/Ready1r & !iobs/IOReady - # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & - !fsb/Ready1r & !iobs/IOReady - # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & - !nADoutLE1 -;Imported pterms FB8_11 - # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & - !nADoutLE1; - fsb/Ready1r.CLK = CLK_FSB; // GCK - fsb/Ready1r.EXP = nAS_FSB & !fsb/ASrf - # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r - # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r - # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 7 | 7 | fsb/Ready2r -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 6 | 7 | 7 | 7 | 15 | 7 | 2 | 7 | 1 | 7 | 6 | 7 | 8 -INPUTS | 21 | A_FSB<9> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | TimeoutA | fsb/Ready2r | A_FSB<8> | fsb/Ready1r.EXP -INPUTMC | 4 | 5 | 7 | 3 | 16 | 7 | 7 | 7 | 8 -INPUTP | 17 | 114 | 140 | 102 | 19 | 104 | 105 | 143 | 127 | 153 | 134 | 22 | 98 | 147 | 136 | 145 | 97 | 117 -IMPORTS | 1 | 7 | 8 -EQ | 35 | - !fsb/Ready2r.D = A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r - # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r - # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r - # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r - # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r -;Imported pterms FB8_9 - # nAS_FSB & !fsb/ASrf - # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r - # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r - # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r; - fsb/Ready2r.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 7 | 17 | fsb/VPA -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 6 | 7 | 17 | 6 | 11 | 7 | 0 | 7 | 1 | 7 | 15 | 7 | 16 -INPUTS | 10 | BERR_IOBS | fsb/VPA | $OpTx$$OpTx$FX_DC$355_INV$439 | fsb/BERR0r | fsb/BERR1r | A_FSB<20> | TimeoutB | A_FSB<23> | EXP26_.EXP | EXP37_.EXP -INPUTMC | 8 | 0 | 11 | 7 | 17 | 4 | 6 | 0 | 12 | 3 | 10 | 3 | 15 | 7 | 0 | 7 | 16 -INPUTP | 2 | 22 | 127 -IMPORTS | 2 | 7 | 0 | 7 | 16 -EQ | 95 | - fsb/VPA.D = BERR_IOBS & fsb/VPA & - !$OpTx$$OpTx$FX_DC$355_INV$439 - # fsb/BERR0r & fsb/VPA & - !$OpTx$$OpTx$FX_DC$355_INV$439 - # fsb/BERR1r & fsb/VPA & - !$OpTx$$OpTx$FX_DC$355_INV$439 - # A_FSB<23> & TimeoutB & fsb/VPA & - !$OpTx$$OpTx$FX_DC$355_INV$439 - # !A_FSB<20> & TimeoutB & fsb/VPA & - !$OpTx$$OpTx$FX_DC$355_INV$439 -;Imported pterms FB8_1 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !fsb/Ready0r & fsb/VPA & !ram/RAMReady & - !$OpTx$$OpTx$FX_DC$355_INV$439 - # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & - !fsb/Ready1r & fsb/VPA & !iobs/IOReady & - !$OpTx$$OpTx$FX_DC$355_INV$439 - # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & - !fsb/Ready1r & fsb/VPA & !iobs/IOReady & - !$OpTx$$OpTx$FX_DC$355_INV$439 - # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & fsb/VPA & !iobs/IOReady & - !nADoutLE1 & !$OpTx$$OpTx$FX_DC$355_INV$439 - # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & fsb/VPA & !iobs/IOReady & - !nADoutLE1 & !$OpTx$$OpTx$FX_DC$355_INV$439 -;Imported pterms FB8_2 - # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 - # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 - # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !TimeoutB & !fsb/BERR0r & - !fsb/BERR1r & fsb/Ready1r & !$OpTx$$OpTx$FX_DC$355_INV$439 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !TimeoutB & !fsb/BERR0r & - !fsb/BERR1r & iobs/IOReady & !$OpTx$$OpTx$FX_DC$355_INV$439 -;Imported pterms FB8_17 - # !A_FSB<22> & TimeoutB & fsb/VPA & - !$OpTx$$OpTx$FX_DC$355_INV$439 - # A_FSB<21> & TimeoutB & fsb/VPA & - !$OpTx$$OpTx$FX_DC$355_INV$439 - # A_FSB<23> & !fsb/Ready1r & fsb/VPA & - !iobs/IOReady & !$OpTx$$OpTx$FX_DC$355_INV$439 - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !fsb/Ready0r & fsb/VPA & !ram/RAMReady & - !$OpTx$$OpTx$FX_DC$355_INV$439 - # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !fsb/Ready1r & - fsb/VPA & !iobs/IOReady & !$OpTx$$OpTx$FX_DC$355_INV$439 -;Imported pterms FB8_16 - # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 - # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 - # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 - # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 - # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439; - fsb/VPA.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 5 | 6 | iobs/IOL1 -ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 1 | 5 | 10 -INPUTS | 2 | nLDS_FSB | iobs/Load1 -INPUTMC | 1 | 2 | 9 -INPUTP | 1 | 15 -EQ | 3 | - iobs/IOL1.D = !nLDS_FSB; - iobs/IOL1.CLK = CLK_FSB; // GCK - iobs/IOL1.CE = iobs/Load1; -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 0 | 3 | iobs/IORW1 -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 3 | 0 | 15 | 0 | 3 | 0 | 2 -INPUTS | 9 | A_FSB<23> | A_FSB<21> | iobs/IORW1 | nADoutLE1 | iobs/Once | A_FSB<20> | nWE_FSB | EXP11_.EXP | nDinOE_OBUF.EXP -INPUTMC | 5 | 0 | 3 | 5 | 14 | 7 | 12 | 0 | 2 | 0 | 4 -INPUTP | 4 | 127 | 134 | 22 | 97 -IMPORTS | 2 | 0 | 2 | 0 | 4 -EQ | 21 | - !iobs/IORW1.T = iobs/Once - # !nADoutLE1 - # !A_FSB<23> & !A_FSB<20> - # nWE_FSB & iobs/IORW1 - # !A_FSB<23> & A_FSB<21> & !iobs/IORW1 -;Imported pterms FB1_3 - # !nWE_FSB & !iobs/IORW1 - # nAS_FSB & !fsb/ASrf - # !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 -;Imported pterms FB1_2 - # !A_FSB<23> & A_FSB<21> & !A_FSB<18> - # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1 -;Imported pterms FB1_5 - # !A_FSB<23> & A_FSB<21> & !A_FSB<19> - # !A_FSB<23> & A_FSB<21> & !A_FSB<17> - # !A_FSB<23> & A_FSB<21> & !A_FSB<16>; - iobs/IORW1.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 5 | 12 | iobs/IOReady -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 10 | 7 | 6 | 7 | 16 | 5 | 12 | 7 | 3 | 7 | 0 | 7 | 1 | 7 | 2 | 7 | 5 | 7 | 9 | 7 | 10 -INPUTS | 8 | iobs/IOReady | nAS_FSB | fsb/ASrf | iobs/Once | iobs/PS_FSM_FFd2 | iobs/IOACTr | IOBERR | nADoutLE1 -INPUTMC | 7 | 5 | 12 | 4 | 2 | 7 | 12 | 0 | 8 | 4 | 0 | 4 | 17 | 5 | 14 -INPUTP | 1 | 112 -EQ | 8 | - iobs/IOReady.T = iobs/IOReady & nAS_FSB & !fsb/ASrf - # iobs/Once & iobs/IOReady & !iobs/PS_FSM_FFd2 & - !iobs/IOACTr & IOBERR & nADoutLE1 - # iobs/Once & !iobs/IOReady & !nAS_FSB & - !iobs/PS_FSM_FFd2 & !iobs/IOACTr & !IOBERR & nADoutLE1 - # iobs/Once & !iobs/IOReady & !iobs/PS_FSM_FFd2 & - !iobs/IOACTr & !IOBERR & fsb/ASrf & nADoutLE1; - iobs/IOReady.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 4 | 7 | iobs/IOU1 -ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 1 | 3 | 17 -INPUTS | 2 | nUDS_FSB | iobs/Load1 -INPUTMC | 1 | 2 | 9 -INPUTP | 1 | 28 -EQ | 3 | - iobs/IOU1.D = !nUDS_FSB; - iobs/IOU1.CLK = CLK_FSB; // GCK - iobs/IOU1.CE = iobs/Load1; -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 2 | 7 | ram/RAMDIS2 -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 6 | 2 | 7 | 3 | 11 | 3 | 8 | 2 | 5 | 2 | 6 | 2 | 8 -INPUTS | 18 | ram/RAMDIS2 | nAS_FSB | fsb/ASrf | ram/Once | cnt/RefDone | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | A_FSB<14> | A_FSB<13> | A_FSB<21> | EXP20_.EXP -INPUTMC | 12 | 2 | 7 | 4 | 2 | 5 | 0 | 3 | 12 | 5 | 16 | 5 | 13 | 5 | 3 | 3 | 4 | 3 | 3 | 3 | 2 | 5 | 7 | 2 | 6 -INPUTP | 6 | 112 | 127 | 153 | 102 | 19 | 134 -EXPORTS | 1 | 2 | 8 -IMPORTS | 1 | 2 | 6 -EQ | 26 | - ram/RAMDIS2.T = ram/RAMDIS2 & nAS_FSB & !fsb/ASrf - # ram/Once & !cnt/RefDone & !ram/RAMDIS2 & !nAS_FSB & - ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # ram/Once & !cnt/RefDone & !ram/RAMDIS2 & - ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & ram/Once & - !cnt/RefDone & !ram/RAMDIS2 & !nAS_FSB & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> -;Imported pterms FB3_7 - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & ram/Once & - !cnt/RefDone & !ram/RAMDIS2 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & - fsb/ASrf - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & ram/Once & !cnt/RefDone & !ram/RAMDIS2 & !nAS_FSB & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & ram/Once & !cnt/RefDone & !ram/RAMDIS2 & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & fsb/ASrf; - ram/RAMDIS2.CLK = CLK_FSB; // GCK - ram/RAMDIS2.EXP = !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 7 | 4 | nDTACK_FSB_OBUF -ATTRIBUTES | 8815362 | 0 -OUTPUTMC | 5 | 7 | 4 | 7 | 2 | 7 | 3 | 7 | 5 | 7 | 6 -INPUTS | 10 | BERR_IOBS | nDTACK_FSB | fsb/BERR0r | fsb/BERR1r | nAS_FSB | fsb/ASrf | A_FSB<20> | TimeoutB | EXP29_.EXP | EXP30_.EXP -INPUTMC | 8 | 0 | 11 | 7 | 4 | 0 | 12 | 3 | 10 | 4 | 2 | 3 | 15 | 7 | 3 | 7 | 5 -INPUTP | 2 | 112 | 22 -IMPORTS | 2 | 7 | 3 | 7 | 5 -EQ | 65 | - nDTACK_FSB.D = BERR_IOBS & nDTACK_FSB - # fsb/BERR0r & nDTACK_FSB - # fsb/BERR1r & nDTACK_FSB - # nAS_FSB & !fsb/ASrf - # !A_FSB<20> & TimeoutB & nDTACK_FSB -;Imported pterms FB8_4 - # A_FSB<23> & TimeoutB & nDTACK_FSB - # !A_FSB<22> & TimeoutB & nDTACK_FSB - # A_FSB<21> & TimeoutB & nDTACK_FSB - # A_FSB<23> & !fsb/Ready1r & !iobs/IOReady & - nDTACK_FSB - # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !fsb/Ready1r & - !iobs/IOReady & nDTACK_FSB -;Imported pterms FB8_3 - # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & - nDTACK_FSB & !nADoutLE1 - # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<21> & - A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - !nWE_FSB & !TimeoutA & !fsb/Ready2r & nDTACK_FSB & - !$OpTx$FX_DC$360 -;Imported pterms FB8_6 - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady - # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & - !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB - # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & - !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB - # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & - nDTACK_FSB & !nADoutLE1 -;Imported pterms FB8_7 - # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<21> & - A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - !nWE_FSB & !TimeoutA & !fsb/Ready2r & nDTACK_FSB & - !$OpTx$FX_DC$360 - # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<21> & - A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - !nWE_FSB & !TimeoutA & !fsb/Ready2r & nDTACK_FSB & - !$OpTx$FX_DC$360 - # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<21> & - A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - !nWE_FSB & !TimeoutA & !fsb/Ready2r & nDTACK_FSB & - !$OpTx$FX_DC$360 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !TimeoutB & !fsb/BERR0r & - !fsb/BERR1r & fsb/Ready1r - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !TimeoutB & !fsb/BERR0r & - !fsb/BERR1r & iobs/IOReady; - nDTACK_FSB.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 2 | 3 | ram/RASEL -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 11 | 4 | 14 | 2 | 10 | 3 | 1 | 4 | 1 | 4 | 5 | 4 | 8 | 5 | 1 | 5 | 5 | 5 | 8 | 5 | 11 | 4 | 11 -INPUTS | 13 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd1 | A_FSB<23> | cnt/RefDone | nAS_FSB | ram/BACTr | fsb/ASrf | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | EXP18_.EXP | nRAS_OBUF.EXP -INPUTMC | 11 | 5 | 16 | 5 | 3 | 5 | 13 | 3 | 12 | 3 | 0 | 4 | 2 | 3 | 4 | 3 | 3 | 3 | 2 | 2 | 2 | 2 | 4 -INPUTP | 2 | 127 | 112 -IMPORTS | 2 | 2 | 2 | 2 | 4 -EQ | 50 | - ram/RASEL.D = !ram/RS_FSM_FFd2 & ram/RS_FSM_FFd3 - # ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 - # A_FSB<23> & !cnt/RefDone & !nAS_FSB & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr - # A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - # A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> -;Imported pterms FB3_3 - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & - !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & fsb/ASrf - # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & !nAS_FSB & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr - # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & - !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr -;Imported pterms FB3_2 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd1 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - fsb/ASrf - # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> - # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> - # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> -;Imported pterms FB3_5 - # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & - !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr - # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - # !cnt/RefDone & nAS_FSB & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & - !fsb/ASrf - # !cnt/RefDone & nAS_FSB & ram/RS_FSM_FFd1 & - ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & - !fsb/ASrf; - ram/RASEL.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 5 | 16 | ram/RS_FSM_FFd2 -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 16 | 5 | 0 | 2 | 7 | 2 | 3 | 5 | 16 | 5 | 13 | 5 | 3 | 5 | 17 | 2 | 16 | 2 | 6 | 2 | 1 | 2 | 2 | 2 | 4 | 2 | 13 | 2 | 17 | 5 | 2 | 5 | 4 -INPUTS | 10 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | cnt/RefDone | ram/RS_FSM_FFd1 | cnt/RefCnt<6> | ram/BACTr | cnt/RefCnt<7> | cnt/RefCnt<5> | iobs/Clear1.EXP | RefAck.EXP -INPUTMC | 10 | 5 | 16 | 5 | 3 | 3 | 12 | 5 | 13 | 3 | 3 | 3 | 0 | 3 | 2 | 3 | 4 | 5 | 15 | 5 | 17 -IMPORTS | 2 | 5 | 15 | 5 | 17 -EQ | 28 | - !ram/RS_FSM_FFd2.T = ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 - # cnt/RefDone & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 - # !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & - !cnt/RefCnt<5> & ram/BACTr - # !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & - !cnt/RefCnt<6> & ram/BACTr - # !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & ram/BACTr & - !cnt/RefCnt<7> -;Imported pterms FB6_16 - # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & - !cnt/RefCnt<5> & !fsb/ASrf - # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & - !cnt/RefCnt<6> & !fsb/ASrf - # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & - !cnt/RefCnt<7> & !fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !nAS_FSB & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 -;Imported pterms FB6_18 - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf - # !cnt/RefDone & nAS_FSB & ram/RS_FSM_FFd2 & - ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & - !fsb/ASrf; - ram/RS_FSM_FFd2.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 4 | 13 | iobm/IOS_FSM_FFd4 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 14 | 4 | 13 | 4 | 15 | 4 | 9 | 4 | 10 | 4 | 16 | 4 | 17 | 4 | 12 | 1 | 13 | 6 | 1 | 1 | 16 | 1 | 10 | 1 | 11 | 4 | 0 | 4 | 14 -INPUTS | 6 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd4 | iobm/IOREQr | CLK_IOB -INPUTMC | 5 | 4 | 15 | 4 | 9 | 4 | 10 | 4 | 13 | 1 | 2 -INPUTP | 1 | 42 -EQ | 9 | - !iobm/IOS_FSM_FFd4.D = !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & - iobm/IOS_FSM_FFd1 - # iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2 & - iobm/IOS_FSM_FFd1 - # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & - !iobm/IOS_FSM_FFd2 & CLK_IOB - # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & - !iobm/IOS_FSM_FFd2 & !iobm/IOREQr; - iobm/IOS_FSM_FFd4.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 5 | 13 | ram/RS_FSM_FFd1 -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 21 | 5 | 0 | 2 | 7 | 2 | 3 | 5 | 16 | 5 | 13 | 5 | 3 | 5 | 17 | 2 | 16 | 2 | 12 | 2 | 0 | 2 | 1 | 2 | 2 | 2 | 4 | 2 | 6 | 2 | 11 | 2 | 13 | 2 | 15 | 2 | 17 | 5 | 2 | 5 | 4 | 5 | 15 -INPUTS | 10 | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/Once | nAS_FSB | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | fsb/ASrf | A_FSB<21> -INPUTMC | 6 | 5 | 7 | 5 | 0 | 5 | 16 | 5 | 13 | 5 | 3 | 4 | 2 -INPUTP | 4 | 127 | 153 | 112 | 134 -EQ | 13 | - ram/RS_FSM_FFd1.T = ram/RS_FSM_FFd2 & ram/RS_FSM_FFd3 - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & - !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & fsb/ASrf; - ram/RS_FSM_FFd1.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 5 | 3 | ram/RS_FSM_FFd3 -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 15 | 5 | 0 | 2 | 7 | 2 | 3 | 5 | 16 | 5 | 13 | 5 | 3 | 2 | 16 | 2 | 6 | 2 | 4 | 2 | 13 | 2 | 17 | 5 | 2 | 5 | 4 | 5 | 15 | 5 | 17 -INPUTS | 11 | A_FSB<23> | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | ram/Once | cnt/RefCnt<6> | cnt/RefCnt<7> | cnt/RefDone | cnt/RefCnt<5> | ALE0S.EXP | iobs/PS_FSM_FFd1.EXP -INPUTMC | 10 | 5 | 16 | 5 | 13 | 5 | 3 | 5 | 0 | 3 | 3 | 3 | 2 | 3 | 12 | 3 | 4 | 5 | 2 | 5 | 4 -INPUTP | 1 | 127 -IMPORTS | 2 | 5 | 2 | 5 | 4 -EQ | 27 | - !ram/RS_FSM_FFd3.T = A_FSB<23> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 - # ram/Once & cnt/RefDone & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 - # ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & !cnt/RefCnt<5> - # ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & !cnt/RefCnt<6> - # ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & !cnt/RefCnt<7> -;Imported pterms FB6_3 - # A_FSB<22> & !A_FSB<21> & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 - # A_FSB<22> & cs/nOverlay1 & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 - # !A_FSB<22> & !cs/nOverlay1 & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 - # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & !fsb/ASrf -;Imported pterms FB6_5 - # !cnt/RefDone & !nAS_FSB & ram/RS_FSM_FFd2 & - ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> - # !cnt/RefDone & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & - ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & - fsb/ASrf; - ram/RS_FSM_FFd3.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 4 | 15 | iobm/IOS_FSM_FFd3 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 13 | 4 | 13 | 4 | 15 | 4 | 9 | 4 | 10 | 4 | 16 | 4 | 17 | 4 | 12 | 1 | 13 | 6 | 1 | 1 | 16 | 1 | 10 | 1 | 11 | 4 | 0 -INPUTS | 13 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | CLK_IOB | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd1 | iobm/RESrf | iobm/RESrr | nCAS_OBUF.EXP -INPUTMC | 12 | 4 | 13 | 4 | 15 | 1 | 4 | 1 | 8 | 1 | 7 | 1 | 6 | 1 | 5 | 4 | 9 | 4 | 10 | 1 | 1 | 1 | 0 | 4 | 14 -INPUTP | 1 | 42 -EXPORTS | 1 | 4 | 16 -IMPORTS | 1 | 4 | 14 -EQ | 12 | - !iobm/IOS_FSM_FFd3.D = !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 - # !iobm/IOS_FSM_FFd4 & CLK_IOB & iobm/ETACK - # !iobm/IOS_FSM_FFd4 & CLK_IOB & iobm/DTACKrf & - iobm/DTACKrr - # !iobm/IOS_FSM_FFd4 & CLK_IOB & iobm/BERRrf & - iobm/BERRrr -;Imported pterms FB5_15 - # !iobm/IOS_FSM_FFd4 & CLK_IOB & iobm/RESrf & - iobm/RESrr; - iobm/IOS_FSM_FFd3.CLK = CLK2X_IOB; // GCK - iobm/IOS_FSM_FFd3.EXP = !iobm/IOS_FSM_FFd4 & iobm/IOS_FSM_FFd2 & - iobm/IOS_FSM_FFd1 & CLK_IOB & iobm/RESrf & iobm/RESrr -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 4 | 9 | iobm/IOS_FSM_FFd2 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 12 | 4 | 13 | 4 | 9 | 4 | 10 | 4 | 16 | 4 | 17 | 4 | 12 | 1 | 13 | 1 | 16 | 1 | 10 | 1 | 11 | 4 | 0 | 4 | 15 -INPUTS | 4 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 -INPUTMC | 4 | 4 | 13 | 4 | 15 | 4 | 10 | 4 | 9 -EQ | 5 | - !iobm/IOS_FSM_FFd2.D = !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & - iobm/IOS_FSM_FFd1 - # !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd2 & - !iobm/IOS_FSM_FFd1; - iobm/IOS_FSM_FFd2.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 4 | 10 | iobm/IOS_FSM_FFd1 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 12 | 4 | 13 | 4 | 9 | 4 | 10 | 4 | 16 | 4 | 17 | 4 | 12 | 1 | 13 | 6 | 1 | 1 | 10 | 1 | 11 | 4 | 0 | 4 | 15 -INPUTS | 4 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd3 -INPUTMC | 4 | 4 | 13 | 4 | 9 | 4 | 10 | 4 | 15 -EQ | 5 | - !iobm/IOS_FSM_FFd1.D = iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd2 & - !iobm/IOS_FSM_FFd1 - # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & - !iobm/IOS_FSM_FFd2; - iobm/IOS_FSM_FFd1.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 0 | 8 | iobs/PS_FSM_FFd2 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 22 | 7 | 12 | 0 | 11 | 0 | 15 | 5 | 10 | 3 | 17 | 0 | 2 | 5 | 12 | 0 | 8 | 5 | 4 | 2 | 9 | 5 | 2 | 0 | 6 | 5 | 15 | 0 | 5 | 0 | 7 | 0 | 9 | 0 | 10 | 0 | 12 | 0 | 14 | 0 | 16 | 0 | 17 | 7 | 11 -INPUTS | 10 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | iobs/IOACTr | iobs/Once | nADoutLE1 | A_FSB<23> | A_FSB<20> | A_FSB<21> | cs/nOverlay1 | EXP13_.EXP -INPUTMC | 7 | 0 | 8 | 5 | 4 | 4 | 0 | 7 | 12 | 5 | 14 | 5 | 7 | 0 | 9 -INPUTP | 3 | 127 | 22 | 134 -EXPORTS | 1 | 0 | 7 -IMPORTS | 1 | 0 | 9 -EQ | 33 | - !iobs/PS_FSM_FFd2.D = iobs/PS_FSM_FFd2 & iobs/PS_FSM_FFd1 & - iobs/IOACTr - # !iobs/PS_FSM_FFd2 & iobs/PS_FSM_FFd1 & - !iobs/IOACTr - # iobs/Once & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & - nADoutLE1 - # !A_FSB<23> & !A_FSB<20> & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & nADoutLE1 -;Imported pterms FB1_10 - # nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & - !fsb/ASrf & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<19> & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & nWE_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 -;Imported pterms FB1_11 - # !A_FSB<23> & A_FSB<21> & !A_FSB<18> & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1; - iobs/PS_FSM_FFd2.CLK = CLK_FSB; // GCK - iobs/PS_FSM_FFd2.EXP = !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & - !cs/nOverlay1 -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 1 | 14 | iobm/ES<0> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 7 | 1 | 4 | 1 | 14 | 1 | 12 | 1 | 17 | 1 | 9 | 1 | 15 | 0 | 11 -INPUTS | 7 | iobm/ES<0> | iobm/Er | iobm/Er2 | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> | iobm/ES<4> -INPUTMC | 7 | 1 | 14 | 6 | 16 | 1 | 3 | 1 | 12 | 1 | 17 | 1 | 9 | 1 | 15 -EQ | 6 | - !iobm/ES<0>.T = iobm/ES<0> & !iobm/Er & iobm/Er2 - # !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & - !iobm/ES<3> & !iobm/ES<4> & iobm/Er - # !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & - !iobm/ES<3> & !iobm/ES<4> & !iobm/Er2; - iobm/ES<0>.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 1 | 12 | iobm/ES<1> -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 7 | 1 | 4 | 1 | 14 | 1 | 12 | 1 | 17 | 1 | 9 | 1 | 15 | 0 | 11 -INPUTS | 4 | iobm/ES<0> | iobm/ES<1> | iobm/Er | iobm/Er2 -INPUTMC | 4 | 1 | 14 | 1 | 12 | 6 | 16 | 1 | 3 -EQ | 4 | - !iobm/ES<1>.D = iobm/ES<0> & iobm/ES<1> - # !iobm/ES<0> & !iobm/ES<1> - # !iobm/Er & iobm/Er2; - iobm/ES<1>.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 1 | 17 | iobm/ES<2> -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 6 | 1 | 4 | 1 | 14 | 1 | 17 | 1 | 9 | 1 | 15 | 0 | 11 -INPUTS | 7 | iobm/ES<0> | iobm/ES<2> | iobm/ES<1> | iobm/Er | iobm/Er2 | iobm/ES<3> | iobm/ES<4> -INPUTMC | 7 | 1 | 14 | 1 | 17 | 1 | 12 | 6 | 16 | 1 | 3 | 1 | 9 | 1 | 15 -EQ | 6 | - !iobm/ES<2>.D = !iobm/ES<0> & !iobm/ES<2> - # !iobm/ES<1> & !iobm/ES<2> - # !iobm/Er & iobm/Er2 - # iobm/ES<0> & iobm/ES<1> & iobm/ES<2> - # !iobm/ES<2> & !iobm/ES<3> & iobm/ES<4>; - iobm/ES<2>.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 5 | 4 | iobs/PS_FSM_FFd1 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 18 | 7 | 12 | 0 | 15 | 5 | 10 | 3 | 17 | 0 | 2 | 0 | 8 | 5 | 4 | 2 | 9 | 5 | 2 | 0 | 6 | 5 | 15 | 0 | 9 | 0 | 10 | 0 | 14 | 0 | 16 | 0 | 17 | 7 | 11 | 5 | 3 -INPUTS | 12 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | iobs/IOACTr | cnt/RefDone | nAS_FSB | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | fsb/ASrf -INPUTMC | 11 | 0 | 8 | 5 | 4 | 4 | 0 | 3 | 12 | 5 | 16 | 5 | 13 | 5 | 3 | 3 | 4 | 3 | 3 | 3 | 2 | 4 | 2 -INPUTP | 1 | 112 -EXPORTS | 1 | 5 | 3 -EQ | 9 | - iobs/PS_FSM_FFd1.D = iobs/PS_FSM_FFd2 - # iobs/PS_FSM_FFd1 & iobs/IOACTr; - iobs/PS_FSM_FFd1.CLK = CLK_FSB; // GCK - iobs/PS_FSM_FFd1.EXP = !cnt/RefDone & !nAS_FSB & ram/RS_FSM_FFd2 & - ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> - # !cnt/RefDone & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & - ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & - fsb/ASrf -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 1 | 9 | iobm/ES<3> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 6 | 1 | 4 | 1 | 14 | 1 | 17 | 1 | 9 | 1 | 15 | 0 | 11 -INPUTS | 6 | iobm/ES<3> | iobm/Er | iobm/Er2 | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> -INPUTMC | 6 | 1 | 9 | 6 | 16 | 1 | 3 | 1 | 14 | 1 | 12 | 1 | 17 -EQ | 4 | - iobm/ES<3>.T = iobm/ES<3> & !iobm/Er & iobm/Er2 - # iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & iobm/Er - # iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & !iobm/Er2; - iobm/ES<3>.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 1 | 15 | iobm/ES<4> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 5 | 1 | 4 | 1 | 14 | 1 | 17 | 1 | 15 | 0 | 11 -INPUTS | 7 | iobm/ES<4> | iobm/Er | iobm/Er2 | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> -INPUTMC | 7 | 1 | 15 | 6 | 16 | 1 | 3 | 1 | 14 | 1 | 12 | 1 | 17 | 1 | 9 -EQ | 8 | - iobm/ES<4>.T = iobm/ES<4> & !iobm/Er & iobm/Er2 - # iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & - iobm/ES<3> & iobm/Er - # iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & - iobm/ES<3> & !iobm/Er2 - # iobm/ES<0> & iobm/ES<1> & !iobm/ES<2> & - !iobm/ES<3> & iobm/ES<4>; - iobm/ES<4>.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 4 | 4 | cnt/RefCnt<0> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 11 | 3 | 12 | 3 | 16 | 3 | 15 | 3 | 13 | 3 | 4 | 3 | 3 | 4 | 3 | 3 | 9 | 3 | 7 | 3 | 6 | 3 | 2 -INPUTS | 0 -EQ | 2 | - cnt/RefCnt<0>.T = Vcc; - cnt/RefCnt<0>.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 3 | 4 | cnt/RefCnt<5> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 19 | 3 | 12 | 3 | 16 | 3 | 15 | 3 | 13 | 2 | 7 | 2 | 3 | 5 | 16 | 5 | 3 | 3 | 3 | 3 | 2 | 2 | 14 | 2 | 6 | 2 | 0 | 2 | 1 | 2 | 4 | 2 | 17 | 5 | 4 | 5 | 15 | 5 | 17 -INPUTS | 5 | cnt/RefCnt<0> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> -INPUTMC | 5 | 4 | 4 | 4 | 3 | 3 | 9 | 3 | 7 | 3 | 6 -EQ | 3 | - cnt/RefCnt<5>.T = cnt/RefCnt<0> & cnt/RefCnt<1> & cnt/RefCnt<2> & - cnt/RefCnt<3> & cnt/RefCnt<4>; - cnt/RefCnt<5>.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 3 | 3 | cnt/RefCnt<6> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 18 | 3 | 12 | 3 | 16 | 3 | 15 | 3 | 13 | 2 | 7 | 2 | 3 | 5 | 16 | 5 | 3 | 3 | 2 | 2 | 14 | 2 | 6 | 2 | 0 | 2 | 1 | 2 | 4 | 2 | 17 | 5 | 4 | 5 | 15 | 5 | 17 -INPUTS | 6 | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> -INPUTMC | 6 | 4 | 4 | 3 | 4 | 4 | 3 | 3 | 9 | 3 | 7 | 3 | 6 -EQ | 3 | - cnt/RefCnt<6>.T = cnt/RefCnt<0> & cnt/RefCnt<5> & cnt/RefCnt<1> & - cnt/RefCnt<2> & cnt/RefCnt<3> & cnt/RefCnt<4>; - cnt/RefCnt<6>.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 4 | 0 | iobs/IOACTr -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 7 | 0 | 11 | 5 | 12 | 0 | 8 | 5 | 4 | 0 | 6 | 0 | 12 | 4 | 17 -INPUTS | 15 | IOACT | nBERR_IOB | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd1 | IOBERR | CLK_IOB | iobm/ETACK | iobm/DTACKrf | iobm/DTACKrr | iobm/BERRrf | iobm/BERRrr | iobm/RESrf | iobm/RESrr -INPUTMC | 13 | 4 | 16 | 4 | 13 | 4 | 15 | 4 | 9 | 4 | 10 | 4 | 17 | 1 | 4 | 1 | 6 | 1 | 5 | 1 | 8 | 1 | 7 | 1 | 1 | 1 | 0 -INPUTP | 2 | 92 | 42 -EXPORTS | 1 | 4 | 17 -EQ | 14 | - iobs/IOACTr.D = IOACT; - iobs/IOACTr.CLK = CLK_FSB; // GCK - iobs/IOACTr.EXP = nBERR_IOB & !iobm/IOS_FSM_FFd4 & - iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2 & iobm/IOS_FSM_FFd1 & IOBERR & - CLK_IOB & iobm/ETACK - # nBERR_IOB & !iobm/IOS_FSM_FFd4 & - iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2 & iobm/IOS_FSM_FFd1 & IOBERR & - CLK_IOB & iobm/DTACKrf & iobm/DTACKrr - # nBERR_IOB & !iobm/IOS_FSM_FFd4 & - iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2 & iobm/IOS_FSM_FFd1 & IOBERR & - CLK_IOB & iobm/BERRrf & iobm/BERRrr - # nBERR_IOB & !iobm/IOS_FSM_FFd4 & - iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2 & iobm/IOS_FSM_FFd1 & IOBERR & - CLK_IOB & iobm/RESrf & iobm/RESrr -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 4 | 3 | cnt/RefCnt<1> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 10 | 3 | 12 | 3 | 16 | 3 | 15 | 3 | 13 | 3 | 4 | 3 | 3 | 3 | 9 | 3 | 7 | 3 | 6 | 3 | 2 -INPUTS | 1 | cnt/RefCnt<0> -INPUTMC | 1 | 4 | 4 -EQ | 2 | - cnt/RefCnt<1>.T = cnt/RefCnt<0>; - cnt/RefCnt<1>.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 3 | 9 | cnt/RefCnt<2> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 9 | 3 | 12 | 3 | 16 | 3 | 15 | 3 | 13 | 3 | 4 | 3 | 3 | 3 | 7 | 3 | 6 | 3 | 2 -INPUTS | 2 | cnt/RefCnt<0> | cnt/RefCnt<1> -INPUTMC | 2 | 4 | 4 | 4 | 3 -EQ | 2 | - cnt/RefCnt<2>.T = cnt/RefCnt<0> & cnt/RefCnt<1>; - cnt/RefCnt<2>.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 3 | 7 | cnt/RefCnt<3> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 8 | 3 | 12 | 3 | 16 | 3 | 15 | 3 | 13 | 3 | 4 | 3 | 3 | 3 | 6 | 3 | 2 -INPUTS | 3 | cnt/RefCnt<0> | cnt/RefCnt<1> | cnt/RefCnt<2> -INPUTMC | 3 | 4 | 4 | 4 | 3 | 3 | 9 -EQ | 2 | - cnt/RefCnt<3>.T = cnt/RefCnt<0> & cnt/RefCnt<1> & cnt/RefCnt<2>; - cnt/RefCnt<3>.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 3 | 6 | cnt/RefCnt<4> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 7 | 3 | 12 | 3 | 16 | 3 | 15 | 3 | 13 | 3 | 4 | 3 | 3 | 3 | 2 -INPUTS | 4 | cnt/RefCnt<0> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> -INPUTMC | 4 | 4 | 4 | 4 | 3 | 3 | 9 | 3 | 7 -EQ | 3 | - cnt/RefCnt<4>.T = cnt/RefCnt<0> & cnt/RefCnt<1> & cnt/RefCnt<2> & - cnt/RefCnt<3>; - cnt/RefCnt<4>.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 2 | 9 | iobs/Load1 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 5 | 6 | 4 | 7 | 5 | 14 -INPUTS | 10 | nADoutLE1 | iobs/Once | A_FSB<23> | A_FSB<20> | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nAS_FSB | fsb/ASrf | EXP21_.EXP | RA_0_OBUF.EXP -INPUTMC | 7 | 5 | 14 | 7 | 12 | 0 | 8 | 5 | 4 | 4 | 2 | 2 | 8 | 2 | 10 -INPUTP | 3 | 127 | 22 | 112 -IMPORTS | 2 | 2 | 8 | 2 | 10 -EQ | 19 | - !iobs/Load1.D = iobs/Once - # !nADoutLE1 - # !A_FSB<23> & !A_FSB<20> - # nAS_FSB & !fsb/ASrf - # !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 -;Imported pterms FB3_9 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<19> - # !A_FSB<23> & A_FSB<21> & !A_FSB<16> - # !A_FSB<23> & A_FSB<21> & nWE_FSB -;Imported pterms FB3_8 - # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> -;Imported pterms FB3_11 - # !A_FSB<23> & A_FSB<21> & !A_FSB<18> - # !A_FSB<23> & A_FSB<21> & !A_FSB<17> - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1; - iobs/Load1.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 3 | 0 | ram/BACTr -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 10 | 2 | 3 | 5 | 16 | 2 | 16 | 2 | 12 | 2 | 2 | 2 | 4 | 2 | 11 | 2 | 13 | 2 | 15 | 2 | 17 -INPUTS | 2 | nAS_FSB | fsb/ASrf -INPUTMC | 1 | 4 | 2 -INPUTP | 1 | 112 -EQ | 2 | - !ram/BACTr.D = nAS_FSB & !fsb/ASrf; - ram/BACTr.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 4 | 16 | IOACT -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 4 | 0 | 0 | 11 -INPUTS | 12 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | iobm/IOREQr | CLK_IOB | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr | iobm/IOS_FSM_FFd3.EXP -INPUTMC | 11 | 4 | 13 | 4 | 15 | 4 | 10 | 4 | 9 | 1 | 2 | 1 | 4 | 1 | 8 | 1 | 7 | 1 | 6 | 1 | 5 | 4 | 15 -INPUTP | 1 | 42 -IMPORTS | 1 | 4 | 15 -EQ | 14 | - !IOACT.D = !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & - iobm/IOS_FSM_FFd1 - # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & - !iobm/IOS_FSM_FFd2 & !iobm/IOREQr - # !iobm/IOS_FSM_FFd4 & iobm/IOS_FSM_FFd2 & - iobm/IOS_FSM_FFd1 & CLK_IOB & iobm/ETACK - # !iobm/IOS_FSM_FFd4 & iobm/IOS_FSM_FFd2 & - iobm/IOS_FSM_FFd1 & CLK_IOB & iobm/DTACKrf & iobm/DTACKrr - # !iobm/IOS_FSM_FFd4 & iobm/IOS_FSM_FFd2 & - iobm/IOS_FSM_FFd1 & CLK_IOB & iobm/BERRrf & iobm/BERRrr -;Imported pterms FB5_16 - # !iobm/IOS_FSM_FFd4 & iobm/IOS_FSM_FFd2 & - iobm/IOS_FSM_FFd1 & CLK_IOB & iobm/RESrf & iobm/RESrr; - IOACT.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 4 | 17 | IOBERR -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 5 | 0 | 11 | 5 | 12 | 4 | 17 | 0 | 12 | 4 | 0 -INPUTS | 15 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd1 | IOBERR | nBERR_IOB | CLK_IOB | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr | iobm/RESrf | iobm/RESrr | iobs/IOACTr.EXP -INPUTMC | 13 | 4 | 13 | 4 | 15 | 4 | 9 | 4 | 10 | 4 | 17 | 1 | 4 | 1 | 8 | 1 | 7 | 1 | 6 | 1 | 5 | 1 | 1 | 1 | 0 | 4 | 0 -INPUTP | 2 | 92 | 42 -IMPORTS | 1 | 4 | 0 -EQ | 24 | - IOBERR.T = iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & - !iobm/IOS_FSM_FFd2 & !iobm/IOS_FSM_FFd1 & IOBERR - # !nBERR_IOB & !iobm/IOS_FSM_FFd4 & - iobm/IOS_FSM_FFd3 & !IOBERR & CLK_IOB & iobm/ETACK - # !nBERR_IOB & !iobm/IOS_FSM_FFd4 & - iobm/IOS_FSM_FFd3 & !IOBERR & CLK_IOB & iobm/DTACKrf & iobm/DTACKrr - # !nBERR_IOB & !iobm/IOS_FSM_FFd4 & - iobm/IOS_FSM_FFd3 & !IOBERR & CLK_IOB & iobm/BERRrf & iobm/BERRrr - # !nBERR_IOB & !iobm/IOS_FSM_FFd4 & - iobm/IOS_FSM_FFd3 & !IOBERR & CLK_IOB & iobm/RESrf & iobm/RESrr -;Imported pterms FB5_1 - # nBERR_IOB & !iobm/IOS_FSM_FFd4 & - iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2 & iobm/IOS_FSM_FFd1 & IOBERR & - CLK_IOB & iobm/ETACK - # nBERR_IOB & !iobm/IOS_FSM_FFd4 & - iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2 & iobm/IOS_FSM_FFd1 & IOBERR & - CLK_IOB & iobm/DTACKrf & iobm/DTACKrr - # nBERR_IOB & !iobm/IOS_FSM_FFd4 & - iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2 & iobm/IOS_FSM_FFd1 & IOBERR & - CLK_IOB & iobm/BERRrf & iobm/BERRrr - # nBERR_IOB & !iobm/IOS_FSM_FFd4 & - iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2 & iobm/IOS_FSM_FFd1 & IOBERR & - CLK_IOB & iobm/RESrf & iobm/RESrr; - IOBERR.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 3 | 2 | cnt/RefCnt<7> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 16 | 3 | 12 | 3 | 15 | 3 | 13 | 2 | 7 | 2 | 3 | 5 | 16 | 5 | 3 | 2 | 14 | 2 | 6 | 2 | 0 | 2 | 1 | 2 | 4 | 2 | 17 | 5 | 4 | 5 | 15 | 5 | 17 -INPUTS | 7 | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> -INPUTMC | 7 | 4 | 4 | 3 | 4 | 3 | 3 | 4 | 3 | 3 | 9 | 3 | 7 | 3 | 6 -EQ | 3 | - cnt/RefCnt<7>.T = cnt/RefCnt<0> & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<1> & cnt/RefCnt<2> & cnt/RefCnt<3> & cnt/RefCnt<4>; - cnt/RefCnt<7>.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 6 | 16 | iobm/Er -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 6 | 1 | 14 | 1 | 12 | 1 | 17 | 1 | 9 | 1 | 15 | 1 | 3 -INPUTS | 1 | E_IOB -INPUTP | 1 | 86 -EQ | 2 | - iobm/Er.D = E_IOB; - !iobm/Er.CLK = CLK_IOB; // GCK -GLOBALS | 1 | 2 | CLK_IOB - -MACROCELL | 1 | 2 | iobm/IOREQr -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 4 | 13 | 4 | 16 | 4 | 12 -INPUTS | 1 | IOREQ -INPUTMC | 1 | 0 | 6 -EQ | 2 | - iobm/IOREQr.D = IOREQ; - !iobm/IOREQr.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 5 | 17 | RefAck -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 3 | 12 | 2 | 5 | 5 | 16 -INPUTS | 13 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/RS_FSM_FFd3 | fsb/ASrf | A_FSB<21> | nAS_FSB | cnt/RefDone | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> -INPUTMC | 9 | 5 | 16 | 5 | 13 | 5 | 7 | 5 | 3 | 4 | 2 | 3 | 12 | 3 | 4 | 3 | 3 | 3 | 2 -INPUTP | 4 | 127 | 153 | 134 | 112 -EXPORTS | 1 | 5 | 16 -EQ | 11 | - RefAck.D = ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1; - RefAck.CLK = CLK_FSB; // GCK - RefAck.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf - # !cnt/RefDone & nAS_FSB & ram/RS_FSM_FFd2 & - ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & - !fsb/ASrf -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 1 | 6 | iobm/DTACKrf -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 4 | 15 | 4 | 16 | 4 | 17 | 4 | 0 -INPUTS | 1 | nDTACK_IOB -INPUTP | 1 | 30 -EQ | 2 | - iobm/DTACKrf.D = !nDTACK_IOB; - !iobm/DTACKrf.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 1 | 5 | iobm/DTACKrr -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 4 | 15 | 4 | 16 | 4 | 17 | 4 | 0 -INPUTS | 1 | nDTACK_IOB -INPUTP | 1 | 30 -EQ | 2 | - iobm/DTACKrr.D = !nDTACK_IOB; - iobm/DTACKrr.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 1 | 4 | iobm/ETACK -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 4 | 15 | 4 | 16 | 4 | 17 | 4 | 0 -INPUTS | 6 | nVMA_IOB | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> | iobm/ES<4> -INPUTMC | 6 | 0 | 10 | 1 | 14 | 1 | 12 | 1 | 17 | 1 | 9 | 1 | 15 -EQ | 3 | - iobm/ETACK.D = !nVMA_IOB & !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & - !iobm/ES<3> & iobm/ES<4>; - iobm/ETACK.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 1 | 3 | iobm/Er2 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 1 | 14 | 1 | 12 | 1 | 17 | 1 | 9 | 1 | 15 -INPUTS | 1 | iobm/Er -INPUTMC | 1 | 6 | 16 -EQ | 2 | - iobm/Er2.D = iobm/Er; - iobm/Er2.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 6 | 15 | iobm/VPArf -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 0 | 11 -INPUTS | 1 | nVPA_IOB -INPUTP | 1 | 37 -EQ | 2 | - iobm/VPArf.D = !nVPA_IOB; - !iobm/VPArf.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 6 | 14 | iobm/VPArr -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 0 | 11 -INPUTS | 1 | nVPA_IOB -INPUTP | 1 | 37 -EQ | 2 | - iobm/VPArr.D = !nVPA_IOB; - iobm/VPArr.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 4 | 12 | ALE0M -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 6 | 7 -INPUTS | 5 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | iobm/IOREQr -INPUTMC | 5 | 4 | 13 | 4 | 15 | 4 | 10 | 4 | 9 | 1 | 2 -EQ | 5 | - !ALE0M.D = !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & - iobm/IOS_FSM_FFd1 - # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & - !iobm/IOS_FSM_FFd2 & !iobm/IOREQr; - ALE0M.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 5 | 2 | ALE0S -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 6 | 7 | 5 | 3 -INPUTS | 10 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | A_FSB<22> | A_FSB<21> | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cs/nOverlay1 | nAS_FSB | fsb/ASrf -INPUTMC | 7 | 0 | 8 | 5 | 4 | 5 | 16 | 5 | 13 | 5 | 3 | 5 | 7 | 4 | 2 -INPUTP | 3 | 153 | 134 | 112 -EXPORTS | 1 | 5 | 3 -EQ | 10 | - ALE0S.D = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1; - ALE0S.CLK = CLK_FSB; // GCK - ALE0S.EXP = A_FSB<22> & !A_FSB<21> & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 - # A_FSB<22> & cs/nOverlay1 & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 - # !A_FSB<22> & !cs/nOverlay1 & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 - # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & !fsb/ASrf -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 0 | 6 | IOREQ -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 1 | 2 -INPUTS | 11 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | iobs/IOACTr | iobs/Once | nADoutLE1 | A_FSB<23> | A_FSB<20> | nAS_FSB | fsb/ASrf | EXP12_.EXP | nROMCS_OBUF.EXP -INPUTMC | 8 | 0 | 8 | 5 | 4 | 4 | 0 | 7 | 12 | 5 | 14 | 4 | 2 | 0 | 5 | 0 | 7 -INPUTP | 3 | 127 | 22 | 112 -IMPORTS | 2 | 0 | 5 | 0 | 7 -EQ | 28 | - !IOREQ.D = !iobs/PS_FSM_FFd2 & iobs/PS_FSM_FFd1 - # iobs/PS_FSM_FFd1 & iobs/IOACTr - # iobs/Once & !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<20> & !iobs/PS_FSM_FFd2 & - nADoutLE1 - # nAS_FSB & !iobs/PS_FSM_FFd2 & !fsb/ASrf & - nADoutLE1 -;Imported pterms FB1_6 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & - !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & - !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<19> & - !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & - !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & nWE_FSB & - !iobs/PS_FSM_FFd2 & nADoutLE1 -;Imported pterms FB1_8 - # !A_FSB<23> & A_FSB<21> & !A_FSB<18> & - !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & - !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & - !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1 & !iobs/PS_FSM_FFd2 & nADoutLE1; - IOREQ.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 4 | 2 | fsb/ASrf -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 41 | 5 | 7 | 5 | 0 | 7 | 12 | 0 | 11 | 0 | 15 | 0 | 13 | 3 | 16 | 3 | 15 | 3 | 13 | 0 | 12 | 3 | 10 | 5 | 9 | 7 | 8 | 5 | 17 | 0 | 2 | 5 | 12 | 2 | 7 | 7 | 4 | 2 | 3 | 5 | 15 | 5 | 13 | 5 | 2 | 4 | 6 | 2 | 9 | 3 | 0 | 0 | 6 | 2 | 16 | 2 | 12 | 0 | 9 | 0 | 14 | 0 | 16 | 0 | 17 | 2 | 1 | 2 | 2 | 2 | 4 | 2 | 6 | 2 | 11 | 2 | 13 | 2 | 15 | 2 | 17 | 5 | 4 -INPUTS | 1 | nAS_FSB -INPUTP | 1 | 112 -EQ | 2 | - fsb/ASrf.D = !nAS_FSB; - !fsb/ASrf.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 1 | 8 | iobm/BERRrf -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 4 | 15 | 4 | 16 | 4 | 17 | 4 | 0 -INPUTS | 1 | nBERR_IOB -INPUTP | 1 | 92 -EQ | 2 | - iobm/BERRrf.D = !nBERR_IOB; - !iobm/BERRrf.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 1 | 7 | iobm/BERRrr -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 4 | 15 | 4 | 16 | 4 | 17 | 4 | 0 -INPUTS | 1 | nBERR_IOB -INPUTP | 1 | 92 -EQ | 2 | - iobm/BERRrr.D = !nBERR_IOB; - iobm/BERRrr.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 1 | 1 | iobm/RESrf -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 4 | 14 | 4 | 15 | 4 | 17 | 4 | 0 -INPUTS | 1 | nRES -INPUTP | 1 | 160 -EQ | 2 | - iobm/RESrf.D = !nRES; - !iobm/RESrf.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 1 | 0 | iobm/RESrr -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 4 | 14 | 4 | 15 | 4 | 17 | 4 | 0 -INPUTS | 1 | nRES -INPUTP | 1 | 160 -EQ | 2 | - iobm/RESrr.D = !nRES; - iobm/RESrr.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 5 | 15 | iobs/Clear1 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 5 | 14 | 5 | 16 -INPUTS | 13 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | nAS_FSB | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cnt/RefCnt<5> | fsb/ASrf | cnt/RefCnt<6> | cnt/RefCnt<7> | A_FSB<23> | A_FSB<22> | cs/nOverlay1 -INPUTMC | 10 | 0 | 8 | 5 | 4 | 5 | 14 | 5 | 13 | 5 | 3 | 3 | 4 | 4 | 2 | 3 | 3 | 3 | 2 | 5 | 7 -INPUTP | 3 | 112 | 127 | 153 -EXPORTS | 1 | 5 | 16 -EQ | 10 | - iobs/Clear1.D = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & !nADoutLE1; - iobs/Clear1.CLK = CLK_FSB; // GCK - iobs/Clear1.EXP = nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & - !cnt/RefCnt<5> & !fsb/ASrf - # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & - !cnt/RefCnt<6> & !fsb/ASrf - # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & - !cnt/RefCnt<7> & !fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !nAS_FSB & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 2 | 16 | ram/RAMDIS1 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 3 | 11 | 3 | 8 | 2 | 5 -INPUTS | 10 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | A_FSB<23> | cnt/RefDone | nAS_FSB | ram/BACTr | fsb/ASrf | EXP24_.EXP | EXP25_.EXP -INPUTMC | 8 | 5 | 16 | 5 | 13 | 5 | 3 | 3 | 12 | 3 | 0 | 4 | 2 | 2 | 15 | 2 | 17 -INPUTP | 2 | 127 | 112 -IMPORTS | 2 | 2 | 15 | 2 | 17 -EQ | 38 | - ram/RAMDIS1.D = ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 - # !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 - # !ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 - # A_FSB<23> & !cnt/RefDone & !nAS_FSB & - !ram/RS_FSM_FFd1 & !ram/BACTr - # A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd1 & - !ram/BACTr & fsb/ASrf -;Imported pterms FB3_16 - # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & !nAS_FSB & - !ram/RS_FSM_FFd1 & !ram/BACTr - # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & - !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & - !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr - # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & - !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr -;Imported pterms FB3_18 - # A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd1 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - # ram/Once & !cnt/RefDone & !ram/RS_FSM_FFd1 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # !cnt/RefDone & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd3 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # !cnt/RefDone & nAS_FSB & !ram/RS_FSM_FFd1 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & !fsb/ASrf -;Imported pterms FB3_1 - # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & - !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7>; - ram/RAMDIS1.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 2 | 12 | ram/RAMReady -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 5 | 9 | 7 | 16 | 7 | 0 | 7 | 5 -INPUTS | 12 | A_FSB<21> | cs/nOverlay1 | cnt/RefDone | nAS_FSB | ram/RS_FSM_FFd1 | ram/BACTr | A_FSB<23> | A_FSB<22> | ram/Once | fsb/ASrf | EXP22_.EXP | EXP23_.EXP -INPUTMC | 8 | 5 | 7 | 3 | 12 | 5 | 13 | 3 | 0 | 5 | 0 | 4 | 2 | 2 | 11 | 2 | 13 -INPUTP | 4 | 134 | 112 | 127 | 153 -IMPORTS | 2 | 2 | 11 | 2 | 13 -EQ | 33 | - !ram/RAMReady.D = A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & - !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr - # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & - !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr - # !A_FSB<21> & !cs/nOverlay1 & !cnt/RefDone & - !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr - # !A_FSB<21> & !cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd1 -;Imported pterms FB3_12 - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & - !nAS_FSB & !ram/RS_FSM_FFd1 - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & - !ram/RS_FSM_FFd1 & fsb/ASrf - # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd1 & fsb/ASrf -;Imported pterms FB3_14 - # ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 - # !ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 - # !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 - # A_FSB<23> & !cnt/RefDone & !nAS_FSB & - !ram/RS_FSM_FFd1 & !ram/BACTr - # A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd1 & - !ram/BACTr & fsb/ASrf -;Imported pterms FB3_15 - # !cnt/RefDone & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7>; - ram/RAMReady.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 1 | 13 | nAS_IOB_OBUF -ATTRIBUTES | 8684290 | 0 -INPUTS | 4 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 -INPUTMC | 4 | 4 | 13 | 4 | 15 | 4 | 10 | 4 | 9 -EQ | 5 | - nAS_IOB.D = !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & - !iobm/IOS_FSM_FFd2 - # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & - iobm/IOS_FSM_FFd1; - !nAS_IOB.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 4 | 14 | nCAS_OBUF -ATTRIBUTES | 8684290 | 0 -OUTPUTMC | 1 | 4 | 15 -INPUTS | 5 | ram/RASEL | iobm/IOS_FSM_FFd4 | CLK_IOB | iobm/RESrf | iobm/RESrr -INPUTMC | 4 | 2 | 3 | 4 | 13 | 1 | 1 | 1 | 0 -INPUTP | 1 | 42 -EXPORTS | 1 | 4 | 15 -EQ | 4 | - nCAS.D = !ram/RASEL; - !nCAS.CLK = CLK_FSB; // GCK - nCAS_OBUF.EXP = !iobm/IOS_FSM_FFd4 & CLK_IOB & iobm/RESrf & - iobm/RESrr -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 6 | 1 | nDinLE_OBUF -ATTRIBUTES | 8684290 | 0 -INPUTS | 3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 -INPUTMC | 3 | 4 | 13 | 4 | 15 | 4 | 10 -EQ | 3 | - nDinLE.D = iobm/IOS_FSM_FFd4 & iobm/IOS_FSM_FFd1 - # !iobm/IOS_FSM_FFd4 & iobm/IOS_FSM_FFd3; - !nDinLE.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 1 | 16 | nDoutOE_OBUF -ATTRIBUTES | 8684290 | 0 -INPUTS | 4 | IORW0 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd2 -INPUTMC | 4 | 0 | 15 | 4 | 13 | 4 | 15 | 4 | 9 -EQ | 4 | - nDoutOE.D = !IORW0 - # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & - !iobm/IOS_FSM_FFd2; - nDoutOE.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 1 | 10 | nLDS_IOB_OBUF -ATTRIBUTES | 8684290 | 0 -INPUTS | 6 | IOL0 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | IORW0 -INPUTMC | 6 | 5 | 10 | 4 | 13 | 4 | 15 | 4 | 10 | 4 | 9 | 0 | 15 -EQ | 8 | - nLDS_IOB.D = !IOL0 - # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & - !iobm/IOS_FSM_FFd2 - # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & - iobm/IOS_FSM_FFd1 - # IORW0 & iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd2 & - !iobm/IOS_FSM_FFd1; - !nLDS_IOB.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 1 | 11 | nUDS_IOB_OBUF -ATTRIBUTES | 8684290 | 0 -INPUTS | 6 | IOU0 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | IORW0 -INPUTMC | 6 | 3 | 17 | 4 | 13 | 4 | 15 | 4 | 10 | 4 | 9 | 0 | 15 -EQ | 8 | - nUDS_IOB.D = !IOU0 - # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & - !iobm/IOS_FSM_FFd2 - # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & - iobm/IOS_FSM_FFd1 - # IORW0 & iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd2 & - !iobm/IOS_FSM_FFd1; - !nUDS_IOB.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 2 | 10 | RA_0_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 2 | 9 -INPUTS | 9 | A_FSB<10> | ram/RASEL | A_FSB<1> | A_FSB<23> | A_FSB<21> | A_FSB<18> | A_FSB<17> | A_FSB<22> | cs/nOverlay1 -INPUTMC | 2 | 2 | 3 | 5 | 7 -INPUTP | 7 | 143 | 116 | 127 | 134 | 147 | 136 | 153 -EXPORTS | 1 | 2 | 9 -EQ | 6 | - RA<0> = A_FSB<10> & !ram/RASEL - # ram/RASEL & A_FSB<1>; - RA_0_OBUF.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<18> - # !A_FSB<23> & A_FSB<21> & !A_FSB<17> - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1 - -MACROCELL | 3 | 1 | RA_1_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 3 | A_FSB<11> | ram/RASEL | A_FSB<2> -INPUTMC | 1 | 2 | 3 -INPUTP | 2 | 105 | 90 -EQ | 2 | - RA<1> = A_FSB<11> & !ram/RASEL - # ram/RASEL & A_FSB<2>; - -MACROCELL | 4 | 1 | RA_2_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 3 | A_FSB<12> | ram/RASEL | A_FSB<3> -INPUTMC | 1 | 2 | 3 -INPUTP | 2 | 104 | 107 -EQ | 2 | - RA<2> = A_FSB<12> & !ram/RASEL - # ram/RASEL & A_FSB<3>; - -MACROCELL | 4 | 5 | RA_3_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 3 | A_FSB<13> | ram/RASEL | A_FSB<4> -INPUTMC | 1 | 2 | 3 -INPUTP | 2 | 19 | 96 -EQ | 2 | - RA<3> = A_FSB<13> & !ram/RASEL - # ram/RASEL & A_FSB<4>; - -MACROCELL | 4 | 8 | RA_4_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 3 | A_FSB<14> | ram/RASEL | A_FSB<5> -INPUTMC | 1 | 2 | 3 -INPUTP | 2 | 102 | 24 -EQ | 2 | - RA<4> = A_FSB<14> & !ram/RASEL - # ram/RASEL & A_FSB<5>; - -MACROCELL | 5 | 1 | RA_5_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 3 | A_FSB<15> | ram/RASEL | A_FSB<6> -INPUTMC | 1 | 2 | 3 -INPUTP | 2 | 140 | 157 -EQ | 2 | - RA<5> = A_FSB<15> & !ram/RASEL - # ram/RASEL & A_FSB<6>; - -MACROCELL | 5 | 5 | RA_6_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 3 | A_FSB<16> | ram/RASEL | A_FSB<7> -INPUTMC | 1 | 2 | 3 -INPUTP | 2 | 145 | 123 -EQ | 2 | - RA<6> = A_FSB<16> & !ram/RASEL - # ram/RASEL & A_FSB<7>; - -MACROCELL | 5 | 8 | RA_7_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 3 | A_FSB<17> | ram/RASEL | A_FSB<8> -INPUTMC | 1 | 2 | 3 -INPUTP | 2 | 136 | 117 -EQ | 2 | - RA<7> = A_FSB<8> & ram/RASEL - # A_FSB<17> & !ram/RASEL; - -MACROCELL | 5 | 11 | RA_8_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 3 | A_FSB<18> | ram/RASEL | A_FSB<9> -INPUTMC | 1 | 2 | 3 -INPUTP | 2 | 147 | 114 -EQ | 2 | - RA<8> = A_FSB<9> & ram/RASEL - # A_FSB<18> & !ram/RASEL; - -MACROCELL | 4 | 11 | RA_9_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 3 | A_FSB<19> | ram/RASEL | A_FSB<20> -INPUTMC | 1 | 2 | 3 -INPUTP | 2 | 98 | 22 -EQ | 2 | - RA<9> = A_FSB<20> & ram/RASEL - # A_FSB<19> & !ram/RASEL; - -MACROCELL | 0 | 1 | nBERR_FSB_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 0 | 2 -INPUTS | 13 | nAS_FSB | BERR_IOBS | TimeoutB | fsb/BERR0r | fsb/BERR1r | A_FSB<23> | A_FSB<21> | A_FSB<18> | A_FSB<14> | A_FSB<13> | A_FSB<22> | cs/nOverlay1 | EXP10_.EXP -INPUTMC | 6 | 0 | 11 | 3 | 15 | 0 | 12 | 3 | 10 | 5 | 7 | 0 | 0 -INPUTP | 7 | 112 | 127 | 134 | 147 | 102 | 19 | 153 -EXPORTS | 1 | 0 | 2 -IMPORTS | 1 | 0 | 0 -EQ | 9 | - nBERR_FSB = nAS_FSB - # !BERR_IOBS & !TimeoutB & !fsb/BERR0r & !fsb/BERR1r -;Imported pterms FB1_1 - # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & A_FSB<20> & - !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r; - nBERR_FSB_OBUF.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<18> - # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1 - -MACROCELL | 3 | 5 | nOE_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 2 | nWE_FSB | nAS_FSB -INPUTP | 2 | 97 | 112 -EQ | 1 | - !nOE = nWE_FSB & !nAS_FSB; - -MACROCELL | 3 | 14 | nROMWE_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 2 | nWE_FSB | nAS_FSB -INPUTP | 2 | 97 | 112 -EQ | 1 | - !nROMWE = !nWE_FSB & !nAS_FSB; - -MACROCELL | 6 | 11 | nVPA_FSB_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 2 | fsb/VPA | nAS_FSB -INPUTMC | 1 | 7 | 17 -INPUTP | 1 | 112 -EQ | 1 | - !nVPA_FSB = fsb/VPA & !nAS_FSB; - -MACROCELL | 6 | 7 | nADoutLE0_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 2 | ALE0M | ALE0S -INPUTMC | 2 | 4 | 12 | 5 | 2 -EQ | 1 | - nADoutLE0 = !ALE0M & !ALE0S; - -MACROCELL | 0 | 4 | nDinOE_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 0 | 3 -INPUTS | 9 | A_FSB<23> | nWE_FSB | nAS_FSB | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<17> | A_FSB<16> -INPUTP | 9 | 127 | 97 | 112 | 153 | 134 | 22 | 98 | 136 | 145 -EXPORTS | 1 | 0 | 3 -EQ | 6 | - nDinOE = A_FSB<23> & nWE_FSB & !nAS_FSB - # A_FSB<22> & !A_FSB<21> & A_FSB<20> & nWE_FSB & - !nAS_FSB; - nDinOE_OBUF.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<19> - # !A_FSB<23> & A_FSB<21> & !A_FSB<17> - # !A_FSB<23> & A_FSB<21> & !A_FSB<16> - -MACROCELL | 2 | 4 | nRAS_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 2 | 3 -INPUTS | 13 | A_FSB<22> | cs/nOverlay1 | cnt/RefDone | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/BACTr | fsb/ASrf | nAS_FSB | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | ram/RS_FSM_FFd3 | EXP19_.EXP -INPUTMC | 11 | 5 | 7 | 3 | 12 | 5 | 16 | 5 | 13 | 3 | 0 | 4 | 2 | 3 | 4 | 3 | 3 | 3 | 2 | 5 | 3 | 2 | 5 -INPUTP | 2 | 153 | 112 -EXPORTS | 1 | 2 | 3 -IMPORTS | 1 | 2 | 5 -EQ | 18 | - !nRAS = ;Imported pterms FB3_6 - RefAck - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !ram/RAMDIS2 & !nAS_FSB & !ram/RAMDIS1 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/RAMDIS2 & !nAS_FSB & !ram/RAMDIS1; - nRAS_OBUF.EXP = A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & - !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr - # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - # !cnt/RefDone & nAS_FSB & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & - !fsb/ASrf - # !cnt/RefDone & nAS_FSB & ram/RS_FSM_FFd1 & - ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & - !fsb/ASrf - -MACROCELL | 2 | 14 | A_FSB_19_IBUF$BUF0 -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 2 | 13 -INPUTS | 5 | A_FSB<19> | cnt/RefDone | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> -INPUTMC | 4 | 3 | 12 | 3 | 4 | 3 | 3 | 3 | 2 -INPUTP | 1 | 98 -EXPORTS | 1 | 2 | 13 -EQ | 3 | - RA<11> = A_FSB<19>; - A_FSB_19_IBUF$BUF0.EXP = !cnt/RefDone & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> - -MACROCELL | 0 | 13 | A_FSB_21_IBUF$BUF0 -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 0 | 14 -INPUTS | 7 | A_FSB<21> | A_FSB<23> | A_FSB<22> | A_FSB<20> | cs/nOverlay0 | nAS_FSB | fsb/ASrf -INPUTMC | 2 | 0 | 14 | 4 | 2 -INPUTP | 5 | 134 | 127 | 153 | 22 | 112 -EXPORTS | 1 | 0 | 14 -EQ | 5 | - RA<10> = A_FSB<21>; - A_FSB_21_IBUF$BUF0.EXP = !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & - !cs/nOverlay0 & !nAS_FSB - # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & - !cs/nOverlay0 & fsb/ASrf - -MACROCELL | 5 | 14 | nADoutLE1_OBUF -ATTRIBUTES | 8815366 | 0 -OUTPUTMC | 25 | 7 | 11 | 0 | 11 | 0 | 15 | 5 | 10 | 3 | 17 | 7 | 5 | 7 | 10 | 0 | 3 | 5 | 12 | 7 | 2 | 0 | 8 | 2 | 9 | 0 | 6 | 5 | 15 | 5 | 14 | 0 | 5 | 0 | 7 | 0 | 9 | 0 | 10 | 0 | 12 | 0 | 14 | 0 | 16 | 0 | 17 | 7 | 0 | 7 | 9 -INPUTS | 3 | iobs/Clear1 | nADoutLE1 | iobs/Load1 -INPUTMC | 3 | 5 | 15 | 5 | 14 | 2 | 9 -EQ | 3 | - !nADoutLE1.D = iobs/Load1 - # !iobs/Clear1 & !nADoutLE1; - nADoutLE1.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB - -MACROCELL | 3 | 8 | nRAMLWE_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 5 | nWE_FSB | nLDS_FSB | ram/RAMDIS2 | nAS_FSB | ram/RAMDIS1 -INPUTMC | 2 | 2 | 7 | 2 | 16 -INPUTP | 3 | 97 | 15 | 112 -EQ | 2 | - !nRAMLWE = !nWE_FSB & !nLDS_FSB & !ram/RAMDIS2 & !nAS_FSB & - !ram/RAMDIS1; - -MACROCELL | 3 | 11 | nRAMUWE_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 5 | nWE_FSB | nUDS_FSB | ram/RAMDIS2 | nAS_FSB | ram/RAMDIS1 -INPUTMC | 2 | 2 | 7 | 2 | 16 -INPUTP | 3 | 97 | 28 | 112 -EQ | 2 | - !nRAMUWE = !nWE_FSB & !nUDS_FSB & !ram/RAMDIS2 & !nAS_FSB & - !ram/RAMDIS1; - -MACROCELL | 0 | 7 | nROMCS_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 0 | 6 -INPUTS | 12 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<18> | iobs/PS_FSM_FFd2 | nADoutLE1 | A_FSB<17> | A_FSB<14> | A_FSB<13> | cs/nOverlay1 | iobs/PS_FSM_FFd2.EXP -INPUTMC | 4 | 0 | 8 | 5 | 14 | 5 | 7 | 0 | 8 -INPUTP | 8 | 127 | 153 | 134 | 22 | 147 | 136 | 102 | 19 -EXPORTS | 1 | 0 | 6 -IMPORTS | 1 | 0 | 8 -EQ | 12 | - !nROMCS = !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> -;Imported pterms FB1_9 - # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & - !cs/nOverlay1; - nROMCS_OBUF.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<18> & - !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & - !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & - !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1 & !iobs/PS_FSM_FFd2 & nADoutLE1 - -MACROCELL | 7 | 10 | nAoutOE_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 7 | 9 -INPUTS | 13 | A_FSB<13> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | fsb/Ready1r | iobs/IOReady | nADoutLE1 -INPUTMC | 4 | 5 | 7 | 7 | 8 | 5 | 12 | 5 | 14 -INPUTP | 9 | 19 | 153 | 134 | 22 | 98 | 147 | 136 | 145 | 97 -EXPORTS | 1 | 7 | 9 -EQ | 5 | - nAoutOE = Gnd; - nAoutOE_OBUF.EXP = A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & - !nADoutLE1 - -MACROCELL | 4 | 6 | $OpTx$$OpTx$FX_DC$355_INV$439 -ATTRIBUTES | 133888 | 0 -OUTPUTMC | 5 | 7 | 17 | 7 | 0 | 7 | 1 | 7 | 15 | 7 | 16 -INPUTS | 2 | nAS_FSB | fsb/ASrf -INPUTMC | 1 | 4 | 2 -INPUTP | 1 | 112 -EQ | 1 | - $OpTx$$OpTx$FX_DC$355_INV$439 = nAS_FSB & !fsb/ASrf; - -MACROCELL | 6 | 17 | $OpTx$FX_DC$360 -ATTRIBUTES | 133888 | 0 -OUTPUTMC | 2 | 7 | 2 | 7 | 6 -INPUTS | 2 | A_FSB<22> | cs/nOverlay1 -INPUTMC | 1 | 5 | 7 -INPUTP | 1 | 153 -EQ | 2 | - !$OpTx$FX_DC$360 = A_FSB<22> - $ cs/nOverlay1; - -MACROCELL | 0 | 0 | EXP10_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 0 | 1 -INPUTS | 7 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | BERR_IOBS | fsb/BERR0r | fsb/BERR1r -INPUTMC | 3 | 0 | 11 | 0 | 12 | 3 | 10 -INPUTP | 4 | 127 | 153 | 134 | 22 -EXPORTS | 1 | 0 | 1 -EQ | 2 | - EXP10_.EXP = !A_FSB<23> & A_FSB<22> & !A_FSB<21> & A_FSB<20> & - !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r - -MACROCELL | 0 | 2 | EXP11_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 0 | 3 -INPUTS | 11 | nWE_FSB | iobs/IORW1 | nAS_FSB | fsb/ASrf | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | nBERR_FSB_OBUF.EXP -INPUTMC | 6 | 0 | 3 | 4 | 2 | 0 | 8 | 5 | 4 | 5 | 7 | 0 | 1 -INPUTP | 5 | 97 | 112 | 127 | 153 | 134 -EXPORTS | 1 | 0 | 3 -IMPORTS | 1 | 0 | 1 -EQ | 10 | - EXP11_.EXP = !nWE_FSB & !iobs/IORW1 - # nAS_FSB & !fsb/ASrf - # !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 -;Imported pterms FB1_2 - # !A_FSB<23> & A_FSB<21> & !A_FSB<18> - # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1 - -MACROCELL | 0 | 5 | EXP12_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 0 | 6 -INPUTS | 9 | A_FSB<23> | A_FSB<22> | A_FSB<21> | iobs/PS_FSM_FFd2 | nADoutLE1 | cs/nOverlay1 | A_FSB<19> | A_FSB<16> | nWE_FSB -INPUTMC | 3 | 0 | 8 | 5 | 14 | 5 | 7 -INPUTP | 6 | 127 | 153 | 134 | 98 | 145 | 97 -EXPORTS | 1 | 0 | 6 -EQ | 10 | - EXP12_.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & - !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & - !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<19> & - !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & - !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & nWE_FSB & - !iobs/PS_FSM_FFd2 & nADoutLE1 - -MACROCELL | 0 | 9 | EXP13_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 0 | 8 -INPUTS | 12 | nAS_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | fsb/ASrf | nADoutLE1 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | A_FSB<19> | nWE_FSB | nVMA_IOB_OBUF.EXP -INPUTMC | 6 | 0 | 8 | 5 | 4 | 4 | 2 | 5 | 14 | 5 | 7 | 0 | 10 -INPUTP | 6 | 112 | 127 | 153 | 134 | 98 | 97 -EXPORTS | 1 | 0 | 8 -IMPORTS | 1 | 0 | 10 -EQ | 21 | - EXP13_.EXP = nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & - !fsb/ASrf & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<19> & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & nWE_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 -;Imported pterms FB1_11 - # !A_FSB<23> & A_FSB<21> & !A_FSB<18> & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - -MACROCELL | 0 | 16 | EXP14_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 0 | 15 -INPUTS | 19 | A_FSB<14> | A_FSB<22> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | iobs/Once | IORW0 | nWE_FSB | nAS_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | fsb/ASrf | A_FSB<13> | A_FSB<21> | EXP15_.EXP -INPUTMC | 8 | 5 | 7 | 7 | 12 | 0 | 15 | 0 | 8 | 5 | 4 | 5 | 14 | 4 | 2 | 0 | 17 -INPUTP | 11 | 102 | 153 | 22 | 98 | 147 | 136 | 145 | 97 | 112 | 19 | 134 -EXPORTS | 1 | 0 | 15 -IMPORTS | 1 | 0 | 17 -EQ | 33 | - EXP14_.EXP = A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & - !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & - !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 - # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & - !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & - !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 - # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 -;Imported pterms FB1_18 - # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 - # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 - -MACROCELL | 0 | 17 | EXP15_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 0 | 16 -INPUTS | 18 | A_FSB<14> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | iobs/Once | IORW0 | nWE_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | fsb/ASrf | nADoutLE1 | A_FSB<13> | nAS_FSB -INPUTMC | 7 | 5 | 7 | 7 | 12 | 0 | 15 | 0 | 8 | 5 | 4 | 4 | 2 | 5 | 14 -INPUTP | 11 | 102 | 153 | 134 | 22 | 98 | 147 | 136 | 145 | 97 | 19 | 112 -EXPORTS | 1 | 0 | 16 -EQ | 12 | - EXP15_.EXP = A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 - # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 - -MACROCELL | 2 | 0 | EXP16_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 17 -INPUTS | 8 | A_FSB<22> | A_FSB<21> | cnt/RefDone | ram/RS_FSM_FFd1 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | cs/nOverlay1 -INPUTMC | 6 | 3 | 12 | 5 | 13 | 3 | 4 | 3 | 3 | 3 | 2 | 5 | 7 -INPUTP | 2 | 153 | 134 -EXPORTS | 1 | 2 | 17 -EQ | 6 | - EXP16_.EXP = A_FSB<22> & !A_FSB<21> & !cnt/RefDone & - !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - -MACROCELL | 2 | 1 | EXP17_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 2 -INPUTS | 13 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | ram/Once | nAS_FSB | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | fsb/ASrf | cnt/RefDone | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> -INPUTMC | 9 | 5 | 7 | 5 | 0 | 5 | 16 | 5 | 13 | 4 | 2 | 3 | 12 | 3 | 4 | 3 | 3 | 3 | 2 -INPUTP | 4 | 127 | 153 | 134 | 112 -EXPORTS | 1 | 2 | 2 -EQ | 15 | - EXP17_.EXP = !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd1 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - fsb/ASrf - # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> - # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> - # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> - -MACROCELL | 2 | 2 | EXP18_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 3 -INPUTS | 12 | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/Once | nAS_FSB | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | fsb/ASrf | A_FSB<21> | cnt/RefDone | ram/BACTr | EXP17_.EXP -INPUTMC | 8 | 5 | 7 | 5 | 0 | 5 | 16 | 5 | 13 | 4 | 2 | 3 | 12 | 3 | 0 | 2 | 1 -INPUTP | 4 | 127 | 153 | 112 | 134 -EXPORTS | 1 | 2 | 3 -IMPORTS | 1 | 2 | 1 -EQ | 26 | - EXP18_.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & - !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & fsb/ASrf - # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & !nAS_FSB & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr - # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & - !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr -;Imported pterms FB3_2 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd1 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - fsb/ASrf - # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> - # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> - # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> - -MACROCELL | 2 | 5 | EXP19_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 4 -INPUTS | 8 | RefAck | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/RAMDIS2 | nAS_FSB | ram/RAMDIS1 | A_FSB<21> -INPUTMC | 4 | 5 | 17 | 5 | 7 | 2 | 7 | 2 | 16 -INPUTP | 4 | 127 | 153 | 112 | 134 -EXPORTS | 1 | 2 | 4 -EQ | 5 | - EXP19_.EXP = RefAck - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !ram/RAMDIS2 & !nAS_FSB & !ram/RAMDIS1 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/RAMDIS2 & !nAS_FSB & !ram/RAMDIS1 - -MACROCELL | 2 | 6 | EXP20_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 7 -INPUTS | 15 | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/Once | cnt/RefDone | ram/RAMDIS2 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | fsb/ASrf | A_FSB<21> | nAS_FSB -INPUTMC | 11 | 5 | 7 | 5 | 0 | 3 | 12 | 2 | 7 | 5 | 16 | 5 | 13 | 5 | 3 | 3 | 4 | 3 | 3 | 3 | 2 | 4 | 2 -INPUTP | 4 | 127 | 153 | 134 | 112 -EXPORTS | 1 | 2 | 7 -EQ | 12 | - EXP20_.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & ram/Once & - !cnt/RefDone & !ram/RAMDIS2 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & - fsb/ASrf - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & ram/Once & !cnt/RefDone & !ram/RAMDIS2 & !nAS_FSB & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & ram/Once & !cnt/RefDone & !ram/RAMDIS2 & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & fsb/ASrf - -MACROCELL | 2 | 8 | EXP21_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 9 -INPUTS | 8 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | A_FSB<19> | A_FSB<16> | nWE_FSB | ram/RAMDIS2.EXP -INPUTMC | 2 | 5 | 7 | 2 | 7 -INPUTP | 6 | 127 | 153 | 134 | 98 | 145 | 97 -EXPORTS | 1 | 2 | 9 -IMPORTS | 1 | 2 | 7 -EQ | 7 | - EXP21_.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<21> - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<19> - # !A_FSB<23> & A_FSB<21> & !A_FSB<16> - # !A_FSB<23> & A_FSB<21> & nWE_FSB -;Imported pterms FB3_8 - # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> - -MACROCELL | 2 | 11 | EXP22_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 12 -INPUTS | 10 | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/Once | nAS_FSB | ram/RS_FSM_FFd1 | fsb/ASrf | cnt/RefDone | ram/BACTr | A_FSB<21> -INPUTMC | 6 | 5 | 7 | 5 | 0 | 5 | 13 | 4 | 2 | 3 | 12 | 3 | 0 -INPUTP | 4 | 127 | 153 | 112 | 134 -EXPORTS | 1 | 2 | 12 -EQ | 10 | - EXP22_.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & - !nAS_FSB & !ram/RS_FSM_FFd1 - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & - !ram/RS_FSM_FFd1 & fsb/ASrf - # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd1 & fsb/ASrf - -MACROCELL | 2 | 13 | EXP23_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 12 -INPUTS | 9 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd1 | A_FSB<23> | cnt/RefDone | nAS_FSB | ram/BACTr | fsb/ASrf | A_FSB_19_IBUF$BUF0.EXP -INPUTMC | 7 | 5 | 16 | 5 | 3 | 5 | 13 | 3 | 12 | 3 | 0 | 4 | 2 | 2 | 14 -INPUTP | 2 | 127 | 112 -EXPORTS | 1 | 2 | 12 -IMPORTS | 1 | 2 | 14 -EQ | 10 | - EXP23_.EXP = ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 - # !ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 - # !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 - # A_FSB<23> & !cnt/RefDone & !nAS_FSB & - !ram/RS_FSM_FFd1 & !ram/BACTr - # A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd1 & - !ram/BACTr & fsb/ASrf -;Imported pterms FB3_15 - # !cnt/RefDone & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> - -MACROCELL | 2 | 15 | EXP24_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 16 -INPUTS | 8 | A_FSB<22> | A_FSB<21> | cnt/RefDone | nAS_FSB | ram/RS_FSM_FFd1 | ram/BACTr | fsb/ASrf | cs/nOverlay1 -INPUTMC | 5 | 3 | 12 | 5 | 13 | 3 | 0 | 4 | 2 | 5 | 7 -INPUTP | 3 | 153 | 134 | 112 -EXPORTS | 1 | 2 | 16 -EQ | 10 | - EXP24_.EXP = A_FSB<22> & !A_FSB<21> & !cnt/RefDone & !nAS_FSB & - !ram/RS_FSM_FFd1 & !ram/BACTr - # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & - !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & - !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr - # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & - !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr - -MACROCELL | 2 | 17 | EXP25_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 16 -INPUTS | 15 | A_FSB<23> | cnt/RefDone | ram/RS_FSM_FFd1 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | A_FSB<22> | cs/nOverlay1 | ram/BACTr | fsb/ASrf | ram/Once | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | nAS_FSB | EXP16_.EXP -INPUTMC | 12 | 3 | 12 | 5 | 13 | 3 | 4 | 3 | 3 | 3 | 2 | 5 | 7 | 3 | 0 | 4 | 2 | 5 | 0 | 5 | 16 | 5 | 3 | 2 | 0 -INPUTP | 3 | 127 | 153 | 112 -EXPORTS | 1 | 2 | 16 -IMPORTS | 1 | 2 | 0 -EQ | 17 | - EXP25_.EXP = A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd1 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - # ram/Once & !cnt/RefDone & !ram/RS_FSM_FFd1 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # !cnt/RefDone & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd3 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # !cnt/RefDone & nAS_FSB & !ram/RS_FSM_FFd1 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & !fsb/ASrf -;Imported pterms FB3_1 - # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & - !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - -MACROCELL | 7 | 0 | EXP26_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 7 | 17 -INPUTS | 20 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | fsb/Ready0r | fsb/VPA | ram/RAMReady | $OpTx$$OpTx$FX_DC$355_INV$439 | A_FSB<14> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | fsb/Ready1r | iobs/IOReady | A_FSB<13> | nADoutLE1 | EXP27_.EXP -INPUTMC | 9 | 5 | 7 | 5 | 9 | 7 | 17 | 2 | 12 | 4 | 6 | 7 | 8 | 5 | 12 | 5 | 14 | 7 | 1 -INPUTP | 11 | 127 | 153 | 134 | 102 | 22 | 98 | 147 | 136 | 145 | 97 | 19 -EXPORTS | 1 | 7 | 17 -IMPORTS | 1 | 7 | 1 -EQ | 45 | - EXP26_.EXP = !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !fsb/Ready0r & fsb/VPA & !ram/RAMReady & - !$OpTx$$OpTx$FX_DC$355_INV$439 - # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & - !fsb/Ready1r & fsb/VPA & !iobs/IOReady & - !$OpTx$$OpTx$FX_DC$355_INV$439 - # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & - !fsb/Ready1r & fsb/VPA & !iobs/IOReady & - !$OpTx$$OpTx$FX_DC$355_INV$439 - # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & fsb/VPA & !iobs/IOReady & - !nADoutLE1 & !$OpTx$$OpTx$FX_DC$355_INV$439 - # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & fsb/VPA & !iobs/IOReady & - !nADoutLE1 & !$OpTx$$OpTx$FX_DC$355_INV$439 -;Imported pterms FB8_2 - # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 - # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 - # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !TimeoutB & !fsb/BERR0r & - !fsb/BERR1r & fsb/Ready1r & !$OpTx$$OpTx$FX_DC$355_INV$439 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !TimeoutB & !fsb/BERR0r & - !fsb/BERR1r & iobs/IOReady & !$OpTx$$OpTx$FX_DC$355_INV$439 - -MACROCELL | 7 | 1 | EXP27_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 7 | 0 -INPUTS | 28 | A_FSB<9> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | TimeoutA | fsb/Ready2r | fsb/VPA | $OpTx$$OpTx$FX_DC$355_INV$439 | A_FSB<8> | BERR_IOBS | TimeoutB | fsb/BERR0r | fsb/BERR1r | fsb/Ready1r | iobs/IOReady -INPUTMC | 11 | 5 | 7 | 3 | 16 | 7 | 7 | 7 | 17 | 4 | 6 | 0 | 11 | 3 | 15 | 0 | 12 | 3 | 10 | 7 | 8 | 5 | 12 -INPUTP | 17 | 114 | 140 | 102 | 19 | 104 | 105 | 143 | 127 | 153 | 134 | 22 | 98 | 147 | 136 | 145 | 97 | 117 -EXPORTS | 1 | 7 | 0 -EQ | 25 | - EXP27_.EXP = A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 - # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 - # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !TimeoutB & !fsb/BERR0r & - !fsb/BERR1r & fsb/Ready1r & !$OpTx$$OpTx$FX_DC$355_INV$439 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !TimeoutB & !fsb/BERR0r & - !fsb/BERR1r & iobs/IOReady & !$OpTx$$OpTx$FX_DC$355_INV$439 - -MACROCELL | 7 | 2 | EXP28_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 7 | 3 -INPUTS | 24 | A_FSB<13> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | fsb/Ready1r | iobs/IOReady | nDTACK_FSB | nADoutLE1 | A_FSB<8> | A_FSB<15> | A_FSB<14> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | TimeoutA | fsb/Ready2r | $OpTx$FX_DC$360 -INPUTMC | 8 | 5 | 7 | 7 | 8 | 5 | 12 | 7 | 4 | 5 | 14 | 3 | 16 | 7 | 7 | 6 | 17 -INPUTP | 16 | 19 | 153 | 134 | 22 | 98 | 147 | 136 | 145 | 97 | 117 | 140 | 102 | 104 | 105 | 143 | 127 -EXPORTS | 1 | 7 | 3 -EQ | 9 | - EXP28_.EXP = A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & - nDTACK_FSB & !nADoutLE1 - # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<21> & - A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - !nWE_FSB & !TimeoutA & !fsb/Ready2r & nDTACK_FSB & - !$OpTx$FX_DC$360 - -MACROCELL | 7 | 3 | EXP29_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 7 | 4 -INPUTS | 9 | A_FSB<23> | TimeoutB | nDTACK_FSB | A_FSB<22> | A_FSB<21> | fsb/Ready1r | iobs/IOReady | A_FSB<20> | EXP28_.EXP -INPUTMC | 5 | 3 | 15 | 7 | 4 | 7 | 8 | 5 | 12 | 7 | 2 -INPUTP | 4 | 127 | 153 | 134 | 22 -EXPORTS | 1 | 7 | 4 -IMPORTS | 1 | 7 | 2 -EQ | 17 | - EXP29_.EXP = A_FSB<23> & TimeoutB & nDTACK_FSB - # !A_FSB<22> & TimeoutB & nDTACK_FSB - # A_FSB<21> & TimeoutB & nDTACK_FSB - # A_FSB<23> & !fsb/Ready1r & !iobs/IOReady & - nDTACK_FSB - # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !fsb/Ready1r & - !iobs/IOReady & nDTACK_FSB -;Imported pterms FB8_3 - # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & - nDTACK_FSB & !nADoutLE1 - # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<21> & - A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - !nWE_FSB & !TimeoutA & !fsb/Ready2r & nDTACK_FSB & - !$OpTx$FX_DC$360 - -MACROCELL | 7 | 5 | EXP30_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 7 | 4 -INPUTS | 19 | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | fsb/Ready0r | nDTACK_FSB | ram/RAMReady | A_FSB<21> | A_FSB<14> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | fsb/Ready1r | iobs/IOReady | A_FSB<13> | nADoutLE1 | EXP31_.EXP -INPUTMC | 8 | 5 | 7 | 5 | 9 | 7 | 4 | 2 | 12 | 7 | 8 | 5 | 12 | 5 | 14 | 7 | 6 -INPUTP | 11 | 127 | 153 | 134 | 102 | 22 | 98 | 147 | 136 | 145 | 97 | 19 -EXPORTS | 1 | 7 | 4 -IMPORTS | 1 | 7 | 6 -EQ | 40 | - EXP30_.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady - # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & - !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB - # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & - !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB - # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & - nDTACK_FSB & !nADoutLE1 -;Imported pterms FB8_7 - # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<21> & - A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - !nWE_FSB & !TimeoutA & !fsb/Ready2r & nDTACK_FSB & - !$OpTx$FX_DC$360 - # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<21> & - A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - !nWE_FSB & !TimeoutA & !fsb/Ready2r & nDTACK_FSB & - !$OpTx$FX_DC$360 - # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<21> & - A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - !nWE_FSB & !TimeoutA & !fsb/Ready2r & nDTACK_FSB & - !$OpTx$FX_DC$360 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !TimeoutB & !fsb/BERR0r & - !fsb/BERR1r & fsb/Ready1r - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !TimeoutB & !fsb/BERR0r & - !fsb/BERR1r & iobs/IOReady - -MACROCELL | 7 | 6 | EXP31_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 7 | 5 -INPUTS | 27 | A_FSB<9> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | TimeoutA | fsb/Ready2r | nDTACK_FSB | $OpTx$FX_DC$360 | A_FSB<8> | A_FSB<22> | BERR_IOBS | TimeoutB | fsb/BERR0r | fsb/BERR1r | fsb/Ready1r | iobs/IOReady -INPUTMC | 10 | 3 | 16 | 7 | 7 | 7 | 4 | 6 | 17 | 0 | 11 | 3 | 15 | 0 | 12 | 3 | 10 | 7 | 8 | 5 | 12 -INPUTP | 17 | 114 | 140 | 102 | 19 | 104 | 105 | 143 | 127 | 134 | 22 | 98 | 147 | 136 | 145 | 97 | 117 | 153 -EXPORTS | 1 | 7 | 5 -EQ | 25 | - EXP31_.EXP = A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<21> & - A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - !nWE_FSB & !TimeoutA & !fsb/Ready2r & nDTACK_FSB & - !$OpTx$FX_DC$360 - # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<21> & - A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - !nWE_FSB & !TimeoutA & !fsb/Ready2r & nDTACK_FSB & - !$OpTx$FX_DC$360 - # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<21> & - A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - !nWE_FSB & !TimeoutA & !fsb/Ready2r & nDTACK_FSB & - !$OpTx$FX_DC$360 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !TimeoutB & !fsb/BERR0r & - !fsb/BERR1r & fsb/Ready1r - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !TimeoutB & !fsb/BERR0r & - !fsb/BERR1r & iobs/IOReady - -MACROCELL | 7 | 9 | EXP32_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 7 | 8 -INPUTS | 16 | A_FSB<23> | fsb/Ready1r | iobs/IOReady | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<14> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | A_FSB<13> | nADoutLE1 | nAoutOE_OBUF.EXP -INPUTMC | 5 | 7 | 8 | 5 | 12 | 5 | 7 | 5 | 14 | 7 | 10 -INPUTP | 11 | 127 | 153 | 134 | 22 | 102 | 98 | 147 | 136 | 145 | 97 | 19 -EXPORTS | 1 | 7 | 8 -IMPORTS | 1 | 7 | 10 -EQ | 18 | - EXP32_.EXP = A_FSB<23> & !fsb/Ready1r & !iobs/IOReady - # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !fsb/Ready1r & - !iobs/IOReady - # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & - !fsb/Ready1r & !iobs/IOReady - # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & - !fsb/Ready1r & !iobs/IOReady - # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & - !nADoutLE1 -;Imported pterms FB8_11 - # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & - !nADoutLE1 - -MACROCELL | 7 | 11 | EXP33_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 7 | 12 -INPUTS | 8 | A_FSB<23> | iobs/Once | iobs/PS_FSM_FFd1 | iobs/PS_FSM_FFd2 | nADoutLE1 | A_FSB<22> | A_FSB<21> | cs/nOverlay1 -INPUTMC | 5 | 7 | 12 | 5 | 4 | 0 | 8 | 5 | 14 | 5 | 7 -INPUTP | 3 | 127 | 153 | 134 -EXPORTS | 1 | 7 | 12 -EQ | 6 | - EXP33_.EXP = A_FSB<23> & !iobs/Once & iobs/PS_FSM_FFd1 - # !iobs/Once & iobs/PS_FSM_FFd2 & !nADoutLE1 - # !iobs/Once & iobs/PS_FSM_FFd1 & !nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & !iobs/Once - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & - !iobs/Once - -MACROCELL | 7 | 13 | EXP34_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 7 | 12 -INPUTS | 9 | A_FSB<23> | A_FSB<21> | A_FSB<19> | iobs/Once | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | EXP35_.EXP -INPUTMC | 2 | 7 | 12 | 7 | 14 -INPUTP | 7 | 127 | 134 | 98 | 147 | 136 | 145 | 97 -EXPORTS | 1 | 7 | 12 -IMPORTS | 1 | 7 | 14 -EQ | 10 | - EXP34_.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<19> & !iobs/Once - # !A_FSB<23> & A_FSB<21> & !A_FSB<18> & !iobs/Once - # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & !iobs/Once - # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & !iobs/Once - # !A_FSB<23> & A_FSB<21> & !iobs/Once & nWE_FSB -;Imported pterms FB8_15 - # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & - !iobs/Once - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1 & !iobs/Once - -MACROCELL | 7 | 14 | EXP35_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 7 | 13 -INPUTS | 7 | A_FSB<14> | A_FSB<13> | A_FSB<23> | A_FSB<21> | iobs/Once | A_FSB<22> | cs/nOverlay1 -INPUTMC | 2 | 7 | 12 | 5 | 7 -INPUTP | 5 | 102 | 19 | 127 | 134 | 153 -EXPORTS | 1 | 7 | 13 -EQ | 4 | - EXP35_.EXP = !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & - !iobs/Once - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1 & !iobs/Once - -MACROCELL | 7 | 15 | EXP36_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 7 | 16 -INPUTS | 22 | A_FSB<9> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | TimeoutA | fsb/Ready2r | fsb/VPA | $OpTx$$OpTx$FX_DC$355_INV$439 | A_FSB<8> -INPUTMC | 5 | 5 | 7 | 3 | 16 | 7 | 7 | 7 | 17 | 4 | 6 -INPUTP | 17 | 114 | 140 | 102 | 19 | 104 | 105 | 143 | 127 | 153 | 134 | 22 | 98 | 147 | 136 | 145 | 97 | 117 -EXPORTS | 1 | 7 | 16 -EQ | 25 | - EXP36_.EXP = A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 - # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 - # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 - # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 - # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 - -MACROCELL | 7 | 16 | EXP37_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 7 | 17 -INPUTS | 13 | A_FSB<22> | TimeoutB | fsb/VPA | $OpTx$$OpTx$FX_DC$355_INV$439 | A_FSB<21> | A_FSB<23> | fsb/Ready1r | iobs/IOReady | cs/nOverlay1 | fsb/Ready0r | ram/RAMReady | A_FSB<20> | EXP36_.EXP -INPUTMC | 9 | 3 | 15 | 7 | 17 | 4 | 6 | 7 | 8 | 5 | 12 | 5 | 7 | 5 | 9 | 2 | 12 | 7 | 15 -INPUTP | 4 | 153 | 134 | 127 | 22 -EXPORTS | 1 | 7 | 17 -IMPORTS | 1 | 7 | 15 -EQ | 37 | - EXP37_.EXP = !A_FSB<22> & TimeoutB & fsb/VPA & - !$OpTx$$OpTx$FX_DC$355_INV$439 - # A_FSB<21> & TimeoutB & fsb/VPA & - !$OpTx$$OpTx$FX_DC$355_INV$439 - # A_FSB<23> & !fsb/Ready1r & fsb/VPA & - !iobs/IOReady & !$OpTx$$OpTx$FX_DC$355_INV$439 - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !fsb/Ready0r & fsb/VPA & !ram/RAMReady & - !$OpTx$$OpTx$FX_DC$355_INV$439 - # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !fsb/Ready1r & - fsb/VPA & !iobs/IOReady & !$OpTx$$OpTx$FX_DC$355_INV$439 -;Imported pterms FB8_16 - # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 - # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 - # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 - # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 - # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 - -PIN | A_FSB<9> | 64 | 0 | N/A | 114 | 5 | 7 | 7 | 7 | 15 | 7 | 1 | 5 | 11 | 7 | 6 -PIN | A_FSB<8> | 64 | 0 | N/A | 117 | 7 | 7 | 7 | 7 | 15 | 7 | 2 | 5 | 8 | 7 | 1 | 7 | 6 | 7 | 8 -PIN | A_FSB<15> | 64 | 0 | N/A | 140 | 7 | 7 | 7 | 7 | 15 | 7 | 2 | 5 | 1 | 7 | 1 | 7 | 6 | 7 | 8 -PIN | A_FSB<14> | 64 | 0 | N/A | 102 | 17 | 7 | 9 | 0 | 10 | 7 | 6 | 7 | 7 | 7 | 15 | 0 | 1 | 7 | 2 | 0 | 7 | 2 | 7 | 4 | 8 | 0 | 16 | 0 | 17 | 7 | 0 | 7 | 1 | 7 | 5 | 7 | 8 | 7 | 14 -PIN | A_FSB<13> | 64 | 0 | N/A | 19 | 18 | 7 | 10 | 0 | 10 | 7 | 6 | 7 | 7 | 7 | 15 | 0 | 1 | 7 | 2 | 0 | 7 | 2 | 7 | 4 | 5 | 0 | 16 | 0 | 17 | 7 | 0 | 7 | 1 | 7 | 5 | 7 | 8 | 7 | 9 | 7 | 14 -PIN | A_FSB<12> | 64 | 0 | N/A | 104 | 7 | 7 | 7 | 7 | 15 | 7 | 2 | 4 | 1 | 7 | 1 | 7 | 6 | 7 | 8 -PIN | A_FSB<11> | 64 | 0 | N/A | 105 | 7 | 7 | 7 | 7 | 15 | 7 | 2 | 3 | 1 | 7 | 1 | 7 | 6 | 7 | 8 -PIN | A_FSB<10> | 64 | 0 | N/A | 143 | 7 | 7 | 7 | 7 | 15 | 7 | 2 | 2 | 10 | 7 | 1 | 7 | 6 | 7 | 8 -PIN | A_FSB<23> | 64 | 0 | N/A | 127 | 51 | 5 | 0 | 7 | 12 | 0 | 15 | 0 | 13 | 0 | 12 | 5 | 9 | 7 | 6 | 7 | 7 | 7 | 17 | 0 | 3 | 2 | 7 | 7 | 3 | 2 | 3 | 5 | 15 | 5 | 13 | 5 | 3 | 0 | 8 | 2 | 9 | 0 | 6 | 2 | 16 | 2 | 12 | 0 | 0 | 0 | 4 | 2 | 2 | 0 | 7 | 0 | 1 | 0 | 2 | 0 | 5 | 0 | 9 | 0 | 10 | 0 | 14 | 2 | 1 | 2 | 5 | 2 | 6 | 2 | 8 | 2 | 10 | 2 | 11 | 2 | 13 | 2 | 17 | 5 | 17 | 7 | 0 | 7 | 1 | 7 | 2 | 7 | 5 | 7 | 8 | 7 | 9 | 7 | 11 | 7 | 13 | 7 | 14 | 7 | 15 | 7 | 16 -PIN | A_FSB<22> | 64 | 0 | N/A | 153 | 48 | 5 | 0 | 7 | 12 | 0 | 14 | 0 | 13 | 0 | 12 | 5 | 9 | 7 | 6 | 7 | 7 | 7 | 16 | 0 | 2 | 2 | 7 | 7 | 3 | 2 | 2 | 5 | 15 | 5 | 13 | 5 | 2 | 0 | 1 | 2 | 8 | 0 | 5 | 2 | 15 | 2 | 12 | 0 | 0 | 0 | 4 | 2 | 1 | 0 | 7 | 6 | 17 | 0 | 9 | 0 | 10 | 0 | 16 | 0 | 17 | 2 | 0 | 2 | 4 | 2 | 5 | 2 | 6 | 2 | 10 | 2 | 11 | 2 | 17 | 5 | 17 | 7 | 0 | 7 | 1 | 7 | 2 | 7 | 5 | 7 | 8 | 7 | 9 | 7 | 10 | 7 | 11 | 7 | 14 | 7 | 15 -PIN | A_FSB<21> | 64 | 0 | N/A | 134 | 46 | 5 | 0 | 7 | 11 | 0 | 14 | 0 | 10 | 0 | 12 | 5 | 9 | 7 | 6 | 7 | 7 | 7 | 16 | 0 | 3 | 2 | 6 | 7 | 3 | 2 | 2 | 2 | 11 | 5 | 13 | 5 | 2 | 0 | 2 | 2 | 8 | 0 | 5 | 2 | 15 | 2 | 12 | 0 | 0 | 0 | 4 | 2 | 1 | 0 | 13 | 0 | 7 | 0 | 1 | 0 | 8 | 0 | 9 | 0 | 16 | 0 | 17 | 2 | 0 | 2 | 5 | 2 | 7 | 2 | 10 | 5 | 17 | 7 | 0 | 7 | 1 | 7 | 2 | 7 | 5 | 7 | 8 | 7 | 9 | 7 | 10 | 7 | 13 | 7 | 14 | 7 | 15 -PIN | A_FSB<20> | 64 | 0 | N/A | 22 | 28 | 7 | 12 | 0 | 14 | 0 | 13 | 0 | 12 | 7 | 6 | 7 | 7 | 7 | 17 | 0 | 3 | 7 | 4 | 0 | 8 | 2 | 9 | 0 | 6 | 4 | 11 | 0 | 0 | 0 | 4 | 0 | 7 | 0 | 16 | 0 | 17 | 7 | 0 | 7 | 1 | 7 | 2 | 7 | 3 | 7 | 5 | 7 | 8 | 7 | 9 | 7 | 10 | 7 | 15 | 7 | 16 -PIN | A_FSB<19> | 64 | 0 | N/A | 98 | 19 | 7 | 10 | 0 | 9 | 7 | 6 | 7 | 7 | 7 | 15 | 2 | 14 | 7 | 2 | 0 | 4 | 2 | 8 | 0 | 5 | 4 | 11 | 0 | 16 | 0 | 17 | 7 | 0 | 7 | 1 | 7 | 5 | 7 | 8 | 7 | 9 | 7 | 13 -PIN | A_FSB<18> | 64 | 0 | N/A | 147 | 18 | 7 | 10 | 0 | 10 | 7 | 6 | 7 | 7 | 7 | 15 | 0 | 1 | 7 | 2 | 0 | 7 | 0 | 17 | 5 | 11 | 0 | 16 | 2 | 10 | 7 | 0 | 7 | 1 | 7 | 5 | 7 | 8 | 7 | 9 | 7 | 13 -PIN | A_FSB<17> | 64 | 0 | N/A | 136 | 18 | 7 | 10 | 0 | 10 | 7 | 6 | 7 | 7 | 7 | 15 | 5 | 8 | 7 | 2 | 0 | 7 | 0 | 17 | 0 | 4 | 0 | 16 | 2 | 10 | 7 | 0 | 7 | 1 | 7 | 5 | 7 | 8 | 7 | 9 | 7 | 13 -PIN | A_FSB<16> | 64 | 0 | N/A | 145 | 18 | 7 | 10 | 0 | 10 | 7 | 6 | 7 | 7 | 7 | 15 | 5 | 5 | 7 | 2 | 0 | 4 | 2 | 8 | 0 | 5 | 0 | 16 | 0 | 17 | 7 | 0 | 7 | 1 | 7 | 5 | 7 | 8 | 7 | 9 | 7 | 13 -PIN | nBERR_IOB | 64 | 0 | N/A | 92 | 4 | 4 | 17 | 1 | 8 | 1 | 7 | 4 | 0 -PIN | CLK2X_IOB | 4096 | 0 | N/A | 33 | 29 | 0 | 10 | 4 | 13 | 4 | 15 | 4 | 9 | 4 | 10 | 1 | 14 | 1 | 12 | 1 | 17 | 1 | 9 | 1 | 15 | 4 | 16 | 4 | 17 | 1 | 5 | 1 | 4 | 1 | 3 | 6 | 14 | 4 | 12 | 1 | 7 | 1 | 0 | 1 | 16 | 1 | 2 | 1 | 6 | 6 | 15 | 1 | 8 | 1 | 1 | 1 | 13 | 6 | 1 | 1 | 10 | 1 | 11 -PIN | CLK_FSB | 8192 | 0 | N/A | 35 | 50 | 5 | 7 | 5 | 0 | 3 | 12 | 7 | 12 | 0 | 11 | 0 | 15 | 0 | 14 | 5 | 10 | 3 | 17 | 3 | 16 | 3 | 15 | 3 | 13 | 0 | 12 | 3 | 10 | 5 | 9 | 7 | 8 | 7 | 7 | 7 | 17 | 5 | 6 | 0 | 3 | 5 | 12 | 4 | 7 | 2 | 7 | 7 | 4 | 2 | 3 | 5 | 16 | 5 | 13 | 5 | 3 | 0 | 8 | 5 | 4 | 4 | 4 | 3 | 4 | 3 | 3 | 4 | 0 | 4 | 3 | 3 | 9 | 3 | 7 | 3 | 6 | 2 | 9 | 3 | 0 | 3 | 2 | 5 | 17 | 5 | 2 | 0 | 6 | 5 | 15 | 2 | 16 | 2 | 12 | 5 | 14 | 4 | 2 | 4 | 14 -PIN | nAS_FSB | 64 | 0 | N/A | 112 | 50 | 5 | 7 | 5 | 0 | 7 | 12 | 0 | 11 | 0 | 15 | 0 | 13 | 3 | 16 | 3 | 15 | 3 | 13 | 0 | 12 | 3 | 10 | 5 | 9 | 7 | 8 | 5 | 17 | 0 | 2 | 5 | 12 | 2 | 7 | 7 | 4 | 2 | 3 | 5 | 15 | 5 | 13 | 5 | 2 | 4 | 6 | 2 | 9 | 3 | 0 | 0 | 6 | 4 | 2 | 2 | 16 | 2 | 12 | 0 | 1 | 3 | 5 | 3 | 14 | 6 | 11 | 0 | 4 | 2 | 2 | 3 | 8 | 3 | 11 | 0 | 9 | 0 | 14 | 0 | 16 | 0 | 17 | 2 | 1 | 2 | 4 | 2 | 5 | 2 | 6 | 2 | 11 | 2 | 13 | 2 | 15 | 2 | 17 | 5 | 4 -PIN | nWE_FSB | 64 | 0 | N/A | 97 | 25 | 7 | 10 | 0 | 15 | 7 | 6 | 7 | 7 | 7 | 15 | 0 | 3 | 7 | 2 | 0 | 2 | 2 | 8 | 0 | 5 | 3 | 5 | 3 | 14 | 0 | 4 | 3 | 8 | 3 | 11 | 0 | 9 | 0 | 14 | 0 | 16 | 0 | 17 | 7 | 0 | 7 | 1 | 7 | 5 | 7 | 8 | 7 | 9 | 7 | 13 -PIN | nRES | 65600 | 0 | N/A | 160 | 3 | 1 | 1 | 1 | 0 | 0 | 14 -PIN | nLDS_FSB | 64 | 0 | N/A | 15 | 3 | 5 | 10 | 5 | 6 | 3 | 8 -PIN | nUDS_FSB | 64 | 0 | N/A | 28 | 3 | 3 | 17 | 4 | 7 | 3 | 11 -PIN | CLK_IOB | 16448 | 0 | N/A | 42 | 7 | 4 | 13 | 4 | 15 | 4 | 16 | 4 | 17 | 4 | 0 | 4 | 14 | 6 | 16 -PIN | E_IOB | 64 | 0 | N/A | 86 | 1 | 6 | 16 -PIN | nDTACK_IOB | 64 | 0 | N/A | 30 | 2 | 1 | 6 | 1 | 5 -PIN | nVPA_IOB | 64 | 0 | N/A | 37 | 2 | 6 | 15 | 6 | 14 -PIN | A_FSB<1> | 64 | 0 | N/A | 116 | 1 | 2 | 10 -PIN | A_FSB<2> | 64 | 0 | N/A | 90 | 1 | 3 | 1 -PIN | A_FSB<3> | 64 | 0 | N/A | 107 | 1 | 4 | 1 -PIN | A_FSB<4> | 64 | 0 | N/A | 96 | 1 | 4 | 5 -PIN | A_FSB<5> | 64 | 0 | N/A | 24 | 1 | 4 | 8 -PIN | A_FSB<6> | 64 | 0 | N/A | 157 | 1 | 5 | 1 -PIN | A_FSB<7> | 64 | 0 | N/A | 123 | 1 | 5 | 5 -PIN | nVMA_IOB | 536871040 | 0 | N/A | 26 -PIN | nDTACK_FSB | 536871040 | 0 | N/A | 103 -PIN | nAS_IOB | 536871040 | 0 | N/A | 13 -PIN | nCAS | 536871040 | 0 | N/A | 72 -PIN | nDinLE | 536871040 | 0 | N/A | 79 -PIN | nDoutOE | 536871040 | 0 | N/A | 17 -PIN | nLDS_IOB | 536871040 | 0 | N/A | 11 -PIN | nUDS_IOB | 536871040 | 0 | N/A | 12 -PIN | RA<0> | 536871040 | 0 | N/A | 47 -PIN | RA<1> | 536871040 | 0 | N/A | 141 -PIN | RA<2> | 536871040 | 0 | N/A | 58 -PIN | RA<3> | 536871040 | 0 | N/A | 60 -PIN | RA<4> | 536871040 | 0 | N/A | 63 -PIN | RA<5> | 536871040 | 0 | N/A | 118 -PIN | RA<6> | 536871040 | 0 | N/A | 125 -PIN | RA<7> | 536871040 | 0 | N/A | 130 -PIN | RA<8> | 536871040 | 0 | N/A | 135 -PIN | RA<9> | 536871040 | 0 | N/A | 68 -PIN | nBERR_FSB | 536871040 | 0 | N/A | 18 -PIN | nOE | 536871040 | 0 | N/A | 144 -PIN | nROMWE | 536871040 | 0 | N/A | 155 -PIN | nVPA_FSB | 536871040 | 0 | N/A | 95 -PIN | nADoutLE0 | 536871040 | 0 | N/A | 88 -PIN | nDinOE | 536871040 | 0 | N/A | 21 -PIN | nRAS | 536871040 | 0 | N/A | 36 -PIN | RA<11> | 536871040 | 0 | N/A | 56 -PIN | RA<10> | 536871040 | 0 | N/A | 29 -PIN | nADoutLE1 | 536871040 | 0 | N/A | 139 -PIN | nRAMLWE | 536871040 | 0 | N/A | 146 -PIN | nRAMUWE | 536871040 | 0 | N/A | 149 -PIN | nROMCS | 536871040 | 0 | N/A | 23 -PIN | nAoutOE | 536871040 | 0 | N/A | 109 +MDF Database: version 1.0 +MDF_INFO | MXSE | XC95144XL-10-TQ100 +MACROCELL | 5 | 1 | nVMA_IOB_OBUF +ATTRIBUTES | 4621058 | 0 +OUTPUTMC | 2 | 5 | 1 | 5 | 0 +INPUTS | 9 | nVMA_IOB | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> | iobm/ES<4> | IOACT | iobm/VPArf | iobm/VPArr +INPUTMC | 9 | 5 | 1 | 5 | 5 | 5 | 4 | 5 | 12 | 5 | 3 | 5 | 7 | 5 | 15 | 1 | 14 | 1 | 13 +EQ | 5 | + nVMA_IOB.T = !nVMA_IOB & !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & + !iobm/ES<3> & !iobm/ES<4> + # nVMA_IOB & iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & + !iobm/ES<3> & !iobm/ES<4> & IOACT & iobm/VPArf & iobm/VPArr; + nVMA_IOB.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 3 | 13 | cs/nOverlay1 +ATTRIBUTES | 8553280 | 0 +OUTPUTMC | 40 | 7 | 6 | 4 | 6 | 4 | 13 | 6 | 3 | 2 | 4 | 2 | 14 | 2 | 0 | 2 | 11 | 7 | 11 | 2 | 7 | 6 | 16 | 6 | 14 | 7 | 3 | 6 | 11 | 4 | 2 | 7 | 7 | 4 | 11 | 7 | 13 | 6 | 17 | 7 | 4 | 4 | 1 | 2 | 2 | 2 | 3 | 2 | 5 | 2 | 6 | 2 | 9 | 2 | 10 | 2 | 13 | 2 | 15 | 2 | 17 | 4 | 0 | 4 | 4 | 4 | 5 | 6 | 13 | 7 | 1 | 7 | 9 | 7 | 12 | 7 | 15 | 7 | 16 | 7 | 17 +INPUTS | 3 | cs/nOverlay0 | nAS_FSB | fsb/ASrf +INPUTMC | 2 | 6 | 6 | 0 | 7 +INPUTP | 1 | 54 +EQ | 3 | + cs/nOverlay1.D = cs/nOverlay0; + cs/nOverlay1.CLK = CLK_FSB; // GCK + cs/nOverlay1.CE = nAS_FSB & !fsb/ASrf; +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 7 | 6 | ram/Once +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 10 | 7 | 6 | 7 | 12 | 6 | 16 | 7 | 3 | 6 | 12 | 7 | 11 | 6 | 17 | 7 | 15 | 7 | 16 | 7 | 17 +INPUTS | 10 | ram/Once | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | A_FSB<21> +INPUTMC | 6 | 7 | 6 | 0 | 7 | 3 | 13 | 6 | 15 | 7 | 3 | 6 | 13 +INPUTP | 4 | 54 | 36 | 30 | 29 +EQ | 13 | + ram/Once.T = ram/Once & nAS_FSB & !fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & + !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & fsb/ASrf; + ram/Once.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 6 | 2 | cnt/RefDone +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 17 | 6 | 2 | 7 | 12 | 7 | 0 | 6 | 15 | 6 | 12 | 7 | 14 | 6 | 17 | 6 | 0 | 6 | 11 | 6 | 13 | 6 | 16 | 7 | 1 | 7 | 11 | 7 | 13 | 7 | 15 | 7 | 16 | 7 | 17 +INPUTS | 10 | cnt/RefDone | RefAck | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> | cnt/RefCnt<7> +INPUTMC | 10 | 6 | 2 | 0 | 16 | 0 | 15 | 0 | 10 | 0 | 9 | 0 | 14 | 0 | 13 | 0 | 12 | 0 | 11 | 0 | 8 +EQ | 5 | + !cnt/RefDone.D = !cnt/RefDone & !RefAck + # !cnt/RefCnt<0> & !cnt/RefCnt<5> & !cnt/RefCnt<6> & + !cnt/RefCnt<1> & !cnt/RefCnt<2> & !cnt/RefCnt<3> & !cnt/RefCnt<4> & + !cnt/RefCnt<7>; + cnt/RefDone.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 4 | 7 | iobs/Once +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 14 | 4 | 7 | 4 | 15 | 4 | 17 | 2 | 12 | 3 | 17 | 4 | 3 | 7 | 8 | 4 | 12 | 4 | 0 | 4 | 1 | 4 | 5 | 4 | 6 | 4 | 8 | 4 | 16 +INPUTS | 10 | A_FSB<22> | iobs/Once | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<20> | EXP28_.EXP | RA_4_OBUF.EXP +INPUTMC | 6 | 4 | 7 | 4 | 3 | 3 | 6 | 0 | 7 | 4 | 6 | 4 | 8 +INPUTP | 4 | 30 | 54 | 36 | 28 +IMPORTS | 2 | 4 | 6 | 4 | 8 +EQ | 24 | + !iobs/Once.D = nAS_FSB & !fsb/ASrf + # A_FSB<23> & !iobs/Once & iobs/PS_FSM_FFd2 + # !A_FSB<23> & !A_FSB<20> & !iobs/Once + # A_FSB<22> & !iobs/Once & iobs/PS_FSM_FFd2 + # A_FSB<22> & !iobs/Once & iobs/PS_FSM_FFd1 +;Imported pterms FB5_7 + # A_FSB<23> & !iobs/Once & iobs/PS_FSM_FFd1 + # !iobs/Once & iobs/PS_FSM_FFd2 & !nADoutLE1 + # !iobs/Once & iobs/PS_FSM_FFd1 & !nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & !iobs/Once + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & + !iobs/Once +;Imported pterms FB5_6 + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & + !iobs/Once + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 & !iobs/Once +;Imported pterms FB5_9 + # !A_FSB<23> & A_FSB<21> & !A_FSB<19> & !iobs/Once + # !A_FSB<23> & A_FSB<21> & !A_FSB<18> & !iobs/Once + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & !iobs/Once + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & !iobs/Once + # !A_FSB<23> & A_FSB<21> & !iobs/Once & nWE_FSB; + iobs/Once.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 4 | 15 | BERR_IOBS +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 7 | 4 | 15 | 3 | 12 | 2 | 1 | 2 | 8 | 7 | 10 | 2 | 3 | 2 | 10 +INPUTS | 8 | BERR_IOBS | nAS_FSB | fsb/ASrf | iobs/Once | iobs/PS_FSM_FFd2 | iobs/IOACTr | IOBERR | nADoutLE1 +INPUTMC | 7 | 4 | 15 | 0 | 7 | 4 | 7 | 4 | 3 | 0 | 0 | 5 | 17 | 5 | 13 +INPUTP | 1 | 54 +EQ | 8 | + BERR_IOBS.T = BERR_IOBS & nAS_FSB & !fsb/ASrf + # iobs/Once & BERR_IOBS & !iobs/PS_FSM_FFd2 & + !iobs/IOACTr & !IOBERR & nADoutLE1 + # iobs/Once & !BERR_IOBS & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/IOACTr & IOBERR & nADoutLE1 + # iobs/Once & !BERR_IOBS & !iobs/PS_FSM_FFd2 & + !iobs/IOACTr & IOBERR & fsb/ASrf & nADoutLE1; + BERR_IOBS.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 4 | 17 | IORW0 +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 7 | 4 | 17 | 3 | 4 | 5 | 8 | 5 | 10 | 4 | 0 | 4 | 1 | 4 | 16 +INPUTS | 12 | IORW0 | iobs/IORW1 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | A_FSB<23> | iobs/Once | nWE_FSB | nAS_FSB | fsb/ASrf | EXP26_.EXP | EXP30_.EXP +INPUTMC | 9 | 4 | 17 | 2 | 12 | 4 | 3 | 3 | 6 | 5 | 13 | 4 | 7 | 0 | 7 | 4 | 0 | 4 | 16 +INPUTP | 3 | 36 | 47 | 54 +IMPORTS | 2 | 4 | 0 | 4 | 16 +EQ | 60 | + IORW0.T = IORW0 & !iobs/IORW1 & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & !nADoutLE1 + # !IORW0 & iobs/IORW1 & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & !nADoutLE1 + # A_FSB<23> & !iobs/Once & IORW0 & !nWE_FSB & + !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<23> & !iobs/Once & IORW0 & !nWE_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + # A_FSB<23> & !iobs/Once & !IORW0 & nWE_FSB & + !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 +;Imported pterms FB5_1 + # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & + !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & + !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & + !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & + !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 +;Imported pterms FB5_2 + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 +;Imported pterms FB5_17 + # A_FSB<23> & !iobs/Once & !IORW0 & nWE_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !iobs/Once & + IORW0 & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !iobs/Once & + IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & + fsb/ASrf & nADoutLE1 + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !iobs/Once & + !IORW0 & nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !iobs/Once & + !IORW0 & nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & + fsb/ASrf & nADoutLE1; + IORW0.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 6 | 6 | cs/nOverlay0 +ATTRIBUTES | 4358928 | 0 +OUTPUTMC | 2 | 3 | 13 | 6 | 6 +INPUTS | 8 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | cs/nOverlay0 | nAS_FSB | fsb/ASrf | nRES +INPUTMC | 2 | 6 | 6 | 0 | 7 +INPUTP | 6 | 36 | 30 | 29 | 28 | 54 | 145 +EQ | 6 | + cs/nOverlay0.T = !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & + !cs/nOverlay0 & !nAS_FSB + # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & + !cs/nOverlay0 & fsb/ASrf; + cs/nOverlay0.CLK = CLK_FSB; // GCK + cs/nOverlay0.AR = !nRES; +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 3 | 16 | IOL0 +ATTRIBUTES | 8553280 | 0 +OUTPUTMC | 1 | 5 | 8 +INPUTS | 5 | iobs/IOL1 | nADoutLE1 | nLDS_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 +INPUTMC | 4 | 3 | 8 | 5 | 13 | 4 | 3 | 3 | 6 +INPUTP | 1 | 49 +EQ | 4 | + IOL0.D = !nLDS_FSB & nADoutLE1 + # iobs/IOL1 & !nADoutLE1; + IOL0.CLK = CLK_FSB; // GCK + IOL0.CE = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1; +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 3 | 15 | IOU0 +ATTRIBUTES | 8553280 | 0 +OUTPUTMC | 1 | 5 | 10 +INPUTS | 5 | iobs/IOU1 | nADoutLE1 | nUDS_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 +INPUTMC | 4 | 3 | 7 | 5 | 13 | 4 | 3 | 3 | 6 +INPUTP | 1 | 56 +EQ | 4 | + IOU0.D = !nUDS_FSB & nADoutLE1 + # iobs/IOU1 & !nADoutLE1; + IOU0.CLK = CLK_FSB; // GCK + IOU0.CE = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1; +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 6 | 11 | TimeoutA +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 9 | 6 | 10 | 2 | 14 | 2 | 6 | 2 | 3 | 2 | 9 | 2 | 10 | 2 | 15 | 2 | 17 | 6 | 12 +INPUTS | 13 | A_FSB<22> | A_FSB<21> | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cs/nOverlay1 | cnt/RefDone | nAS_FSB | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | fsb/ASrf | RA_9_OBUF.EXP +INPUTMC | 10 | 6 | 15 | 7 | 3 | 6 | 13 | 3 | 13 | 6 | 2 | 0 | 10 | 0 | 9 | 0 | 8 | 0 | 7 | 6 | 10 +INPUTP | 3 | 30 | 29 | 54 +EXPORTS | 1 | 6 | 12 +IMPORTS | 1 | 6 | 10 +EQ | 21 | + TimeoutA.T = ;Imported pterms FB7_11 + TimeoutA & nAS_FSB & !fsb/ASrf + # !TimeoutA & !nAS_FSB & !cnt/RefCnt<0> & + !cnt/RefCnt<5> & !cnt/RefCnt<6> & !cnt/RefCnt<1> & !cnt/RefCnt<2> & + !cnt/RefCnt<3> & !cnt/RefCnt<4> + # !TimeoutA & !cnt/RefCnt<0> & !cnt/RefCnt<5> & + !cnt/RefCnt<6> & !cnt/RefCnt<1> & !cnt/RefCnt<2> & !cnt/RefCnt<3> & + !cnt/RefCnt<4> & fsb/ASrf; + TimeoutA.CLK = CLK_FSB; // GCK + TimeoutA.EXP = A_FSB<22> & !A_FSB<21> & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # A_FSB<22> & cs/nOverlay1 & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # !A_FSB<22> & !cs/nOverlay1 & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # !cnt/RefDone & !nAS_FSB & ram/RS_FSM_FFd2 & + ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + # !cnt/RefDone & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & + ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & + fsb/ASrf +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 6 | 0 | TimeoutB +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 8 | 2 | 3 | 7 | 2 | 2 | 1 | 7 | 10 | 3 | 14 | 2 | 0 | 6 | 1 | 6 | 17 +INPUTS | 9 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd1 | A_FSB<23> | cnt/RefDone | nAS_FSB | ram/BACTr | fsb/ASrf | RA_1_OBUF.EXP +INPUTMC | 7 | 6 | 15 | 6 | 13 | 7 | 3 | 6 | 2 | 3 | 0 | 0 | 7 | 6 | 1 +INPUTP | 2 | 36 | 54 +EXPORTS | 1 | 6 | 17 +IMPORTS | 1 | 6 | 1 +EQ | 16 | + TimeoutB.T = ;Imported pterms FB7_2 + TimeoutB & nAS_FSB & !fsb/ASrf + # !TimeoutB & cnt/TimeoutBPre & !nAS_FSB & + !cnt/RefCnt<0> & !cnt/RefCnt<5> & !cnt/RefCnt<6> & !cnt/RefCnt<1> & + !cnt/RefCnt<2> & !cnt/RefCnt<3> & !cnt/RefCnt<4> & !cnt/RefCnt<7> + # !TimeoutB & cnt/TimeoutBPre & !cnt/RefCnt<0> & + !cnt/RefCnt<5> & !cnt/RefCnt<6> & !cnt/RefCnt<1> & !cnt/RefCnt<2> & + !cnt/RefCnt<3> & !cnt/RefCnt<4> & !cnt/RefCnt<7> & fsb/ASrf; + TimeoutB.CLK = CLK_FSB; // GCK + TimeoutB.EXP = ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 + # !ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 + # !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 + # A_FSB<23> & !cnt/RefDone & !nAS_FSB & + !ram/RS_FSM_FFd1 & !ram/BACTr + # A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd1 & + !ram/BACTr & fsb/ASrf +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 6 | 9 | cnt/TimeoutBPre +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 2 | 6 | 9 | 6 | 1 +INPUTS | 11 | cnt/TimeoutBPre | nAS_FSB | fsb/ASrf | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> | cnt/RefCnt<7> +INPUTMC | 10 | 6 | 9 | 0 | 7 | 0 | 15 | 0 | 10 | 0 | 9 | 0 | 14 | 0 | 13 | 0 | 12 | 0 | 11 | 0 | 8 +INPUTP | 1 | 54 +EQ | 8 | + cnt/TimeoutBPre.T = cnt/TimeoutBPre & nAS_FSB & !fsb/ASrf + # !cnt/TimeoutBPre & !nAS_FSB & !cnt/RefCnt<0> & + !cnt/RefCnt<5> & !cnt/RefCnt<6> & !cnt/RefCnt<1> & !cnt/RefCnt<2> & + !cnt/RefCnt<3> & !cnt/RefCnt<4> & !cnt/RefCnt<7> + # !cnt/TimeoutBPre & !cnt/RefCnt<0> & !cnt/RefCnt<5> & + !cnt/RefCnt<6> & !cnt/RefCnt<1> & !cnt/RefCnt<2> & !cnt/RefCnt<3> & + !cnt/RefCnt<4> & !cnt/RefCnt<7> & fsb/ASrf; + cnt/TimeoutBPre.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 7 | 2 | fsb/BERR0r +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 7 | 7 | 2 | 2 | 1 | 2 | 8 | 7 | 10 | 2 | 3 | 2 | 10 | 7 | 1 +INPUTS | 9 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | fsb/BERR0r | TimeoutB | nAS_FSB | fsb/ASrf | A_FSB<19> +INPUTMC | 3 | 7 | 2 | 6 | 0 | 0 | 7 +INPUTP | 6 | 36 | 30 | 29 | 28 | 54 | 26 +EXPORTS | 1 | 7 | 1 +EQ | 6 | + !fsb/BERR0r.D = !TimeoutB & !fsb/BERR0r + # nAS_FSB & !fsb/ASrf + # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & A_FSB<20> & + !fsb/BERR0r; + fsb/BERR0r.CLK = CLK_FSB; // GCK + fsb/BERR0r.EXP = A_FSB<19> +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 3 | 12 | fsb/BERR1r +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 6 | 3 | 12 | 2 | 1 | 2 | 8 | 7 | 10 | 2 | 3 | 2 | 10 +INPUTS | 4 | BERR_IOBS | fsb/BERR1r | nAS_FSB | fsb/ASrf +INPUTMC | 3 | 4 | 15 | 3 | 12 | 0 | 7 +INPUTP | 1 | 54 +EQ | 3 | + !fsb/BERR1r.D = !BERR_IOBS & !fsb/BERR1r + # nAS_FSB & !fsb/ASrf; + fsb/BERR1r.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 6 | 3 | fsb/Ready0r +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 4 | 6 | 3 | 2 | 0 | 2 | 7 | 2 | 2 +INPUTS | 8 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | fsb/Ready0r | ram/RAMReady | nAS_FSB | fsb/ASrf +INPUTMC | 4 | 3 | 13 | 6 | 3 | 6 | 17 | 0 | 7 +INPUTP | 4 | 36 | 30 | 29 | 54 +EQ | 6 | + !fsb/Ready0r.D = nAS_FSB & !fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !fsb/Ready0r & !ram/RAMReady + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !fsb/Ready0r & !ram/RAMReady; + fsb/Ready0r.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 2 | 4 | fsb/Ready1r +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 8 | 2 | 4 | 2 | 0 | 2 | 7 | 2 | 2 | 2 | 3 | 2 | 5 | 2 | 9 | 2 | 10 +INPUTS | 17 | nAS_FSB | fsb/ASrf | A_FSB<23> | fsb/Ready1r | iobs/IOReady | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<14> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | A_FSB<13> | EXP17_.EXP +INPUTMC | 5 | 0 | 7 | 2 | 4 | 3 | 17 | 3 | 13 | 2 | 5 +INPUTP | 12 | 54 | 36 | 30 | 29 | 28 | 19 | 26 | 24 | 23 | 22 | 47 | 18 +IMPORTS | 1 | 2 | 5 +EQ | 20 | + !fsb/Ready1r.D = nAS_FSB & !fsb/ASrf + # A_FSB<23> & !fsb/Ready1r & !iobs/IOReady + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !fsb/Ready1r & + !iobs/IOReady + # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & + !fsb/Ready1r & !iobs/IOReady + # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & + !fsb/Ready1r & !iobs/IOReady +;Imported pterms FB3_6 + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & + !nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & + !nADoutLE1; + fsb/Ready1r.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 2 | 14 | fsb/Ready2r +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 8 | 2 | 14 | 2 | 6 | 2 | 3 | 2 | 9 | 2 | 10 | 2 | 15 | 2 | 17 | 2 | 13 +INPUTS | 20 | A_FSB<9> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | TimeoutA | fsb/Ready2r | EXP24_.EXP +INPUTMC | 4 | 3 | 13 | 6 | 11 | 2 | 14 | 2 | 15 +INPUTP | 16 | 12 | 21 | 19 | 18 | 17 | 15 | 13 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 47 +EXPORTS | 1 | 2 | 13 +IMPORTS | 1 | 2 | 15 +EQ | 36 | + !fsb/Ready2r.D = A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r +;Imported pterms FB3_16 + # nAS_FSB & !fsb/ASrf + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r; + fsb/Ready2r.CLK = CLK_FSB; // GCK + fsb/Ready2r.EXP = !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 2 | 1 | fsb/VPA +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 6 | 2 | 1 | 3 | 10 | 2 | 0 | 2 | 2 | 2 | 3 | 2 | 17 +INPUTS | 10 | fsb/BERR1r | fsb/VPA | $OpTx$FX_DC$607 | BERR_IOBS | fsb/BERR0r | A_FSB<20> | TimeoutB | A_FSB<23> | EXP14_.EXP | EXP15_.EXP +INPUTMC | 8 | 3 | 12 | 2 | 1 | 0 | 17 | 4 | 15 | 7 | 2 | 6 | 0 | 2 | 0 | 2 | 2 +INPUTP | 2 | 28 | 36 +IMPORTS | 2 | 2 | 0 | 2 | 2 +EQ | 89 | + fsb/VPA.D = BERR_IOBS & fsb/VPA & !$OpTx$FX_DC$607 + # fsb/BERR0r & fsb/VPA & !$OpTx$FX_DC$607 + # fsb/BERR1r & fsb/VPA & !$OpTx$FX_DC$607 + # A_FSB<23> & TimeoutB & fsb/VPA & + !$OpTx$FX_DC$607 + # !A_FSB<20> & TimeoutB & fsb/VPA & + !$OpTx$FX_DC$607 +;Imported pterms FB3_1 + # !A_FSB<22> & TimeoutB & fsb/VPA & + !$OpTx$FX_DC$607 + # A_FSB<21> & TimeoutB & fsb/VPA & + !$OpTx$FX_DC$607 + # A_FSB<23> & !fsb/Ready1r & fsb/VPA & + !iobs/IOReady & !$OpTx$FX_DC$607 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !fsb/Ready0r & fsb/VPA & !ram/RAMReady & !$OpTx$FX_DC$607 + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !fsb/Ready1r & + fsb/VPA & !iobs/IOReady & !$OpTx$FX_DC$607 +;Imported pterms FB3_18 + # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$FX_DC$607 + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$FX_DC$607 + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$FX_DC$607 + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$FX_DC$607 + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$FX_DC$607 +;Imported pterms FB3_3 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !fsb/Ready0r & fsb/VPA & !ram/RAMReady & + !$OpTx$FX_DC$607 + # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & + !fsb/Ready1r & fsb/VPA & !iobs/IOReady & !$OpTx$FX_DC$607 + # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & + !fsb/Ready1r & fsb/VPA & !iobs/IOReady & !$OpTx$FX_DC$607 + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & fsb/VPA & !iobs/IOReady & + !nADoutLE1 & !$OpTx$FX_DC$607 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & fsb/VPA & !iobs/IOReady & + !nADoutLE1 & !$OpTx$FX_DC$607 +;Imported pterms FB3_4 + # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$FX_DC$607 + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$FX_DC$607 + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$FX_DC$607 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !TimeoutB & !fsb/BERR0r & + !fsb/BERR1r & fsb/Ready1r & !$OpTx$FX_DC$607 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !TimeoutB & !fsb/BERR0r & + !fsb/BERR1r & iobs/IOReady & !$OpTx$FX_DC$607; + fsb/VPA.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 3 | 8 | iobs/IOL1 +ATTRIBUTES | 8553280 | 0 +OUTPUTMC | 1 | 3 | 16 +INPUTS | 2 | nLDS_FSB | iobs/Load1 +INPUTMC | 1 | 7 | 8 +INPUTP | 1 | 49 +EQ | 3 | + iobs/IOL1.D = !nLDS_FSB; + iobs/IOL1.CLK = CLK_FSB; // GCK + iobs/IOL1.CE = iobs/Load1; +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 2 | 12 | iobs/IORW1 +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 3 | 4 | 17 | 2 | 12 | 2 | 11 +INPUTS | 9 | A_FSB<23> | A_FSB<21> | iobs/IORW1 | nADoutLE1 | iobs/Once | A_FSB<20> | nWE_FSB | EXP22_.EXP | EXP23_.EXP +INPUTMC | 5 | 2 | 12 | 5 | 13 | 4 | 7 | 2 | 11 | 2 | 13 +INPUTP | 4 | 36 | 29 | 28 | 47 +IMPORTS | 2 | 2 | 11 | 2 | 13 +EQ | 21 | + !iobs/IORW1.T = iobs/Once + # !nADoutLE1 + # !A_FSB<23> & !A_FSB<20> + # nWE_FSB & iobs/IORW1 + # !A_FSB<23> & A_FSB<21> & !iobs/IORW1 +;Imported pterms FB3_12 + # !nWE_FSB & !iobs/IORW1 + # nAS_FSB & !fsb/ASrf + # !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 +;Imported pterms FB3_14 + # !A_FSB<23> & A_FSB<21> & !A_FSB<19> + # !A_FSB<23> & A_FSB<21> & !A_FSB<18> + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 +;Imported pterms FB3_15 + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21>; + iobs/IORW1.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 3 | 17 | iobs/IOReady +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 9 | 2 | 4 | 2 | 0 | 3 | 17 | 2 | 7 | 2 | 2 | 2 | 3 | 2 | 5 | 2 | 9 | 2 | 10 +INPUTS | 8 | iobs/IOReady | nAS_FSB | fsb/ASrf | iobs/Once | iobs/PS_FSM_FFd2 | iobs/IOACTr | IOBERR | nADoutLE1 +INPUTMC | 7 | 3 | 17 | 0 | 7 | 4 | 7 | 4 | 3 | 0 | 0 | 5 | 17 | 5 | 13 +INPUTP | 1 | 54 +EQ | 8 | + iobs/IOReady.T = iobs/IOReady & nAS_FSB & !fsb/ASrf + # iobs/Once & iobs/IOReady & !iobs/PS_FSM_FFd2 & + !iobs/IOACTr & IOBERR & nADoutLE1 + # iobs/Once & !iobs/IOReady & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/IOACTr & !IOBERR & nADoutLE1 + # iobs/Once & !iobs/IOReady & !iobs/PS_FSM_FFd2 & + !iobs/IOACTr & !IOBERR & fsb/ASrf & nADoutLE1; + iobs/IOReady.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 3 | 7 | iobs/IOU1 +ATTRIBUTES | 8553280 | 0 +OUTPUTMC | 1 | 3 | 15 +INPUTS | 2 | nUDS_FSB | iobs/Load1 +INPUTMC | 1 | 7 | 8 +INPUTP | 1 | 56 +EQ | 3 | + iobs/IOU1.D = !nUDS_FSB; + iobs/IOU1.CLK = CLK_FSB; // GCK + iobs/IOU1.CE = iobs/Load1; +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 7 | 12 | ram/RAMDIS2 +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 6 | 7 | 12 | 7 | 4 | 7 | 5 | 7 | 7 | 7 | 11 | 7 | 13 +INPUTS | 15 | ram/RAMDIS2 | nAS_FSB | fsb/ASrf | ram/Once | cnt/RefDone | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | nBERR_FSB_OBUF.EXP +INPUTMC | 12 | 7 | 12 | 0 | 7 | 7 | 6 | 6 | 2 | 6 | 15 | 7 | 3 | 6 | 13 | 0 | 10 | 0 | 9 | 0 | 8 | 3 | 13 | 7 | 11 +INPUTP | 3 | 54 | 30 | 29 +EXPORTS | 1 | 7 | 13 +IMPORTS | 1 | 7 | 11 +EQ | 31 | + ram/RAMDIS2.T = ram/RAMDIS2 & nAS_FSB & !fsb/ASrf + # ram/Once & !cnt/RefDone & !ram/RAMDIS2 & !nAS_FSB & + ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> +;Imported pterms FB8_12 + # ram/Once & !cnt/RefDone & !ram/RAMDIS2 & + ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & ram/Once & + !cnt/RefDone & !ram/RAMDIS2 & !nAS_FSB & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & ram/Once & + !cnt/RefDone & !ram/RAMDIS2 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & + fsb/ASrf + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & ram/Once & !cnt/RefDone & !ram/RAMDIS2 & !nAS_FSB & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & ram/Once & !cnt/RefDone & !ram/RAMDIS2 & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & fsb/ASrf; + ram/RAMDIS2.CLK = CLK_FSB; // GCK + ram/RAMDIS2.EXP = A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 2 | 8 | nDTACK_FSB_OBUF +ATTRIBUTES | 8815362 | 0 +OUTPUTMC | 5 | 2 | 8 | 2 | 6 | 2 | 7 | 2 | 9 | 2 | 10 +INPUTS | 9 | fsb/BERR1r | nDTACK_FSB | BERR_IOBS | fsb/BERR0r | $OpTx$FX_DC$608 | nAS_FSB | fsb/ASrf | EXP19_.EXP | EXP20_.EXP +INPUTMC | 8 | 3 | 12 | 2 | 8 | 4 | 15 | 7 | 2 | 3 | 14 | 0 | 7 | 2 | 7 | 2 | 9 +INPUTP | 1 | 54 +IMPORTS | 2 | 2 | 7 | 2 | 9 +EQ | 82 | + nDTACK_FSB.D = BERR_IOBS & nDTACK_FSB + # fsb/BERR0r & nDTACK_FSB + # fsb/BERR1r & nDTACK_FSB + # nAS_FSB & !fsb/ASrf + # nDTACK_FSB & !$OpTx$FX_DC$608 +;Imported pterms FB3_8 + # A_FSB<23> & !fsb/Ready1r & !iobs/IOReady & + nDTACK_FSB + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !fsb/Ready1r & + !iobs/IOReady & nDTACK_FSB + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady + # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & + !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB +;Imported pterms FB3_7 + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB +;Imported pterms FB3_10 + # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & + !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & + nDTACK_FSB & !nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & + nDTACK_FSB & !nADoutLE1 + # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB +;Imported pterms FB3_11 + # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + fsb/Ready1r & $OpTx$FX_DC$608 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + iobs/IOReady & $OpTx$FX_DC$608; + nDTACK_FSB.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 7 | 0 | ram/RASEL +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 10 | 6 | 5 | 6 | 1 | 4 | 13 | 4 | 10 | 4 | 4 | 4 | 9 | 4 | 14 | 6 | 4 | 6 | 7 | 6 | 10 +INPUTS | 13 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd1 | A_FSB<23> | cnt/RefDone | nAS_FSB | ram/BACTr | fsb/ASrf | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | A_FSB_19_IBUF$BUF0.EXP | EXP39_.EXP +INPUTMC | 11 | 6 | 15 | 6 | 13 | 7 | 3 | 6 | 2 | 3 | 0 | 0 | 7 | 0 | 10 | 0 | 9 | 0 | 8 | 7 | 1 | 7 | 17 +INPUTP | 2 | 36 | 54 +IMPORTS | 2 | 7 | 1 | 7 | 17 +EQ | 50 | + ram/RASEL.D = !ram/RS_FSM_FFd2 & ram/RS_FSM_FFd3 + # ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 + # A_FSB<23> & !cnt/RefDone & !nAS_FSB & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr + # A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> +;Imported pterms FB8_2 + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # !cnt/RefDone & nAS_FSB & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & + !fsb/ASrf + # !cnt/RefDone & nAS_FSB & ram/RS_FSM_FFd1 & + ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & + !fsb/ASrf +;Imported pterms FB8_18 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & + !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & fsb/ASrf + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & !nAS_FSB & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr +;Imported pterms FB8_17 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + fsb/ASrf + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7>; + ram/RASEL.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 6 | 15 | ram/RS_FSM_FFd2 +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 17 | 7 | 6 | 7 | 12 | 7 | 0 | 6 | 15 | 7 | 3 | 6 | 13 | 0 | 16 | 7 | 14 | 6 | 12 | 6 | 0 | 6 | 11 | 7 | 1 | 7 | 11 | 7 | 15 | 7 | 16 | 7 | 17 | 6 | 16 +INPUTS | 9 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | cnt/RefDone | ram/RS_FSM_FFd1 | cnt/RefCnt<6> | ram/BACTr | cnt/RefCnt<7> | cnt/RefCnt<5> | EXP32_.EXP +INPUTMC | 9 | 6 | 15 | 6 | 13 | 6 | 2 | 7 | 3 | 0 | 9 | 3 | 0 | 0 | 8 | 0 | 10 | 6 | 14 +EXPORTS | 1 | 6 | 16 +IMPORTS | 1 | 6 | 14 +EQ | 30 | + !ram/RS_FSM_FFd2.T = ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 + # cnt/RefDone & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + !cnt/RefCnt<6> & ram/BACTr + # !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & ram/BACTr & + !cnt/RefCnt<7> +;Imported pterms FB7_15 + # !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + !cnt/RefCnt<5> & ram/BACTr + # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + !cnt/RefCnt<5> & !fsb/ASrf + # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + !cnt/RefCnt<6> & !fsb/ASrf + # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + !cnt/RefCnt<7> & !fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !nAS_FSB & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 +;Imported pterms FB7_14 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf + # !cnt/RefDone & nAS_FSB & ram/RS_FSM_FFd2 & + ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & + !fsb/ASrf; + ram/RS_FSM_FFd2.CLK = CLK_FSB; // GCK + ram/RS_FSM_FFd2.EXP = !cnt/RefDone & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 5 | 6 | iobm/IOS_FSM_FFd4 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 14 | 5 | 6 | 5 | 9 | 3 | 9 | 3 | 11 | 5 | 15 | 5 | 17 | 5 | 2 | 5 | 11 | 5 | 16 | 3 | 4 | 5 | 8 | 5 | 10 | 5 | 0 | 5 | 14 +INPUTS | 6 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd4 | iobm/IOREQr | CLK_IOB +INPUTMC | 5 | 5 | 9 | 3 | 9 | 3 | 11 | 5 | 6 | 0 | 1 +INPUTP | 1 | 35 +EQ | 9 | + !iobm/IOS_FSM_FFd4.D = !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & + iobm/IOS_FSM_FFd1 + # iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2 & + iobm/IOS_FSM_FFd1 + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & + !iobm/IOS_FSM_FFd2 & CLK_IOB + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & + !iobm/IOS_FSM_FFd2 & !iobm/IOREQr; + iobm/IOS_FSM_FFd4.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 7 | 3 | ram/RS_FSM_FFd1 +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 20 | 7 | 6 | 7 | 12 | 7 | 0 | 6 | 15 | 7 | 3 | 6 | 13 | 0 | 16 | 7 | 14 | 6 | 17 | 6 | 0 | 6 | 11 | 6 | 12 | 6 | 14 | 6 | 16 | 7 | 1 | 7 | 11 | 7 | 13 | 7 | 15 | 7 | 16 | 7 | 17 +INPUTS | 10 | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/Once | nAS_FSB | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | fsb/ASrf | A_FSB<21> +INPUTMC | 6 | 3 | 13 | 7 | 6 | 6 | 15 | 7 | 3 | 6 | 13 | 0 | 7 +INPUTP | 4 | 36 | 30 | 54 | 29 +EQ | 13 | + ram/RS_FSM_FFd1.T = ram/RS_FSM_FFd2 & ram/RS_FSM_FFd3 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & + !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & fsb/ASrf; + ram/RS_FSM_FFd1.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 6 | 13 | ram/RS_FSM_FFd3 +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 14 | 7 | 6 | 7 | 12 | 7 | 0 | 6 | 15 | 7 | 3 | 6 | 13 | 7 | 14 | 6 | 14 | 6 | 0 | 6 | 11 | 6 | 12 | 7 | 1 | 7 | 11 | 7 | 15 +INPUTS | 14 | A_FSB<23> | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | A_FSB<22> | cs/nOverlay1 | fsb/ASrf | A_FSB<21> | nAS_FSB | cnt/RefDone | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | EXP31_.EXP +INPUTMC | 10 | 6 | 15 | 7 | 3 | 6 | 13 | 3 | 13 | 0 | 7 | 6 | 2 | 0 | 10 | 0 | 9 | 0 | 8 | 6 | 12 +INPUTP | 4 | 36 | 30 | 29 | 54 +EXPORTS | 1 | 6 | 14 +IMPORTS | 1 | 6 | 12 +EQ | 36 | + !ram/RS_FSM_FFd3.T = A_FSB<23> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 +;Imported pterms FB7_13 + # ram/Once & cnt/RefDone & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & !cnt/RefCnt<5> + # ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & !cnt/RefCnt<6> + # ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & !cnt/RefCnt<7> + # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & !fsb/ASrf +;Imported pterms FB7_12 + # A_FSB<22> & !A_FSB<21> & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # A_FSB<22> & cs/nOverlay1 & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # !A_FSB<22> & !cs/nOverlay1 & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # !cnt/RefDone & !nAS_FSB & ram/RS_FSM_FFd2 & + ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + # !cnt/RefDone & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & + ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & + fsb/ASrf; + ram/RS_FSM_FFd3.CLK = CLK_FSB; // GCK + ram/RS_FSM_FFd3.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf + # !cnt/RefDone & nAS_FSB & ram/RS_FSM_FFd2 & + ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & + !fsb/ASrf +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 5 | 9 | iobm/IOS_FSM_FFd3 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 13 | 5 | 6 | 5 | 9 | 3 | 9 | 3 | 11 | 5 | 15 | 5 | 17 | 5 | 2 | 5 | 11 | 5 | 16 | 3 | 4 | 5 | 8 | 5 | 10 | 5 | 0 +INPUTS | 10 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | CLK_IOB | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr | iobm/RESrf | iobm/RESrr +INPUTMC | 9 | 5 | 6 | 5 | 9 | 5 | 0 | 0 | 6 | 0 | 5 | 0 | 4 | 0 | 3 | 1 | 16 | 1 | 15 +INPUTP | 1 | 35 +EQ | 9 | + !iobm/IOS_FSM_FFd3.D = !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 + # !iobm/IOS_FSM_FFd4 & CLK_IOB & iobm/ETACK + # !iobm/IOS_FSM_FFd4 & CLK_IOB & iobm/DTACKrf & + iobm/DTACKrr + # !iobm/IOS_FSM_FFd4 & CLK_IOB & iobm/BERRrf & + iobm/BERRrr + # !iobm/IOS_FSM_FFd4 & CLK_IOB & iobm/RESrf & + iobm/RESrr; + iobm/IOS_FSM_FFd3.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 3 | 9 | iobm/IOS_FSM_FFd2 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 13 | 5 | 6 | 3 | 9 | 3 | 11 | 5 | 15 | 5 | 17 | 5 | 2 | 5 | 11 | 3 | 4 | 5 | 8 | 5 | 10 | 5 | 0 | 5 | 14 | 5 | 16 +INPUTS | 4 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 +INPUTMC | 4 | 5 | 6 | 5 | 9 | 3 | 11 | 3 | 9 +EQ | 5 | + !iobm/IOS_FSM_FFd2.D = !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & + iobm/IOS_FSM_FFd1 + # !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd2 & + !iobm/IOS_FSM_FFd1; + iobm/IOS_FSM_FFd2.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 3 | 11 | iobm/IOS_FSM_FFd1 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 12 | 5 | 6 | 3 | 9 | 3 | 11 | 5 | 15 | 5 | 17 | 5 | 2 | 5 | 11 | 5 | 16 | 5 | 8 | 5 | 10 | 5 | 0 | 5 | 14 +INPUTS | 4 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd3 +INPUTMC | 4 | 5 | 6 | 3 | 9 | 3 | 11 | 5 | 9 +EQ | 5 | + !iobm/IOS_FSM_FFd1.D = iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd2 & + !iobm/IOS_FSM_FFd1 + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & + !iobm/IOS_FSM_FFd2; + iobm/IOS_FSM_FFd1.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 4 | 3 | iobs/PS_FSM_FFd2 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 21 | 4 | 7 | 4 | 15 | 4 | 17 | 3 | 16 | 3 | 15 | 2 | 11 | 3 | 17 | 4 | 3 | 3 | 6 | 7 | 8 | 3 | 3 | 4 | 12 | 3 | 2 | 4 | 0 | 4 | 1 | 4 | 2 | 4 | 4 | 4 | 6 | 4 | 11 | 4 | 13 | 4 | 16 +INPUTS | 11 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | iobs/IOACTr | iobs/Once | nADoutLE1 | A_FSB<23> | A_FSB<20> | nAS_FSB | fsb/ASrf | EXP27_.EXP | nCAS_OBUF.EXP +INPUTMC | 8 | 4 | 3 | 3 | 6 | 0 | 0 | 4 | 7 | 5 | 13 | 0 | 7 | 4 | 2 | 4 | 4 +INPUTP | 3 | 36 | 28 | 54 +IMPORTS | 2 | 4 | 2 | 4 | 4 +EQ | 31 | + !iobs/PS_FSM_FFd2.D = iobs/PS_FSM_FFd2 & iobs/PS_FSM_FFd1 & + iobs/IOACTr + # !iobs/PS_FSM_FFd2 & iobs/PS_FSM_FFd1 & + !iobs/IOACTr + # iobs/Once & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & + nADoutLE1 + # !A_FSB<23> & !A_FSB<20> & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & nADoutLE1 + # nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & + !fsb/ASrf & nADoutLE1 +;Imported pterms FB5_3 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<19> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & nWE_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 +;Imported pterms FB5_5 + # !A_FSB<23> & A_FSB<21> & !A_FSB<18> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1; + iobs/PS_FSM_FFd2.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 5 | 5 | iobm/ES<0> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 7 | 5 | 1 | 5 | 5 | 5 | 4 | 5 | 12 | 5 | 3 | 5 | 7 | 5 | 0 +INPUTS | 7 | iobm/ES<0> | iobm/Er | iobm/Er2 | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> | iobm/ES<4> +INPUTMC | 7 | 5 | 5 | 1 | 17 | 0 | 2 | 5 | 4 | 5 | 12 | 5 | 3 | 5 | 7 +EQ | 6 | + !iobm/ES<0>.T = iobm/ES<0> & !iobm/Er & iobm/Er2 + # !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & + !iobm/ES<3> & !iobm/ES<4> & iobm/Er + # !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & + !iobm/ES<3> & !iobm/ES<4> & !iobm/Er2; + iobm/ES<0>.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 5 | 4 | iobm/ES<1> +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 7 | 5 | 1 | 5 | 5 | 5 | 4 | 5 | 12 | 5 | 3 | 5 | 7 | 5 | 0 +INPUTS | 4 | iobm/ES<0> | iobm/ES<1> | iobm/Er | iobm/Er2 +INPUTMC | 4 | 5 | 5 | 5 | 4 | 1 | 17 | 0 | 2 +EQ | 4 | + !iobm/ES<1>.D = iobm/ES<0> & iobm/ES<1> + # !iobm/ES<0> & !iobm/ES<1> + # !iobm/Er & iobm/Er2; + iobm/ES<1>.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 5 | 12 | iobm/ES<2> +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 6 | 5 | 1 | 5 | 5 | 5 | 12 | 5 | 3 | 5 | 7 | 5 | 0 +INPUTS | 7 | iobm/ES<0> | iobm/ES<2> | iobm/ES<1> | iobm/Er | iobm/Er2 | iobm/ES<3> | iobm/ES<4> +INPUTMC | 7 | 5 | 5 | 5 | 12 | 5 | 4 | 1 | 17 | 0 | 2 | 5 | 3 | 5 | 7 +EQ | 6 | + !iobm/ES<2>.D = !iobm/ES<0> & !iobm/ES<2> + # !iobm/ES<1> & !iobm/ES<2> + # !iobm/Er & iobm/Er2 + # iobm/ES<0> & iobm/ES<1> & iobm/ES<2> + # !iobm/ES<2> & !iobm/ES<3> & iobm/ES<4>; + iobm/ES<2>.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 3 | 6 | iobs/PS_FSM_FFd1 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 17 | 4 | 7 | 4 | 17 | 3 | 16 | 3 | 15 | 2 | 11 | 4 | 3 | 3 | 6 | 7 | 8 | 3 | 3 | 4 | 12 | 3 | 2 | 4 | 0 | 4 | 1 | 4 | 2 | 4 | 4 | 4 | 6 | 4 | 16 +INPUTS | 3 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | iobs/IOACTr +INPUTMC | 3 | 4 | 3 | 3 | 6 | 0 | 0 +EQ | 3 | + iobs/PS_FSM_FFd1.D = iobs/PS_FSM_FFd2 + # iobs/PS_FSM_FFd1 & iobs/IOACTr; + iobs/PS_FSM_FFd1.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 5 | 3 | iobm/ES<3> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 6 | 5 | 1 | 5 | 5 | 5 | 12 | 5 | 3 | 5 | 7 | 5 | 0 +INPUTS | 6 | iobm/ES<3> | iobm/Er | iobm/Er2 | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> +INPUTMC | 6 | 5 | 3 | 1 | 17 | 0 | 2 | 5 | 5 | 5 | 4 | 5 | 12 +EQ | 4 | + iobm/ES<3>.T = iobm/ES<3> & !iobm/Er & iobm/Er2 + # iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & iobm/Er + # iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & !iobm/Er2; + iobm/ES<3>.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 5 | 7 | iobm/ES<4> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 5 | 5 | 1 | 5 | 5 | 5 | 12 | 5 | 7 | 5 | 0 +INPUTS | 7 | iobm/ES<4> | iobm/Er | iobm/Er2 | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> +INPUTMC | 7 | 5 | 7 | 1 | 17 | 0 | 2 | 5 | 5 | 5 | 4 | 5 | 12 | 5 | 3 +EQ | 8 | + iobm/ES<4>.T = iobm/ES<4> & !iobm/Er & iobm/Er2 + # iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & + iobm/ES<3> & iobm/Er + # iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & + iobm/ES<3> & !iobm/Er2 + # iobm/ES<0> & iobm/ES<1> & !iobm/ES<2> & + !iobm/ES<3> & iobm/ES<4>; + iobm/ES<4>.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 0 | 15 | cnt/RefCnt<0> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 11 | 6 | 2 | 6 | 10 | 0 | 8 | 6 | 9 | 0 | 10 | 0 | 9 | 0 | 14 | 0 | 13 | 0 | 12 | 0 | 11 | 6 | 1 +INPUTS | 0 +EQ | 2 | + cnt/RefCnt<0>.T = Vcc; + cnt/RefCnt<0>.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 0 | 10 | cnt/RefCnt<5> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 17 | 6 | 2 | 6 | 10 | 6 | 15 | 6 | 9 | 7 | 12 | 7 | 0 | 6 | 14 | 6 | 12 | 0 | 9 | 0 | 8 | 7 | 11 | 6 | 1 | 6 | 11 | 6 | 13 | 7 | 1 | 7 | 15 | 7 | 16 +INPUTS | 5 | cnt/RefCnt<0> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> +INPUTMC | 5 | 0 | 15 | 0 | 14 | 0 | 13 | 0 | 12 | 0 | 11 +EQ | 3 | + cnt/RefCnt<5>.T = cnt/RefCnt<0> & cnt/RefCnt<1> & cnt/RefCnt<2> & + cnt/RefCnt<3> & cnt/RefCnt<4>; + cnt/RefCnt<5>.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 0 | 9 | cnt/RefCnt<6> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 16 | 6 | 2 | 6 | 10 | 6 | 14 | 6 | 9 | 7 | 12 | 7 | 0 | 6 | 15 | 6 | 12 | 0 | 8 | 7 | 11 | 6 | 1 | 6 | 11 | 6 | 13 | 7 | 1 | 7 | 15 | 7 | 16 +INPUTS | 6 | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> +INPUTMC | 6 | 0 | 15 | 0 | 10 | 0 | 14 | 0 | 13 | 0 | 12 | 0 | 11 +EQ | 3 | + cnt/RefCnt<6>.T = cnt/RefCnt<0> & cnt/RefCnt<5> & cnt/RefCnt<1> & + cnt/RefCnt<2> & cnt/RefCnt<3> & cnt/RefCnt<4>; + cnt/RefCnt<6>.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 0 | 0 | iobs/IOACTr +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 5 | 4 | 15 | 3 | 17 | 4 | 3 | 3 | 6 | 4 | 12 +INPUTS | 1 | IOACT +INPUTMC | 1 | 5 | 15 +EQ | 2 | + iobs/IOACTr.D = IOACT; + iobs/IOACTr.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 0 | 14 | cnt/RefCnt<1> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 10 | 6 | 2 | 6 | 10 | 0 | 8 | 6 | 9 | 0 | 10 | 0 | 9 | 0 | 13 | 0 | 12 | 0 | 11 | 6 | 1 +INPUTS | 1 | cnt/RefCnt<0> +INPUTMC | 1 | 0 | 15 +EQ | 2 | + cnt/RefCnt<1>.T = cnt/RefCnt<0>; + cnt/RefCnt<1>.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 0 | 13 | cnt/RefCnt<2> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 9 | 6 | 2 | 6 | 10 | 0 | 8 | 6 | 9 | 0 | 10 | 0 | 9 | 0 | 12 | 0 | 11 | 6 | 1 +INPUTS | 2 | cnt/RefCnt<0> | cnt/RefCnt<1> +INPUTMC | 2 | 0 | 15 | 0 | 14 +EQ | 2 | + cnt/RefCnt<2>.T = cnt/RefCnt<0> & cnt/RefCnt<1>; + cnt/RefCnt<2>.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 0 | 12 | cnt/RefCnt<3> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 8 | 6 | 2 | 6 | 10 | 0 | 8 | 6 | 9 | 0 | 10 | 0 | 9 | 0 | 11 | 6 | 1 +INPUTS | 3 | cnt/RefCnt<0> | cnt/RefCnt<1> | cnt/RefCnt<2> +INPUTMC | 3 | 0 | 15 | 0 | 14 | 0 | 13 +EQ | 2 | + cnt/RefCnt<3>.T = cnt/RefCnt<0> & cnt/RefCnt<1> & cnt/RefCnt<2>; + cnt/RefCnt<3>.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 0 | 11 | cnt/RefCnt<4> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 7 | 6 | 2 | 6 | 10 | 0 | 8 | 6 | 9 | 0 | 10 | 0 | 9 | 6 | 1 +INPUTS | 4 | cnt/RefCnt<0> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> +INPUTMC | 4 | 0 | 15 | 0 | 14 | 0 | 13 | 0 | 12 +EQ | 3 | + cnt/RefCnt<4>.T = cnt/RefCnt<0> & cnt/RefCnt<1> & cnt/RefCnt<2> & + cnt/RefCnt<3>; + cnt/RefCnt<4>.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 7 | 8 | iobs/Load1 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 3 | 3 | 8 | 3 | 7 | 5 | 13 +INPUTS | 10 | nADoutLE1 | iobs/Once | A_FSB<23> | A_FSB<20> | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nAS_FSB | fsb/ASrf | nRAMUWE_OBUF.EXP | EXP34_.EXP +INPUTMC | 7 | 5 | 13 | 4 | 7 | 4 | 3 | 3 | 6 | 0 | 7 | 7 | 7 | 7 | 9 +INPUTP | 3 | 36 | 28 | 54 +IMPORTS | 2 | 7 | 7 | 7 | 9 +EQ | 18 | + !iobs/Load1.D = iobs/Once + # !nADoutLE1 + # !A_FSB<23> & !A_FSB<20> + # nAS_FSB & !fsb/ASrf + # !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 +;Imported pterms FB8_8 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<19> + # !A_FSB<23> & A_FSB<21> & nWE_FSB +;Imported pterms FB8_10 + # !A_FSB<23> & A_FSB<21> & !A_FSB<18> + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1; + iobs/Load1.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 3 | 0 | ram/BACTr +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 11 | 7 | 0 | 6 | 15 | 7 | 14 | 6 | 17 | 6 | 0 | 6 | 14 | 6 | 16 | 7 | 1 | 7 | 13 | 7 | 15 | 7 | 17 +INPUTS | 2 | nAS_FSB | fsb/ASrf +INPUTMC | 1 | 0 | 7 +INPUTP | 1 | 54 +EQ | 2 | + !ram/BACTr.D = nAS_FSB & !fsb/ASrf; + ram/BACTr.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 5 | 15 | IOACT +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 2 | 5 | 1 | 0 | 0 +INPUTS | 12 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | iobm/IOREQr | CLK_IOB | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr | nADoutLE0_OBUF.EXP +INPUTMC | 11 | 5 | 6 | 5 | 9 | 3 | 11 | 3 | 9 | 0 | 1 | 5 | 0 | 0 | 6 | 0 | 5 | 0 | 4 | 0 | 3 | 5 | 14 +INPUTP | 1 | 35 +IMPORTS | 1 | 5 | 14 +EQ | 14 | + !IOACT.D = !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & + iobm/IOS_FSM_FFd1 + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & + !iobm/IOS_FSM_FFd2 & !iobm/IOREQr + # !iobm/IOS_FSM_FFd4 & iobm/IOS_FSM_FFd2 & + iobm/IOS_FSM_FFd1 & CLK_IOB & iobm/ETACK + # !iobm/IOS_FSM_FFd4 & iobm/IOS_FSM_FFd2 & + iobm/IOS_FSM_FFd1 & CLK_IOB & iobm/DTACKrf & iobm/DTACKrr + # !iobm/IOS_FSM_FFd4 & iobm/IOS_FSM_FFd2 & + iobm/IOS_FSM_FFd1 & CLK_IOB & iobm/BERRrf & iobm/BERRrr +;Imported pterms FB6_15 + # !iobm/IOS_FSM_FFd4 & iobm/IOS_FSM_FFd2 & + iobm/IOS_FSM_FFd1 & CLK_IOB & iobm/RESrf & iobm/RESrr; + IOACT.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 5 | 17 | IOBERR +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 5 | 4 | 15 | 3 | 17 | 5 | 17 | 5 | 0 | 5 | 16 +INPUTS | 16 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd1 | IOBERR | nBERR_IOB | CLK_IOB | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr | iobm/RESrf | iobm/RESrr | iobm/ETACK.EXP | nDinLE_OBUF.EXP +INPUTMC | 14 | 5 | 6 | 5 | 9 | 3 | 9 | 3 | 11 | 5 | 17 | 5 | 0 | 0 | 6 | 0 | 5 | 0 | 4 | 0 | 3 | 1 | 16 | 1 | 15 | 5 | 0 | 5 | 16 +INPUTP | 2 | 123 | 35 +IMPORTS | 2 | 5 | 0 | 5 | 16 +EQ | 25 | + IOBERR.T = iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & + !iobm/IOS_FSM_FFd2 & !iobm/IOS_FSM_FFd1 & IOBERR + # !nBERR_IOB & !iobm/IOS_FSM_FFd4 & + iobm/IOS_FSM_FFd3 & CLK_IOB & !IOBERR & iobm/ETACK + # !nBERR_IOB & !iobm/IOS_FSM_FFd4 & + iobm/IOS_FSM_FFd3 & CLK_IOB & !IOBERR & iobm/DTACKrf & iobm/DTACKrr + # !nBERR_IOB & !iobm/IOS_FSM_FFd4 & + iobm/IOS_FSM_FFd3 & CLK_IOB & !IOBERR & iobm/BERRrf & iobm/BERRrr + # !nBERR_IOB & !iobm/IOS_FSM_FFd4 & + iobm/IOS_FSM_FFd3 & CLK_IOB & !IOBERR & iobm/RESrf & iobm/RESrr +;Imported pterms FB6_1 + # nBERR_IOB & !iobm/IOS_FSM_FFd4 & + iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2 & iobm/IOS_FSM_FFd1 & CLK_IOB & + IOBERR & iobm/RESrf & iobm/RESrr +;Imported pterms FB6_17 + # nBERR_IOB & !iobm/IOS_FSM_FFd4 & + iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2 & iobm/IOS_FSM_FFd1 & CLK_IOB & + IOBERR & iobm/ETACK + # nBERR_IOB & !iobm/IOS_FSM_FFd4 & + iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2 & iobm/IOS_FSM_FFd1 & CLK_IOB & + IOBERR & iobm/DTACKrf & iobm/DTACKrr + # nBERR_IOB & !iobm/IOS_FSM_FFd4 & + iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2 & iobm/IOS_FSM_FFd1 & CLK_IOB & + IOBERR & iobm/BERRrf & iobm/BERRrr; + IOBERR.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 0 | 8 | cnt/RefCnt<7> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 14 | 6 | 2 | 6 | 14 | 6 | 9 | 7 | 12 | 7 | 0 | 6 | 15 | 6 | 12 | 7 | 11 | 6 | 1 | 6 | 11 | 6 | 13 | 7 | 1 | 7 | 15 | 7 | 16 +INPUTS | 7 | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> +INPUTMC | 7 | 0 | 15 | 0 | 10 | 0 | 9 | 0 | 14 | 0 | 13 | 0 | 12 | 0 | 11 +EQ | 3 | + cnt/RefCnt<7>.T = cnt/RefCnt<0> & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<1> & cnt/RefCnt<2> & cnt/RefCnt<3> & cnt/RefCnt<4>; + cnt/RefCnt<7>.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 1 | 17 | iobm/Er +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 6 | 5 | 5 | 5 | 4 | 5 | 12 | 5 | 3 | 5 | 7 | 0 | 2 +INPUTS | 1 | E_IOB +INPUTP | 1 | 37 +EQ | 2 | + iobm/Er.D = E_IOB; + !iobm/Er.CLK = CLK_IOB; // GCK +GLOBALS | 1 | 2 | CLK_IOB + +MACROCELL | 0 | 1 | iobm/IOREQr +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 3 | 5 | 6 | 5 | 15 | 5 | 2 +INPUTS | 1 | IOREQ +INPUTMC | 1 | 4 | 12 +EQ | 2 | + iobm/IOREQr.D = IOREQ; + !iobm/IOREQr.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 0 | 16 | RefAck +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 2 | 6 | 2 | 7 | 4 +INPUTS | 2 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 +INPUTMC | 2 | 6 | 15 | 7 | 3 +EQ | 2 | + RefAck.D = ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1; + RefAck.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 0 | 4 | iobm/DTACKrf +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 4 | 5 | 9 | 5 | 15 | 5 | 17 | 5 | 16 +INPUTS | 1 | nDTACK_IOB +INPUTP | 1 | 127 +EQ | 2 | + iobm/DTACKrf.D = !nDTACK_IOB; + !iobm/DTACKrf.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 0 | 3 | iobm/DTACKrr +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 4 | 5 | 9 | 5 | 15 | 5 | 17 | 5 | 16 +INPUTS | 1 | nDTACK_IOB +INPUTP | 1 | 127 +EQ | 2 | + iobm/DTACKrr.D = !nDTACK_IOB; + iobm/DTACKrr.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 5 | 0 | iobm/ETACK +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 4 | 5 | 9 | 5 | 15 | 5 | 17 | 5 | 16 +INPUTS | 15 | nVMA_IOB | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> | iobm/ES<4> | nBERR_IOB | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd1 | CLK_IOB | IOBERR | iobm/RESrf | iobm/RESrr +INPUTMC | 13 | 5 | 1 | 5 | 5 | 5 | 4 | 5 | 12 | 5 | 3 | 5 | 7 | 5 | 6 | 5 | 9 | 3 | 9 | 3 | 11 | 5 | 17 | 1 | 16 | 1 | 15 +INPUTP | 2 | 123 | 35 +EXPORTS | 1 | 5 | 17 +EQ | 6 | + iobm/ETACK.D = !nVMA_IOB & !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & + !iobm/ES<3> & iobm/ES<4>; + iobm/ETACK.CLK = CLK2X_IOB; // GCK + iobm/ETACK.EXP = nBERR_IOB & !iobm/IOS_FSM_FFd4 & + iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2 & iobm/IOS_FSM_FFd1 & CLK_IOB & + IOBERR & iobm/RESrf & iobm/RESrr +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 0 | 2 | iobm/Er2 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 5 | 5 | 5 | 5 | 4 | 5 | 12 | 5 | 3 | 5 | 7 +INPUTS | 1 | iobm/Er +INPUTMC | 1 | 1 | 17 +EQ | 2 | + iobm/Er2.D = iobm/Er; + iobm/Er2.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 1 | 14 | iobm/VPArf +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 1 | 5 | 1 +INPUTS | 1 | nVPA_IOB +INPUTP | 1 | 125 +EQ | 2 | + iobm/VPArf.D = !nVPA_IOB; + !iobm/VPArf.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 1 | 13 | iobm/VPArr +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 1 | 5 | 1 +INPUTS | 1 | nVPA_IOB +INPUTP | 1 | 125 +EQ | 2 | + iobm/VPArr.D = !nVPA_IOB; + iobm/VPArr.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 5 | 2 | ALE0M +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 1 | 5 | 14 +INPUTS | 5 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | iobm/IOREQr +INPUTMC | 5 | 5 | 6 | 5 | 9 | 3 | 11 | 3 | 9 | 0 | 1 +EQ | 5 | + !ALE0M.D = !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & + iobm/IOS_FSM_FFd1 + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & + !iobm/IOS_FSM_FFd2 & !iobm/IOREQr; + ALE0M.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 3 | 3 | ALE0S +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 1 | 5 | 14 +INPUTS | 2 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 +INPUTMC | 2 | 4 | 3 | 3 | 6 +EQ | 2 | + ALE0S.D = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1; + ALE0S.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 4 | 12 | IOREQ +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 1 | 0 | 1 +INPUTS | 11 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | iobs/IOACTr | iobs/Once | nADoutLE1 | A_FSB<23> | A_FSB<20> | nAS_FSB | fsb/ASrf | RA_5_OBUF.EXP | RA_2_OBUF.EXP +INPUTMC | 8 | 4 | 3 | 3 | 6 | 0 | 0 | 4 | 7 | 5 | 13 | 0 | 7 | 4 | 11 | 4 | 13 +INPUTP | 3 | 36 | 28 | 54 +IMPORTS | 2 | 4 | 11 | 4 | 13 +EQ | 28 | + !IOREQ.D = !iobs/PS_FSM_FFd2 & iobs/PS_FSM_FFd1 + # iobs/PS_FSM_FFd1 & iobs/IOACTr + # iobs/Once & !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<20> & !iobs/PS_FSM_FFd2 & + nADoutLE1 + # nAS_FSB & !iobs/PS_FSM_FFd2 & !fsb/ASrf & + nADoutLE1 +;Imported pterms FB5_12 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<19> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & nWE_FSB & + !iobs/PS_FSM_FFd2 & nADoutLE1 +;Imported pterms FB5_14 + # !A_FSB<23> & A_FSB<21> & !A_FSB<18> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 & !iobs/PS_FSM_FFd2 & nADoutLE1; + IOREQ.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 0 | 7 | fsb/ASrf +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 42 | 3 | 13 | 7 | 6 | 4 | 7 | 4 | 15 | 4 | 17 | 6 | 6 | 6 | 10 | 4 | 16 | 6 | 9 | 7 | 2 | 3 | 12 | 6 | 3 | 2 | 4 | 0 | 17 | 2 | 11 | 3 | 17 | 7 | 12 | 2 | 8 | 7 | 0 | 6 | 14 | 7 | 3 | 6 | 12 | 4 | 3 | 7 | 8 | 3 | 0 | 4 | 12 | 7 | 14 | 6 | 17 | 2 | 15 | 4 | 0 | 4 | 1 | 6 | 0 | 6 | 1 | 6 | 11 | 6 | 13 | 6 | 16 | 7 | 1 | 7 | 11 | 7 | 13 | 7 | 15 | 7 | 16 | 7 | 17 +INPUTS | 1 | nAS_FSB +INPUTP | 1 | 54 +EQ | 2 | + fsb/ASrf.D = !nAS_FSB; + !fsb/ASrf.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 0 | 6 | iobm/BERRrf +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 4 | 5 | 9 | 5 | 15 | 5 | 17 | 5 | 16 +INPUTS | 1 | nBERR_IOB +INPUTP | 1 | 123 +EQ | 2 | + iobm/BERRrf.D = !nBERR_IOB; + !iobm/BERRrf.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 0 | 5 | iobm/BERRrr +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 4 | 5 | 9 | 5 | 15 | 5 | 17 | 5 | 16 +INPUTS | 1 | nBERR_IOB +INPUTP | 1 | 123 +EQ | 2 | + iobm/BERRrr.D = !nBERR_IOB; + iobm/BERRrr.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 1 | 16 | iobm/RESrf +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 4 | 5 | 9 | 5 | 14 | 5 | 17 | 5 | 0 +INPUTS | 1 | nRES +INPUTP | 1 | 145 +EQ | 2 | + iobm/RESrf.D = !nRES; + !iobm/RESrf.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 1 | 15 | iobm/RESrr +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 4 | 5 | 9 | 5 | 14 | 5 | 17 | 5 | 0 +INPUTS | 1 | nRES +INPUTP | 1 | 145 +EQ | 2 | + iobm/RESrr.D = !nRES; + iobm/RESrr.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 3 | 2 | iobs/Clear1 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 1 | 5 | 13 +INPUTS | 3 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 +INPUTMC | 3 | 4 | 3 | 3 | 6 | 5 | 13 +EQ | 2 | + iobs/Clear1.D = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & !nADoutLE1; + iobs/Clear1.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 7 | 14 | ram/RAMDIS1 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 3 | 7 | 4 | 7 | 5 | 7 | 7 +INPUTS | 10 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | A_FSB<23> | cnt/RefDone | nAS_FSB | ram/BACTr | fsb/ASrf | EXP36_.EXP | EXP37_.EXP +INPUTMC | 8 | 6 | 15 | 7 | 3 | 6 | 13 | 6 | 2 | 3 | 0 | 0 | 7 | 7 | 13 | 7 | 15 +INPUTP | 2 | 36 | 54 +IMPORTS | 2 | 7 | 13 | 7 | 15 +EQ | 38 | + ram/RAMDIS1.D = ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 + # !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 + # !ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 + # A_FSB<23> & !cnt/RefDone & !nAS_FSB & + !ram/RS_FSM_FFd1 & !ram/BACTr + # A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd1 & + !ram/BACTr & fsb/ASrf +;Imported pterms FB8_14 + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & !nAS_FSB & + !ram/RS_FSM_FFd1 & !ram/BACTr + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr +;Imported pterms FB8_13 + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> +;Imported pterms FB8_16 + # A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd1 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # ram/Once & !cnt/RefDone & !ram/RS_FSM_FFd1 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !cnt/RefDone & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd3 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !cnt/RefDone & nAS_FSB & !ram/RS_FSM_FFd1 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & !fsb/ASrf; + ram/RAMDIS1.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 6 | 17 | ram/RAMReady +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 4 | 6 | 3 | 2 | 0 | 2 | 7 | 2 | 2 +INPUTS | 12 | A_FSB<21> | cs/nOverlay1 | cnt/RefDone | nAS_FSB | ram/RS_FSM_FFd1 | ram/BACTr | A_FSB<23> | A_FSB<22> | ram/Once | fsb/ASrf | TimeoutB.EXP | EXP33_.EXP +INPUTMC | 8 | 3 | 13 | 6 | 2 | 7 | 3 | 3 | 0 | 7 | 6 | 0 | 7 | 6 | 0 | 6 | 16 +INPUTP | 4 | 29 | 54 | 36 | 30 +IMPORTS | 2 | 6 | 0 | 6 | 16 +EQ | 33 | + !ram/RAMReady.D = A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr + # !A_FSB<21> & !cs/nOverlay1 & !cnt/RefDone & + !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr + # !A_FSB<21> & !cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd1 +;Imported pterms FB7_1 + # ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 + # !ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 + # !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 + # A_FSB<23> & !cnt/RefDone & !nAS_FSB & + !ram/RS_FSM_FFd1 & !ram/BACTr + # A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd1 & + !ram/BACTr & fsb/ASrf +;Imported pterms FB7_17 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & + !nAS_FSB & !ram/RS_FSM_FFd1 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & + !ram/RS_FSM_FFd1 & fsb/ASrf + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd1 & fsb/ASrf +;Imported pterms FB7_16 + # !cnt/RefDone & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7>; + ram/RAMReady.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 6 | 5 | RA_0_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 3 | A_FSB<10> | ram/RASEL | A_FSB<1> +INPUTMC | 1 | 7 | 0 +INPUTP | 2 | 13 | 149 +EQ | 2 | + RA<0> = A_FSB<10> & !ram/RASEL + # ram/RASEL & A_FSB<1>; + +MACROCELL | 6 | 1 | RA_1_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 6 | 0 +INPUTS | 15 | A_FSB<11> | ram/RASEL | A_FSB<2> | TimeoutB | nAS_FSB | fsb/ASrf | cnt/TimeoutBPre | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> | cnt/RefCnt<7> +INPUTMC | 12 | 7 | 0 | 6 | 0 | 0 | 7 | 6 | 9 | 0 | 15 | 0 | 10 | 0 | 9 | 0 | 14 | 0 | 13 | 0 | 12 | 0 | 11 | 0 | 8 +INPUTP | 3 | 15 | 153 | 54 +EXPORTS | 1 | 6 | 0 +EQ | 9 | + RA<1> = A_FSB<11> & !ram/RASEL + # ram/RASEL & A_FSB<2>; + RA_1_OBUF.EXP = TimeoutB & nAS_FSB & !fsb/ASrf + # !TimeoutB & cnt/TimeoutBPre & !nAS_FSB & + !cnt/RefCnt<0> & !cnt/RefCnt<5> & !cnt/RefCnt<6> & !cnt/RefCnt<1> & + !cnt/RefCnt<2> & !cnt/RefCnt<3> & !cnt/RefCnt<4> & !cnt/RefCnt<7> + # !TimeoutB & cnt/TimeoutBPre & !cnt/RefCnt<0> & + !cnt/RefCnt<5> & !cnt/RefCnt<6> & !cnt/RefCnt<1> & !cnt/RefCnt<2> & + !cnt/RefCnt<3> & !cnt/RefCnt<4> & !cnt/RefCnt<7> & fsb/ASrf + +MACROCELL | 4 | 13 | RA_2_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 4 | 12 +INPUTS | 13 | A_FSB<12> | ram/RASEL | A_FSB<23> | A_FSB<21> | A_FSB<18> | iobs/PS_FSM_FFd2 | nADoutLE1 | A_FSB<17> | A_FSB<14> | A_FSB<13> | A_FSB<22> | cs/nOverlay1 | RA_6_OBUF.EXP +INPUTMC | 5 | 7 | 0 | 4 | 3 | 5 | 13 | 3 | 13 | 4 | 14 +INPUTP | 8 | 17 | 36 | 29 | 24 | 23 | 19 | 18 | 30 +EXPORTS | 1 | 4 | 12 +IMPORTS | 1 | 4 | 14 +EQ | 11 | + RA<2> = A_FSB<12> & !ram/RASEL +;Imported pterms FB5_15 + # ram/RASEL & A_FSB<3>; + RA_2_OBUF.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<18> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 & !iobs/PS_FSM_FFd2 & nADoutLE1 + +MACROCELL | 4 | 10 | RA_3_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 4 | 11 +INPUTS | 5 | A_FSB<13> | ram/RASEL | A_FSB<4> | A_FSB<15> | A_FSB<6> +INPUTMC | 1 | 7 | 0 +INPUTP | 4 | 18 | 157 | 21 | 6 +EXPORTS | 1 | 4 | 11 +EQ | 4 | + RA<3> = A_FSB<13> & !ram/RASEL + # ram/RASEL & A_FSB<4>; + RA_3_OBUF.EXP = A_FSB<15> & !ram/RASEL + # ram/RASEL & A_FSB<6> + +MACROCELL | 4 | 8 | RA_4_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 4 | 7 +INPUTS | 9 | A_FSB<23> | A_FSB<21> | A_FSB<19> | iobs/Once | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | EXP29_.EXP +INPUTMC | 2 | 4 | 7 | 4 | 9 +INPUTP | 7 | 36 | 29 | 26 | 24 | 23 | 22 | 47 +EXPORTS | 1 | 4 | 7 +IMPORTS | 1 | 4 | 9 +EQ | 8 | + RA<4> = ;Imported pterms FB5_10 + A_FSB<14> & !ram/RASEL + # ram/RASEL & A_FSB<5>; + RA_4_OBUF.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<19> & !iobs/Once + # !A_FSB<23> & A_FSB<21> & !A_FSB<18> & !iobs/Once + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & !iobs/Once + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & !iobs/Once + # !A_FSB<23> & A_FSB<21> & !iobs/Once & nWE_FSB + +MACROCELL | 4 | 11 | RA_5_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 4 | 12 +INPUTS | 10 | A_FSB<23> | A_FSB<22> | A_FSB<21> | iobs/PS_FSM_FFd2 | nADoutLE1 | cs/nOverlay1 | A_FSB<19> | A_FSB<16> | nWE_FSB | RA_3_OBUF.EXP +INPUTMC | 4 | 4 | 3 | 5 | 13 | 3 | 13 | 4 | 10 +INPUTP | 6 | 36 | 30 | 29 | 26 | 22 | 47 +EXPORTS | 1 | 4 | 12 +IMPORTS | 1 | 4 | 10 +EQ | 13 | + RA<5> = ;Imported pterms FB5_11 + A_FSB<15> & !ram/RASEL + # ram/RASEL & A_FSB<6>; + RA_5_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<19> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & nWE_FSB & + !iobs/PS_FSM_FFd2 & nADoutLE1 + +MACROCELL | 4 | 14 | RA_6_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 4 | 13 +INPUTS | 4 | A_FSB<16> | ram/RASEL | A_FSB<7> | A_FSB<3> +INPUTMC | 1 | 7 | 0 +INPUTP | 3 | 22 | 8 | 155 +EXPORTS | 1 | 4 | 13 +EQ | 3 | + RA<6> = A_FSB<16> & !ram/RASEL + # ram/RASEL & A_FSB<7>; + RA_6_OBUF.EXP = ram/RASEL & A_FSB<3> + +MACROCELL | 6 | 4 | RA_7_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 3 | A_FSB<17> | ram/RASEL | A_FSB<8> +INPUTMC | 1 | 7 | 0 +INPUTP | 2 | 23 | 11 +EQ | 2 | + RA<7> = A_FSB<8> & ram/RASEL + # A_FSB<17> & !ram/RASEL; + +MACROCELL | 6 | 7 | RA_8_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 3 | A_FSB<18> | ram/RASEL | A_FSB<9> +INPUTMC | 1 | 7 | 0 +INPUTP | 2 | 24 | 12 +EQ | 2 | + RA<8> = A_FSB<9> & ram/RASEL + # A_FSB<18> & !ram/RASEL; + +MACROCELL | 6 | 10 | RA_9_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 6 | 11 +INPUTS | 13 | A_FSB<19> | ram/RASEL | A_FSB<20> | TimeoutA | nAS_FSB | fsb/ASrf | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> +INPUTMC | 10 | 7 | 0 | 6 | 11 | 0 | 7 | 0 | 15 | 0 | 10 | 0 | 9 | 0 | 14 | 0 | 13 | 0 | 12 | 0 | 11 +INPUTP | 3 | 26 | 28 | 54 +EXPORTS | 1 | 6 | 11 +EQ | 9 | + RA<9> = A_FSB<20> & ram/RASEL + # A_FSB<19> & !ram/RASEL; + RA_9_OBUF.EXP = TimeoutA & nAS_FSB & !fsb/ASrf + # !TimeoutA & !nAS_FSB & !cnt/RefCnt<0> & + !cnt/RefCnt<5> & !cnt/RefCnt<6> & !cnt/RefCnt<1> & !cnt/RefCnt<2> & + !cnt/RefCnt<3> & !cnt/RefCnt<4> + # !TimeoutA & !cnt/RefCnt<0> & !cnt/RefCnt<5> & + !cnt/RefCnt<6> & !cnt/RefCnt<1> & !cnt/RefCnt<2> & !cnt/RefCnt<3> & + !cnt/RefCnt<4> & fsb/ASrf + +MACROCELL | 7 | 11 | nBERR_FSB_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 7 | 12 +INPUTS | 16 | ram/Once | cnt/RefDone | ram/RAMDIS2 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | fsb/ASrf | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | nAS_FSB | A_FSB<21> | EXP35_.EXP +INPUTMC | 12 | 7 | 6 | 6 | 2 | 7 | 12 | 6 | 15 | 7 | 3 | 6 | 13 | 0 | 10 | 0 | 9 | 0 | 8 | 0 | 7 | 3 | 13 | 7 | 10 +INPUTP | 4 | 36 | 30 | 54 | 29 +EXPORTS | 1 | 7 | 12 +IMPORTS | 1 | 7 | 10 +EQ | 24 | + nBERR_FSB = ;Imported pterms FB8_11 + nAS_FSB + # !BERR_IOBS & !TimeoutB & !fsb/BERR0r & !fsb/BERR1r + # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & A_FSB<20> & + !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r; + nBERR_FSB_OBUF.EXP = ram/Once & !cnt/RefDone & !ram/RAMDIS2 & + ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & ram/Once & + !cnt/RefDone & !ram/RAMDIS2 & !nAS_FSB & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & ram/Once & + !cnt/RefDone & !ram/RAMDIS2 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & + fsb/ASrf + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & ram/Once & !cnt/RefDone & !ram/RAMDIS2 & !nAS_FSB & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & ram/Once & !cnt/RefDone & !ram/RAMDIS2 & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & fsb/ASrf + +MACROCELL | 4 | 5 | nOE_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 4 | 6 +INPUTS | 9 | nWE_FSB | nAS_FSB | A_FSB<14> | A_FSB<13> | A_FSB<23> | A_FSB<21> | iobs/Once | A_FSB<22> | cs/nOverlay1 +INPUTMC | 2 | 4 | 7 | 3 | 13 +INPUTP | 7 | 47 | 54 | 19 | 18 | 36 | 29 | 30 +EXPORTS | 1 | 4 | 6 +EQ | 5 | + !nOE = nWE_FSB & !nAS_FSB; + nOE_OBUF.EXP = !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & + !iobs/Once + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 & !iobs/Once + +MACROCELL | 2 | 16 | nROMWE_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 2 | nWE_FSB | nAS_FSB +INPUTP | 2 | 47 | 54 +EQ | 1 | + !nROMWE = !nWE_FSB & !nAS_FSB; + +MACROCELL | 3 | 10 | nVPA_FSB_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 2 | fsb/VPA | nAS_FSB +INPUTMC | 1 | 2 | 1 +INPUTP | 1 | 54 +EQ | 1 | + !nVPA_FSB = fsb/VPA & !nAS_FSB; + +MACROCELL | 5 | 14 | nADoutLE0_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 5 | 15 +INPUTS | 8 | ALE0M | ALE0S | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd1 | CLK_IOB | iobm/RESrf | iobm/RESrr +INPUTMC | 7 | 5 | 2 | 3 | 3 | 5 | 6 | 3 | 9 | 3 | 11 | 1 | 16 | 1 | 15 +INPUTP | 1 | 35 +EXPORTS | 1 | 5 | 15 +EQ | 3 | + nADoutLE0 = !ALE0M & !ALE0S; + nADoutLE0_OBUF.EXP = !iobm/IOS_FSM_FFd4 & iobm/IOS_FSM_FFd2 & + iobm/IOS_FSM_FFd1 & CLK_IOB & iobm/RESrf & iobm/RESrr + +MACROCELL | 5 | 11 | nAS_IOB_OBUF +ATTRIBUTES | 8684290 | 0 +INPUTS | 4 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 +INPUTMC | 4 | 5 | 6 | 5 | 9 | 3 | 11 | 3 | 9 +EQ | 5 | + nAS_IOB.D = !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & + !iobm/IOS_FSM_FFd2 + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & + iobm/IOS_FSM_FFd1; + !nAS_IOB.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 4 | 4 | nCAS_OBUF +ATTRIBUTES | 8684290 | 0 +OUTPUTMC | 1 | 4 | 3 +INPUTS | 12 | ram/RASEL | A_FSB<23> | A_FSB<21> | A_FSB<18> | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | A_FSB<17> | A_FSB<14> | A_FSB<13> | A_FSB<22> | cs/nOverlay1 +INPUTMC | 5 | 7 | 0 | 4 | 3 | 3 | 6 | 5 | 13 | 3 | 13 +INPUTP | 7 | 36 | 29 | 24 | 23 | 19 | 18 | 30 +EXPORTS | 1 | 4 | 3 +EQ | 10 | + nCAS.D = !ram/RASEL; + !nCAS.CLK = CLK_FSB; // GCK + nCAS_OBUF.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<18> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 5 | 16 | nDinLE_OBUF +ATTRIBUTES | 8684290 | 0 +OUTPUTMC | 1 | 5 | 17 +INPUTS | 12 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | nBERR_IOB | iobm/IOS_FSM_FFd2 | CLK_IOB | IOBERR | iobm/ETACK | iobm/DTACKrf | iobm/DTACKrr | iobm/BERRrf | iobm/BERRrr +INPUTMC | 10 | 5 | 6 | 5 | 9 | 3 | 11 | 3 | 9 | 5 | 17 | 5 | 0 | 0 | 4 | 0 | 3 | 0 | 6 | 0 | 5 +INPUTP | 2 | 123 | 35 +EXPORTS | 1 | 5 | 17 +EQ | 12 | + nDinLE.D = iobm/IOS_FSM_FFd4 & iobm/IOS_FSM_FFd1 + # !iobm/IOS_FSM_FFd4 & iobm/IOS_FSM_FFd3; + !nDinLE.CLK = CLK2X_IOB; // GCK + nDinLE_OBUF.EXP = nBERR_IOB & !iobm/IOS_FSM_FFd4 & + iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2 & iobm/IOS_FSM_FFd1 & CLK_IOB & + IOBERR & iobm/ETACK + # nBERR_IOB & !iobm/IOS_FSM_FFd4 & + iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2 & iobm/IOS_FSM_FFd1 & CLK_IOB & + IOBERR & iobm/DTACKrf & iobm/DTACKrr + # nBERR_IOB & !iobm/IOS_FSM_FFd4 & + iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2 & iobm/IOS_FSM_FFd1 & CLK_IOB & + IOBERR & iobm/BERRrf & iobm/BERRrr +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 3 | 5 | nDinOE_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 6 | A_FSB<23> | nWE_FSB | nAS_FSB | A_FSB<22> | A_FSB<21> | A_FSB<20> +INPUTP | 6 | 36 | 47 | 54 | 30 | 29 | 28 +EQ | 3 | + nDinOE = A_FSB<23> & nWE_FSB & !nAS_FSB + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & nWE_FSB & + !nAS_FSB; + +MACROCELL | 3 | 4 | nDoutOE_OBUF +ATTRIBUTES | 8684290 | 0 +INPUTS | 4 | IORW0 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd2 +INPUTMC | 4 | 4 | 17 | 5 | 6 | 5 | 9 | 3 | 9 +EQ | 4 | + nDoutOE.D = !IORW0 + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & + !iobm/IOS_FSM_FFd2; + nDoutOE.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 5 | 8 | nLDS_IOB_OBUF +ATTRIBUTES | 8684290 | 0 +INPUTS | 6 | IOL0 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | IORW0 +INPUTMC | 6 | 3 | 16 | 5 | 6 | 5 | 9 | 3 | 11 | 3 | 9 | 4 | 17 +EQ | 8 | + nLDS_IOB.D = !IOL0 + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & + !iobm/IOS_FSM_FFd2 + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & + iobm/IOS_FSM_FFd1 + # IORW0 & iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd2 & + !iobm/IOS_FSM_FFd1; + !nLDS_IOB.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 7 | 4 | nRAS_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 8 | RefAck | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/RAMDIS2 | nAS_FSB | ram/RAMDIS1 | A_FSB<21> +INPUTMC | 4 | 0 | 16 | 3 | 13 | 7 | 12 | 7 | 14 +INPUTP | 4 | 36 | 30 | 54 | 29 +EQ | 5 | + !nRAS = RefAck + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !ram/RAMDIS2 & !nAS_FSB & !ram/RAMDIS1 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/RAMDIS2 & !nAS_FSB & !ram/RAMDIS1; + +MACROCELL | 5 | 10 | nUDS_IOB_OBUF +ATTRIBUTES | 8684290 | 0 +INPUTS | 6 | IOU0 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | IORW0 +INPUTMC | 6 | 3 | 15 | 5 | 6 | 5 | 9 | 3 | 11 | 3 | 9 | 4 | 17 +EQ | 8 | + nUDS_IOB.D = !IOU0 + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & + !iobm/IOS_FSM_FFd2 + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & + iobm/IOS_FSM_FFd1 + # IORW0 & iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd2 & + !iobm/IOS_FSM_FFd1; + !nUDS_IOB.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 7 | 1 | A_FSB_19_IBUF$BUF0 +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 7 | 0 +INPUTS | 13 | A_FSB<22> | cs/nOverlay1 | cnt/RefDone | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/BACTr | fsb/ASrf | nAS_FSB | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | ram/RS_FSM_FFd3 | fsb/BERR0r.EXP +INPUTMC | 11 | 3 | 13 | 6 | 2 | 6 | 15 | 7 | 3 | 3 | 0 | 0 | 7 | 0 | 10 | 0 | 9 | 0 | 8 | 6 | 13 | 7 | 2 +INPUTP | 2 | 30 | 54 +EXPORTS | 1 | 7 | 0 +IMPORTS | 1 | 7 | 2 +EQ | 14 | + RA<11> = ;Imported pterms FB8_3 + A_FSB<19>; + A_FSB_19_IBUF$BUF0.EXP = A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # !cnt/RefDone & nAS_FSB & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & + !fsb/ASrf + # !cnt/RefDone & nAS_FSB & ram/RS_FSM_FFd1 & + ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & + !fsb/ASrf + +MACROCELL | 6 | 8 | A_FSB_21_IBUF$BUF0 +ATTRIBUTES | 264962 | 0 +INPUTS | 1 | A_FSB<21> +INPUTP | 1 | 29 +EQ | 1 | + RA<10> = A_FSB<21>; + +MACROCELL | 5 | 13 | nADoutLE1_OBUF +ATTRIBUTES | 8815366 | 0 +OUTPUTMC | 22 | 4 | 6 | 4 | 15 | 4 | 17 | 3 | 16 | 3 | 15 | 2 | 2 | 5 | 13 | 2 | 12 | 3 | 17 | 2 | 5 | 4 | 3 | 7 | 8 | 4 | 12 | 3 | 2 | 2 | 9 | 4 | 0 | 4 | 1 | 4 | 2 | 4 | 4 | 4 | 11 | 4 | 13 | 4 | 16 +INPUTS | 3 | iobs/Clear1 | nADoutLE1 | iobs/Load1 +INPUTMC | 3 | 3 | 2 | 5 | 13 | 7 | 8 +EQ | 3 | + !nADoutLE1.D = iobs/Load1 + # !iobs/Clear1 & !nADoutLE1; + nADoutLE1.CLK = CLK_FSB; // GCK +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 7 | 5 | nRAMLWE_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 5 | nWE_FSB | nLDS_FSB | ram/RAMDIS2 | nAS_FSB | ram/RAMDIS1 +INPUTMC | 2 | 7 | 12 | 7 | 14 +INPUTP | 3 | 47 | 49 | 54 +EQ | 2 | + !nRAMLWE = !nWE_FSB & !nLDS_FSB & !ram/RAMDIS2 & !nAS_FSB & + !ram/RAMDIS1; + +MACROCELL | 7 | 7 | nRAMUWE_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 7 | 8 +INPUTS | 10 | nWE_FSB | nUDS_FSB | ram/RAMDIS2 | nAS_FSB | ram/RAMDIS1 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | A_FSB<19> +INPUTMC | 3 | 7 | 12 | 7 | 14 | 3 | 13 +INPUTP | 7 | 47 | 56 | 54 | 36 | 30 | 29 | 26 +EXPORTS | 1 | 7 | 8 +EQ | 6 | + !nRAMUWE = !nWE_FSB & !nUDS_FSB & !ram/RAMDIS2 & !nAS_FSB & + !ram/RAMDIS1; + nRAMUWE_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<21> + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<19> + # !A_FSB<23> & A_FSB<21> & nWE_FSB + +MACROCELL | 4 | 1 | nROMCS_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 4 | 0 +INPUTS | 19 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | cs/nOverlay1 | A_FSB<14> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | iobs/Once | IORW0 | nWE_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | fsb/ASrf | nADoutLE1 | A_FSB<13> | nAS_FSB +INPUTMC | 7 | 3 | 13 | 4 | 7 | 4 | 17 | 4 | 3 | 3 | 6 | 0 | 7 | 5 | 13 +INPUTP | 12 | 36 | 30 | 29 | 28 | 19 | 26 | 24 | 23 | 22 | 47 | 18 | 54 +EXPORTS | 1 | 4 | 0 +EQ | 15 | + !nROMCS = !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> + # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & + !cs/nOverlay1; + nROMCS_OBUF.EXP = A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + +MACROCELL | 3 | 1 | nAoutOE_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 0 +EQ | 1 | + nAoutOE = Gnd; + +MACROCELL | 0 | 17 | $OpTx$FX_DC$607 +ATTRIBUTES | 133888 | 0 +OUTPUTMC | 5 | 2 | 1 | 2 | 0 | 2 | 2 | 2 | 3 | 2 | 17 +INPUTS | 2 | nAS_FSB | fsb/ASrf +INPUTMC | 1 | 0 | 7 +INPUTP | 1 | 54 +EQ | 1 | + $OpTx$FX_DC$607 = nAS_FSB & !fsb/ASrf; + +MACROCELL | 3 | 14 | $OpTx$FX_DC$608 +ATTRIBUTES | 133888 | 0 +OUTPUTMC | 2 | 2 | 8 | 2 | 10 +INPUTS | 5 | TimeoutB | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> +INPUTMC | 1 | 6 | 0 +INPUTP | 4 | 36 | 30 | 29 | 28 +EQ | 2 | + $OpTx$FX_DC$608 = !TimeoutB + # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & A_FSB<20>; + +MACROCELL | 2 | 0 | EXP14_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 1 +INPUTS | 13 | A_FSB<22> | TimeoutB | fsb/VPA | $OpTx$FX_DC$607 | A_FSB<21> | A_FSB<23> | fsb/Ready1r | iobs/IOReady | cs/nOverlay1 | fsb/Ready0r | ram/RAMReady | A_FSB<20> | EXP25_.EXP +INPUTMC | 9 | 6 | 0 | 2 | 1 | 0 | 17 | 2 | 4 | 3 | 17 | 3 | 13 | 6 | 3 | 6 | 17 | 2 | 17 +INPUTP | 4 | 30 | 29 | 36 | 28 +EXPORTS | 1 | 2 | 1 +IMPORTS | 1 | 2 | 17 +EQ | 36 | + EXP14_.EXP = !A_FSB<22> & TimeoutB & fsb/VPA & + !$OpTx$FX_DC$607 + # A_FSB<21> & TimeoutB & fsb/VPA & + !$OpTx$FX_DC$607 + # A_FSB<23> & !fsb/Ready1r & fsb/VPA & + !iobs/IOReady & !$OpTx$FX_DC$607 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !fsb/Ready0r & fsb/VPA & !ram/RAMReady & !$OpTx$FX_DC$607 + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !fsb/Ready1r & + fsb/VPA & !iobs/IOReady & !$OpTx$FX_DC$607 +;Imported pterms FB3_18 + # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$FX_DC$607 + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$FX_DC$607 + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$FX_DC$607 + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$FX_DC$607 + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$FX_DC$607 + +MACROCELL | 2 | 2 | EXP15_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 1 +INPUTS | 20 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | fsb/Ready0r | fsb/VPA | ram/RAMReady | $OpTx$FX_DC$607 | A_FSB<14> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | fsb/Ready1r | iobs/IOReady | A_FSB<13> | nADoutLE1 | EXP16_.EXP +INPUTMC | 9 | 3 | 13 | 6 | 3 | 2 | 1 | 6 | 17 | 0 | 17 | 2 | 4 | 3 | 17 | 5 | 13 | 2 | 3 +INPUTP | 11 | 36 | 30 | 29 | 19 | 28 | 26 | 24 | 23 | 22 | 47 | 18 +EXPORTS | 1 | 2 | 1 +IMPORTS | 1 | 2 | 3 +EQ | 43 | + EXP15_.EXP = !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !fsb/Ready0r & fsb/VPA & !ram/RAMReady & + !$OpTx$FX_DC$607 + # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & + !fsb/Ready1r & fsb/VPA & !iobs/IOReady & !$OpTx$FX_DC$607 + # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & + !fsb/Ready1r & fsb/VPA & !iobs/IOReady & !$OpTx$FX_DC$607 + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & fsb/VPA & !iobs/IOReady & + !nADoutLE1 & !$OpTx$FX_DC$607 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & fsb/VPA & !iobs/IOReady & + !nADoutLE1 & !$OpTx$FX_DC$607 +;Imported pterms FB3_4 + # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$FX_DC$607 + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$FX_DC$607 + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$FX_DC$607 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !TimeoutB & !fsb/BERR0r & + !fsb/BERR1r & fsb/Ready1r & !$OpTx$FX_DC$607 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !TimeoutB & !fsb/BERR0r & + !fsb/BERR1r & iobs/IOReady & !$OpTx$FX_DC$607 + +MACROCELL | 2 | 3 | EXP16_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 2 +INPUTS | 28 | A_FSB<9> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | TimeoutA | fsb/Ready2r | fsb/VPA | $OpTx$FX_DC$607 | A_FSB<8> | BERR_IOBS | TimeoutB | fsb/BERR0r | fsb/BERR1r | fsb/Ready1r | iobs/IOReady +INPUTMC | 11 | 3 | 13 | 6 | 11 | 2 | 14 | 2 | 1 | 0 | 17 | 4 | 15 | 6 | 0 | 7 | 2 | 3 | 12 | 2 | 4 | 3 | 17 +INPUTP | 17 | 12 | 21 | 19 | 18 | 17 | 15 | 13 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 11 +EXPORTS | 1 | 2 | 2 +EQ | 25 | + EXP16_.EXP = A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$FX_DC$607 + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$FX_DC$607 + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$FX_DC$607 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !TimeoutB & !fsb/BERR0r & + !fsb/BERR1r & fsb/Ready1r & !$OpTx$FX_DC$607 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !TimeoutB & !fsb/BERR0r & + !fsb/BERR1r & iobs/IOReady & !$OpTx$FX_DC$607 + +MACROCELL | 2 | 5 | EXP17_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 4 +INPUTS | 14 | A_FSB<14> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | fsb/Ready1r | iobs/IOReady | nADoutLE1 | A_FSB<13> +INPUTMC | 4 | 3 | 13 | 2 | 4 | 3 | 17 | 5 | 13 +INPUTP | 10 | 19 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 18 +EXPORTS | 1 | 2 | 4 +EQ | 8 | + EXP17_.EXP = A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & + !nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & + !nADoutLE1 + +MACROCELL | 2 | 6 | EXP18_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 7 +INPUTS | 21 | A_FSB<9> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | TimeoutA | fsb/Ready2r | nDTACK_FSB | A_FSB<8> +INPUTMC | 4 | 3 | 13 | 6 | 11 | 2 | 14 | 2 | 8 +INPUTP | 17 | 12 | 21 | 19 | 18 | 17 | 15 | 13 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 11 +EXPORTS | 1 | 2 | 7 +EQ | 15 | + EXP18_.EXP = A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + +MACROCELL | 2 | 7 | EXP19_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 8 +INPUTS | 17 | A_FSB<23> | fsb/Ready1r | iobs/IOReady | nDTACK_FSB | A_FSB<22> | cs/nOverlay1 | fsb/Ready0r | ram/RAMReady | A_FSB<21> | A_FSB<20> | A_FSB<14> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | EXP18_.EXP +INPUTMC | 7 | 2 | 4 | 3 | 17 | 2 | 8 | 3 | 13 | 6 | 3 | 6 | 17 | 2 | 6 +INPUTP | 10 | 36 | 30 | 29 | 28 | 19 | 26 | 24 | 23 | 22 | 47 +EXPORTS | 1 | 2 | 8 +IMPORTS | 1 | 2 | 6 +EQ | 27 | + EXP19_.EXP = A_FSB<23> & !fsb/Ready1r & !iobs/IOReady & + nDTACK_FSB + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !fsb/Ready1r & + !iobs/IOReady & nDTACK_FSB + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady + # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & + !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB +;Imported pterms FB3_7 + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + +MACROCELL | 2 | 9 | EXP20_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 8 +INPUTS | 25 | A_FSB<13> | A_FSB<22> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | fsb/Ready1r | iobs/IOReady | nDTACK_FSB | A_FSB<14> | A_FSB<21> | nADoutLE1 | A_FSB<9> | A_FSB<15> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | TimeoutA | fsb/Ready2r | A_FSB<8> | EXP21_.EXP +INPUTMC | 8 | 3 | 13 | 2 | 4 | 3 | 17 | 2 | 8 | 5 | 13 | 6 | 11 | 2 | 14 | 2 | 10 +INPUTP | 17 | 18 | 30 | 28 | 26 | 24 | 23 | 22 | 47 | 19 | 29 | 12 | 21 | 17 | 15 | 13 | 36 | 11 +EXPORTS | 1 | 2 | 8 +IMPORTS | 1 | 2 | 10 +EQ | 47 | + EXP20_.EXP = A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & + !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & + nDTACK_FSB & !nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & + nDTACK_FSB & !nADoutLE1 + # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB +;Imported pterms FB3_11 + # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + fsb/Ready1r & $OpTx$FX_DC$608 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + iobs/IOReady & $OpTx$FX_DC$608 + +MACROCELL | 2 | 10 | EXP21_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 9 +INPUTS | 27 | A_FSB<9> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | TimeoutA | fsb/Ready2r | nDTACK_FSB | A_FSB<8> | BERR_IOBS | fsb/BERR0r | fsb/BERR1r | fsb/Ready1r | $OpTx$FX_DC$608 | iobs/IOReady +INPUTMC | 10 | 3 | 13 | 6 | 11 | 2 | 14 | 2 | 8 | 4 | 15 | 7 | 2 | 3 | 12 | 2 | 4 | 3 | 14 | 3 | 17 +INPUTP | 17 | 12 | 21 | 19 | 18 | 17 | 15 | 13 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 11 +EXPORTS | 1 | 2 | 9 +EQ | 25 | + EXP21_.EXP = A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + nDTACK_FSB + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + fsb/Ready1r & $OpTx$FX_DC$608 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & + iobs/IOReady & $OpTx$FX_DC$608 + +MACROCELL | 2 | 11 | EXP22_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 12 +INPUTS | 10 | nWE_FSB | iobs/IORW1 | nAS_FSB | fsb/ASrf | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 +INPUTMC | 5 | 2 | 12 | 0 | 7 | 4 | 3 | 3 | 6 | 3 | 13 +INPUTP | 5 | 47 | 54 | 36 | 30 | 29 +EXPORTS | 1 | 2 | 12 +EQ | 5 | + EXP22_.EXP = !nWE_FSB & !iobs/IORW1 + # nAS_FSB & !fsb/ASrf + # !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 + +MACROCELL | 2 | 13 | EXP23_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 12 +INPUTS | 9 | A_FSB<23> | A_FSB<21> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<22> | cs/nOverlay1 | fsb/Ready2r.EXP +INPUTMC | 2 | 3 | 13 | 2 | 14 +INPUTP | 7 | 36 | 29 | 26 | 24 | 23 | 22 | 30 +EXPORTS | 1 | 2 | 12 +IMPORTS | 1 | 2 | 14 +EQ | 8 | + EXP23_.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<19> + # !A_FSB<23> & A_FSB<21> & !A_FSB<18> + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 +;Imported pterms FB3_15 + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> + +MACROCELL | 2 | 15 | EXP24_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 14 +INPUTS | 21 | nAS_FSB | fsb/ASrf | A_FSB<8> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | TimeoutA | fsb/Ready2r +INPUTMC | 4 | 0 | 7 | 3 | 13 | 6 | 11 | 2 | 14 +INPUTP | 17 | 54 | 11 | 21 | 19 | 18 | 17 | 15 | 13 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 47 +EXPORTS | 1 | 2 | 14 +EQ | 17 | + EXP24_.EXP = nAS_FSB & !fsb/ASrf + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + +MACROCELL | 2 | 17 | EXP25_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 0 +INPUTS | 22 | A_FSB<9> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | TimeoutA | fsb/Ready2r | fsb/VPA | $OpTx$FX_DC$607 | A_FSB<8> +INPUTMC | 5 | 3 | 13 | 6 | 11 | 2 | 14 | 2 | 1 | 0 | 17 +INPUTP | 17 | 12 | 21 | 19 | 18 | 17 | 15 | 13 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 11 +EXPORTS | 1 | 2 | 0 +EQ | 25 | + EXP25_.EXP = A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$FX_DC$607 + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$FX_DC$607 + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$FX_DC$607 + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$FX_DC$607 + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$FX_DC$607 + +MACROCELL | 4 | 0 | EXP26_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 4 | 17 +INPUTS | 19 | A_FSB<14> | A_FSB<22> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | iobs/Once | IORW0 | nWE_FSB | nAS_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | fsb/ASrf | A_FSB<13> | A_FSB<21> | nROMCS_OBUF.EXP +INPUTMC | 8 | 3 | 13 | 4 | 7 | 4 | 17 | 4 | 3 | 3 | 6 | 5 | 13 | 0 | 7 | 4 | 1 +INPUTP | 11 | 19 | 30 | 28 | 26 | 24 | 23 | 22 | 47 | 54 | 18 | 29 +EXPORTS | 1 | 4 | 17 +IMPORTS | 1 | 4 | 1 +EQ | 33 | + EXP26_.EXP = A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & + !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & + !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & + !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & + !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 +;Imported pterms FB5_2 + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + +MACROCELL | 4 | 2 | EXP27_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 4 | 3 +INPUTS | 10 | A_FSB<23> | A_FSB<22> | A_FSB<21> | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | cs/nOverlay1 | A_FSB<19> | A_FSB<16> | nWE_FSB +INPUTMC | 4 | 4 | 3 | 3 | 6 | 5 | 13 | 3 | 13 +INPUTP | 6 | 36 | 30 | 29 | 26 | 22 | 47 +EXPORTS | 1 | 4 | 3 +EQ | 10 | + EXP27_.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<19> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & nWE_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + +MACROCELL | 4 | 6 | EXP28_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 4 | 7 +INPUTS | 9 | A_FSB<23> | iobs/Once | iobs/PS_FSM_FFd1 | iobs/PS_FSM_FFd2 | nADoutLE1 | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | nOE_OBUF.EXP +INPUTMC | 6 | 4 | 7 | 3 | 6 | 4 | 3 | 5 | 13 | 3 | 13 | 4 | 5 +INPUTP | 3 | 36 | 30 | 29 +EXPORTS | 1 | 4 | 7 +IMPORTS | 1 | 4 | 5 +EQ | 11 | + EXP28_.EXP = A_FSB<23> & !iobs/Once & iobs/PS_FSM_FFd1 + # !iobs/Once & iobs/PS_FSM_FFd2 & !nADoutLE1 + # !iobs/Once & iobs/PS_FSM_FFd1 & !nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & !iobs/Once + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & + !iobs/Once +;Imported pterms FB5_6 + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & + !iobs/Once + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 & !iobs/Once + +MACROCELL | 4 | 9 | EXP29_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 4 | 8 +INPUTS | 3 | A_FSB<14> | ram/RASEL | A_FSB<5> +INPUTMC | 1 | 7 | 0 +INPUTP | 2 | 19 | 4 +EXPORTS | 1 | 4 | 8 +EQ | 2 | + EXP29_.EXP = A_FSB<14> & !ram/RASEL + # ram/RASEL & A_FSB<5> + +MACROCELL | 4 | 16 | EXP30_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 4 | 17 +INPUTS | 12 | A_FSB<23> | iobs/Once | IORW0 | nWE_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | fsb/ASrf | nADoutLE1 | A_FSB<22> | A_FSB<21> | A_FSB<20> | nAS_FSB +INPUTMC | 6 | 4 | 7 | 4 | 17 | 4 | 3 | 3 | 6 | 0 | 7 | 5 | 13 +INPUTP | 6 | 36 | 47 | 30 | 29 | 28 | 54 +EXPORTS | 1 | 4 | 17 +EQ | 14 | + EXP30_.EXP = A_FSB<23> & !iobs/Once & !IORW0 & nWE_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !iobs/Once & + IORW0 & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !iobs/Once & + IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & + fsb/ASrf & nADoutLE1 + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !iobs/Once & + !IORW0 & nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !iobs/Once & + !IORW0 & nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & + fsb/ASrf & nADoutLE1 + +MACROCELL | 6 | 12 | EXP31_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 6 | 13 +INPUTS | 11 | ram/Once | cnt/RefDone | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | nAS_FSB | fsb/ASrf | TimeoutA.EXP +INPUTMC | 10 | 7 | 6 | 6 | 2 | 6 | 15 | 7 | 3 | 6 | 13 | 0 | 10 | 0 | 9 | 0 | 8 | 0 | 7 | 6 | 11 +INPUTP | 1 | 54 +EXPORTS | 1 | 6 | 13 +IMPORTS | 1 | 6 | 11 +EQ | 23 | + EXP31_.EXP = ram/Once & cnt/RefDone & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & !cnt/RefCnt<5> + # ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & !cnt/RefCnt<6> + # ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & !cnt/RefCnt<7> + # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & !fsb/ASrf +;Imported pterms FB7_12 + # A_FSB<22> & !A_FSB<21> & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # A_FSB<22> & cs/nOverlay1 & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # !A_FSB<22> & !cs/nOverlay1 & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # !cnt/RefDone & !nAS_FSB & ram/RS_FSM_FFd2 & + ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + # !cnt/RefDone & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & + ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & + fsb/ASrf + +MACROCELL | 6 | 14 | EXP32_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 6 | 15 +INPUTS | 12 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cnt/RefCnt<5> | ram/BACTr | nAS_FSB | fsb/ASrf | cnt/RefCnt<6> | cnt/RefCnt<7> | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/RS_FSM_FFd3.EXP +INPUTMC | 9 | 7 | 3 | 6 | 13 | 0 | 10 | 3 | 0 | 0 | 7 | 0 | 9 | 0 | 8 | 3 | 13 | 6 | 13 +INPUTP | 3 | 54 | 36 | 30 +EXPORTS | 1 | 6 | 15 +IMPORTS | 1 | 6 | 13 +EQ | 20 | + EXP32_.EXP = !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + !cnt/RefCnt<5> & ram/BACTr + # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + !cnt/RefCnt<5> & !fsb/ASrf + # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + !cnt/RefCnt<6> & !fsb/ASrf + # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + !cnt/RefCnt<7> & !fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !nAS_FSB & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 +;Imported pterms FB7_14 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf + # !cnt/RefDone & nAS_FSB & ram/RS_FSM_FFd2 & + ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & + !fsb/ASrf + +MACROCELL | 6 | 16 | EXP33_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 6 | 17 +INPUTS | 11 | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/Once | nAS_FSB | ram/RS_FSM_FFd1 | fsb/ASrf | cnt/RefDone | ram/BACTr | A_FSB<21> | ram/RS_FSM_FFd2.EXP +INPUTMC | 7 | 3 | 13 | 7 | 6 | 7 | 3 | 0 | 7 | 6 | 2 | 3 | 0 | 6 | 15 +INPUTP | 4 | 36 | 30 | 54 | 29 +EXPORTS | 1 | 6 | 17 +IMPORTS | 1 | 6 | 15 +EQ | 13 | + EXP33_.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & + !nAS_FSB & !ram/RS_FSM_FFd1 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & + !ram/RS_FSM_FFd1 & fsb/ASrf + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd1 & fsb/ASrf +;Imported pterms FB7_16 + # !cnt/RefDone & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + +MACROCELL | 7 | 9 | EXP34_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 7 | 8 +INPUTS | 9 | A_FSB<23> | A_FSB<21> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<14> | A_FSB<13> | A_FSB<22> | cs/nOverlay1 +INPUTMC | 1 | 3 | 13 +INPUTP | 8 | 36 | 29 | 24 | 23 | 22 | 19 | 18 | 30 +EXPORTS | 1 | 7 | 8 +EQ | 6 | + EXP34_.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<18> + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 + +MACROCELL | 7 | 10 | EXP35_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 7 | 11 +INPUTS | 9 | nAS_FSB | BERR_IOBS | TimeoutB | fsb/BERR0r | fsb/BERR1r | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> +INPUTMC | 4 | 4 | 15 | 6 | 0 | 7 | 2 | 3 | 12 +INPUTP | 5 | 54 | 36 | 30 | 29 | 28 +EXPORTS | 1 | 7 | 11 +EQ | 4 | + EXP35_.EXP = nAS_FSB + # !BERR_IOBS & !TimeoutB & !fsb/BERR0r & !fsb/BERR1r + # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & A_FSB<20> & + !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r + +MACROCELL | 7 | 13 | EXP36_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 7 | 14 +INPUTS | 9 | A_FSB<22> | A_FSB<21> | cnt/RefDone | nAS_FSB | ram/RS_FSM_FFd1 | ram/BACTr | fsb/ASrf | cs/nOverlay1 | ram/RAMDIS2.EXP +INPUTMC | 6 | 6 | 2 | 7 | 3 | 3 | 0 | 0 | 7 | 3 | 13 | 7 | 12 +INPUTP | 3 | 30 | 29 | 54 +EXPORTS | 1 | 7 | 14 +IMPORTS | 1 | 7 | 12 +EQ | 17 | + EXP36_.EXP = A_FSB<22> & !A_FSB<21> & !cnt/RefDone & !nAS_FSB & + !ram/RS_FSM_FFd1 & !ram/BACTr + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr +;Imported pterms FB8_13 + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + +MACROCELL | 7 | 15 | EXP37_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 7 | 14 +INPUTS | 14 | A_FSB<23> | cnt/RefDone | ram/RS_FSM_FFd1 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | A_FSB<22> | cs/nOverlay1 | ram/BACTr | fsb/ASrf | ram/Once | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | nAS_FSB +INPUTMC | 11 | 6 | 2 | 7 | 3 | 0 | 10 | 0 | 9 | 0 | 8 | 3 | 13 | 3 | 0 | 0 | 7 | 7 | 6 | 6 | 15 | 6 | 13 +INPUTP | 3 | 36 | 30 | 54 +EXPORTS | 1 | 7 | 14 +EQ | 10 | + EXP37_.EXP = A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd1 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # ram/Once & !cnt/RefDone & !ram/RS_FSM_FFd1 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !cnt/RefDone & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd3 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !cnt/RefDone & nAS_FSB & !ram/RS_FSM_FFd1 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & !fsb/ASrf + +MACROCELL | 7 | 16 | EXP38_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 7 | 17 +INPUTS | 13 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | ram/Once | nAS_FSB | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | fsb/ASrf | cnt/RefDone | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> +INPUTMC | 9 | 3 | 13 | 7 | 6 | 6 | 15 | 7 | 3 | 0 | 7 | 6 | 2 | 0 | 10 | 0 | 9 | 0 | 8 +INPUTP | 4 | 36 | 30 | 29 | 54 +EXPORTS | 1 | 7 | 17 +EQ | 15 | + EXP38_.EXP = !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + fsb/ASrf + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + +MACROCELL | 7 | 17 | EXP39_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 7 | 0 +INPUTS | 12 | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/Once | nAS_FSB | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | fsb/ASrf | A_FSB<21> | cnt/RefDone | ram/BACTr | EXP38_.EXP +INPUTMC | 8 | 3 | 13 | 7 | 6 | 6 | 15 | 7 | 3 | 0 | 7 | 6 | 2 | 3 | 0 | 7 | 16 +INPUTP | 4 | 36 | 30 | 54 | 29 +EXPORTS | 1 | 7 | 0 +IMPORTS | 1 | 7 | 16 +EQ | 26 | + EXP39_.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & + !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & fsb/ASrf + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & !nAS_FSB & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr +;Imported pterms FB8_17 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + fsb/ASrf + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + +PIN | A_FSB<9> | 64 | 0 | N/A | 12 | 7 | 2 | 14 | 6 | 7 | 2 | 6 | 2 | 3 | 2 | 9 | 2 | 10 | 2 | 17 +PIN | A_FSB<8> | 64 | 0 | N/A | 11 | 7 | 2 | 10 | 6 | 4 | 2 | 6 | 2 | 3 | 2 | 9 | 2 | 15 | 2 | 17 +PIN | A_FSB<15> | 64 | 0 | N/A | 21 | 8 | 2 | 14 | 4 | 10 | 2 | 6 | 2 | 3 | 2 | 9 | 2 | 10 | 2 | 15 | 2 | 17 +PIN | A_FSB<14> | 64 | 0 | N/A | 19 | 18 | 4 | 5 | 4 | 13 | 2 | 4 | 2 | 14 | 4 | 4 | 2 | 10 | 2 | 7 | 4 | 1 | 4 | 0 | 4 | 9 | 2 | 2 | 2 | 3 | 2 | 5 | 2 | 6 | 2 | 9 | 2 | 15 | 2 | 17 | 7 | 9 +PIN | A_FSB<13> | 64 | 0 | N/A | 18 | 17 | 4 | 5 | 4 | 13 | 2 | 4 | 2 | 14 | 4 | 10 | 2 | 10 | 2 | 6 | 4 | 1 | 4 | 0 | 4 | 4 | 2 | 2 | 2 | 3 | 2 | 5 | 2 | 9 | 2 | 15 | 2 | 17 | 7 | 9 +PIN | A_FSB<12> | 64 | 0 | N/A | 17 | 8 | 2 | 14 | 4 | 13 | 2 | 6 | 2 | 3 | 2 | 9 | 2 | 10 | 2 | 15 | 2 | 17 +PIN | A_FSB<11> | 64 | 0 | N/A | 15 | 8 | 2 | 14 | 6 | 1 | 2 | 6 | 2 | 3 | 2 | 9 | 2 | 10 | 2 | 15 | 2 | 17 +PIN | A_FSB<10> | 64 | 0 | N/A | 13 | 8 | 2 | 14 | 6 | 5 | 2 | 6 | 2 | 3 | 2 | 9 | 2 | 10 | 2 | 15 | 2 | 17 +PIN | A_FSB<23> | 64 | 0 | N/A | 36 | 51 | 7 | 6 | 4 | 7 | 4 | 17 | 6 | 6 | 7 | 2 | 6 | 3 | 2 | 4 | 2 | 14 | 2 | 1 | 2 | 12 | 7 | 11 | 2 | 7 | 7 | 0 | 6 | 14 | 7 | 3 | 6 | 13 | 4 | 3 | 7 | 8 | 4 | 12 | 7 | 14 | 6 | 17 | 7 | 10 | 3 | 5 | 7 | 4 | 4 | 1 | 3 | 14 | 2 | 0 | 2 | 2 | 2 | 3 | 2 | 6 | 2 | 9 | 2 | 10 | 2 | 11 | 2 | 13 | 2 | 15 | 2 | 17 | 4 | 2 | 4 | 4 | 4 | 5 | 4 | 6 | 4 | 8 | 4 | 11 | 4 | 13 | 4 | 16 | 6 | 0 | 6 | 16 | 7 | 7 | 7 | 9 | 7 | 15 | 7 | 16 | 7 | 17 +PIN | A_FSB<22> | 64 | 0 | N/A | 30 | 47 | 7 | 6 | 4 | 7 | 4 | 16 | 6 | 6 | 7 | 2 | 6 | 3 | 2 | 4 | 2 | 14 | 2 | 0 | 2 | 11 | 7 | 11 | 2 | 7 | 6 | 16 | 6 | 14 | 7 | 3 | 6 | 11 | 4 | 2 | 7 | 7 | 4 | 11 | 7 | 13 | 6 | 17 | 7 | 10 | 3 | 5 | 7 | 4 | 4 | 1 | 3 | 14 | 2 | 2 | 2 | 3 | 2 | 5 | 2 | 6 | 2 | 9 | 2 | 10 | 2 | 13 | 2 | 15 | 2 | 17 | 4 | 0 | 4 | 4 | 4 | 5 | 4 | 6 | 4 | 13 | 6 | 13 | 7 | 1 | 7 | 9 | 7 | 12 | 7 | 15 | 7 | 16 | 7 | 17 +PIN | A_FSB<21> | 64 | 0 | N/A | 29 | 46 | 7 | 6 | 4 | 6 | 4 | 16 | 6 | 6 | 7 | 2 | 6 | 3 | 2 | 4 | 2 | 14 | 2 | 0 | 2 | 12 | 7 | 11 | 2 | 7 | 6 | 16 | 6 | 13 | 7 | 3 | 6 | 11 | 4 | 2 | 7 | 7 | 4 | 11 | 7 | 13 | 6 | 17 | 7 | 10 | 3 | 5 | 7 | 4 | 6 | 8 | 4 | 1 | 3 | 14 | 2 | 2 | 2 | 3 | 2 | 5 | 2 | 6 | 2 | 9 | 2 | 10 | 2 | 11 | 2 | 13 | 2 | 15 | 2 | 17 | 4 | 0 | 4 | 4 | 4 | 5 | 4 | 8 | 4 | 13 | 7 | 9 | 7 | 12 | 7 | 16 | 7 | 17 +PIN | A_FSB<20> | 64 | 0 | N/A | 28 | 27 | 4 | 7 | 4 | 16 | 6 | 6 | 7 | 2 | 2 | 4 | 2 | 14 | 2 | 1 | 2 | 12 | 2 | 7 | 4 | 3 | 7 | 8 | 4 | 12 | 6 | 10 | 7 | 10 | 3 | 5 | 4 | 1 | 3 | 14 | 2 | 0 | 2 | 2 | 2 | 3 | 2 | 5 | 2 | 6 | 2 | 9 | 2 | 10 | 2 | 15 | 2 | 17 | 4 | 0 +PIN | A_FSB<19> | 64 | 0 | N/A | 26 | 20 | 4 | 1 | 4 | 8 | 2 | 4 | 2 | 14 | 4 | 0 | 2 | 10 | 2 | 7 | 4 | 2 | 7 | 7 | 4 | 11 | 6 | 10 | 2 | 2 | 2 | 3 | 2 | 5 | 2 | 6 | 2 | 9 | 2 | 13 | 2 | 15 | 2 | 17 | 7 | 2 +PIN | A_FSB<18> | 64 | 0 | N/A | 24 | 19 | 4 | 4 | 4 | 13 | 2 | 4 | 2 | 14 | 6 | 7 | 2 | 10 | 2 | 7 | 4 | 1 | 4 | 0 | 4 | 8 | 2 | 2 | 2 | 3 | 2 | 5 | 2 | 6 | 2 | 9 | 2 | 13 | 2 | 15 | 2 | 17 | 7 | 9 +PIN | A_FSB<17> | 64 | 0 | N/A | 23 | 19 | 4 | 4 | 4 | 13 | 2 | 4 | 2 | 14 | 6 | 4 | 2 | 10 | 2 | 7 | 4 | 1 | 4 | 0 | 4 | 8 | 2 | 2 | 2 | 3 | 2 | 5 | 2 | 6 | 2 | 9 | 2 | 13 | 2 | 15 | 2 | 17 | 7 | 9 +PIN | A_FSB<16> | 64 | 0 | N/A | 22 | 19 | 4 | 1 | 4 | 8 | 2 | 4 | 2 | 14 | 4 | 14 | 2 | 10 | 2 | 7 | 4 | 2 | 4 | 0 | 4 | 11 | 2 | 2 | 2 | 3 | 2 | 5 | 2 | 6 | 2 | 9 | 2 | 13 | 2 | 15 | 2 | 17 | 7 | 9 +PIN | nBERR_IOB | 64 | 0 | N/A | 123 | 5 | 5 | 17 | 0 | 6 | 0 | 5 | 5 | 0 | 5 | 16 +PIN | CLK2X_IOB | 4096 | 0 | N/A | 33 | 29 | 5 | 1 | 5 | 6 | 5 | 9 | 3 | 9 | 3 | 11 | 5 | 5 | 5 | 4 | 5 | 12 | 5 | 3 | 5 | 7 | 5 | 15 | 5 | 17 | 0 | 3 | 5 | 0 | 0 | 2 | 1 | 13 | 5 | 2 | 0 | 5 | 1 | 15 | 3 | 4 | 0 | 1 | 0 | 4 | 1 | 14 | 0 | 6 | 1 | 16 | 5 | 11 | 5 | 16 | 5 | 8 | 5 | 10 +PIN | CLK_FSB | 16384 | 0 | N/A | 42 | 50 | 3 | 13 | 7 | 6 | 6 | 2 | 4 | 7 | 4 | 15 | 4 | 17 | 6 | 6 | 3 | 16 | 3 | 15 | 6 | 11 | 6 | 0 | 6 | 9 | 7 | 2 | 3 | 12 | 6 | 3 | 2 | 4 | 2 | 14 | 2 | 1 | 3 | 8 | 2 | 12 | 3 | 17 | 3 | 7 | 7 | 12 | 2 | 8 | 7 | 0 | 6 | 15 | 7 | 3 | 6 | 13 | 4 | 3 | 3 | 6 | 0 | 15 | 0 | 10 | 0 | 9 | 0 | 0 | 0 | 14 | 0 | 13 | 0 | 12 | 0 | 11 | 7 | 8 | 3 | 0 | 0 | 8 | 0 | 16 | 3 | 3 | 4 | 12 | 3 | 2 | 7 | 14 | 6 | 17 | 5 | 13 | 0 | 7 | 4 | 4 +PIN | nAS_FSB | 64 | 0 | N/A | 54 | 51 | 3 | 13 | 7 | 6 | 4 | 7 | 4 | 15 | 4 | 17 | 6 | 6 | 6 | 10 | 4 | 16 | 6 | 9 | 7 | 2 | 3 | 12 | 6 | 3 | 2 | 4 | 0 | 17 | 2 | 11 | 3 | 17 | 7 | 12 | 2 | 8 | 7 | 0 | 6 | 14 | 7 | 3 | 6 | 12 | 4 | 3 | 7 | 8 | 3 | 0 | 4 | 12 | 0 | 7 | 7 | 14 | 6 | 17 | 7 | 10 | 4 | 5 | 2 | 16 | 3 | 10 | 3 | 5 | 7 | 4 | 7 | 5 | 7 | 7 | 2 | 15 | 4 | 0 | 4 | 1 | 6 | 0 | 6 | 1 | 6 | 11 | 6 | 13 | 6 | 16 | 7 | 1 | 7 | 11 | 7 | 13 | 7 | 15 | 7 | 16 | 7 | 17 +PIN | nWE_FSB | 64 | 0 | N/A | 47 | 25 | 4 | 1 | 4 | 17 | 2 | 4 | 2 | 14 | 7 | 7 | 2 | 12 | 2 | 7 | 4 | 2 | 4 | 16 | 4 | 11 | 4 | 5 | 2 | 16 | 3 | 5 | 7 | 5 | 2 | 2 | 2 | 3 | 2 | 5 | 2 | 6 | 2 | 9 | 2 | 10 | 2 | 11 | 2 | 15 | 2 | 17 | 4 | 0 | 4 | 8 +PIN | nRES | 64 | 0 | N/A | 145 | 3 | 6 | 6 | 1 | 16 | 1 | 15 +PIN | nLDS_FSB | 64 | 0 | N/A | 49 | 3 | 3 | 16 | 3 | 8 | 7 | 5 +PIN | nUDS_FSB | 64 | 0 | N/A | 56 | 3 | 3 | 15 | 3 | 7 | 7 | 7 +PIN | CLK_IOB | 8256 | 0 | N/A | 35 | 8 | 5 | 6 | 5 | 9 | 5 | 15 | 5 | 17 | 5 | 0 | 5 | 14 | 5 | 16 | 1 | 17 +PIN | E_IOB | 64 | 0 | N/A | 37 | 1 | 1 | 17 +PIN | nDTACK_IOB | 64 | 0 | N/A | 127 | 2 | 0 | 4 | 0 | 3 +PIN | nVPA_IOB | 64 | 0 | N/A | 125 | 2 | 1 | 14 | 1 | 13 +PIN | A_FSB<1> | 64 | 0 | N/A | 149 | 1 | 6 | 5 +PIN | A_FSB<2> | 64 | 0 | N/A | 153 | 1 | 6 | 1 +PIN | A_FSB<3> | 64 | 0 | N/A | 155 | 1 | 4 | 14 +PIN | A_FSB<4> | 64 | 0 | N/A | 157 | 1 | 4 | 10 +PIN | A_FSB<5> | 64 | 0 | N/A | 4 | 1 | 4 | 9 +PIN | A_FSB<6> | 64 | 0 | N/A | 6 | 1 | 4 | 10 +PIN | A_FSB<7> | 64 | 0 | N/A | 8 | 1 | 4 | 14 +PIN | nVMA_IOB | 536871040 | 0 | N/A | 118 +PIN | nDTACK_FSB | 536871040 | 0 | N/A | 44 +PIN | RA<0> | 536871040 | 0 | N/A | 86 +PIN | RA<1> | 536871040 | 0 | N/A | 79 +PIN | RA<2> | 536871040 | 0 | N/A | 69 +PIN | RA<3> | 536871040 | 0 | N/A | 64 +PIN | RA<4> | 536871040 | 0 | N/A | 63 +PIN | RA<5> | 536871040 | 0 | N/A | 68 +PIN | RA<6> | 536871040 | 0 | N/A | 72 +PIN | RA<7> | 536871040 | 0 | N/A | 82 +PIN | RA<8> | 536871040 | 0 | N/A | 88 +PIN | RA<9> | 536871040 | 0 | N/A | 92 +PIN | nBERR_FSB | 536871040 | 0 | N/A | 112 +PIN | nOE | 536871040 | 0 | N/A | 60 +PIN | nROMWE | 536871040 | 0 | N/A | 57 +PIN | nVPA_FSB | 536871040 | 0 | N/A | 147 +PIN | nADoutLE0 | 536871040 | 0 | N/A | 139 +PIN | nAS_IOB | 536871040 | 0 | N/A | 135 +PIN | nCAS | 536871040 | 0 | N/A | 59 +PIN | nDinLE | 536871040 | 0 | N/A | 140 +PIN | nDinOE | 536871040 | 0 | N/A | 144 +PIN | nDoutOE | 536871040 | 0 | N/A | 143 +PIN | nLDS_IOB | 536871040 | 0 | N/A | 130 +PIN | nRAS | 536871040 | 0 | N/A | 103 +PIN | nUDS_IOB | 536871040 | 0 | N/A | 134 +PIN | RA<11> | 536871040 | 0 | N/A | 102 +PIN | RA<10> | 536871040 | 0 | N/A | 90 +PIN | nADoutLE1 | 536871040 | 0 | N/A | 136 +PIN | nRAMLWE | 536871040 | 0 | N/A | 104 +PIN | nRAMUWE | 536871040 | 0 | N/A | 105 +PIN | nROMCS | 536871040 | 0 | N/A | 58 +PIN | nAoutOE | 536871040 | 0 | N/A | 141 diff --git a/cpld/XC95144XL/MXSE.nga b/cpld/XC95144XL/MXSE.nga new file mode 100644 index 0000000..cce67f2 --- /dev/null +++ b/cpld/XC95144XL/MXSE.nga @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$4gb47<,Fz_t)HW_EA'251)L880(B~[x%D[[AE#>9=%H8.E025>"Hx]r/JUQKC%433+W6;2.D|Yv+FY]GG!07?'_BA)L;U[XR?>1:&LtQ~#NQUOO)8?8/S27>"Hx]r/JUQKC%43<+SNE<1/^hx+7:*3>5<7!o1#<7>50("+4?6=8 ;;7%??0182456=99:;%<>4(0534?70890:;=>&119+5=67282;<7?701+24>.509:1>5>?:3:34,773!9;<=4<01297567!;;0$>>?0;1345<489:",%=:0180156=;<:;%<>4(2734?5289089=>&d:*745<3890?<='>0:*5456=>9:;6;>?0(33?-17890<<=>57123-46< 0:;<77?018:456.92::7<<41108544<9;;97<<5OTVSQQ5383:7?<42108674<::;?7?=5IORVP?GXNZH7>>4>>39107=5=;19;?5=8391=4=4:29;>6==2:106>53:29>>6=92:145>253=:979?=;501?1553=<978>=;401?0553<>978;=;442?34<>:80:9<46408237>7:22:>66=2::66>>1:22<>6661:;1?<6530;974==;861?<3530<9749:;@NF4H1B.E0g?DJB8D$O>R^[_1f8EIC7E'N9S]ZP169BH@6J&X30MAK?M/PFC0=FDL:X;6OCE1Q-@==FDL:X"I?7;@NF4V(C:>1J@H>\.P;8EIC7['XNK95NLD30?DHC12KEBBZNTDF:?DYA[K6:<3j4A^DPF9776'ZJH45N_GQA8479l2KTJ^L310<-TDB>3HUM_O2>2?f8EZ@TJ5;92#^ND89B[CUE4895h6OPFR@?568)XHN27LQISC>20;b/RB@<=FWOYI0<;1d:C\BVD;9<4%\LJ6;@]EWG:6>7n0MRH\B=35:+VFL01JSK]M<05=`>GXNZH7=:0!P@F:?DYA[K6:43j4A^DPF97?6'ZJH45N_GQA84?9l2KTJ^L318<-TDB?3HUM_O2>>b9B[CUE484%\LJ6;@]EWG:587n0MRH\B=03:+VFL01JSK]M<33=`>GXNZH7><0!P@F:?DYA[K69>3j4A^DPF9456'ZJHo5N_GQA875=9730MRH\B=00:a=FWOYI0?=1.QCG<>GXNZH7>3m4A^DPF949&YKO46OPFR@?7;eGXNZH783m4A^DPF929&YKO46OPFR@?1;eGXNZH7:3m4A^DPF909&YKO46OPFR@?3;eGXNZH743m4A^DPF9>9&YKO46OPFR@?=;eGXNZHT==QFBTDg?DYA[KU:=RGMUGf8EZ@TJV;9SDLZFe9B[CUEW89TEO[Id:C\BVDX9=UBNXHk;@]EWGY6=VCIYKj4A^DPFZ71W@H^Ji5N_GQA[41XAK_Mh6OPFR@\5=YNJ\Lo7LQISC]2=ZOE]O;97LQISC]2=ZOE]O.IYK>>4:C\BVDX90UBNXH+BTD3*A723HUM_OQ>9^KAQC"E]O:%H9^KAQC"E]O:%IU^>b:C\BVDX90UBNXH+BTD3*@^WWY^T<W@H^J)LZF1,F\UYW\V;:n6OPFR@\54:C\BVDX90UBNXH+BTD3*TeGXNZHT>=QFBTDg?DYA[KU9=RGMUG31?DYA[KU9=RGMUG&AQC66<2KTJ^LP20]JFP@#J\L;"I?:;@]EWGY59VCIYK*MUG2-@4723HUM_OQ=1^KAQC"E]O:%H??;;@]EWGY59VCIYK*MUG2-Ua=FWOYIS?GXNZHT>>QFBTD`?DYA[KU9SDLZFb9B[CUEW:UBNXHl;@]EWGY3W@H^Jn5N_GQA[0YNJ\Lh7LQISC]5[LDRNj1JSK]M_6]JFP@d3HUM_OQ7_H@VBf=FWOYIS4QFBTD:?GCUZVCDN^l4BDPQ[LIE['Nh7OK]R^KLFV(C9j1II_\PIN@P*A4692HN^_QFOCQ-@7YW\V::=6LJRS]JKGU)L;U[XR?>1:@FVWYNGKY%H?Q_T^025>DBZ[UBCO]!D3]SPZ5e3KOY^RG@BR,R`>DBZ[UBCO]!RDE2e>DBZ[UBCO]Ptrkngwcf|lnTtb|P3:@VB==E]ZUBBKA=;BG0?FJL12IGG?VPIN@g?FJL:QUBCO _AE30?FJL:QUBCOQFBTD,BFJL9=1H@FEKCVCDNRGMUG31?FJLW@EISDLZF.D@HN+>3JEFADZ[EE58GWCF\LN27Nb`cjQsaf>C_X8>T"HV__QV\5c=BPY;?S#KWP^RW[7`4^,F\UYs{`gyicobee]maqYf3LR[=8Q!EYRe?@^W9C_X8?T"HV__QV\5c=BPY;>S#KWP^RW[7`5^,F\UYs{`gyicobee]maqYf3LR[=;Q!EYRe?@^W9?U%IU^PPU]3b>C_X8U%IU^PPU]25g=BPY;i;DZS5=Y)MQZT\YQ>f:G[T4>X&LR[S]ZP20`8A]V60V$NT]Q{shoqakgjmmUeiyQn;DZS5k5JXQ01[+C_XVZ_S>h4EYR16Z(BPYU[XR:>b:G[T74X&LR[Sy}fmsgmehccWgoSl5JXQ00[+C_Xo1NT]<<_/G[TZVSW9l0IU^=3^,F\UYW\V;m7HV_22]-A]VXX]U9j6KWP31\*@^WWY^T?k5JXQ00[+C_XVZ_S9h4EYR17Z(BPYU[XR;>b:G[T75X&LR[Sy}fmsgmehccWgoSl5JXQ07[+C_Xo1NT]<;_/G[TZVSW9l0IU^=4^,F\UYW\V;m7HV_25]-A]VXX]U9j6KWP36\*@^WWY^T?k5JXQ07[+C_XVZ_S9?m;DZS61Y)MQZTx~gbrdlbi`bXfl~Tm6KWP37\*@^Wn2OS\?;P.DZS[URX8o1NT]<:_/G[TZVSW8l0IU^=5^,F\UYW\V8m7HV_24]-A]VXX]U8j6KWP37\*@^WWY^T8;Q!EYR\TQY7n2OS\?8P.DZS[URX9o1NT]<9_/G[TZVSW;l0IU^=6^,F\UYW\V9m7HV_27]-A]VXX]U?j6KWP34\*@^WWY^T9:Q!EYR\TQY7n2OS\?9P.DZS[URX9o1NT]<8_/G[TZVSW;l0IU^=7^,F\UYW\V9m7HV_26]-A]VXX]U?=o5JXQ04[+C_XV~xe`|jn`of`Zhb|Vk0IU^=8^,F\U`5Q!EYR\TQY6n2OS\?6P.DZS[URX:o1NT]<7_/G[TZVSW:l0IU^=8^,F\UYW\V>m7HV_29]-A]VXX]U>=o5JXQ0;[+C_XV~xe`|jn`of`Zhb|Vk0IU^=9^,F\U`4Q!EYR\TQY69k1NT]<6_/G[TZrtadxnblcjd^lfpZgC_X::T"HV__QV\6c=BPY9;S#KWP^RW[6`>P.DZS[qune{oem`kk_ogw[d=BPY9:S#KWPg9F\U56W'OS\R^[_1d8A]V49V$NT]Q_T^3e?@^W;8U%IU^PPU]1b>C_X:;T"HV__QV\7c=BPY9:S#KWP^RW[1`?P.DZS[qune{oem`kk_ogw[d=BPY99S#KWPg9F\U55W'OS\R^[_1d8A]V4:V$NT]Q_T^3e?@^W;;U%IU^PPU]1b>C_X:8T"HV__QV\7c=BPY99S#KWP^RW[1`R JXQ]SPZ36j2OS\>C_X:9T"HV__QV\7c=BPY98S#KWP^RW[1`=P.DZS[qune{oem`kk_ogw[d=BPY9?S#KWPg9F\U53W'OS\R^[_1d8A]V4C_X:>T"HV__QV\7c=BPY9?S#KWP^RW[17e3LR[?9Q!EYR\pvojzldjahjPndv\e>C_X:?T"HV_f:G[T63X&LR[S]ZP0g9F\U52W'OS\R^[_0d8A]V4=V$NT]Q_T^02f>C_X:?T"HV__uqjiwciidooSck{_`9F\U51W'OS\k5JXQ15[+C_XVZ_S=h4EYR02Z(BPYU[XR?i;DZS73Y)MQZT\YQ=f:G[T60X&LR[S]ZP3g9F\U51W'OS\R^[_5d8A]V4>V$NT]Q_T^72f>C_X:U%IU^Ptrknv`hfelnTbhzPa:G[T6>X&LR[j6KWP2:\*@^WWY^TX&LR[S]ZP40`8A]V40V$NT]Q{shoqakgjmmUeiyQn;DZS7?7D@>559JJ4033@D:;95FN0:7?LH61:1BB?:4IO030>OI:8>0EC<=4:KM662::4IO0;0>OI:090EC=;;HL041=NF:;87D@;3:KM16=NF?90EC9<;HL;7>OI101BBDZ\T@VF1>OHIJ^<7DANCU,G<>OHIJ^%H<64INC@P+B5l2CDMNZ!D3]SPZ6c3@EJOY K2^RW[4bOHIJ^%H?Q_T^6g?LIFK]$O>R^[_458MJGD\'[27DANCU,QAB0k4IN@FVW(C:VZ_S9k4IN@FVW(C:VZ_S8k4IN@FVW(C:VZ_S;64IN@FVW(Vi2CDNH\].SGD52=NGKOY^Rz|ilaqadrblVrd~R:4INN33>OHD9$HI;5FOM2-@2=NGE:%H<94INN3*A4d3@EG<#J=_QV\4f=NGE:%H?Q_T^35?LIK8'[37DAC0/PFC0=NG[OZ;6G@RDS-@==NG[OZ"I?7;HMQAT(C:m1BC_K^.E0\TQY7l2CD^H_!D3]SPZ7c3@EYI\ K2^RW[7bOHZL[%H?Q_T^7g?LIUMX$O>R^[_758MJTBY'[27DA]EP,QAB38:KLVR6)L;n0EB\X0/F1[URX8m1BC_Y?.E0\TQY6l2CD^Z>!D3]SPZ4c3@EY[= K2^RW[6bOHZ^:%H?Q_T^44?LIU_9$Z56G@RV2-V@A6>2CD^Z>Ptrkngwcf|lnTtb|P4:KLQ517:KLQ5(C:j1BCX>!D3]SPZ6d3@E^<#J=_QV\53=NG\:%]55FOT2-V@A?3@dHhbny29OKF1IIM;1D^>5@UU18TDB33YKYX:5_IOLBI@g79QE9766h1YM1?>>/RB@0=UI5;556\N<0<-TDB23[K7>374R@>1:+VFL<1YM1=19:PB868)XHN>7_O34?;8VD:36'ZJH85]A=7==>TF4<4%\LJ:;SC?2;?/RB@0=UI53556\N<8<-TDB>3[KT>1:PB[5YHJ\L%H?Q_T^3a?WGX8VEIYK ^9:PB[4YHJ\Li7_OP1^MAQC(Ck2XJS6\N_0]LFP@)MQZT\YQ?139QEZ7XGK_M"HV__QV\544TFW;UDNXH!EYR26>TFW;UDNXH!EYR\TQY79;1YMR6\N_5]LFP@)MQZT\YQ?139QEZ2XGK_M"HV__QV\5442:PB[1YHJ\L%IU^PPU]75`=UIV>TCO[I.DZS[qune{oem`kk_ogw[g=UIV>TCO[I.P;8VDY2WFH^Jo5]A^7\KGSA&Mi0^LQ:_N@VB+B6k2XJS8Q@BTD-@7bSBLZF/G[T44SBLZF/G[TZVSW9;97_OP5^MAQC(BPYU[XR?>2:PB[0YHJ\L%IU^PPU]157=UIV?TCO[I.DZS[URX;880^LQ:_N@VB+C_XVZ_S9?j;SC\1ZIE]O$NT]Q{shoqakgjmmUeiyQm;SC\1ZIE]O$Z56\N_7]LFP@e3[KT:RAMUG,Gg>TFW?UDNXH!D0a8VDY1WFH^J#J=109QEZ0XGK_M"ITFW1UDNXH!D332?WGX0VEIYK K2^RW[5763[KT4RAMUG,G6ZVSW8h0^LQ7_N@VB+W>3[KT5RAMUG`8VDY>WFH^J#Jl;SC\=ZIE]O$O=n5]A^;\KGSA&M8:=6\N_8]LFP@)L;U[XR>>1:PB[WFH^J#KWP^vpmhtbfhgnhR`jt^`8VDY>WFH^J#_<;SQW2>TbnHi`46\jf@ah*A?3Zoy}F`le68P\VB02^bahazt@c8Plkbg|~J"Il4ThofkprF&M;i7YgbenwwE+B5k2^bahazt@,F\U763]cfib{{A/G[TZVSW9;:7YgbenwwE+C_XVZ_S;UknajssI'OS\R^[_332?QojmfM#KWP^RW[6763]cfib{{A/G[TZVSW=;o7YgbenwwE+C_XV~xe`|jn`of`Zhb|Vk0XdcjotvB*Te<\`gncxzN.SGD5<=SadodyyOPtrkngwcf|lnTtb|P8:Vji`ir|Kk0XdcjotvA*Ad<\`gncxzM.E3a?QojmfN#J=c:Vji`ir|K$NT]?>;UknajssJ'OS\R^[_132?QojmfN#KWP^RW[4763]cfib{{B/G[TZVSW;;:7YgbenwwF+C_XVZ_S>?>;UknajssJ'OS\R^[_53g?QojmfN#KWP^vpmhtbfhgnhR`jt^c8Plkbg|~I"\m4ThofkprE&[OL=45[ilglqqDX|zcfokntdf\|jtXk2_XI_QYIRKAH@?<^@O\SYW_E29Ugf3<_`nd?m4XB;6512_D$;;!Y_>01"+Wucbl)Lb`h4/Vdppmjh';':"86VKMM3g?]OKAGR&TIL/0/3#WQSE(9$:,L]LIH18\JT13QniSDjm;Yfk[Utne@d}o6Vkh^RqmhR~xll0TifPPsknR`ttafd37Ujg_Uknaa=_laU_e`kLhdah`>^c`V^bahJjl`{24>^ceVGjfb|Yesqjkk773QnfS@gaosTfvvohf=1QI_Ak;blw+WcaKg~7<3h4cov,V``Df}6;2#J>0:amp*TbnJd0=0!D033?fhs'[omOcz30?,G6c=df}%YikMat=2=*T763jd#_kiCov?4;(UMN;n7n`{/SgeGkr;87UdclrdcwaaYg{Uo7n`{/SgeGkr;97l0ocz Rdd@jq:66'N:<6mat.PfbFhs484%H1:+B682iex"\jfBlw878)L8;;7n`{/SgeGkr;:7$O>k5lnu-QacEi|585"\?>;blw+WcaKg~7>3 ]EF3f?fhs'[omOcz32?]wwlkdzlkiiQwos]g?fhs'[omOcz33?d8gkr(ZllHby2<>/F24>ei|&XnjN`{<2<-@4773jd#_kiCov?7;(C:o1hby!]egAmp959&X;:7n`{/SgeGkr;;7$YIJ?j;blw+WcaKg~7?3Q{sho`v`gsmmUscQk;blw+WcaKg~783h4cov,V``Df}6?2#J>0:amp*TbnJd090!D033?fhs'[omOcz34?,G6c=df}%YikMat=6=*T763jd#_kiCov?0;(UMN;n7n`{/SgeGkr;<7UdclrdcwaaYg{Uo7n`{/SgeGkr;=7l0ocz Rdd@jq:26'N:<6mat.PfbFhs4<4%H5:+B682iex"\jfBlw838)L8;;7n`{/SgeGkr;>7$O>k5lnu-QacEi|5<5"\?>;blw+WcaKg~7:3 ]EF3f?fhs'[omOcz36?]wwlkdzlkiiQwos]g?fhs'[omOcz37?d8gkr(ZllHby28>/F24>ei|&XnjN`{<6<-@4773jd#_kiCov?3;(C:o1hby!]egAmp919&X;:7n`{/SgeGkr;?7$YIJ?j;blw+WcaKg~7;3Q{sho`v`gsmmUscQm;blw+WcaLfdnh6mat.PfbAiim'Nn7n`{/Sge@jhb&M;n7n`{/Sge@jhb&M8:?6mat.PfbAiim'N9S]ZP0018gkr(ZllOcck!D3]SPZ7c3jd#_kiDnlf*T`j;bq,jJpbzekr<#Ji;bq,jJpbzekr<#J>f:ap+kIqm{fju= K2068gv)iGoy`lw?.E0\TQY79=1h"`@vdpoe|6)L;U[XR?j;bq,jJpbzekr<#_>0:ap+kIqm{fju= ]EF32?fu(fF|n~aov0/PPPC7b3jy$bBxjrmcz4Zrtadiyilzjd^rqhaY6l2ix#cAyesnb}5Ys{`gh~ho{ee]{kwYd3jy$bBxjrmcz5c=d{&dDzh|cax3-G@c`tj&KX~k K2`9ewg)F[{l%]n5isc-BWw`)ZLMj7k}m/CGQV5td3oyi#OK]R1p-@a=a{k%II_\?r/F2`>`tj&HN^_>}.E026>`tj&HN^_>}.E0\TQY79;1mo!MESP3v+B5WY^T=<<4fr`,F@TU8{$O>R^[_3g8bvd(JLXY< JXQ3e?cue'KOY^=|!EYR\pvojzldjahjPndv\g>`tj&HN^_>}.Pg8bvd(JLXY< ]EFc8bvd(JLXY=m4fr`,F@TU9{$Oh6h|b.@FVW7u&M;o7k}m/CGQV4t)L;;97k}m/CGQV4t)L;U[XR>>2:dpf*DBZ[;y"I`tj&HN^_?}.Pg8bvd(JLXY= ]EF`8bvd(Zlkou=|k;gqa+Wcflp:y"Ik4fr`,V`gcq9x%H5isc-Qadb~9{$O>R^[_130?cue'[ojht?}.E0\TQY69:1mo!]e`fz5w(C:VZ_S??<;gqa+Wcflp;y"I5isc-Qadb~:{$O>R^[_130?cue'[ojht<}.E0\TQY69:1mo!]e`fz6w(C:VZ_S??<;gqa+Wcflp8y"I3oyi#[^N.Ec8bvd(^YK%Hk5isc-UTD(C:VZ_S>h4fr`,RUG)L;U[XR:i;gqa+SVF&M8T\YQ:f:dpf*PWI'N9S]ZP689ewg)QXH$Zn6h|b.TSE+TBOk1bcoc BDPQvcbohjd%II_\}f/PFCg=ngkg$NH\]rsf8mjdj'KOY^|!Dd9jkgk(JLXY~ K1d9jkgk(JLXY~ K2e9jkgk(JLXY~ ^f:klfh)EM[Xy~#\JGb9jkgk(L]KHGhj;hmai*BSIJAyj#Ji;hmai*BSIJAyj#J>f:klfh)C\HI@~k K2d9jkgk(L]KHGh!Q028mjdj'M^JOF|i.SGDg>ohjd%OXLMDrsg8mjdj'M^JOF|}.Ed8mjdj'M^JOF|}.E3e?liee&N_MNE}r/F1a>ohjd%OXLMDrs,R55=ngkg$HYOLKsp-V@Af3`eia"K\<1<`?liee&OX0=0!De9jkgk(MZ6;2#J>d:klfh)B[5:5"I<>2:klfh)B[5:5"I/F1[URX9880eblb/DQ?4;(C:VZ_S?m4in`n+@U;87$Zi6g`bl-FW969&[OL=o5foco,AV:76V~xe`m}e`vf`Z~hzVk0eblb/DQ?5;eohjd%N_1?1.E3g?liee&OX0<0!D331?liee&OX0<0!D3]SPZ66:2cdn`!JS=3=*A4XX]U:=?5foco,AV:66'N9S]ZP2b9jkgk(MZ6:2#_j;hmai*CT484%^HIn;hmai*CT4;4h7damm.GP878)Lm1bcoc ER>1:+B6l2cdn`!JS=0=*A46:2cdn`!JS=0=*A4XX]U;=?5foco,AV:56'N9S]ZP1008mjdj'LY7>3 K2^RW[7753`eia"K\<3<-@7YW\V9:>6g`bl-FW949&M8T\YQ;c:klfh)B[585"\k4in`n+@U;:7$YIJo4in`n+@U;;7i0eblb/DQ?7;(Cl2cdn`!JS=1=*A7c3`eia"K\<2<-@7753`eia"K\<2<-@7YW\V::>6g`bl-FW959&M8T\YQ>139jkgk(MZ682#J=_QV\6f=ngkg$I^2<>/Sf?liee&OX0>0!RDE2f>ohjd%N_1=1_uqjiftbi}ooSua}_`9jkgk(MZ6?2n5foco,AV:36'No7damm.GP818)L8n0eblb/DQ?0;(C:880eblb/DQ?0;(C:VZ_S=?=;hmai*CT4=4%H?Q_T^326>ohjd%N_1:1.E0\TQY59;1bcoc ER>7:+B5WY^T?n5foco,AV:36'[n7damm.GP818)ZLM:n6g`bl-FW929W}yban|jaugg[}iuWh1bcoc EUC@Of=ngkg$IYOLK/Fg?liee&O_MNE!D0f8mjdj'L^JOF K2d9jkgk(M]KHG#KWP0d8mjdj'L^JOF JXQ]wwlkumgkfiiQaeu]`?liee&O_MNE!Qd9jkgk(M]KHG#\JG69jkgk(M{30eblb/Dp-@d=ngkg$I K1`9jkgk(M{$O>45foco,Aw(Vj2cdn`!Jr/PFC==ngkg$I3:klfh)NGZUM_@QIFe3-@42.E02=>ohjd%BC^QISL]EBa7)L;U[XR>>9:klfh)NGZUM_@QIFe3-@7YW\V;:?6g`bl-JKVYA[DUMJi?!Q078mjdj'@EXSK]B_GDg5+TBO8;0eblb/HMP[CUJWOLo><=4in`n+LITWOYFSKHk2/F20>ohjd%BC^QISL]EBa4)L8;?7damm.KLWZ@TEVLMh? K20;8mjdj'@EXSK]B_GDg6+B5WY^T<<74in`n+LITWOYFSKHk2/F1[URX9890eblb/HMP[CUJWOLo>#_>5:klfh)NGZUM_@QIFe0-V@A692cdn`!FOR]EWHYANm9:?6g`bl-JKVYA[DUMJi=!D068mjdj'@EXSK]B_GDg7+B69=1bcoc INQ\BVKXNOn8"I<>9:klfh)NGZUM_@QIFe1-@7YW\V::56g`bl-JKVYA[DUMJi=!D3]SPZ7612cdn`!FOR]EWHYANm9%H?Q_T^02=>ohjd%BC^QISL]EBa5)L;U[XR=>9:klfh)NGZUM_@QIFe1-@7YW\V>:?6g`bl-JKVYA[DUMJi=!Q078mjdj'@EXSK]B_GDg7+TBO8;0eblb/HMP[CUJWOLo8<=4in`n+LITWOYFSKHk4/F20>ohjd%BC^QISL]EBa2)L8;?7damm.KLWZ@TEVLMh9 K20;8mjdj'@EXSK]B_GDg0+B5WY^T<<74in`n+LITWOYFSKHk4/F1[URX9830eblb/HMP[CUJWOLo8#J=_QV\64?%^HIn;hmai*TB[{lh7damm.PFWw`)Lm1bcoc RDQqb+B6l2cdn`!]ERpe*A4d3`eia"\JSsd-U`=ngkg$^H]}f/PFCd=ngkg$^H]}rb9jkgk(ZLYy~#Jk;hmai*TB[{x%Hn5foco,V@Uuz'[n7damm.PFWwt)ZLMj7damm.TSEw`d3`eia"X_Asd-@a=ngkg$Z]O}f/F2`>ohjd%]\L|i.E0`?liee&\[Mh!Qd9jkgk(^YKyj#\JG`9jkgk(^YKy~n5foco,RUGuz'No7damm.TSEwt)L8n0eblb/WRBvw(C:j1bcoc VQCqv+Wb3`eia"X_Asp-V@Ae3`ei"Mce`p2`>ohjz%H`ho}1/Ff?lie{&Igil|>.E3f?lie{&Igil|>.E0g?lie{&Igil|>.Pd8mjdt'Jfnm?!RDEa?lie{&CDMNZ}d:klfv)NGHI_~#Jj;hmaw*OHIJ^y"I?j;hmaw*OHIJ^y"I.E3`?lie{&CD@< K2c9jkgu(AFF:"\j4in`p+LIK9'XNKl5focq,MJTP9j1bco} INPT5+Bc3`ei"G@RV3-@4b2:klfv)NG[]:"Iohjz%BC_Y>.E0\TQY1k2cdn~!FOSU2*Tcohjz%BC_kndxg8mjdt'@EYiljv.Ed8mjdt'@EYiljv.E3e?lie{&CD^hoky/F151=ngky$EB\jae{-@7YW\V::86g`br-JKWcflp$O>R^[_037?lie{&CD^hoky/F1[URX:8>0ebl|/HMQadb~&M8T\YQohjz%BC_kndx]wwlkdzlkiiQwos]:?lie{&CDY.Pf8mjdt'@E^=#\JG`9jkgu(Dfko=n5focq,Hjgc9'No7dams.Nlea7)L8n0ebl|/Mmb`4(C:880ebl|/Mmb`4(C:VZ_S=?=;hmaw*Jhim;%H?Q_T^326>ohjz%Gclj>.E0\TQY59;1bco} Lncg5+B5WY^T?<<4in`p+Iifl8$O>R^[_531?lie{&Fdmi?!D3]SPZ36:2cdn~!Co`f2*A4XX]U=o6g`br-Okdb6&Xo0ebl|/Mmb`4(UMN30ebl|/Nl`ag=ngky$Ccmj.Ea8mjdt'Fdhi#J>c:klfv)Hfjo%H??>;hmaw*Iikl$O>R^[_132?lie{&Eeoh K2^RW[4763`ei"Aacd,G6ZVSW;;:7dams.Mmg`(C:VZ_S>?>;hmaw*Iikl$O>R^[_532?lie{&Eeoh K2^RW[0763`ei"Aacd,G6ZVSW?h0ebl|/Nl`a+Wc3`ei"Aacd,QAB773`ei"^\_GQN[C@c9880ebl|/QQ\BVKXNOn:"I?<;hmaw*VTWOYFSKHk1/F256=ngky$\^QISL]EBa7)L;;37dams.RP[CUJWOLo=#J=_QV\44>139jkgu(XZUM_@QIFe3-U422:klfv)W[VLXARHId3,G56=ngky$\^QISL]EBa4)L8;87dams.RP[CUJWOLo>#J=199jkgu(XZUM_@QIFe0-@7YW\V::46g`br-SWZ@TEVLMh? K2^RW[47?3`ei"^\_GQN[C@c:'N9S]ZP20:8mjdt'YYTJ^CPFGf1*A4XX]U8=55focq,TVYA[DUMJiR^[_43;?lie{&ZXSK]B_GDg6+B5WY^T:<<4in`p+UUXNZGTJKj=.P37?lie{&ZXSK]B_GDg6+TBO01eMIaztMG3`>hFLf@H>!P@Ff?kGCg|~GI=Q@BTD24>hFLf@H>POCWE*A763gKOcxzCE1]LFP@)L8;:7cOKotvOA5YHJ\L%H??=;oCGkprKM9UDNXH!EYR17>hFLf@H>POCWE*@^WW}ybakaalgg[kcsW8:0bLJ`uuNF4ZIE]O$Z56`NDnwwH@7c3gKOcxzCE0,SEAchFLf@H?POCWE*T753gKOcxzCE0]LFP@)ZLM<7cO\_GQAf>hF[VLXN#^NDb9mEVYA[KUBNXH8;oCP[LIEj2dJ_RG@B/RB@f=iIZUBCOQ@BTDf?kGTW@EISBLZF/Fe?kGTW@EISBLZF/F2b>hF[VCDNRAMUG,G642hF[VCDNRAMUG,QAB11:lAAWTXNZHTCO[I.E026>hEM[XTJ^LPOCWE*@^W9>1eNH\]_GQA[JDRN'OS\R^[_134?kDBZ[UM_OQ@BTD-A]VXX]U:=:5aBDPQ[CUEWFH^J#KWP^RW[7703gHN^_QISC]LFP@)MQZT\YQ<169mF@TUWOYISBLZF/G[TZVSW=887cLJRS]EWGYHJ\L%IU^Ptrknv`hfelnTbhzP119mF@TUWOYISBLZF/S:?kDBZ[UBCOj4nCGQVZOHJ'ZJHh5aBDPQ[LIEW@H^J95aC@Q;?kEF['ZJH45aC@Q\KGSAj2dHM^Q@BTD-@f=iKHYTCO[I.E3`?kEF[VEIYK K2e9mGDUXGK_M"HV_139mGDUXGK_M"HV__QV\4442:l@EVYHJ\L%IU^PPU]05`=iKHYTCO[I.DZS[qune{oem`kk_ogw[g=iKHYTCO[I.Pf8jFGTWFH^J#\JG`9m@QGDCVLXNh5aDUC@OZ@TJ'ZJHk5aDUC@OZ@TJVEIYK?>;oFWEFMXNZHTCO[I.E31?kBSIJATJ^LPOCWE*A76:2dOXLMD_GQA[JDRN'N9=:5aDUC@OZ@TJVEIYK K2^RW[5703gN_MNEPFR@\KGSA&M8T\YQ>169m@QGDCVLXNRAMUG,G6ZVSW;;<7cJ[ABI\BVDXGK_M"I1eHYOLK^DPFZIE]O$O>R^[_732?kBSIJATJ^LPOCWE*T743gN_MNEPFR@\KGSA&[OL=>5aDUC@OZ@TJVEIYK ZILc8jARFKBUBCOk4nEVBGNYNGK$[MIh4nEVBGNYNGKUBNXH9;oFjjICf3gNbbAK!P@Fa?kBnfEOTCO[Id:lGmkJBWFH^J#Jj;oFjjICXGK_M"I?j;oFjjICXGK_M"I<>3:lGmkJBWFH^J#J=_QV\445hCagFNSBLZF/G[TZVSW8;?7cJfnMG\KGSA&LR[S]ZP2328jAoiDLUDNXH!EYR\pvojzldjahjPndv\`>hCagFNSBLZF/Se?kBnfEOTCO[I.SGD2>hCagENm6`KioMF*UGCj2dOecAJ_N@VBa=iL`dDIRAMUG,Ga>hCagENSBLZF/F2a>hCagENSBLZF/F156=iL`dDIRAMUG,G6ZVSW9;87cJfnNG\KGSA&M8T\YQ>d:lGmkIBWFH^J#_8;oFlqqIBj2dOcxz@E/RB@f=iLfCHQ@BTDf?kBh}}ENSBLZF/Fe?kBh}}ENSBLZF/F2b>hCg|~DIRAMUG,G642hCg|~DIRAMUG,QAB>hKLZUBCOQ@BTD-@46hKLZUBCOQ@BTD-@7YW\V;:96`CDR]JKGYHJ\L%H?Q_T^021>hKLZUBCOQ@BTD-@7YW\V9m7cBKS^KLFZIE]O$Z=<5aLEQ\MJDXGK_M"_KH3:lLA2=iGL$[MI64nNG\KGSAi2dDIRAMUG,Gf>hHMVEIYK K1c9mK@YHJ\L%H?m4nNG\KGSA&LR[=<5aOD]LFP@)MQZT\YQ?109mK@YHJ\L%IU^PPU]25a=iGLUDNXH!EYR\pvojzldjahjPndv\e>hHMVEIYK ^7:lQEHJPMk1e^LCCWD,SEAehUIDF\IRAMUG,Gb>hUIDF\IRAMUG,G5c=iZHGG[HQ@BTD-@7c5:lQEHSPMVEIYK JXQ]SPZ66=2dYM@[XE^MAQC(BPYU[XR?>5:lQEHSPMVEIYK JXQ]SPZ46=2dYM@[XE^MAQC(BPYU[XR==1:lQEHSPMVEIYK JXQ]wwlkumgkfiiQaeu]f?kTFE\]NSBLZF/S7?kTF[11e^L]!P@F:?kTF[VEIYKl4nSCP[JDRN'Nh7c\NS^MAQC(C9j1e^L]POCWE*A4692dYM^Q@BTD-@7YW\V::=6`]AR]LFP@)L;U[XR?>1:lQEVYHJ\L%H?Q_T^0a?kTF[VEIYK ^4:lQAV>>4:lQKHETWFH^J#KWP^RW[4733gXDAN]POCWE*@^WWY^T>?>4nSMNGVYHJ\L%IU^Ptrknv`hfelnTbhzPd:lQKHETWFH^J#_9;oPLIRCf3gXDAZK!P@Fa?kTHE^OTCO[Id:lQKHQBWFH^J#Jj;oPLIRCXGK_M"I?j;oPLIRCXGK_M"I4nTFP[LIEWFH^J#J>119mQAUXAFHTCO[I.E021>hRLZUBCOQ@BTD-@7YW\V::96`ZDR]JKGYHJ\L%H?Q_T^321>hRLZUBCOQ@BTD-@7YW\V8:96`ZDR]JKGYHJ\L%H?Q_T^1e?kSC[VCDNRAMUG,R54=i]MYTEBLPOCWE*WC@02d]ALQFOCa8jSKFW@EI"]OKd:lUIDYNGKUDNXHi;oTNEZOHJVEIYK*^f:lUIDYNGKUDNXH!D028jSKFW@EISBLZF/F255=i^DKTEBLPOCWE*A46=2d]ALQFOC]LFP@)L;U[XR>>5:lUIDYNGKUDNXH!D3]SPZ7a3g\FMRG@B^MAQC(V981eZ@OPIN@\KGSA&[OL=h5aVLC\MJDXGK_MSy}fmbpfeqccWqeyS55aVQC\BVDd3g\[MRH\B/RB@a=i^YKTJ^LPOCWEb>hQXHUM_OQ@BTD-@46hQXHUBCOQFBTD4?kQBWOYIn6`XE^DPF+VFLj1e[HQISC]JFP@33gkfi:5aefggc`10:pbi*Iikl$O>R^[_133?wgj'Fdhi#J=_QV\546tfe&XJAIG\1e9qeh)UIDNB_< Ke:pbi*TFEMCX=#J>e:pbi*TFEMCX=#J=129qeh)UIDNB_< K2^RW[5743{kf#_OBDHQ2*A4XX]U:=>5}al-QEHBN[8$O>R^[_330?wgj'[KFHD]>.E0\TQY49:1ym`!]ALFJW4(C:VZ_S9?<;scn+WGJL@Y:"I5}al-QEHBN[;$O>R^[_030?wgj'[KFHD]=.E0\TQY5n2xja"\NMEKP6+C_X8>0~lc R@OGMV4)MQZT\YQ?159qeh)UIDNB_? JXQ]SPZ76<2xja"\NMEKP6+C_XVZ_S?#\JG0a8vdk(ZHGOE^tfe&XJA_kndx,G6ZVSW<;?7ob/SCNV`gcq'N9S]ZP6d9qeh)UIDXnmiw!Q028vdk(ZHGYiljv.SGD=>tfe&XJ_HBm;scn+WGTME$Oo6|nm.PBW@J)L8i0~lc R@QFH+B5981ym`!]ARGO*A4XX]U;=<5}al-QEVCK&M8T\YQ>109qeh)UIZOG"Itfe&XJ_HB!Qe9qeh)UIZOG"_KHf:pbi*TTWOYFSKHk1038vdk(ZZUM_@QIFe3-@447:pbi*TTWOYFSKHk1/F1[URX88=0~lc RR]EWHYANm;%H?Q_T^323>tfe&XXSK]B_GDg5+B5WY^T><94r`o,VVYA[DUMJi?!D3]SPZ56?2xja"\\_GQN[C@c9'N9S]ZP4038vdk(ZZUM_@QIFe3-U451:pbi*TTWOYFSKHk2/F26>tfe&XXSK]B_GDg6+B69;1ym`!]S^DPIZ@Al;$O><94r`o,VVYA[DUMJi#J=_QV\7413:pbi*TTWOYFSKHk2/G[T72tfe&XXSK]B_GDg7+B59>1ym`!]S^DPIZ@Al:$O>R^[_134?wgj'[YTJ^CPFGf0*A4XX]U:=>5}al-QWZ@TEVLMh> JXQ3;?wgj'[YTJ^CPFGf0*@^WWY^T<<64r`o,VVYA[DUMJi=!EYR\TQY6911ym`!]S^DPIZ@Al:$NT]Q_T^02<>tfe&XXSK]B_GDg7+C_XVZ_S><;;scn+WUXNZGTJKj<.DZS[qune{oem`kk_ogw[471<7?51zQf1?4dk3i1=>:793d95372:2|_;<4?:082>1g2sZo>6?ml:b8271>>:o0::<;=;W0g6?4|};;>6<5z20494>{#:j21><<4$33`>7ee3k8:?7>54287g0<3in94$3cb>7743Sn>6?u=b;3e>xo>n3:1(??i:9g8j77b2l10e4j50;&15c==k1<7*=1g8;a>h59l0276g88;29 77a21o0b??j:`98m20=83.9=k47e:l15`5$33e>=co?n3:1(??i:9g8j77b2910e5m50;&15c;:k;e?6=,;;m65k4n33f>7=h59l0876g74;29 77a21o0b??j:598m=4=83.9=k47e:l15`<232c3<7>5$33e>=co3j>0;66gk8;29?lbd2900e97::188m0152900e8=::188m1`c2900e8:k:188m`6=831b=l?50;9j0a>=831b9;h50;9j<3<72-8:j76j;o02a?7132c2=7>5$33e>=c5<#:8l14h5a20g951=h59l0:?65f9683>!46n32n7c<>e;31?>o>13:1(??i:9g8j77b28;07d7m:18'64`=0l1e>10c?=>:18'64`=:;<0b??j:798k754290/>2900c8>l:188k0212900c887:188k1>52900c977:188k1df2900c9jm:188k1cf2900c9h<:188k0672900c8t$3f2>77e3A8h;6g=1c83>!4c938:n6*=1087e>"59m0?m65rbb:94?4=83:p(?j>:e68L7e03`;j;7>5$3f2>4g032e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:76821>"2??0:96sr}|9~ft$3f2>77e3A8h;6g=1c83>!4c938:n6*=10871>"59m0?965rbb594?4=83:p(?j>:e68L7e03`;j;7>5$3f2>4g032e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:76821>"2??0:96sr}|9~f2b=83;1<7>t$3f2>77e3A8h;6g=1c83>!4c938:n6*=10814>"59m09<65rb`494?4=83:p(?j>:e68L7e03`;j;7>5$3f2>4g032e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:76821>"2??0:96sr}|9~f2d=83;1<7>t$3f2>77e3A8h;6g=1c83>!4c938:n6*=1082a>"59m0:i65rb`794?4=83:p(?j>:e68L7e03`;j;7>5$3f2>4g032e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:76821>"2??0:96sr}|9~f2?=83;1<7>t$3f2>77e3A8h;6g=1c83>!4c938:n6*=1082`>"59m0:h65rb`694?4=83:p(?j>:e68L7e03`;j;7>5$3f2>4g032e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:76821>"2??0:96sr}|9~f21=83;1<7>t$3f2>77e3A8h;6g=1c83>!4c938:n6*=1082g>"59m0:o65rb`194?4=83:p(?j>:e68L7e03`;j;7>5$3f2>4g032e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:76821>"2??0:96sr}|9~f23=83;1<7>t$3f2>77e3A8h;6g=1c83>!4c938:n6*=1086=>"59m0>565rb`094?4=83:p(?j>:e68L7e03`;j;7>5$3f2>4g032e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:76821>"2??0:96sr}|9~f25=83;1<7>t$3f2>77e3A8h;6g=1c83>!4c938:n6*=10865>"59m0>=65rb`394?4=83:p(?j>:e68L7e03`;j;7>5$3f2>4g032e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:76821>"2??0:96sr}|9~f<6=83;1<7>t$3f2>77e3A8h;6g=1c83>!4c938:n6*=1081g>"59m09o65rbb294?4=83:p(?j>:e68L7e03`;j;7>5$3f2>4g032e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:76821>"2??0:96sr}|9~f=b=83;1<7>t$3f2>77e3A8h;6g=1c83>!4c938:n6*=1081<>"59m09465rbcd94?4=83:p(?j>:e68L7e03`;j;7>5$3f2>4g032e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:76821>"2??0:96sr}|9~f=d=83;1<7>t$3f2>77e3A8h;6g=1c83>!4c938:n6*=10812>"59m09:65rbc;94?4=83:p(?j>:e68L7e03`;j;7>5$3f2>4g032e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:76821>"2??0:96sr}|9~f=?=83;1<7>t$3f2>77e3A8h;6g=1c83>!4c938:n6*=10811>"59m09965rbc:94?4=83:p(?j>:e68L7e03`;j;7>5$3f2>4g032e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:76821>"2??0:96sr}|9~f=3=83;1<7>t$3f2>77e3A8h;6g=1c83>!4c938:n6*=10810>"59m09865rb`c94?4=83:p(?j>:e68L7e03`;j;7>5$3f2>4g032e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:76821>"2??0:96sr}|9~f=5=83;1<7>t$3f2>77e3A8h;6g=1c83>!4c938:n6*=10817>"59m09?65rb`;94?4=83:p(?j>:e68L7e03`;j;7>5$3f2>4g032e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:76821>"2??0:96sr}|9~f=7=83;1<7>t$3f2>77e3A8h;6g=1c83>!4c938:n6*=10816>"59m09>65rb`:94?4=83:p(?j>:e68L7e03`;j;7>5$3f2>4g032e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:76821>"2??0:96sr}|9~f2`=83;1<7>t$3f2>77e3A8h;6g=1c83>!4c938:n6*=10815>"59m09=65rb`594?4=83:p(?j>:e68L7e03`;j;7>5$3f2>4g032e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:76821>"2??0:96sr}|9~f1d?290:6=4?{%0g5?46j2B9o:5f20`94?"5l809=o5+20390a=#:8n18i54}c6a=?6=:3:1N5k>1b=l950;&1`4<6i>10c??n:18'6a7=:8k0Z?j<:0yO6a3=9r\n4777f3_;j8743<,<==6<;4}|~?xdc13:1=7>50z&1`4<59k1C>n94i33a>5<#:m;1>7?<,;;o6?74;|`ge?6=:3:1N5k>1b=l950;&1`4<6i>10c??n:18'6a7=:8k0Z?j<:0yO6a3=9r\n4777f3_;j8747<,<==67>50z&1`45<#:m;1>a:T2e1<5s-?<;7?>;%742?763twvq6smde83>4<729q/>i?520`8L7e03`8:n7>5$3f2>77e3-8:=75}#:m;1h95G2b58m4g0290/>i?51`58?j46i3:1(?j>:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7?>;%742?763twvq6smdg83>7<729q/>i?5d59K6f15$3f2>77f3A8o<6X=d282I4c=3;pZh652z&164<59h1]=l:52z&632<692.>;;4>1:~yx=zj=3=6=4>:183!4c938:n6F=c69j64d=83.9h<4=1c9'647=;:1/>?3:1>7>50z&1`45<#:m;1>4}K:m?1=vXj8;0x 7462;;j7[?n4;0x 01028?0(899:078yx{z3th>;>4?:083>5}#:m;1>:33a?!46939;7)<>d;13?>{e=>>1<7<50;2x 7b62m>0D?m8;h3b3?6=,;n:6a:T1`6<6sE8o97?tVd:96~"5:809=l5Y1`696~"2?>0:96*:77821>{zut1vn8=9:182>5<7s-8o=7<>b:J1g2=n:8h1<7*=d0815g=#:8;19o5+20f91g=52;294~"5l80o86F=c69j5d1=83.9h<4>a698k77f290/>i?520c8R7b428qG>i;51zTf434<729q/>i?520`8L7e03`8:n7>5$3f2>77e3-8:=7==;%02`?5532wi8kh50;094?6|,;n:6i:4H3a4?l7f?3:1(?j>:0c4?>i59h0;6)P5l:0:wA=:r.9><4=1`9U5d2=:r.>;:4>5:&633<6=2wvqp5rb46f>5<6290;w)N5k>1b>:33b?S7f<38p(898:078 01128?0qpsr;|`f5?6=93:1:3c8 77c2;k07plj2;296?6=8r.9h<4k4:J1g2=n9h=1<7*=d082e2=:33b?S7f<38p(898:078 01128?0qpsr;|`f7?6=:3:1N5k>1b=l950;&1`4<6i>10c??n:18'6a7=:8k0D?j?;W0g7?7|D;n>6a581!30?3;:7);86;32?x{zu2wi=l<50;394?6|,;n:6??m;I0`3>o59k0;6)"59809h6*=1e81`>=zj8k86=4=:183!4c93n?7E{Wg;>7}#:;;1>7}#=>=1=85+564950=zutw0qo:k9;295?6=8r.9h<4=1c9K6f11`<3th?hl4?:383>5}#:m;1h95G2b58m4g0290/>i?51`58?j46i3:1(?j>:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7?:;%742?723twvq6sm56294?7=83:p(?j>:33a?M4d?2c9=o4?:%0g5?46j2.9=<4;e:&15a<3m21vn89>:181>5<7s-8o=7j;;I0`3>o6i>0;6)=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95149'120=9<1vqps4}c:4>5<6290;w)N5k>1b>5<7s-8o=7j;;I0`3>o6i>0;6)=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95149'120=9<1vqps4}c;1>5<6290;w)N5k>1b>:181>5<7s-8o=7j;;I0`3>o6i>0;6)=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95149'120=9<1vqps4}c;7>5<6290;w)N5k>1b>5<7s-8o=7j;;I0`3>o6i>0;6)=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95149'120=9<1vqps4}c;5>5<6290;w)N5k>1b>910qom<:181>5<7s-8o=7j;;I0`3>o6i>0;6)=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95149'120=9<1vqps4}c;;>5<6290;w)N5k>1b>10qom;:181>5<7s-8o=7j;;I0`3>o6i>0;6)=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95149'120=9<1vqps4}c;b>5<6290;w)N5k>1b>5<7s-8o=7j;;I0`3>o6i>0;6)=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95149'120=9<1vqps4}c;`>5<6290;w)N5k>1b>5<7s-8o=7j;;I0`3>o6i>0;6)=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95149'120=9<1vqps4}c76`?6=93:1:5a8 77c2=i07pl:5b83>7<729q/>i?5d59K6f15$3f2>77f3_8o?7?tL3f6>4}Qm109w)<=1;02e>P6i=09w);87;30?!30>3;87psr}:a0f?=83;1<7>t$3f2>77e3A8h;6a=1c83>!4c938:n6*=1081b>"59m09j65rb5a;>5<5290;w)i=51zN1`0<6s_o36?u+233964g<^8k?6?u+565956=#=><1=>5r}|8yg45;3:1=7>50z&1`4<59k1C>n94o33a>5<#:m;1>17<,;;o69?4;|`167<72;0;6=u+2e39`1=O:j=0ea;29 7b62;;j7[{e:;k1<7?50;2x 7b62;;i7Eb:&154<4n2.9=i429096=4?{%0g5?b33A8h;6g>a683>!4c93;j;65`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Z51;294~"5l809=o5G2b58k77e290/>i?520`8 7762:n0(??k:2f8?xd5:k0;6?4?:1y'6a7=l=1C>n94i0c4>5<#:m;1=l94;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3;87);86;30?x{zu2wi>?k50;394?6|,;n:6??m;I0`3>i59k0;6)"59808n6*=1e80f>=zj;8o6=4=:183!4c93n?7E{Wg;>7}#:;;1>7}#=>=1=>5+564956=zutw0qo<<0;295?6=8r.9h<4=1c9K6f1o4$33g>6g<3th9>k4?:383>5}#:m;1h95G2b58m4g0290/>i?51`58?j46i3:1(?j>:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7?<;%742?743twvq6sm22094?7=83:p(?j>:33a?M4d?2e9=o4?:%0g5?46j2.9=<4:181>5<7s-8o=7j;;I0`3>o6i>0;6)=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95129'120=9:1vqps4}c000?6=93:1:2g8 77c2:o07pl=3283>7<729q/>i?5d59K6f15$3f2>77f3_8o?7?tL3f6>4}Qm109w)<=1;02e>P6i=09w);87;30?!30>3;87psr}:a660=83;1<7>t$3f2>77e3A8h;6a=1c83>!4c938:n6*=10874>"59m0?<65rb316>5<5290;w)i=51zN1`0<6s_o36?u+233964g<^8k?6?u+565956=#=><1=>5r}|8yg4403:1=7>50z&1`4<59k1C>n94o33a>5<#:m;1>14<,;;o69<4;|`172<72;0;6=u+2e39`1=O:j=0ea;29 7b62;;j7[{e::k1<7?50;2x 7b62;;i7Eb:&154<3<2.9=i4;4:9~f75>29096=4?{%0g5?b33A8h;6g>a683>!4c93;j;65`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Zjm7>51;294~"5l809=o5G2b58k77e290/>i?520`8 7762=h0(??k:5`8?xd3i00;6?4?:1y'6a7=l=1C>n94i0c4>5<#:m;1=l94;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3;87);86;30?x{zu2wi9=j50;394?6|,;n:6??m;I0`3>i59k0;6)"5980846*=1e80<>=zj<:h6=4=:183!4c93n?7E{Wg;>7}#:;;1>7}#=>=1=>5+564956=zutw0qo;;7;295?6=8r.9h<4=1c9K6f1;4$33g>63<3th>8;4?:383>5}#:m;1h95G2b58m4g0290/>i?51`58?j46i3:1(?j>:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7?<;%742?743twvq6sm57;94?7=83:p(?j>:33a?M4d?2e9=o4?:%0g5?46j2.9=<4:c:&15a<2k21vn887:181>5<7s-8o=7j;;I0`3>o6i>0;6)=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95129'120=9:1vqps4}c6;7?6=93:1:478 77c27<729q/>i?5d59K6f15$3f2>77f3_8o?7?tL3f6>4}Qm109w)<=1;02e>P6i=09w);87;30?!30>3;87psr}:a0t$3f2>77e3A8h;6a=1c83>!4c938:n6*=10867>"59m0>?65rb5;;>5<5290;w)i=51zN1`0<6s_o36?u+233964g<^8k?6?u+565956=#=><1=>5r}|8yg2ej3:1=7>50z&1`4<59k1C>n94o33a>5<#:m;1>61<,;;o6>94;|`7fd<72;0;6=u+2e39`1=O:j=0ea;29 7b62;;j7[{eb:&154<2>2.9=i4:6:9~f1be29096=4?{%0g5?b33A8h;6g>a683>!4c93;j;65`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Znn7>51;294~"5l809=o5G2b58k77e290/>i?520`8 7762n94i0c4>5<#:m;1=l94;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3;87);86;30?x{zu2wi8k:50;394?6|,;n:6??m;I0`3>i59k0;6)"5980>46*=1e86<>=zj=l86=4=:183!4c93n?7E{Wg;>7}#:;;1>7}#=>=1=>5+564956=zutw0qo;?1;295?6=8r.9h<4=1c9K6f14$33g>06<3th><=4?:383>5}#:m;1h95G2b58m4g0290/>i?51`58?j46i3:1(?j>:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7?<;%742?743twvq6sm53a94?7=83:p(?j>:33a?M4d?2e9=o4?:%0g5?46j2.9=<4;7:&15a<3?21vn85<7s-8o=7j;;I0`3>o6i>0;6)=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95129'120=9:1vqps4}c765?6=93:1:408 77c2<807pl:5183>7<729q/>i?5d59K6f15$3f2>77f3_8o?7?tL3f6>4}Qm109w)<=1;02e>P6i=09w);87;30?!30>3;87psr}:a67>=83;1<7>t$3f2>77e3A8h;6a=1c83>!4c938:n6*=10872>"59m0?:65rb304>5<5290;w)i=51zN1`0<6s_o36?u+233964g<^8k?6?u+565956=#=><1=>5r}|8yg45=3:1=7>50z&1`4<59k1C>n94o33a>5<#:m;1>15<,;;o69=4;|`161<72;0;6=u+2e39`1=O:j=0ea;29 7b62;;j7[{e<1i1<7?50;2x 7b62;;i7Eb:&154<2<2.9=i4:4:9~f1>e29096=4?{%0g5?b33A8h;6g>a683>!4c93;j;65`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Z51;294~"5l809=o5G2b58k77e290/>i?520`8 7762=20(??k:5:8?xd29>0;6?4?:1y'6a7=l=1C>n94i0c4>5<#:m;1=l94;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3;87);86;30?x{zu2wi9i59k0;6)"5980?56*=1e87=>=zj<;n6=4=:183!4c93n?7E{Wg;>7}#:;;1>7}#=>=1=>5+564956=zutw0qo;<9;295?6=8r.9h<4=1c9K6f184$33g>60<3th>?54?:383>5}#:m;1h95G2b58m4g0290/>i?51`58?j46i3:1(?j>:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7?<;%742?743twvq6sm4`194?7=83:p(?j>:33a?M4d?2e9=o4?:%0g5?46j2.9=<4:7:&15a<2?21vn9o=:181>5<7s-8o=7j;;I0`3>o6i>0;6)=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95129'120=9:1vqps4}c76b?6=:3:1N5k>1b=l950;&1`4<6i>10c??n:18'6a7=:8k0Z?j<:0yO6a3=9r\n4777f3_;j87a=#=><1h6sr}|9~f03b29096=4?{%0g5?b33A8h;6g>a683>!4c93;j;65`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Z;;4k;|~y>{e=?=1<7=50;2x 7b62;in7E0o7);86;f8yx{z3`;j57>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b=>P6i=09w);87;36?!30>3;>7psr}:m15d<72-8o=7<>a:T1`6<6sE8o97?tVd:96~"5:809=l5Y1`696~"2?>0o7);86;f8yx{z3th>:;4?:783>5}#:m;1=l;4H3a4?!7d03;j7d?n7;29 7b628k<7[<>52z&164<6i>1]=l:52z&632i?5d79U6a5=9rF9h84>{W024?4|,;8:6i84V0c7>7}#=>=1h6*:778g?x{zu2co;7>5$3f2>a1<^;n86?uC2e795~P59909w)<=1;f4?S7f<38p(898:g9'120=n2wvqA2:q]i;4={%741?b03-9897;87:Ua2<5s-?<97?n7:&070<2?>1v(8k6:068yS4f139pZh852z&630;84>a69'763==><0q);j9;37?xP5i008w[k9:3y'123=l>1/?>;55658ySc02;q/9:;5d79'763==>=0q);j9;g8yS45838pZh852z&6302830qps4i3c;>5<#:m;1>l64V3f0>7}K:m?1=vX=1181!45938j46X>a581!30?3;37);86;3;?x{zD;n?638p(89::3c;?!54=3?<;6s+5d;95==zut1b>l?50;&1`4<5i81]>i=52zN1`0<6s_8:<77g63_;j874><,<==6<64}|O6a2=9r\9ol4={Wg5>7}#=>?1>l?4$216>0103t.>i44>8:~y>i59h0;6)=zj8k=6=4>:183!4c938o46F=c69l64g=83.9h<4=1`98yg4d13:1=7>50z&1`4<5911C>n94o33b>5<#:m;1>290/>i?51`58R7b428qG>i;51zTf{zut1d>i=51zN1`0<6s_o36?u+233964g<^8k?6?u+5659`>"2??0o7psr}:a137=83;1<7>t$3f2>7b?3A8h;6a=1`83>!4c938:m65rb440>5<0290;w)1/9:85169~yx{o6j=0;6)N5l91]>i=51zN1`0<6s_o36?u+23395g2<^8k?6?u+565952=#=><1=:5r}|8m4da290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3ab>P6i=09w);87;34?!30>3;<7psr}:k2g7<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51b08R4g32;q/9:95169'120=9>1vqps4i0a0>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?l3:T2e1<5s-?<;7?8;%742?703twvq6a=1`83>!4c938:m6X=d282I4c=3;pZh652z&164<59h1]=l:52z&632=0;6:0D?m8;h3b6a581!30?3;<7);86;34?x{zu2c:m44?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082e<=Q9h>1>v*:76823>"2??0:;6sr}|9j5g2=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=o:4V0c7>7}#=>=1=:5+564952=zutw0e4da3_;j8741<,<==6<94}|~?l7d:3:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082g7=Q9h>1>v*:76823>"2??0:;6sr}|9j5f5=83.9h<4>a69K6a6<^;n86:0a0?S7f<38p(898:058 01128=0qpsr;h3`0?6=,;n:66a581!30?3;<7);86;34?x{zu2c:o84?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082g0=Q9h>1>v*:76823>"2??0:;6sr}|9j5f0=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=n84V0c7>7}#=>=1=:5+564952=zutw0e4e03_;j87a=#=><1h6sr}|9j5dg=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=lo4V0c7>7}#=>=1h6*:778g?x{zu2c:mo4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082eg=Q9h>1>v*:768g?!30>3n0qpsr;h3bg?6=,;n:66a581!30?3n0(899:e9~yx{:0cg?S7f<38p(898:e9'120=l2wvqp5f1`g94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9ho0Z;;4k;|~y>o6io0;6)P5l:0:wA=:r.9><4>ag9U5d2=:r.>;:4k;%742?ba;29 7b62;;j7[50z&1`4<5901C>n94i0c;>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628k37[?n4;0x 0102m1/9:85d:~yx=n9h31<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51`;8R4g32;q/9:95d:&633!4c938:m6X=d282I4c=3;pZh652z&164<59h1]=l:52z&632n94i0c4>5<#:m;1=l94;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3n0(899:e9~yx{56;294~"5l80:m85G2b58 4e?28k0e7}#:;;1h;5Y1`696~"2?>0o7);86;f8yx{z3`n<6=4+2e39`2=Q:m91>vB=d482S46838p(?<>:e58R4g32;q/9:95f:&633a1<,:9>6898;|Tf3?4|,<=>62:q]i;4={%741?b03-9897;87:Ua2<5s-?<97?n7:&070<2??1v(8k6:068yS4f139pZh852z&630;84k6:&070<2?>1v(8k6:068yS45838pZh852z&6302830qps4i3c;>5<#:m;1>l64V3f0>7}K:m?1=vX=1181!45938j46X>a581!30?3;37);86;3;?x{zD;n?638p(89::3c;?!54=3?<;6s+5d;95==zut1b>l?50;&1`4<5i81]>i=52zN1`0<6s_8:<77g63_;j874><,<==6<64}|O6a2=9r\9ol4={Wg5>7}#=>?1>l?4$216>0103t.>i44>8:~y>i59h0;6)=zj:9;6=4<:183!4c938hi6F=c69j5d>=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l64V0c7>7}#=>=1h6*:778g?x{zu2c:m44?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082e<=Q9h>1>v*:768g?!30>3n0qpsr;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3n0(899:e9~yx{51;294~"5l809h55G2b58k77f290/>i?520c8?xd4;;0;6>4?:1y'6a7=?91C>n94i0c;>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628k37[?n4;0x 01028=0(899:058yx{z3`;j57>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b=>P6i=09w);87;34?!30>3;<7psr}:m15d<72-8o=7<>a:T1`6<6sE8o97?tVd:96~"5:809=l5Y1`696~"2?>0o7);86;f8yx{z3th8>k4?:283>5}#:m;1;=5G2b58m4g?290/>i?51`58R7b428qG>i;51zTf470:;6*:77823>{zut1d>i=51zN1`0<6s_o36?u+233964g<^8k?6?u+5659`>"2??0o7psr}:a12c=8381<7>t$3f2>a2<@;i<7d?n7;29 7b628k<76a=1`83>!4c938:m6X=d282I4c=3;pZh652z&164<59h1]=l:52z&6324?:1y'6a7=:jo0D?m8;h3b6a581!30?3n0(899:e9~yx{:0c:?S7f<38p(898:078 01128?0qpsr;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3n0(899:e9~yx{56;294~"5l80:m85G2b58 4e?28k0e7}#:;;1h;5Y1`696~"2?>0o7);86;f8yx{z3`n<6=4+2e39`2=Q:m91>vB=d482S46838p(?<>:e58R4g32;q/9:95f:&633a1<,:9>6898;|Tf3?4|,<=>62:q]i;4={%741?b03-9897;87:Ua2<5s-?<97?n7:&070<2??1v(8k6:068yS4f139pZh852z&630;84k6:&070<2?>1v(8k6:d9~R7472;q]i;4={%741?b03-9897;87:'1`?=901vqp5f2`:94?"5l809m55Y2e196~J5l<0:w[<>0;0x 7462;k37[?n4;0x 0102820(899:0:8yx{K:m>1=vX=c`81Sc12;q/9:;52`:8 6522<=<7p*:e882<>{zu2c9m<4?:%0g5?4f92\9h>4={M0g1?7|^;;;6?u+23396d7<^8k?6?u+56595==#=><1=55r}|N1`1<6s_8hm7:33b?>{e=>l1<7=50;2x 7b62;in7E0o7);86;f8yx{z3`;j57>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b=>P6i=09w);87;f8 0112m1vqps4o33b>5<#:m;1>4}K:m?1=vXj8;0x 7462;;j7[?n4;0x 0102m1/9:85d:~yx=zj<2;6=4>:183!4c938o46F=c69l64g=83.9h<4=1`98yg3?:3:187>50z&1`4<082B9o:5f1`:94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9h20Zo6j=0;6)P5l:0:wA=:r.9><4>b59U5d2=:r.>;:4>7:&633<6?2wvqp5`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Z;;4k;|~y>{e=191<7750;2x 7b62>:0D?m8;h3bP5l:0:wA=:r.9><4>a99U5d2=:r.>;:4>1:&633<692wvqp5f1`;94?"5l80:m:5G2e28R7b428qG>i;51zTf470:=6*:77825>{zut1b=oh50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395g`<^8k?6?u+565952=#=><1=:5r}|8m4e5290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3`6>P6i=09w);87;34?!30>3;<7psr}:k2g6<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51b18R4g32;q/9:95169'120=9>1vqps4i0a7>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?l4:T2e1<5s-?<;7?8;%742?703twvq6g>c483>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=n;4V0c7>7}#=>=1=:5+564952=zutw0c??n:18'6a7=:8k0Z?j<:0yO6a3=9r\n4777f3_;j87a=#=><1h6sr}|9~f0>329026=4?{%0g5?173A8h;6g>a983>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=l64V0c7>7}#=>=1=<5+564954=zutw0e6a581!30?3;:7);86;32?x{zu2c:n94?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082f1=Q9h>1>v*:76823>"2??0:;6sr}|9j5g`=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=oh4V0c7>7}#=>=1=:5+564952=zutw0e66X>a581!30?3;<7);86;34?x{zu2c:o>4?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9j90Z1/9:85169~yx{o6k<0;6)N5l91]>i=51zN1`0<6s_o36?u+23395f3<^8k?6?u+565952=#=><1=:5r}|8k77f290/>i?520c8R7b428qG>i;51zTf{zut1vn86::1825?6=8r.9h<480:J1g2=n9h21<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474g?3_;j8747<,<==6:0c4?S4c;3;p@?j::0yUa=<5s-89=7?n9:T2e1<5s-?<;7?>;%742?763twvq6g>b583>!4c93;j;6X=d282I4c=3;pZh652z&164<6j=1]=l:52z&632<692.>;;4>1:~yx=n9kl1<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474da3_;j8747<,<==6:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082g7=Q9h>1>v*:76823>"2??0:;6sr}|9j5f5=83.9h<4>a69K6a6<^;n86:0a0?S7f<38p(898:058 01128=0qpsr;h3`0?6=,;n:6P5l:0:wA=:r.9><4>c59U5d2=:r.>;:4>7:&633<6?2wvqp5f1b794?"5l80:m:5G2e28R7b428qG>i;51zTf410:;6*:77823>{zut1b=n950;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395f1<^8k?6?u+5659`>"2??0o7psr}:k2ed<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:ml5Y1`696~"2?>0o7);86;f8yx{z3`;jn7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3bf>P6i=09w);87;f8 0112m1vqps4i0c`>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628kh7[?n4;0x 0102m1/9:85d:~yx=n9hn1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51`f8R4g32;q/9:95d:&633ad83>!4c93;j;6X=d282I4c=3;pZh652z&164<6il1]=l:52z&632:0c4?S4c;3;p@?j::0yUa=<5s-89=7?nf:T2e1<5s-?<;7j4$455>a=zutw0c??n:18'6a7=:8k0Z?j<:0yO6a3=9r\n4777f3_;j87a=#=><1h6sr}|9~f0>1290:=7>50z&1`4<082B9o:5f1`:94?"5l80:m:5G2e28R7b428qG>i;51zTf47;|~y>o6j=0;6)P5l:0:wA=:r.9><4>b59U5d2=:r.>;:4>1:&633<692wvqp5f1cd94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9kl0Z1/9:85169~yx{o6k:0;6)N5l91]>i=51zN1`0<6s_o36?u+23395f5<^8k?6?u+565952=#=><1=:5r}|8m4e3290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3`0>P6i=09w);87;34?!30>3;<7psr}:k2g0<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51b78R4g32;q/9:95169'120=9>1vqps4i0a5>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?l6:T2e1<5s-?<;7?8;%742?703twvq6g>c683>!4c93;j;6X=d282I4c=3;pZh652z&164<6k>1]=l:52z&632:0c4?S4c;3;p@?j::0yUa=<5s-89=7?na:T2e1<5s-?<;7j4$455>a=zutw0e4ge3_;j87a=#=><1h6sr}|9j5de=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=lm4V0c7>7}#=>=1h6*:778g?x{zu2c:mi4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082ea=Q9h>1>v*:768g?!30>3n0qpsr;h3ba?6=,;n:66a581!30?3n0(899:e9~yx{:0ce?S7f<38p(898:e9'120=l2wvqp5`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Z;;4k;|~y>{e=1;1<7850;2x 7b62;;27E0o7);86;f8yx{z3`;j57>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b=>P6i=09w);87;f8 0112m1vqps4i0`7>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628h?7[?n4;0x 0102m1/9:85d:~yx=n9kl1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51cd8R4g32;q/9:95d:&633c383>!4c93;j;6X=d282I4c=3;pZh652z&164<6k;1]=l:52z&632:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7j4$455>a=zutw0qo=>1;296?6=8r.9h<4k4:J1g2=n9h=1<7*=d082e2=:33b?S7f<38p(898:e9'120=l2wvqp5rb23;>5<1290;w)N5k>1/=n651`9j5d1=83.9h<4>a69U6a5=9rF9h84>{W024?4|,;8:6{zut1bh;4?:%0g5?b13_8o?7?tL3f6>4}Q:8:1>v*=208g2>P6i=09w);87;f8 0112m1vqps4ie594?"5l80o;6X=d281I4c=3;pZ???:3y'677=l>1]=l:52z&6327}#=>?1h:5+32791216898;|&6a<<6<2w]>l753zTf2?4|,<=>6i94$216>0103t\n;74g03-9897;86:'1`?=9=1vZ?o6:2yUa3<5s-?<97j8;%101?30?2w]i:4={%741?b13-9897;87:'1`?=m2w]>?>52zTf2?4|,<=>6i94$216>0103t.>i44>9:~y>o5i10;6)P5l:09wA??52`:8R4g32;q/9:95199'120=911vqpB=d582S4di38pZh852z&630<5i11/?>;55658y!3b13;37psr;h0b5?6=,;n:6?o>;W0g7?4|D;n>60:46*:7782<>{zuE8o87?tV3ab>7}Qm?09w);85;0b5>"4;<0>;:5r$4g:>4>6<729q/>i?52bg8L7e03`;j47>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6i11]=l:52z&632:0c4?S4c;3;p@?j::0yUa=<5s-89=7?n9:T2e1<5s-?<;7j4$455>a=zutw0c??n:18'6a7=:8k0Z?j<:0yO6a3=9r\n4777f3_;j87a=#=><1h6sr}|9~f674290:6=4?{%0g5?4c02B9o:5`20c94?"5l809=l54}c121?6=;3:1N5k>1b=l650;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628k37[?n4;0x 01028=0(899:058yx{z3`;j57>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6i01]=l:52z&632<6?2.>;;4>7:~yx=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95d:&633:628L7e03`;j47>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6i11]=l:52z&632<6?2.>;;4>7:~yx=n9h31<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474g>3_;j8741<,<==6<94}|~?l7e<3:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082f1=Q9h>1>v*:76823>"2??0:;6sr}|9j5g`=83.9h<4>a69K6a6<^;n86:0`e?S7f<38p(898:058 01128=0qpsr;h3`6?6=,;n:6P5l:0:wA=:r.9><4>c39U5d2=:r.>;:4>7:&633<6?2wvqp5f1b194?"5l80:m:5G2e28R7b428qG>i;51zTf410:;6*:77823>{zut1b=n;50;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628i>7[?n4;0x 01028=0(899:058yx{z3f8:m7>5$3f2>77f3_8o?7?tL3f6>4}Qm109w)<=1;02e>P6i=09w);87;f8 0112m1vqps4}c120?6=;3:14g?3_;j87a=#=><1h6sr}|9j5d?=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l74V0c7>7}#=>=1h6*:778g?x{zu2e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:768g?!30>3n0qpsr;|`725<72;0;6=u+2e39`1=O:j=0ea;29 7b62;;j7[50z&1`4<6i<1C>n94$0a;>4gP6i=09w);87;f8 0112m1vqps4ie494?"5l80o:6X=d282I4c=3;pZ???:3y'677=l?1]=l:52z&632i?5d69U6a5=:rF9h84>{W024?4|,;8:6i94V0c7>7}#=>=1j6*:778e?x{zD;n?69uY2`;97~Pb>38p(89::e58 6522<=<7pXj7;0x 01228k<7)=<5;743>{#=l31=95rV3c:>6}Qm?09w);85;f4?!54=3?<;6sYe681!30=3;j;6*<348633=z,v*:748g3>"4;<0>;:5rVd596~"2?<0o:6*<348632=z,7}Qm?09w);85;f4?!54=3?<;6s+5d;95<=zut1b>l650;&1`4<5i11]>i=52zN1`0<6s_8:<77g?3_;j874><,<==6<64}|O6a2=9r\9ol4={Wg5>7}#=>?1>l64$216>0103t.>i44>8:~y>o5i80;6)P5l:09wA??52`38R4g32;q/9:95199'120=911vqpB=d582S4di38pZh852z&630<5i81/?>;55658y!3b13;37psr;n02e?6=,;n:6??n;:a037=8391<7>t$3f2>7eb3A8h;6g>a983>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=l64V0c7>7}#=>=1h6*:778g?x{zu2c:m44?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082e<=Q9h>1>v*:768g?!30>3n0qpsr;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3n0(899:e9~yx{=>7>51;294~"5l809h55G2b58k77f290/>i?520c8?xd3>=0;6>4?:1y'6a7=?91C>n94i0c;>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628k37[?n4;0x 0102m1/9:85d:~yx=n9h31<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51`;8R4g32;q/9:95d:&633!4c938:m6X=d282I4c=3;pZh652z&164<59h1]=l:52z&632<0;6>4?:1y'6a7=?91C>n94i0c;>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628k37[?n4;0x 0102m1/9:85d:~yx=n9h31<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51`;8R4g32;q/9:95d:&633!4c938:m6X=d282I4c=3;pZh652z&164<59h1]=l:52z&632?0;6>4?:1y'6a7=?91C>n94i0c;>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628k37[?n4;0x 01028;0(899:038yx{z3`;j57>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6i01]=l:52z&632<6?2.>;;4>7:~yx=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95d:&633:628L7e03`;j47>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b<>P6i=09w);87;32?!30>3;:7psr}:k2e<<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51`;8R4g32;q/9:95169'120=9>1vqps4i0`7>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628h?7[?n4;0x 01028=0(899:058yx{z3f8:m7>5$3f2>77f3_8o?7?tL3f6>4}Qm109w)<=1;02e>P6i=09w);87;f8 0112m1vqps4}c65N5k>1b=l650;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628k37[?n4;0x 01028;0(899:038yx{z3`;j57>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6i01]=l:52z&632<692.>;;4>1:~yx=n9k>1<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474d33_;j8741<,<==6<94}|~?j46i3:1(?j>:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7j4$455>a=zutw0qo:99;290?6=8r.9h<480:J1g2=n9h21<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51`:8R4g32;q/9:95109'120=981vqps4i0c:>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?n9:T2e1<5s-?<;7?8;%742?703twvq6g>b583>!4c93;j;6X=d282I4c=3;pZh652z&164<6j=1]=l:52z&632<6?2.>;;4>7:~yx=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95d:&633:628L7e03`;j47>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b<>P6i=09w);87;32?!30>3;:7psr}:k2e<<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51`;8R4g32;q/9:95169'120=9>1vqps4i0`7>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628h?7[?n4;0x 01028=0(899:058yx{z3f8:m7>5$3f2>77f3_8o?7?tL3f6>4}Qm109w)<=1;02e>P6i=09w);87;f8 0112m1vqps4}c657?6=03:14g?3_;j87a=#=><1h6sr}|9j5d?=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l74V0c7>7}#=>=1h6*:778g?x{zu2c:n94?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082f1=Q9h>1>v*:768g?!30>3n0qpsr;h3ab?6=,;n:66a581!30?3n0(899:e9~yx{:0a1?S7f<38p(898:e9'120=l2wvqp5f1b194?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9j90Z;;4k;|~y>o6k=0;6)P5l:0:wA=:r.9><4>c59U5d2=:r.>;:4k;%742?ba;29 7b62;;j7[29096=4?{%0g5?b33A8h;6g>a683>!4c93;j;65`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Z;;4k;|~y>{el:0;6>4?:1y'6a7=:jo0D?m8;h3b6a581!30?3n0(899:e9~yx{:0c:?S7f<38p(898:078 01128?0qpsr;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3n0(899:e9~yx{=9h1b=l950;&1`4<6i>1]>i=51zN1`0<6s_8:<74g03_;j87a=#=><1h6sr}|9j`3<72-8o=7j9;W0g7?7|D;n>6a581!30?3n0(899:e9~yx{P5l:09wA??5d69U5d2=:r.>;:4i;%742?`i:54zT1e<<4s_o=6?u+5679`2=#;:?19:94}Wg4>7}#=>?1=l94$216>0103t.>i44>4:U6d?=;r\n:7a1<,:9>6898;|Tf3?4|,<=>62w/9h75159~R7g>2:q]i;4={%741?b03-9897;87:Ua2<5s-?<97j9;%101?30?2w/9h75e:U676=:r\n:7a1<,:9>6898;|&6a<<612wvq6g=a983>!4c938j46X=d281I4c=3;pZ???:3y'677=:h20Z;84=a99'763==>=0q);j9;3;?x{z3`8j=7>5$3f2>7g63_8o?74}Q:8:1>v*=2081e4=Q9h>1>v*:7682<>"2??0:46sr}M0g0?7|^;ij6?uYe781!30=38j=6*<348632=z,i?520c8?xddi3:1?7>50z&1`4<5kl1C>n94i0c;>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628k37[?n4;0x 0102m1/9:85d:~yx=n9h31<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51`;8R4g32;q/9:95d:&633!4c938:m6X=d282I4c=3;pZh652z&164<59h1]=l:52z&63250z&1`4<5l11C>n94o33b>5<#:m;1>N5k>1b=l650;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d><^8k?6?u+565954=#=><1=<5r}|8m4g>290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3b=>P6i=09w);87;34?!30>3;<7psr}:k2f1<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:n95Y1`696~"2?>0:;6*:77823>{zut1d>i=51zN1`0<6s_o36?u+233964g<^8k?6?u+5659`>"2??0o7psr}:ag`<72>0;6=u+2e3935=O:j=0e4g?3_;j8741<,<==6<94}|~?l7f13:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082e<=Q9h>1>v*:76823>"2??0:;6sr}|9j5g2=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=o:4V0c7>7}#=>=1=:5+564952=zutw0e6a581!30?3;<7);86;34?x{zu2c:o?4?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9j80Z1/9:85169~yx{:0a0?S7f<38p(898:058 01128=0qpsr;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3n0(899:e9~yx{??51`:8R4g32;q/9:95109'120=981vqps4i0c:>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628k27[?n4;0x 01028=0(899:058yx{z3`;i87>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6j=1]=l:52z&632<6?2.>;;4>7:~yx=n9kl1<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474da3_;j8741<,<==6<94}|~?l7d:3:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082g7=Q9h>1>v*:76823>"2??0:;6sr}|9j5f5=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=n=4V0c7>7}#=>=1=:5+564952=zutw0e4e33_;j8741<,<==6<94}|~?j46i3:1(?j>:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7j4$455>a=zutw0qoj?:18;>5<7s-8o=79?;I0`3>o6i10;6)P5l:0:wA=:r.9><4>a99U5d2=:r.>;:4>7:&633<6?2wvqp5f1`;94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9h30Z1/9:85169~yx{o6jo0;6)N5l91]>i=51zN1`0<6s_o36?u+23395g`<^8k?6?u+565952=#=><1=:5r}|8m4e5290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3`6>P6i=09w);87;34?!30>3;<7psr}:k2g6<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:o>5Y1`696~"2?>0:;6*:77823>{zut1b=n:50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395f2<^8k?6?u+565952=#=><1=:5r}|8k77f290/>i?520c8R7b428qG>i;51zTf{zut1vnnm50;794?6|,;n:6??6;I0`3>o6i10;6)P5l:0:wA=:r.9><4>a99U5d2=:r.>;:4k;%742?bi?51`58R7b428qG>i;51zTf{zut1b=oh50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395g`<^8k?6?u+5659`>"2??0o7psr}:m15d<72-8o=7<>a:T1`6<6sE8o97?tVd:96~"5:809=l5Y1`696~"2?>0o7);86;f8yx{z3th:j44?:383>5}#:m;1h95G2b58m4g0290/>i?51`58?j46i3:1(?j>:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7j4$455>a=zutw0qo:0c;?S7f<38p(898:e9'120=l2wvqp5f1`;94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9h30Z:33b?S7f<38p(898:e9'120=l2wvqp5rb326>5<1290;w)N5k>1/=n651`9j5d1=83.9h<4>a69U6a5=9rF9h84>{W024?4|,;8:6{zut1bh;4?:%0g5?b13_8o?7?tL3f6>4}Q:8:1>v*=208g2>P6i=09w);87;f8 0112m1vqps4ie594?"5l80o;6X=d281I4c=3;pZ???:3y'677=l>1]=l:52z&6327}#=>?1h:5+32791216898;|&6a<<6<2w]>l753zTf2?4|,<=>6i94$216>0103t\n;74g03-9897;86:'1`?=9=1vZ?o6:2yUa3<5s-?<97j8;%101?30?2w]i:4={%741?b13-9897;87:'1`?=m2w]>?>52zTf2?4|,<=>6i94$216>0103t.>i44>9:~y>o5i10;6)P5l:09wA??52`:8R4g32;q/9:95199'120=911vqpB=d582S4di38pZh852z&630<5i11/?>;55658y!3b13;37psr;h0b5?6=,;n:6?o>;W0g7?4|D;n>60:46*:7782<>{zuE8o87?tV3ab>7}Qm?09w);85;0b5>"4;<0>;:5r$4g:>4>f`83>6<729q/>i?52bg8L7e03`;j47>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b<>P6i=09w);87;f8 0112m1vqps4i0c:>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628k27[?n4;0x 0102m1/9:85d:~yx=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95d:&633:3f;?M4d?2e9=l4?:%0g5?46i21vn5<7s-8o=79?;I0`3>o6i10;6)P5l:0:wA=:r.9><4>a99U5d2=:r.>;:4k;%742?bi?520c8R7b428qG>i;51zTf{zut1vn5<7s-8o=79?;I0`3>o6i10;6)P5l:0:wA=:r.9><4>a99U5d2=:r.>;:4k;%742?bi?520c8R7b428qG>i;51zTf{zut1vn5<7s-8o=79?;I0`3>o6i10;6)N5l91]>i=51zN1`0<6s_o36?u+23395d><^8k?6?u+565952=#=><1=:5r}|8m4g>290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3b=>P6i=09w);87;34?!30>3;<7psr}:k2f1<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51c68R4g32;q/9:95169'120=9>1vqps4i0`e>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628hm7[?n4;0x 01028=0(899:058yx{z3`;h>7>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6k;1]=l:52z&632<6?2.>;;4>7:~yx=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95d:&633:628L7e03`;j47>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6i11]=l:52z&632<6?2.>;;4>7:~yx=n9h31<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474g>3_;j8741<,<==6<94}|~?l7e<3:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082f1=Q9h>1>v*:76823>"2??0:;6sr}|9j5g`=83.9h<4>a69K6a6<^;n86:0`e?S7f<38p(898:058 01128=0qpsr;h3`6?6=,;n:666X>a581!30?3;<7);86;34?x{zu2e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:768g?!30>3n0qpsr;|`144<7200;6=u+2e3935=O:j=0e4g?3_;j8747<,<==6:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082e<=Q9h>1>v*:76825>"2??0:=6sr}|9j5g2=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=o:4V0c7>7}#=>=1=<5+564954=zutw0e6a581!30?3;<7);86;34?x{zu2c:o?4?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9j80Z1/9:85169~yx{o6k=0;6)P5l:0:wA=:r.9><4>c59U5d2=:r.>;:4>7:&633<6?2wvqp5f1b794?"5l80:m:5G2e28R7b428qG>i;51zTf41a;29 7b62;;j7[50z&1`4<082B9o:5f1`:94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9h20Z;|~y>o6j=0;6)N5l91]>i=51zN1`0<6s_o36?u+23395g2<^8k?6?u+565954=#=><1=<5r}|8m4da290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3ab>P6i=09w);87;34?!30>3;<7psr}:k2g7<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51b08R4g32;q/9:95169'120=9>1vqps4i0a0>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?l3:T2e1<5s-?<;7?8;%742?703twvq6g>c583>!4c93;j;6X=d282I4c=3;pZh652z&164<6k=1]=l:52z&632<6?2.>;;4>7:~yx=n9j?1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51b78R4g32;q/9:95169'120=9>1vqps4o33b>5<#:m;1>4}K:m?1=vXj8;0x 7462;;j7[?n4;0x 0102m1/9:85d:~yx=zj;:86=46:183!4c93=;7E0:=6*:77825>{zut1b=l750;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d?<^8k?6?u+565952=#=><1=:5r}|8m4d3290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3a0>P6i=09w);87;32?!30>3;:7psr}:k2fc<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51cd8R4g32;q/9:95169'120=9>1vqps4i0a1>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?l2:T2e1<5s-?<;7?8;%742?703twvq6g>c283>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=n=4V0c7>7}#=>=1=:5+564952=zutw0e4e33_;j8741<,<==6<94}|~?l7d=3:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?l5:T2e1<5s-?<;7?8;%742?703twvq6a=1`83>!4c938:m6X=d282I4c=3;pZh652z&164<59h1]=l:52z&6326a581!30?3n0(899:e9~yx{:0c:?S7f<38p(898:e9'120=l2wvqp5f1c694?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9k>0Z;;4k;|~y>o6jo0;6)P5l:0:wA=:r.9><4>bg9U5d2=:r.>;:4k;%742?bi?51`58R7b428qG>i;51zTf{zut1b=n:50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395f2<^8k?6?u+5659`>"2??0o7psr}:m15d<72-8o=7<>a:T1`6<6sE8o97?tVd:96~"5:809=l5Y1`696~"2?>0o7);86;f8yx{z3th8>>4?:383>5}#:m;1h95G2b58m4g0290/>i?51`58?j46i3:1(?j>:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7j4$455>a=zutw0qo==d;297?6=8r.9h<4=cd9K6f1:0c;?S7f<38p(898:e9'120=l2wvqp5f1`;94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9h30Z:33b?S7f<38p(898:e9'120=l2wvqp5rb20`>5<4290;w)N5k>1b=l650;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d><^8k?6?u+5659`>"2??0o7psr}:k2e<<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:m45Y1`696~"2?>0o7);86;f8yx{z3f8:m7>5$3f2>77f3_8o?7?tL3f6>4}Qm109w)<=1;02e>P6i=09w);87;f8 0112m1vqps4}c11e?6=>3:1i?51`58R7b428qG>i;51zT155<5s-89=7?n7:T2e1<5s-?<;7j4$455>a=zutw0ei850;&1`42\9h>4>{M0g1?7|^;;;6?u+2339`3=Q9h>1>v*:768g?!30>3n0qpsr;hf4>5<#:m;1h:5Y2e196~J5l<0:w[<>0;0x 7462m=0Z;;4i;|~H7b32=q]>l753zTf2?4|,<=>6i94$216>0103t\n;74g03-9897;87:'1`?=9=1vZ?o6:2yUa3<5s-?<97j8;%101?30?2w]i:4={%741?7f?2.8?84:779~ 0c>28>0q[;84k7:&070<2?>1vZh952z&6302.8?84:769~ 0c>2l1vZ?vB=d482S46838p(?<>:3c;?S7f<38p(898:0:8 0112820qpsC2e695~P5kh09w[k9:3y'123=:h20(>=::454?x"2m00:46sr}:k1e4<72-8o=77}#:;;1>l?4V0c7>7}#=>=1=55+56495==zutF9h94>{W0`e?4|^l<1>v*:7481e4=#;:?19:94}%7f=?7?3twv7b<>a;29 7b62;;j76sm33694?5=83:p(?j>:3af?M4d?2c:m54?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082e==Q9h>1>v*:768g?!30>3n0qpsr;h3b=?6=,;n:66a581!30?3n0(899:e9~yx{:33b?S7f<38p(898:e9'120=l2wvqp5rb206>5<6290;w)N5k>1d>4g?3_;j8747<,<==6:0c4?S4c;3;p@?j::0yUa=<5s-89=7?n9:T2e1<5s-?<;7?>;%742?763twvq6g>b583>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=o:4V0c7>7}#=>=1=<5+564954=zutw0e6a581!30?3;:7);86;32?x{zu2c:o?4?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9j80Zi59h0;6)P5l:0:wA=:r.9><4=1`9U5d2=:r.>;:4k;%742?b2<729q/>i?5719K6f1;|~y>o6i00;6)P5l:0:wA=:r.9><4>a89U5d2=:r.>;:4>1:&633<692wvqp5f1c694?"5l80:m:5G2e28R7b428qG>i;51zTf470:=6*:77825>{zut1b=n<50;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628i97[?n4;0x 01028=0(899:058yx{z3`;h?7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3`7>P6i=09w);87;34?!30>3;<7psr}:m15d<72-8o=7<>a:T1`6<6sE8o97?tVd:96~"5:809=l5Y1`696~"2?>0o7);86;f8yx{z3th8>;4?:283>5}#:m;1><74H3a4?l7f03:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?n8:T2e1<5s-?<;7j4$455>a=zutw0e4g>3_;j87a=#=><1h6sr}|9l64g=83.9h<4=1`9U6a5=9rF9h84>{Wg;>7}#:;;1>7}#=>=1h6*:778g?x{zu2wi??l50;194?6|,;n:6:>4H3a4?l7f03:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082e==Q9h>1>v*:76825>"2??0:=6sr}|9j5d?=83.9h<4>a69K6a6<^;n86:0c:?S7f<38p(898:038 01128;0qpsr;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3n0(899:e9~yx{52;294~"5l80o86F=c69j5d1=83.9h<4>a698k77f290/>i?520c8R7b428qG>i;51zTf{zut1vn5<7s-8o=7?n5:J1g2=#9j21=l5f1`594?"5l80:m:5Y2e195~J5l<0:w[<>0;0x 74628k<7[?n4;0x 0102m1/9:85d:~yx=nl?0;6)<4k6:T2e1<5s-?<;7j4$455>a=zutw0ei950;&1`44={M0g1?7|^;;;6?u+2339`2=Q9h>1>v*:768e?!30>3l0qpsC2e690~P5i008w[k9:3y'123=l>1/?>;55658ySc02;q/9:;51`58 6522<=<7p*:e8820>{Q:h31?vXj6;0x 0122m=0(>=::454?xPb?38p(89::0c4?!54=3?<:6s+5d;951=z^;k26>uYe781!30=3n<7)=<5;743>{Qm>09w);85;f5?!54=3?<;6s+5d;951=z^;8;6?uYe781!30=3n<7)=<5;743>{#=l31=45r}|9j6d>=83.9h<4=a99U6a5=:rF9h84>{W024?4|,;8:6?o7;W3b0?4|,<=<6<64$455>4>i:51zT1gd<5s_o=6?u+56796d><,:9>6898;|&6a<<602wvq6g=a083>!4c938j=6X=d281I4c=3;pZ???:3y'677=:h;0Z;84=a09'763==>=0q);j9;3;?x{z3f8:m7>5$3f2>77f32wi=h;50;194?6|,;n:6?mj;I0`3>o6i10;6)P5l:0:wA=:r.9><4>a99U5d2=:r.>;:4k;%742?bi?520c8R7b428qG>i;51zTf{zut1vn5<7s-8o=753;294~"5l80<<6F=c69j5d>=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l64V0c7>7}#=>=1=:5+564952=zutw0e6a581!30?3;:7);86;32?x{zu2e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:768g?!30>3n0qpsr;|`2a<<72:0;6=u+2e3935=O:j=0e6a581!30?3;<7);86;34?x{zu2c:m44?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082e<=Q9h>1>v*:76823>"2??0:;6sr}|9l64g=83.9h<4=1`9U6a5=9rF9h84>{Wg;>7}#:;;1>7}#=>=1h6*:778g?x{zu2wi=h950;194?6|,;n:6??6;I0`3>o6i10;6)P5l:0:wA=:r.9><4>a99U5d2=:r.>;:4k;%742?bi?520c8R7b428qG>i;51zTf{zut1vn5<7s-8o=79?;I0`3>o6i10;6)P5l:0:wA=:r.9><4>a99U5d2=:r.>;:4>7:&633<6?2wvqp5f1`;94?"5l80:m:5G2e28R7b428qG>i;51zTf41a;29 7b62;;j7[7>50z&1`45<#:m;1>4}K:m?1=vXj8;0x 7462;;j7[?n4;0x 0102m1/9:85d:~yx=zj;:m6=49:183!4c93;j96F=c69'5f>=9h1b=l950;&1`4<6i>1]>i=51zN1`0<6s_8:<74g03_;j87a=#=><1h6sr}|9j`3<72-8o=7j9;W0g7?7|D;n>6a581!30?3n0(899:e9~yx{P5l:09wA??5d69U5d2=:r.>;:4i;%742?`i:54zT1e<<4s_o=6?u+5679`2=#;:?19:94}Wg4>7}#=>?1=l94$216>0103t.>i44>4:U6d?=;r\n:7a1<,:9>6898;|Tf3?4|,<=>62w/9h75159~R7g>2:q]i;4={%741?b03-9897;87:Ua2<5s-?<97j9;%101?30?2w/9h75159~R7472;q]i;4={%741?b03-9897;87:'1`?=901vqp5f2`:94?"5l809m55Y2e196~J5l<0:w[<>0;0x 7462;k37[?n4;0x 0102820(899:0:8yx{K:m>1=vX=c`81Sc12;q/9:;52`:8 6522<=<7p*:e882<>{zu2c9m<4?:%0g5?4f92\9h>4={M0g1?7|^;;;6?u+23396d7<^8k?6?u+56595==#=><1=55r}|N1`1<6s_8hm7:33b?>{e:931<7=50;2x 7b62;in7E0o7);86;f8yx{z3`;j57>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b=>P6i=09w);87;f8 0112m1vqps4o33b>5<#:m;1>4}K:m?1=vXj8;0x 7462;;j7[?n4;0x 0102m1/9:85d:~yx=zj;:j6=4>:183!4c938o46F=c69l64g=83.9h<4=1`98yg47k3:1?7>50z&1`4<082B9o:5f1`:94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9h20Z1/9:85169~yx{;|~y>i59h0;6)P5l:0:wA=:r.9><4=1`9U5d2=:r.>;:4k;%742?b6<729q/>i?5719K6f1o6i00;6)P5l:0:wA=:r.9><4>a89U5d2=:r.>;:4>7:&633<6?2wvqp5`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Z;;4k;|~y>{e:9h1<7=50;2x 7b62;;27E0o7);86;f8yx{z3`;j57>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b=>P6i=09w);87;f8 0112m1vqps4o33b>5<#:m;1>4}K:m?1=vXj8;0x 7462;;j7[?n4;0x 0102m1/9:85d:~yx=zj;:36=4<:183!4c93=;7E0:;6*:77823>{zut1b=l750;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628k27[?n4;0x 01028=0(899:058yx{z3f8:m7>5$3f2>77f3_8o?7?tL3f6>4}Qm109w)<=1;02e>P6i=09w);87;f8 0112m1vqps4}c0bf?6=:3:1N5k>1b=l950;&1`4<6i>10c??n:18'6a7=:8k0Z?j<:0yO6a3=9r\n4777f3_;j87a=#=><1h6sr}|9~f7ga29096=4?{%0g5?b33A8h;6g>a683>!4c93;j;65`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Z;;4k;|~y>{e:k21<7=50;2x 7b62;in7E0o7);86;f8yx{z3`;j57>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b=>P6i=09w);87;36?!30>3;>7psr}:m15d<72-8o=7<>a:T1`6<6sE8o97?tVd:96~"5:809=l5Y1`696~"2?>0o7);86;f8yx{z3th9n:4?:783>5}#:m;1=l;4H3a4?!7d03;j7d?n7;29 7b628k<7[<>52z&164<6i>1]=l:52z&632i?5d79U6a5=9rF9h84>{W024?4|,;8:6i84V0c7>7}#=>=1h6*:778g?x{zu2co;7>5$3f2>a1<^;n86?uC2e795~P59909w)<=1;f4?S7f<38p(898:g9'120=n2wvqA2:q]i;4={%741?b03-9897;87:Ua2<5s-?<97?n7:&070<2?>1v(8k6:068yS4f139pZh852z&630;84>a69'763==><0q);j9;37?xP5i008w[k9:3y'123=l>1/?>;55658ySc02;q/9:;5d79'763==>=0q);j9;g8yS45838pZh852z&6302830qps4i3c;>5<#:m;1>l64V3f0>7}K:m?1=vX=1181!45938j46X>a581!30?3;37);86;3;?x{zD;n?638p(89::3c;?!54=3?<;6s+5d;95==zut1b>l?50;&1`4<5i81]>i=52zN1`0<6s_8:<77g63_;j874><,<==6<64}|O6a2=9r\9ol4={Wg5>7}#=>?1>l?4$216>0103t.>i44>8:~y>i59h0;6)=zj;kh6=4<:183!4c938hi6F=c69j5d>=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l64V0c7>7}#=>=1h6*:778g?x{zu2c:m44?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082e<=Q9h>1>v*:768g?!30>3n0qpsr;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3n0(899:e9~yx{51;294~"5l809h55G2b58k77f290/>i?520c8?xd5il0;6>4?:1y'6a7=?91C>n94i0c;>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628k37[?n4;0x 0102m1/9:85d:~yx=n9h31<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51`;8R4g32;q/9:95d:&633!4c938:m6X=d282I4c=3;pZh652z&164<59h1]=l:52z&632n94i0c;>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628k37[?n4;0x 0102880(899:008yx{z3`;j57>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6i01]=l:52z&632<6:2.>;;4>2:~yx=n9k>1<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474d33_;j874><,<==6<64}|~?l7en3:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082fc=Q9h>1>v*:7682<>"2??0:46sr}|9j5f4=83.9h<4>a69K6a6<^;n86:0a1?S7f<38p(898:0:8 0112820qpsr;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3n0(899:e9~yx{56;294~"5l80<<6F=c69j5d>=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l64V0c7>7}#=>=1=?5+564957=zutw0e4g>3_;j874><,<==6<64}|~?l7e<3:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082f1=Q9h>1>v*:7682<>"2??0:46sr}|9j5g`=83.9h<4>a69K6a6<^;n86:0`e?S7f<38p(898:0:8 0112820qpsr;h3`6?6=,;n:6P5l:0:wA=:r.9><4>c39U5d2=:r.>;:4>8:&633<602wvqp5`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Z;;4k;|~y>{e:k81<7850;2x 7b62>:0D?m8;h3bP5l:0:wA=:r.9><4>a99U5d2=:r.>;:4>2:&633<6:2wvqp5f1`;94?"5l80:m:5G2e28R7b428qG>i;51zTf4>0:46*:7782<>{zut1b=oh50;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628hm7[?n4;0x 0102820(899:0:8yx{z3`;h>7>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6k;1]=l:52z&632<602.>;;4>8:~yx=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95d:&633:628L7e03`;j47>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6i11]=l:52z&632<6:2.>;;4>2:~yx=n9h31<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51`;8R4g32;q/9:95199'120=911vqps4i0`7>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628h?7[?n4;0x 0102820(899:0:8yx{z3`;ij7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3ab>P6i=09w);87;3;?!30>3;37psr}:k2g7<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51b08R4g32;q/9:95199'120=911vqps4i0a0>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628i87[?n4;0x 0102820(899:0:8yx{z3`;h87>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3`0>P6i=09w);87;3;?!30>3;37psr}:k2g0<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:o85Y1`696~"2?>0:46*:7782<>{zut1d>i=51zN1`0<6s_o36?u+233964g<^8k?6?u+5659`>"2??0o7psr}:a6g2=8331<7>t$3f2>26<@;i<7d?n8;29 7b628k<7[o6i00;6)P5l:0:wA=:r.9><4>a89U5d2=:r.>;:4>8:&633<602wvqp5f1c694?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9k>0Z:0`e?S7f<38p(898:0:8 0112820qpsr;h3`6?6=,;n:6P5l:0:wA=:r.9><4>c39U5d2=:r.>;:4>8:&633<602wvqp5f1b194?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9j90Z:0a7?S7f<38p(898:0:8 0112820qpsr;h3`1?6=,;n:66a581!30?3;37);86;3;?x{zu2e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:768g?!30>3n0qpsr;|`1f0<72?0;6=u+2e3964?<@;i<7d?n8;29 7b628k<7[290/>i?51`58R7b428qG>i;51zTf{zut1b=o:50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395g2<^8k?6?u+5659`>"2??0o7psr}:k2fc<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:nk5Y1`696~"2?>0o7);86;f8yx{z3`;h>7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3`6>P6i=09w);87;f8 0112m1vqps4o33b>5<#:m;1>4}K:m?1=vXj8;0x 7462;;j7[?n4;0x 0102m1/9:85d:~yx=zj;h26=4=:183!4c93n?7E{Wg;>7}#:;;1>7}#=>=1h6*:778g?x{zu2wi>oj50;094?6|,;n:6i:4H3a4?l7f?3:1(?j>:0c4?>i59h0;6)P5l:0:wA=:r.9><4=1`9U5d2=:r.>;:4k;%742?b6<729q/>i?52bg8L7e03`;j47>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b<>P6i=09w);87;f8 0112m1vqps4i0c:>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628k27[?n4;0x 01028?0(899:078yx{z3f8:m7>5$3f2>77f3_8o?7?tL3f6>4}Qm109w)<=1;02e>P6i=09w);87;f8 0112m1vqps4}c0`1?6=>3:1i?51`58R7b428qG>i;51zT155<5s-89=7?n7:T2e1<5s-?<;7j4$455>a=zutw0ei850;&1`42\9h>4>{M0g1?7|^;;;6?u+2339`3=Q9h>1>v*:768g?!30>3n0qpsr;hf4>5<#:m;1h:5Y2e196~J5l<0:w[<>0;0x 7462m=0Z;;4i;|~H7b32=q]>l753zTf2?4|,<=>6i94$216>0103t\n;74g03-9897;87:'1`?=9=1vZ?o6:2yUa3<5s-?<97j8;%101?30?2w]i:4={%741?7f?2.8?84:779~ 0c>28>0q[;84k7:&070<2?>1vZh952z&6302.8?84:769~ 0c>2l1vZ?vB=d482S46838p(?<>:3c;?S7f<38p(898:0:8 0112820qpsC2e695~P5kh09w[k9:3y'123=:h20(>=::454?x"2m00:46sr}:k1e4<72-8o=77}#:;;1>l?4V0c7>7}#=>=1=55+56495==zutF9h94>{W0`e?4|^l<1>v*:7481e4=#;:?19:94}%7f=?7?3twv7b<>a;29 7b62;;j76sm2cc94?5=83:p(?j>:3af?M4d?2c:m54?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082e==Q9h>1>v*:768g?!30>3n0qpsr;h3b=?6=,;n:66a581!30?3n0(899:e9~yx{:33b?S7f<38p(898:e9'120=l2wvqp5rb3`a>5<6290;w)N5k>1d>??51`:8R4g32;q/9:95d:&633a883>!4c93;j;6X=d282I4c=3;pZh652z&164<6i01]=l:52z&632:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7j4$455>a=zutw0qo??51`:8R4g32;q/9:95199'120=911vqps4i0c:>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?n9:T2e1<5s-?<;7?7;%742?7?3twvq6a=1`83>!4c938:m6X=d282I4c=3;pZh652z&164<59h1]=l:52z&6324?:1y'6a7=?91C>n94i0c;>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?n8:T2e1<5s-?<;7?7;%742?7?3twvq6g>a883>!4c93;j;6X=d282I4c=3;pZh652z&164<6i01]=l:52z&632<602.>;;4>8:~yx=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95d:&633:628L7e03`;j47>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6i11]=l:52z&632<602.>;;4>8:~yx=n9h31<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51`;8R4g32;q/9:95199'120=911vqps4o33b>5<#:m;1>4}K:m?1=vXj8;0x 7462;;j7[?n4;0x 0102m1/9:85d:~yx=zj;i:6=49:183!4c93=;7E0:>6*:77826>{zut1b=l750;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628k27[?n4;0x 0102880(899:008yx{z3`;i87>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6j=1]=l:52z&632<602.>;;4>8:~yx=n9kl1<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474da3_;j874><,<==6<64}|~?l7d:3:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082g7=Q9h>1>v*:7682<>"2??0:46sr}|9l64g=83.9h<4=1`9U6a5=9rF9h84>{Wg;>7}#:;;1>7}#=>=1h6*:778g?x{zu2wi>n<50;494?6|,;n:6:>4H3a4?l7f03:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?n8:T2e1<5s-?<;7?=;%742?753twvq6g>a883>!4c93;j;6X=d282I4c=3;pZh652z&164<6i01]=l:52z&632<602.>;;4>8:~yx=n9k>1<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474d33_;j874><,<==6<64}|~?l7en3:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082fc=Q9h>1>v*:7682<>"2??0:46sr}|9j5f4=83.9h<4>a69K6a6<^;n86:0a1?S7f<38p(898:0:8 0112820qpsr;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3n0(899:e9~yx{56;294~"5l809=45G2b58m4g?290/>i?51`58R7b428qG>i;51zTf{zut1b=l750;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d?<^8k?6?u+5659`>"2??0o7psr}:k2f1<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:n95Y1`696~"2?>0o7);86;f8yx{z3`;ij7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3ab>P6i=09w);87;f8 0112m1vqps4i0a1>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628i97[?n4;0x 0102m1/9:85d:~yx=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95d:&633:e68L7e03`;j;7>5$3f2>4g032e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:768g?!30>3n0qpsr;|`067<72:0;6=u+2e396fc<@;i<7d?n8;29 7b628k<7[290/>i?51`58R7b428qG>i;51zTf43a;29 7b62;;j7[50z&1`4<6i<1C>n94$0a;>4gP6i=09w);87;f8 0112m1vqps4ie494?"5l80o:6X=d282I4c=3;pZ???:3y'677=l?1]=l:52z&632i?5d69U6a5=:rF9h84>{W024?4|,;8:6i94V0c7>7}#=>=1j6*:778e?x{zD;n?69uY2`;97~Pb>38p(89::e58 6522<=<7pXj7;0x 01228k<7)=<5;743>{#=l31=95rV3c:>6}Qm?09w);85;f4?!54=3?<;6sYe681!30=3;j;6*<348633=z,v*:748g3>"4;<0>;:5rVd596~"2?<0o:6*<348632=z,7}Qm?09w);85;f4?!54=3?<;6s+5d;95<=zut1b>l650;&1`4<5i11]>i=52zN1`0<6s_8:<77g?3_;j874><,<==6<64}|O6a2=9r\9ol4={Wg5>7}#=>?1>l64$216>0103t.>i44>8:~y>o5i80;6)P5l:09wA??52`38R4g32;q/9:95199'120=911vqpB=d582S4di38pZh852z&630<5i81/?>;55658y!3b13;37psr;n02e?6=,;n:6??n;:a74g=8391<7>t$3f2>7eb3A8h;6g>a983>!4c93;j;6X=d282I4c=3;pZh652z&164<6i11]=l:52z&632:0c4?S4c;3;p@?j::0yUa=<5s-89=7?n9:T2e1<5s-?<;7j4$455>a=zutw0c??n:18'6a7=:8k0Z?j<:0yO6a3=9r\n4777f3_;j87a=#=><1h6sr}|9~f67e290:6=4?{%0g5?4c02B9o:5`20c94?"5l809=l54}c12`?6=<3:1N5k>1b=l650;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d><^8k?6?u+565954=#=><1=<5r}|8m4g>290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3b=>P6i=09w);87;34?!30>3;<7psr}:k2f1<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:n95Y1`696~"2?>0:;6*:77823>{zut1d>i=51zN1`0<6s_o36?u+233964g<^8k?6?u+5659`>"2??0o7psr}:a74c=83;:6=4?{%0g5?173A8h;6g>a983>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=l64V0c7>7}#=>=1=<5+564954=zutw0e6a581!30?3;<7);86;34?x{zu2c:n94?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9k>0Z1/9:85169~yx{o6k;0;6)N5l91]>i=51zN1`0<6s_o36?u+23395f4<^8k?6?u+565952=#=><1=:5r}|8m4e4290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3`7>P6i=09w);87;34?!30>3;<7psr}:k2g1<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51b68R4g32;q/9:95169'120=9>1vqps4i0a6>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?l5:T2e1<5s-?<;7?8;%742?703twvq6g>c783>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=n84V0c7>7}#=>=1=:5+564952=zutw0e6a581!30?3;<7);86;34?x{zu2c:ml4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082ed=Q9h>1>v*:768g?!30>3n0qpsr;h3bf?6=,;n:66a581!30?3n0(899:e9~yx{:0c`?S7f<38p(898:e9'120=l2wvqp5f1`f94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9hn0Z;;4k;|~y>o6il0;6)P5l:0:wA=:r.9><4>ad9U5d2=:r.>;:4k;%742?bi?520c8R7b428qG>i;51zTf{zut1vn>?i:1825?6=8r.9h<480:J1g2=n9h21<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51`:8R4g32;q/9:95169'120=9>1vqps4i0c:>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?n9:T2e1<5s-?<;7?8;%742?703twvq6g>b583>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=o:4V0c7>7}#=>=1=:5+564952=zutw0e6a581!30?3;<7);86;34?x{zu2c:o?4?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9j80Z1/9:85169~yx{o6k=0;6)N5l91]>i=51zN1`0<6s_o36?u+23395f2<^8k?6?u+565952=#=><1=:5r}|8m4e2290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3`1>P6i=09w);87;34?!30>3;<7psr}:k2g3<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51b48R4g32;q/9:95169'120=9>1vqps4i0a4>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?l7:T2e1<5s-?<;7?8;%742?703twvq6g>a`83>!4c93;j;6X=d282I4c=3;pZh652z&164<6ih1]=l:52z&632:0c4?S4c;3;p@?j::0yUa=<5s-89=7?nb:T2e1<5s-?<;7j4$455>a=zutw0e4gd3_;j87a=#=><1h6sr}|9j5db=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=lj4V0c7>7}#=>=1h6*:778g?x{zu2c:mh4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082e`=Q9h>1>v*:768g?!30>3n0qpsr;h3bb?6=,;n:66a581!30?3n0(899:e9~yx{:33b?S7f<38p(898:e9'120=l2wvqp5rb23`>5<3290;w)N5k>1b=l650;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d><^8k?6?u+5659`>"2??0o7psr}:k2e<<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:m45Y1`696~"2?>0o7);86;f8yx{z3`;i87>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3a0>P6i=09w);87;f8 0112m1vqps4o33b>5<#:m;1>4}K:m?1=vXj8;0x 7462;;j7[?n4;0x 0102m1/9:85d:~yx=zj:9h6=4=:183!4c93n?7E{Wg;>7}#:;;1>7}#=>=1h6*:778g?x{zu2wi?9=50;094?6|,;n:6i:4H3a4?l7f?3:1(?j>:0c4?>i59h0;6)P5l:0:wA=:r.9><4=1`9U5d2=:r.>;:4k;%742?b3<729q/>i?51`78L7e03-;h47?n;h3b3?6=,;n:660o7);86;f8yx{z3`n=6=4+2e39`3=Q:m91=vB=d482S46838p(?<>:e48R4g32;q/9:95d:&633c=#=><1j6sr}M0g0?2|^;k26>uYe781!30=3n<7)=<5;743>{Qm>09w);85;3b3>"4;<0>;:5r$4g:>42v*:7482e2=#;:?19:84}%7f=?733t\9m44<{Wg5>7}#=>?1h:5+3279121i?52`:8R7b42;qG>i;51zT155<5s-89=77g?3-9897;87:'1`?=911vqp5f2`394?"5l809m<5Y2e196~J5l<0:w[<>0;0x 7462;k:7[?n4;0x 0102820(899:0:8yx{K:m>1=vX=c`81Sc12;q/9:;52`38 6522<=<7p*:e882<>{zu2e9=l4?:%0g5?46i21vn>=k:180>5<7s-8o=74g?3_;j87a=#=><1h6sr}|9j5d?=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l74V0c7>7}#=>=1h6*:778g?x{zu2e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:768g?!30>3n0qpsr;|`07`<7280;6=u+2e396a><@;i<7b<>a;29 7b62;;j76sm35294?5=83:p(?j>:628L7e03`;j47>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b<>P6i=09w);87;32?!30>3;:7psr}:k2e<<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51`;8R4g32;q/9:95169'120=9>1vqps4o33b>5<#:m;1>4}K:m?1=vXj8;0x 7462;;j7[?n4;0x 0102m1/9:85d:~yx=zj:>:6=4<:183!4c93=;7E??51`:8R4g32;q/9:95169'120=9>1vqps4i0c:>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?n9:T2e1<5s-?<;7?8;%742?703twvq6a=1`83>!4c938:m6X=d282I4c=3;pZh652z&164<59h1]=l:52z&632n94i0c;>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?n8:T2e1<5s-?<;7?>;%742?763twvq6g>a883>!4c93;j;6X=d282I4c=3;pZh652z&164<6i01]=l:52z&632<692.>;;4>1:~yx=n9k>1<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474d33_;j8747<,<==6:0c4?S4c;3;p@?j::0yUa=<5s-89=7?mf:T2e1<5s-?<;7?>;%742?763twvq6g>c383>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=n<4V0c7>7}#=>=1=:5+564952=zutw0c??n:18'6a7=:8k0Z?j<:0yO6a3=9r\n4777f3_;j87a=#=><1h6sr}|9~f65a290?6=4?{%0g5?4612B9o:5f1`:94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9h20Z;;4k;|~y>o6i00;6)P5l:0:wA=:r.9><4>a89U5d2=:r.>;:4k;%742?bi?520c8R7b428qG>i;51zTf{zut1vn>:;:180>5<7s-8o=79?;I0`3>o6i10;6)P5l:0:wA=:r.9><4>a99U5d2=:r.>;:4>2:&633<6:2wvqp5f1`;94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9h30Z:33b?S7f<38p(898:e9'120=l2wvqp5rb264>5<5290;w)i=51zN1`0<6s_o36?u+233964g<^8k?6?u+5659`>"2??0o7psr}:a71c=83<1<7>t$3f2>4g23A8h;6*>c982e>o6i>0;6)P5l:0:wA??51`58R4g32;q/9:95d:&633a=#=><1h6sr}|9j`2<72-8o=7j8;W0g7?4|D;n>6a581!30?3l0(899:g9~yxJ5l=0?w[;84k7:&070<2?>1vZh952z&630<6i>1/?>;55658y!3b13;?7pX=a880Sc12;q/9:;5d69'763==>=0q[k8:3y'123=9h=0(>=::455?x"2m00:86sY2`;97~Pb>38p(89::e58 6522<=<7pXj7;0x 0122m<0(>=::454?x"2m00n7pX=2181Sc12;q/9:;5d69'763==>=0q);j9;3:?x{z3`8j47>5$3f2>7g?3_8o?74}Q:8:1>v*=2081e==Q9h>1>v*:7682<>"2??0:46sr}M0g0?7|^;ij6?uYe781!30=38j46*<348632=z,i?52`38R7b42;qG>i;51zT155<5s-89=77g63-9897;87:'1`?=911vqp5`20c94?"5l809=l54}c176a581!30?3n0(899:e9~yx{:0c:?S7f<38p(898:e9'120=l2wvqp5`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Z;;4k;|~y>{e;=31<7?50;2x 7b62;n37Ea:9~f62e29086=4?{%0g5?173A8h;6g>a983>!4c93;j;6X=d282I4c=3;pZh652z&164<6i11]=l:52z&632<692.>;;4>1:~yx=n9h31<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474g>3_;j8741<,<==6<94}|~?j46i3:1(?j>:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7j4$455>a=zutw0qo=;c;297?6=8r.9h<480:J1g2=n9h21<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474g?3_;j8741<,<==6<94}|~?l7f13:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082e<=Q9h>1>v*:76823>"2??0:;6sr}|9l64g=83.9h<4=1`9U6a5=9rF9h84>{Wg;>7}#:;;1>7}#=>=1h6*:778g?x{zu2wi?9o50;194?6|,;n:6??6;I0`3>o6i10;6)P5l:0:wA=:r.9><4>a99U5d2=:r.>;:4k;%742?bi?520c8R7b428qG>i;51zTf{zut1vn>:i:181>5<7s-8o=7j;;I0`3>o6i>0;6)=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95d:&633:0c6?M4d?2.:o54>a:k2e2<72-8o=7?n7:T1`6<6sE8o97?tV333>7}#:;;1=l94V0c7>7}#=>=1h6*:778g?x{zu2co:7>5$3f2>a0<^;n86!4c93n<7[<>52z&164v*:7482e2=#;:?19:94}%7f=?733t\9m44<{Wg5>7}#=>?1h:5+32791216899;|&6a<<6<2w]>l753zTf2?4|,<=>6i94$216>0103t\n;7a0<,:9>6898;|&6a<=4={Wg5>7}#=>?1h:5+3279121:3c;?S4c;38p@?j::0yU646=:r.9><4=a99U5d2=:r.>;:4>8:&633<602wvqA2820qps4i3c2>5<#:m;1>l?4V3f0>7}K:m?1=vX=1181!45938j=6X>a581!30?3;37);86;3;?x{zD;n?638p(89::3c2?!54=3?<;6s+5d;95==zut1d>290/>i?51`58R7b428qG>i;51zTf{zut1d>i=51zN1`0<6s_o36?u+233964g<^8k?6?u+5659`>"2??0o7psr}:a707=83;1<7>t$3f2>7b?3A8h;6a=1`83>!4c938:m65rb270>5<4290;w)4>{M0g1?7|^l21>v*=2082e==Q9h>1>v*:76825>"2??0:=6sr}|9j5d?=83.9h<4>a69K6a6<^;n86:0c:?S7f<38p(898:058 01128=0qpsr;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3n0(899:e9~yx{87>56;294~"5l80<<6F=c69j5d>=83.9h<4>a69K6a6<^;n86:0c;?S7f<38p(898:038 01128;0qpsr;h3b=?6=,;n:6P5l:0:wA=:r.9><4>a89U5d2=:r.>;:4>1:&633<692wvqp5f1c694?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9k>0Z1/9:85169~yx{o6k;0;6)N5l91]>i=51zN1`0<6s_o36?u+23395f4<^8k?6?u+565952=#=><1=:5r}|8k77f290/>i?520c8R7b428qG>i;51zTf{zut1vn>;::184>5<7s-8o=79?;I0`3>o6i10;6)N5l91]>i=51zN1`0<6s_o36?u+23395d><^8k?6?u+565954=#=><1=<5r}|8m4g>290/>i?51`58R7b428qG>i;51zTf47;|~y>o6jo0;6)N5l91]>i=51zN1`0<6s_o36?u+23395g`<^8k?6?u+565952=#=><1=:5r}|8m4e5290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3`6>P6i=09w);87;34?!30>3;<7psr}:k2g6<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51b18R4g32;q/9:95169'120=9>1vqps4o33b>5<#:m;1>4}K:m?1=vXj8;0x 7462;;j7[?n4;0x 0102m1/9:85d:~yx=zj:?96=4;:183!4c938:56F=c69j5d>=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l64V0c7>7}#=>=1h6*:778g?x{zu2c:m44?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082e<=Q9h>1>v*:768g?!30>3n0qpsr;h3a0?6=,;n:66a581!30?3n0(899:e9~yx{:33b?S7f<38p(898:e9'120=l2wvqp5rb27;>5<5290;w)i=51zN1`0<6s_o36?u+233964g<^8k?6?u+5659`>"2??0o7psr}:a735=83<1<7>t$3f2>4g23A8h;6*>c982e>o6i>0;6)P5l:0:wA??51`58R4g32;q/9:95d:&633a=#=><1h6sr}|9j`2<72-8o=7j8;W0g7?4|D;n>6a581!30?3l0(899:g9~yxJ5l=0?w[;84k7:&070<2?>1vZh952z&630<6i>1/?>;55658y!3b13;?7pX=a880Sc12;q/9:;5d69'763==>=0q[k8:3y'123=9h=0(>=::455?x"2m00:86sY2`;97~Pb>38p(89::e58 6522<=<7pXj7;0x 0122m<0(>=::454?x"2m00n7pX=2181Sc12;q/9:;5d69'763==>=0q);j9;3:?x{z3`8j47>5$3f2>7g?3_8o?74}Q:8:1>v*=2081e==Q9h>1>v*:7682<>"2??0:46sr}M0g0?7|^;ij6?uYe781!30=38j46*<348632=z,i?52`38R7b42;qG>i;51zT155<5s-89=77g63-9897;87:'1`?=911vqp5`20c94?"5l809=l54}c16=?6=;3:16a581!30?3n0(899:e9~yx{:0c:?S7f<38p(898:e9'120=l2wvqp5`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Z;;4k;|~y>{e;a:9~f63d29086=4?{%0g5?173A8h;6g>a983>!4c93;j;6X=d282I4c=3;pZh652z&164<6i11]=l:52z&632:0c4?S4c;3;p@?j::0yUa=<5s-89=7?n9:T2e1<5s-?<;7j4$455>a=zutw0c??n:18'6a7=:8k0Z?j<:0yO6a3=9r\n4777f3_;j87a=#=><1h6sr}|9~f63c29086=4?{%0g5?173A8h;6g>a983>!4c93;j;6X=d282I4c=3;pZh652z&164<6i11]=l:52z&632<692.>;;4>1:~yx=n9h31<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474g>3_;j8741<,<==6<94}|~?j46i3:1(?j>:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7j4$455>a=zutw0qo=:e;290?6=8r.9h<480:J1g2=n9h21<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51`:8R4g32;q/9:95109'120=981vqps4i0c:>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?n9:T2e1<5s-?<;7?8;%742?703twvq6g>b583>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=o:4V0c7>7}#=>=1=:5+564952=zutw0c??n:18'6a7=:8k0Z?j<:0yO6a3=9r\n4777f3_;j87a=#=><1h6sr}|9~f63a290=6=4?{%0g5?173A8h;6g>a983>!4c93;j;6X=d282I4c=3;pZh652z&164<6i11]=l:52z&632<692.>;;4>1:~yx=n9h31<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474g>3_;j8747<,<==6:0c4?S4c;3;p@?j::0yUa=<5s-89=7?m4:T2e1<5s-?<;7?>;%742?763twvq6g>bg83>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=oh4V0c7>7}#=>=1=:5+564952=zutw0e66X>a581!30?3;<7);86;34?x{zu2e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:768g?!30>3n0qpsr;|`025<728;1<7>t$3f2>26<@;i<7d?n8;29 7b628k<7[;|~y>o6i00;6)P5l:0:wA=:r.9><4>a89U5d2=:r.>;:4>1:&633<692wvqp5f1c694?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9k>0Z:0`e?S7f<38p(898:038 01128;0qpsr;h3`6?6=,;n:666X>a581!30?3;:7);86;32?x{zu2c:o>4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082g6=Q9h>1>v*:76825>"2??0:=6sr}|9j5f2=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=n:4V0c7>7}#=>=1=<5+564954=zutw0e6a581!30?3;<7);86;34?x{zu2c:o;4?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9j<0Zo6ih0;6)N5l91]>i=51zN1`0<6s_o36?u+23395dg<^8k?6?u+565952=#=><1=:5r}|8m4ge290/>i?51`58R7b428qG>i;51zTf{zut1b=lm50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395de<^8k?6?u+5659`>"2??0o7psr}:k2ea<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:mi5Y1`696~"2?>0o7);86;f8yx{z3`;ji7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3ba>P6i=09w);87;f8 0112m1vqps4i0ce>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628km7[?n4;0x 0102m1/9:85d:~yx=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95d:&6334>{M0g1?7|^l21>v*=2082e==Q9h>1>v*:76825>"2??0:=6sr}|9j5d?=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l74V0c7>7}#=>=1=<5+564954=zutw0e4d33_;j8747<,<==6:0c4?S4c;3;p@?j::0yUa=<5s-89=7?mf:T2e1<5s-?<;7?>;%742?763twvq6g>c383>!4c93;j;6X=d282I4c=3;pZh652z&164<6k;1]=l:52z&632<692.>;;4>1:~yx=n9j91<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51b18R4g32;q/9:95109'120=981vqps4i0a7>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628i?7[?n4;0x 01028;0(899:038yx{z3`;h97>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6k<1]=l:52z&632<6?2.>;;4>7:~yx=n9j<1<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474e13_;j8747<,<==6:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082g2=Q9h>1>v*:76823>"2??0:;6sr}|9j5dg=83.9h<4>a69K6a6<^;n86:0cb?S7f<38p(898:058 01128=0qpsr;h3bf?6=,;n:66a581!30?3n0(899:e9~yx{:0c`?S7f<38p(898:e9'120=l2wvqp5f1`f94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9hn0Z;;4k;|~y>o6il0;6)P5l:0:wA=:r.9><4>ad9U5d2=:r.>;:4k;%742?bi?520c8R7b428qG>i;51zTf{zut1vn>;m:184>5<7s-8o=7<>9:J1g2=n9h21<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51`:8R4g32;q/9:95d:&633a883>!4c93;j;6X=d282I4c=3;pZh652z&164<6i01]=l:52z&632:0c4?S4c;3;p@?j::0yUa=<5s-89=7?m4:T2e1<5s-?<;7j4$455>a=zutw0e4da3_;j87a=#=><1h6sr}|9j5f4=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=n<4V0c7>7}#=>=1h6*:778g?x{zu2c:o>4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082g6=Q9h>1>v*:768g?!30>3n0qpsr;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3n0(899:e9~yx{52;294~"5l80o86F=c69j5d1=83.9h<4>a698k77f290/>i?520c8R7b428qG>i;51zTf{zut1vn>8k:181>5<7s-8o=7j;;I0`3>o6i>0;6)=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95d:&633:0c6?M4d?2.:o54>a:k2e2<72-8o=7?n7:T1`6<6sE8o97?tV333>7}#:;;1=l94V0c7>7}#=>=1h6*:778g?x{zu2co:7>5$3f2>a0<^;n86!4c93n<7[<>52z&164v*:7482e2=#;:?19:94}%7f=?733t\9m44<{Wg5>7}#=>?1h:5+32791216899;|&6a<<6<2w]>l753zTf2?4|,<=>6i94$216>0103t\n;7a0<,:9>6898;|&6a<=4={Wg5>7}#=>?1h:5+3279121:3c;?S4c;38p@?j::0yU646=:r.9><4=a99U5d2=:r.>;:4>8:&633<602wvqA2820qps4i3c2>5<#:m;1>l?4V3f0>7}K:m?1=vX=1181!45938j=6X>a581!30?3;37);86;3;?x{zD;n?638p(89::3c2?!54=3?<;6s+5d;95==zut1d>290/>i?51`58R7b428qG>i;51zTf{zut1d>i=51zN1`0<6s_o36?u+233964g<^8k?6?u+5659`>"2??0o7psr}:a730=83;1<7>t$3f2>7b?3A8h;6a=1`83>!4c938:m65rb24;>5<4290;w)4>{M0g1?7|^l21>v*=2082e==Q9h>1>v*:768g?!30>3n0qpsr;h3b=?6=,;n:66a581!30?3n0(899:e9~yx{:33b?S7f<38p(898:e9'120=l2wvqp5rb24:>5<593:1N5k>1b=l650;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d><^8k?6?u+565954=#=><1=<5r}|8m4g>290/>i?51`58R7b428qG>i;51zTf47;|~y>o6jo0;6)P5l:0:wA=:r.9><4>bg9U5d2=:r.>;:4>1:&633<692wvqp5f1b094?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9j80Z:0a0?S7f<38p(898:038 01128;0qpsr;h3`0?6=,;n:66a581!30?3;:7);86;32?x{zu2c:o84?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9j?0Z:0a5?S7f<38p(898:038 01128;0qpsr;h3`3?6=,;n:66a581!30?3;:7);86;32?x{zu2c:ml4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082ed=Q9h>1>v*:76825>"2??0:=6sr}|9j5dd=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=ll4V0c7>7}#=>=1=<5+564954=zutw0e4gd3_;j8747<,<==6:0c4?S4c;3;p@?j::0yUa=<5s-89=7?nd:T2e1<5s-?<;7?>;%742?763twvq6g>ad83>!4c93;j;6X=d282I4c=3;pZh652z&164<6il1]=l:52z&632<692.>;;4>1:~yx=n9hl1<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474ga3_;j8741<,<==6<94}|~?l7e83:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082f5=Q9h>1>v*:76825>"2??0:=6sr}|9j5g7=83.9h<4>a69K6a6<^;n86:0`2?S7f<38p(898:058 01128=0qpsr;h3a6?6=,;n:6P5l:0:wA=:r.9><4>b39U5d2=:r.>;:4>7:&633<6?2wvqp5f1c194?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9k90Z;;4k;|~y>o6j<0;6)P5l:0:wA=:r.9><4>b49U5d2=:r.>;:4k;%742?b2\:m94={%743?b<,<==6i5r}|8m4d0290/>i?51`58R7b428qG>i;51zTf{zut1b=o650;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395g><^8k?6?u+5659`>"2??0o7psr}:k2f<<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:n45Y1`696~"2?>0o7);86;f8yx{z3`;im7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3ae>P6i=09w);87;f8 0112m1vqps4i0`a>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628hi7[?n4;0x 0102m1/9:85d:~yx=n9ki1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51ca8R4g32;q/9:95d:&633be83>!4c93;j;6X=d282I4c=3;pZh652z&164<6jm1]=l:52z&632:0c4?S4c;3;p@?j::0yUa=<5s-89=7?me:T2e1<5s-?<;7j4$455>a=zutw0e4e73_;j87a=#=><1h6sr}|9j5f7=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=n?4V0c7>7}#=>=1h6*:778g?x{zu2e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:768g?!30>3n0qpsr;|`02d<72;;1<7>t$3f2>26<@;i<7d?n8;29 7b628k<7[;|~y>o6i00;6)P5l:0:wA=:r.9><4>a89U5d2=:r.>;:4>1:&633<692wvqp5f1c694?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9k>0Z:0`e?S7f<38p(898:038 01128;0qpsr;h3`6?6=,;n:666X>a581!30?3;:7);86;32?x{zu2c:o>4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082g6=Q9h>1>v*:76825>"2??0:=6sr}|9j5f2=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=n:4V0c7>7}#=>=1=<5+564954=zutw0e6a581!30?3;:7);86;32?x{zu2c:o;4?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9j<0Z:0a4?S7f<38p(898:038 01128;0qpsr;h3be?6=,;n:66a581!30?3;:7);86;32?x{zu2c:mo4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082eg=Q9h>1>v*:76825>"2??0:=6sr}|9j5de=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=lm4V0c7>7}#=>=1=<5+564954=zutw0e4gc3_;j8747<,<==6:0c4?S4c;3;p@?j::0yUa=<5s-89=7?ne:T2e1<5s-?<;7?>;%742?763twvq6g>ag83>!4c93;j;6X=d282I4c=3;pZh652z&164<6io1]=l:52z&632<6?2.>;;4>7:~yx=n9k:1<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474d73_;j8747<,<==6:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082f4=Q9h>1>v*:76823>"2??0:;6sr}|9j5g4=83.9h<4>a69K6a6<^;n86:0`1?S7f<38p(898:058 01128=0qpsr;h3a7?6=,;n:66a581!30?3n0(899:e9~yx{6=4+2e395d1<^;n86:0`6?S7f<38p(898:e9'120=l2wvqp5f1c494?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9k<0Z;;4k;|~y>o6j>0;6)P5l:0:wA=:r.9><4>b69U5d2=:r.>;:4k;%742?b290/>i?51`58R7b428qG>i;51zTf{zut1b=oo50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395gg<^8k?6?u+5659`>"2??0o7psr}:k2fg<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:no5Y1`696~"2?>0o7);86;f8yx{z3`;io7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3ag>P6i=09w);87;f8 0112m1vqps4i0`g>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628ho7[?n4;0x 0102m1/9:85d:~yx=n9ko1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51cg8R4g32;q/9:95d:&633c183>!4c93;j;6X=d282I4c=3;pZh652z&164<6k91]=l:52z&632:0c4?S4c;3;p@?j::0yUa=<5s-89=7?l1:T2e1<5s-?<;7j4$455>a=zutw0c??n:18'6a7=:8k0Z?j<:0yO6a3=9r\n4777f3_;j87a=#=><1h6sr}|9~f60e2909=7>50z&1`4<082B9o:5f1`:94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9h20Z:0c:?S7f<38p(898:038 01128;0qpsr;h3a0?6=,;n:6P5l:0:wA=:r.9><4>b59U5d2=:r.>;:4>1:&633<692wvqp5f1cd94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9kl0Z;|~y>o6k:0;6)N5l91]>i=51zN1`0<6s_o36?u+23395f5<^8k?6?u+565954=#=><1=<5r}|8m4e3290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3`0>P6i=09w);87;32?!30>3;:7psr}:k2g0<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51b78R4g32;q/9:95109'120=981vqps4i0a5>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628i=7[?n4;0x 01028;0(899:038yx{z3`;h;7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3`3>P6i=09w);87;32?!30>3;:7psr}:k2ed<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:ml5Y1`696~"2?>0:=6*:77825>{zut1b=ll50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395dd<^8k?6?u+565954=#=><1=<5r}|8m4gd290/>i?51`58R7b428qG>i;51zTf47;|~y>o6il0;6)P5l:0:wA=:r.9><4>ad9U5d2=:r.>;:4>1:&633<692wvqp5f1`d94?"5l80:m:5G2e28R7b428qG>i;51zTf410:=6*:77825>{zut1b=o?50;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628h:7[?n4;0x 01028=0(899:058yx{z3`;i>7>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6j;1]=l:52z&632<6?2.>;;4>7:~yx=n9k91<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51c18R4g32;q/9:95d:&633b483>!4c93;j;6X=d282I4c=3;pZh652z&164<6j<1]=l:52z&6323:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?m6:T2e1<5s-?<;7j4$455>a=zutw0e4d03_;j87a=#=><1h6sr}|9j5g>=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=o64V0c7>7}#=>=1h6*:778g?x{zu2c:n44?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082f<=Q9h>1>v*:768g?!30>3n0qpsr;h3ae?6=,;n:66a581!30?3n0(899:e9~yx{:0`a?S7f<38p(898:e9'120=l2wvqp5f1ca94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9ki0Z;;4k;|~y>o6jm0;6)P5l:0:wA=:r.9><4>be9U5d2=:r.>;:4k;%742?bi?51`58R7b428qG>i;51zTf{zut1b=n?50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395f7<^8k?6?u+5659`>"2??0o7psr}:m15d<72-8o=7<>a:T1`6<6sE8o97?tVd:96~"5:809=l5Y1`696~"2?>0o7);86;f8yx{z3th8:n4?:3394?6|,;n:6:>4H3a4?l7f03:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?n8:T2e1<5s-?<;7?>;%742?763twvq6g>a883>!4c93;j;6X=d282I4c=3;pZh652z&164<6i01]=l:52z&632<692.>;;4>1:~yx=n9k>1<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474d33_;j8747<,<==6:0c4?S4c;3;p@?j::0yUa=<5s-89=7?mf:T2e1<5s-?<;7?>;%742?763twvq6g>c383>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=n<4V0c7>7}#=>=1=<5+564954=zutw0e6a581!30?3;:7);86;32?x{zu2c:o94?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9j>0Z6=4+2e395d1<@;n;7[;|~y>o6k?0;6)N5l91]>i=51zN1`0<6s_o36?u+23395f0<^8k?6?u+565954=#=><1=<5r}|8m4e0290/>i?51`58R7b428qG>i;51zTf47;|~y>o6ik0;6)P5l:0:wA=:r.9><4>ac9U5d2=:r.>;:4>1:&633<692wvqp5f1`a94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9hi0Z:0cg?S7f<38p(898:038 01128;0qpsr;h3ba?6=,;n:66a581!30?3;:7);86;32?x{zu2c:mk4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082ec=Q9h>1>v*:76823>"2??0:;6sr}|9j5g6=83.9h<4>a69K6a6<^;n86:0`3?S7f<38p(898:038 01128;0qpsr;h3a5?6=,;n:6P5l:0:wA=:r.9><4>b09U5d2=:r.>;:4>7:&633<6?2wvqp5f1c094?"5l80:m:5G2e28R7b428qG>i;51zTf41i?51`58R7b428qG>i;51zTf{zut1b=o850;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395g0<^8k?6?u+5659`>"2??0o7psr}:k2f2<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:n:5Y1`696~"2?>0o7);86;f8yx{z3`;i47>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3a<>P6i=09w);87;f8 0112m1vqps4i0`:>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628h27[?n4;0x 0102m1/9:85d:~yx=n9kk1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51cc8R4g32;q/9:95d:&633bc83>!4c93;j;6X=d282I4c=3;pZh652z&164<6jk1]=l:52z&632:0c4?S4c;3;p@?j::0yUa=<5s-89=7?mc:T2e1<5s-?<;7j4$455>a=zutw0e4dc3_;j87a=#=><1h6sr}|9j5gc=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=ok4V0c7>7}#=>=1h6*:778g?x{zu2c:o=4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082g5=Q9h>1>v*:768g?!30>3n0qpsr;h3`5?6=,;n:66a581!30?3n0(899:e9~yx{:33b?S7f<38p(898:e9'120=l2wvqp5rb244>5<1290;w)N5k>1b=l650;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d><^8k?6?u+5659`>"2??0o7psr}:k2e<<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:m45Y1`696~"2?>0o7);86;f8yx{z3`;i87>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3a0>P6i=09w);87;f8 0112m1vqps4i0`e>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628hm7[?n4;0x 0102m1/9:85d:~yx=n9j81<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51b08R4g32;q/9:95d:&633!4c938:m6X=d282I4c=3;pZh652z&164<59h1]=l:52z&632l0;684?:1y'6a7=?91C>n94i0c;>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?n8:T2e1<5s-?<;7?=;%742?753twvq6g>a883>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=l74V0c7>7}#=>=1=?5+564957=zutw0e6a581!30?3;97);86;31?x{zu2c:nk4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082fc=Q9h>1>v*:76826>"2??0:>6sr}|9l64g=83.9h<4=1`9U6a5=9rF9h84>{Wg;>7}#:;;1>7}#=>=1h6*:778g?x{zu2wi?:?50;094?6|,;n:6i:4H3a4?l7f?3:1(?j>:0c4?>i59h0;6)P5l:0:wA=:r.9><4=1`9U5d2=:r.>;:4k;%742?b3<729q/>i?51`78L7e03-;h47?n;h3b3?6=,;n:660o7);86;f8yx{z3`n=6=4+2e39`3=Q:m91=vB=d482S46838p(?<>:e48R4g32;q/9:95d:&633c=#=><1j6sr}M0g0?2|^;k26>uYe781!30=3n<7)=<5;743>{Qm>09w);85;3b3>"4;<0>;:5r$4g:>42v*:7482e2=#;:?19:84}%7f=?733t\9m44<{Wg5>7}#=>?1h:5+3279121i?52`:8R7b42;qG>i;51zT155<5s-89=77g?3-9897;87:'1`?=911vqp5f2`394?"5l809m<5Y2e196~J5l<0:w[<>0;0x 7462;k:7[?n4;0x 0102820(899:0:8yx{K:m>1=vX=c`81Sc12;q/9:;52`38 6522<=<7p*:e882<>{zu2e9=l4?:%0g5?46i21vn>9=:180>5<7s-8o=7??51`:8R4g32;q/9:95d:&633a883>!4c93;j;6X=d282I4c=3;pZh652z&164<6i01]=l:52z&632:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7j4$455>a=zutw0qo=83;295?6=8r.9h<4=d99K6f15}#:m;1;=5G2b58m4g?290/>i?51`58R7b428qG>i;51zTf{zut1b=l750;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d?<^8k?6?u+5659`>"2??0o7psr}:m15d<72-8o=7<>a:T1`6<6sE8o97?tVd:96~"5:809=l5Y1`696~"2?>0o7);86;f8yx{z3th8;;4?:283>5}#:m;1;=5G2b58m4g?290/>i?51`58R7b428qG>i;51zTf{zut1b=l750;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d?<^8k?6?u+5659`>"2??0o7psr}:m15d<72-8o=7<>a:T1`6<6sE8o97?tVd:96~"5:809=l5Y1`696~"2?>0o7);86;f8yx{z3th8;:4?:583>5}#:m;1;=5G2b58m4g?290/>i?51`58R7b428qG>i;51zTf41o6j=0;6)N5l91]>i=51zN1`0<6s_o36?u+23395g2<^8k?6?u+565952=#=><1=:5r}|8k77f290/>i?520c8R7b428qG>i;51zTf{zut1vn>97:187>5<7s-8o=79?;I0`3>o6i10;6)P5l:0:wA=:r.9><4>a99U5d2=:r.>;:4>7:&633<6?2wvqp5f1`;94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9h30Z1/9:85169~yx{i59h0;6)P5l:0:wA=:r.9><4=1`9U5d2=:r.>;:4k;%742?b1<729q/>i?5719K6f1:0c;?S7f<38p(898:058 01128=0qpsr;h3b=?6=,;n:66a581!30?3;<7);86;34?x{zu2c:n94?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9k>0Z1/9:85169~yx{:33b?S7f<38p(898:e9'120=l2wvqp5rb25b>5<2290;w)4>{M0g1?7|^l21>v*=2082e==Q9h>1>v*:76825>"2??0:=6sr}|9j5d?=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l74V0c7>7}#=>=1=:5+564952=zutw0e4d33_;j8741<,<==6<94}|~?l7en3:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082fc=Q9h>1>v*:76823>"2??0:;6sr}|9l64g=83.9h<4=1`9U6a5=9rF9h84>{Wg;>7}#:;;1>7}#=>=1h6*:778g?x{zu2wi?:l50;794?6|,;n:6:>4H3a4?l7f03:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082e==Q9h>1>v*:76825>"2??0:=6sr}|9j5d?=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l74V0c7>7}#=>=1=:5+564952=zutw0e4d33_;j8741<,<==6<94}|~?l7en3:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082fc=Q9h>1>v*:76823>"2??0:;6sr}|9l64g=83.9h<4=1`9U6a5=9rF9h84>{Wg;>7}#:;;1>7}#=>=1h6*:778g?x{zu2wi?::50;:94?6|,;n:6??6;I0`3>o6i10;6)P5l:0:wA=:r.9><4>a99U5d2=:r.>;:4k;%742?bi?51`58R7b428qG>i;51zTf{zut1b=oh50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395g`<^8k?6?u+5659`>"2??0o7psr}:k2g7<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:o?5Y1`696~"2?>0o7);86;f8yx{z3`;h?7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3`7>P6i=09w);87;f8 0112m1vqps4i0a7>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628i?7[?n4;0x 0102m1/9:85d:~yx=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95d:&633:e68L7e03`;j;7>5$3f2>4g032e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:768g?!30>3n0qpsr;|`777<72?0;6=u+2e395d3<@;i<7)?l8;3b?l7f?3:1(?j>:0c4?S4c;3;p@?j::0yU646=:r.9><4>a69U5d2=:r.>;:4k;%742?bi=51zN1`0<6s_8:<7a0<^8k?6?u+5659`>"2??0o7psr}:kg3?6=,;n:6i94V3f0>7}K:m?1=vX=1181!4593n<7[?n4;0x 0102o1/9:85f:~yI4c<3>pZ?o6:2yUa3<5s-?<97j8;%101?30?2w]i:4={%741?7f?2.8?84:769~ 0c>28>0q[;84k7:&070<2?>1vZh952z&630<6i>1/?>;55648y!3b13;?7pX=a880Sc12;q/9:;5d69'763==>=0q[k8:3y'123=l?1/?>;55658y!3b13;?7pX=2181Sc12;q/9:;5d69'763==>=0q);j9;3:?x{z3`8j47>5$3f2>7g?3_8o?74}Q:8:1>v*=2081e==Q9h>1>v*:7682<>"2??0:46sr}M0g0?7|^;ij6?uYe781!30=38j46*<348632=z,i?52`38R7b42;qG>i;51zT155<5s-89=77g63-9897;87:'1`?=911vqp5`20c94?"5l809=l54}c61a?6=;3:14g?3_;j87a=#=><1h6sr}|9j5d?=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l74V0c7>7}#=>=1h6*:778g?x{zu2e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:768g?!30>3n0qpsr;|`76c<7280;6=u+2e396a><@;i<7b<>a;29 7b62;;j76sm42294?5=83:p(?j>:628L7e03`;j47>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6i11]=l:52z&632<692.>;;4>1:~yx=n9h31<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474g>3_;j8747<,<==6:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7j4$455>a=zutw0qo:=d;297?6=8r.9h<480:J1g2=n9h21<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51`:8R4g32;q/9:95169'120=9>1vqps4i0c:>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628k27[?n4;0x 01028=0(899:058yx{z3f8:m7>5$3f2>77f3_8o?7?tL3f6>4}Qm109w)<=1;02e>P6i=09w);87;f8 0112m1vqps4}c607?6=:3:1N5k>1b=l950;&1`4<6i>10c??n:18'6a7=:8k0Z?j<:0yO6a3=9r\n4777f3_;j87a=#=><1h6sr}|9~f12729086=4?{%0g5?4dm2B9o:5f1`:94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9h20Z;;4k;|~y>o6i00;6)P5l:0:wA=:r.9><4>a89U5d2=:r.>;:4>5:&633<6=2wvqp5`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Z;;4k;|~y>{e<:l1<7850;2x 7b628k>7E4>{M0g1?7|^;;;6?u+23395d1<^8k?6?u+5659`>"2??0o7psr}:kg2?6=,;n:6i84V3f0>4}K:m?1=vX=1181!4593n=7[?n4;0x 0102m1/9:85d:~yx=nl>0;6)<4k7:T2e1<5s-?<;7h4$455>c=zutF9h94;{W0b=?5|^l<1>v*:748g3>"4;<0>;:5rVd596~"2?<0:m:5+32791217}#=>?1=l94$216>0113t.>i44>4:U6d?=;r\n:7a1<,:9>6898;|Tf3?4|,<=>6i84$216>0103t.>i44j;|T165<5s_o=6?u+5679`2=#;:?19:94}%7f=?7>3twv7d<>52z&164<5i11]=l:52z&632<602.>;;4>8:~yI4c<3;pZ?mn:3yUa3<5s-?<971v(8k6:0:8yx{P6i=09w);87;3;?!30>3;37psrL3f7>4}Q:jk1>vXj6;0x 0122;k:7)=<5;743>{#=l31=55r}|9l64g=83.9h<4=1`98yg24<3:1?7>50z&1`4<5kl1C>n94i0c;>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?n8:T2e1<5s-?<;7j4$455>a=zutw0e4g>3_;j87a=#=><1h6sr}|9l64g=83.9h<4=1`9U6a5=9rF9h84>{Wg;>7}#:;;1>7}#=>=1h6*:778g?x{zu2wi8>;50;394?6|,;n:6?j7;I0`3>i59h0;6)=zj=9<6=4<:183!4c93=;7E0:;6*:77823>{zut1b=l750;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d?<^8k?6?u+565952=#=><1=:5r}|8k77f290/>i?520c8R7b428qG>i;51zTf{zut1vn9=7:180>5<7s-8o=79?;I0`3>o6i10;6)N5l91]>i=51zN1`0<6s_o36?u+23395d><^8k?6?u+565952=#=><1=:5r}|8m4g>290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3b=>P6i=09w);87;34?!30>3;<7psr}:m15d<72-8o=7<>a:T1`6<6sE8o97?tVd:96~"5:809=l5Y1`696~"2?>0o7);86;f8yx{z3th??44?:283>5}#:m;1;=5G2b58m4g?290/>i?51`58R7b428qG>i;51zTf{zut1b=l750;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d?<^8k?6?u+5659`>"2??0o7psr}:m15d<72-8o=7<>a:T1`6<6sE8o97?tVd:96~"5:809=l5Y1`696~"2?>0o7);86;f8yx{z3th??l4?:283>5}#:m;1;=5G2b58m4g?290/>i?51`58R7b428qG>i;51zTf{zut1b=l750;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d?<^8k?6?u+5659`>"2??0o7psr}:m15d<72-8o=7<>a:T1`6<6sE8o97?tVd:96~"5:809=l5Y1`696~"2?>0o7);86;f8yx{z3th??o4?:283>5}#:m;1;=5G2b58m4g?290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3b<>P6i=09w);87;32?!30>3;:7psr}:k2e<<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51`;8R4g32;q/9:95109'120=981vqps4o33b>5<#:m;1>4}K:m?1=vXj8;0x 7462;;j7[?n4;0x 0102m1/9:85d:~yx=zj=9h6=4<:183!4c93=;7E0:=6*:77825>{zut1b=l750;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d?<^8k?6?u+565952=#=><1=:5r}|8k77f290/>i?520c8R7b428qG>i;51zTf{zut1vn9=k:187>5<7s-8o=79?;I0`3>o6i10;6)N5l91]>i=51zN1`0<6s_o36?u+23395d><^8k?6?u+565954=#=><1=<5r}|8m4g>290/>i?51`58R7b428qG>i;51zTf470:;6*:77823>{zut1d>i=51zN1`0<6s_o36?u+233964g<^8k?6?u+5659`>"2??0o7psr}:a060=8321<7>t$3f2>77>3A8h;6g>a983>!4c93;j;6X=d282I4c=3;pZh652z&164<6i11]=l:52z&632:0c4?S4c;3;p@?j::0yUa=<5s-89=7?n9:T2e1<5s-?<;7j4$455>a=zutw0e4d33_;j87a=#=><1h6sr}|9j5g`=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=oh4V0c7>7}#=>=1h6*:778g?x{zu2c:o?4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082g7=Q9h>1>v*:768g?!30>3n0qpsr;h3`7?6=,;n:66a581!30?3n0(899:e9~yx{:0a7?S7f<38p(898:e9'120=l2wvqp5`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Z;;4k;|~y>{e<=;1<7<50;2x 7b62m>0D?m8;h3b3?6=,;n:6a:T1`6<6sE8o97?tVd:96~"5:809=l5Y1`696~"2?>0o7);86;f8yx{z3th?8o4?:283>5}#:m;1>nk4H3a4?l7f03:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?n8:T2e1<5s-?<;7j4$455>a=zutw0e4g>3_;j8743<,<==6<;4}|~?j46i3:1(?j>:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7j4$455>a=zutw0qo:;a;292?6=8r.9h<4>a49K6f1<,8i365<#:m;1=l94V3f0>4}K:m?1=vX=1181!4593;j;6X>a581!30?3n0(899:e9~yx{P5l:0:wA??5d79U5d2=:r.>;:4k;%742?b1]>i=52zN1`0<6s_8:<7a1<^8k?6?u+5659b>"2??0m7psrL3f7>1}Q:h31?vXj6;0x 0122m=0(>=::454?xPb?38p(89::0c4?!54=3?<;6s+5d;951=z^;k26>uYe781!30=3n<7)=<5;743>{Qm>09w);85;3b3>"4;<0>;;5r$4g:>42v*:748g2>"4;<0>;:5r$4g:>`=z^;8;6?uYe781!30=3n<7)=<5;743>{#=l31=45r}|9j6d>=83.9h<4=a99U6a5=:rF9h84>{W024?4|,;8:6?o7;W3b0?4|,<=<6<64$455>4>i:51zT1gd<5s_o=6?u+56796d><,:9>6898;|&6a<<602wvq6g=a083>!4c938j=6X=d281I4c=3;pZ???:3y'677=:h;0Z;84=a09'763==>=0q);j9;3;?x{z3f8:m7>5$3f2>77f32wi89<50;194?6|,;n:6?mj;I0`3>o6i10;6)P5l:0:wA=:r.9><4>a99U5d2=:r.>;:4k;%742?bi?520c8R7b428qG>i;51zTf{zut1vn9:<:182>5<7s-8o=7?97>54;294~"5l80<<6F=c69j5d>=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l64V0c7>7}#=>=1=<5+564954=zutw0e6a581!30?3;<7);86;34?x{zu2c:n94?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082f1=Q9h>1>v*:76823>"2??0:;6sr}|9l64g=83.9h<4=1`9U6a5=9rF9h84>{Wg;>7}#:;;1>7}#=>=1h6*:778g?x{zu2wi89850;594?6|,;n:6:>4H3a4?l7f03:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?n8:T2e1<5s-?<;7?8;%742?703twvq6g>a883>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=l74V0c7>7}#=>=1=:5+564952=zutw0e6a581!30?3;<7);86;34?x{zu2c:nk4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082fc=Q9h>1>v*:76823>"2??0:;6sr}|9j5f4=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=n<4V0c7>7}#=>=1=:5+564952=zutw0e4e43_;j8741<,<==6<94}|~?j46i3:1(?j>:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7j4$455>a=zutw0qo:;7;294g?3_;j8747<,<==6:0c4?S4c;3;p@?j::0yUa=<5s-89=7?n9:T2e1<5s-?<;7?8;%742?703twvq6g>b583>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=o:4V0c7>7}#=>=1=:5+564952=zutw0e6a581!30?3;<7);86;34?x{zu2c:o?4?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9j80Z1/9:85169~yx{:0a0?S7f<38p(898:058 01128=0qpsr;h3`0?6=,;n:6P5l:0:wA=:r.9><4>c59U5d2=:r.>;:4>7:&633<6?2wvqp5`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Z;;4k;|~y>{e<=21<7650;2x 7b62>:0D?m8;h3b6a581!30?3;<7);86;34?x{zu2c:m44?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082e<=Q9h>1>v*:76823>"2??0:;6sr}|9j5g2=83.9h<4>a69K6a6<^;n86:0`7?S7f<38p(898:058 01128=0qpsr;h3ab?6=,;n:6P5l:0:wA=:r.9><4>bg9U5d2=:r.>;:4>7:&633<6?2wvqp5f1b094?"5l80:m:5G2e28R7b428qG>i;51zTf41o6k=0;6)N5l91]>i=51zN1`0<6s_o36?u+23395f2<^8k?6?u+565952=#=><1=:5r}|8k77f290/>i?520c8R7b428qG>i;51zTf{zut1vn9:;:186>5<7s-8o=7<>9:J1g2=n9h21<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51`:8R4g32;q/9:95d:&633a883>!4c93;j;6X=d282I4c=3;pZh652z&164<6i01]=l:52z&632:0c4?S4c;3;p@?j::0yUa=<5s-89=7?m4:T2e1<5s-?<;7j4$455>a=zutw0e4da3_;j87a=#=><1h6sr}|9l64g=83.9h<4=1`9U6a5=9rF9h84>{Wg;>7}#:;;1>7}#=>=1h6*:778g?x{zu2wi89m50;094?6|,;n:6i:4H3a4?l7f?3:1(?j>:0c4?>i59h0;6)P5l:0:wA=:r.9><4=1`9U5d2=:r.>;:4k;%742?b3<729q/>i?51`78L7e03-;h47?n;h3b3?6=,;n:660o7);86;f8yx{z3`n=6=4+2e39`3=Q:m91=vB=d482S46838p(?<>:e48R4g32;q/9:95d:&633c=#=><1j6sr}M0g0?2|^;k26>uYe781!30=3n<7)=<5;743>{Qm>09w);85;3b3>"4;<0>;:5r$4g:>42v*:7482e2=#;:?19:84}%7f=?733t\9m44<{Wg5>7}#=>?1h:5+3279121=4={Wg5>7}#=>?1h:5+3279121:3c;?S4c;38p@?j::0yU646=:r.9><4=a99U5d2=:r.>;:4>8:&633<602wvqA2820qps4i3c2>5<#:m;1>l?4V3f0>7}K:m?1=vX=1181!45938j=6X>a581!30?3;37);86;3;?x{zD;n?638p(89::3c2?!54=3?<;6s+5d;95==zut1d>:0c;?S7f<38p(898:e9'120=l2wvqp5f1`;94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9h30Z;;4k;|~y>i59h0;6)P5l:0:wA=:r.9><4=1`9U5d2=:r.>;:4k;%742?b4<729q/>i?52e:8L7e03f8:m7>5$3f2>77f32wi88>50;194?6|,;n:6:>4H3a4?l7f03:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082e==Q9h>1>v*:76825>"2??0:=6sr}|9j5d?=83.9h<4>a69K6a6<^;n86:0c:?S7f<38p(898:038 01128;0qpsr;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3n0(899:e9~yx{?h7>53;294~"5l80<<6F=c69j5d>=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l64V0c7>7}#=>=1=:5+564952=zutw0e4g>3_;j8741<,<==6<94}|~?j46i3:1(?j>:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7j4$455>a=zutw0qo;67;296?6=8r.9h<4k4:J1g2=n9h=1<7*=d082e2=:33b?S7f<38p(898:e9'120=l2wvqp5rb4;f>5<5290;w)i=51zN1`0<6s_o36?u+233964g<^8k?6?u+5659`>"2??0o7psr}:a1d3=8391<7>t$3f2>7eb3A8h;6g>a983>!4c93;j;6X=d282I4c=3;pZh652z&164<6i11]=l:52z&632:0c4?S4c;3;p@?j::0yUa=<5s-89=7?n9:T2e1<5s-?<;7?:;%742?723twvq6a=1`83>!4c938:m6X=d282I4c=3;pZh652z&164<59h1]=l:52z&6325$3f2>4g03_8o?7?tL3f6>4}Q:8:1>v*=2082e2=Q9h>1>v*:768g?!30>3n0qpsr;hf5>5<#:m;1h;5Y2e195~J5l<0:w[<>0;0x 7462m<0Z;;4k;|~y>oc?3:1(?j>:e58R7b42;qG>i;51zT155<5s-89=7j8;W3b0?4|,<=<6k5+5649b>{zuE8o87:tV3c:>6}Qm?09w);85;f4?!54=3?<;6sYe681!30=3;j;6*<348632=z,v*:748g3>"4;<0>;:5rVd596~"2?<0:m:5+32791207}#=>?1h;5+3279121v*:748g3>"4;<0>;:5r$4g:>4?no52zTf2?4|,<=>6?o7;%101?30?2w/9h75199~yx=n:h;1<7*=d081e4=Q:m91>vB=d482S46838p(?<>:3c2?S7f<38p(898:0:8 0112820qpsC2e695~P5kh09w[k9:3y'123=:h;0(>=::454?x"2m00:46sr}:m15d<72-8o=7<>a:9~f0??29086=4?{%0g5?4dm2B9o:5f1`:94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9h20Z;;4k;|~y>o6i00;6)P5l:0:wA=:r.9><4>a89U5d2=:r.>;:4k;%742?ba;29 7b62;;j7[13:1=7>50z&1`4<5l11C>n94o33b>5<#:m;1>4g?3_;j87a=#=><1h6sr}|9j5d?=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l74V0c7>7}#=>=1h6*:778g?x{zu2e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:768g?!30>3n0qpsr;|`6=f<72=0;6=u+2e3935=O:j=0e4g?3_;j8747<,<==6:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082e<=Q9h>1>v*:76823>"2??0:;6sr}|9j5g2=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=o:4V0c7>7}#=>=1=:5+564952=zutw0c??n:18'6a7=:8k0Z?j<:0yO6a3=9r\n4777f3_;j87a=#=><1h6sr}|9~f0?c290:=7>50z&1`4<082B9o:5f1`:94?"5l80:m:5G2e28R7b428qG>i;51zTf47o6j=0;6)P5l:0:wA=:r.9><4>b59U5d2=:r.>;:4>7:&633<6?2wvqp5f1cd94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9kl0Z1/9:85169~yx{:0a1?S7f<38p(898:058 01128=0qpsr;h3`7?6=,;n:6P5l:0:wA=:r.9><4>c29U5d2=:r.>;:4>7:&633<6?2wvqp5f1b694?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9j>0Z1/9:85169~yx{6=4+2e395d1<^;n86:0a6?S7f<38p(898:058 01128=0qpsr;h3`2?6=,;n:66a581!30?3;<7);86;34?x{zu2c:o:4?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9j=0Z1/9:85169~yx{:0cb?S7f<38p(898:e9'120=l2wvqp5f1``94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9hh0Z;;4k;|~y>o6ij0;6)P5l:0:wA=:r.9><4>ab9U5d2=:r.>;:4k;%742?bi?51`58R7b428qG>i;51zTf{zut1b=lh50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d`<^8k?6?u+5659`>"2??0o7psr}:m15d<72-8o=7<>a:T1`6<6sE8o97?tVd:96~"5:809=l5Y1`696~"2?>0o7);86;f8yx{z3th>5l4?:583>5}#:m;1><74H3a4?l7f03:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?n8:T2e1<5s-?<;7j4$455>a=zutw0e4g>3_;j87a=#=><1h6sr}|9j5g2=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=o:4V0c7>7}#=>=1h6*:778g?x{zu2e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:768g?!30>3n0qpsr;|`6=c<7210;6=u+2e3935=O:j=0e4g?3_;j8744<,<==6<<4}|~?l7f13:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082e<=Q9h>1>v*:76826>"2??0:>6sr}|9j5g2=83.9h<4>a69K6a6<^;n86:0`7?S7f<38p(898:0:8 0112820qpsr;h3ab?6=,;n:6P5l:0:wA=:r.9><4>bg9U5d2=:r.>;:4>8:&633<602wvqp5f1b094?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9j80Z:0a0?S7f<38p(898:0:8 0112820qpsr;h3`0?6=,;n:66a581!30?3;37);86;3;?x{zu2e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:768g?!30>3n0qpsr;|`6e5<7210;6=u+2e3935=O:j=0e4g?3_;j8744<,<==6<<4}|~?l7f13:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?n9:T2e1<5s-?<;7?7;%742?7?3twvq6g>b583>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=o:4V0c7>7}#=>=1=55+56495==zutw0e6a581!30?3;37);86;3;?x{zu2c:o?4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082g7=Q9h>1>v*:7682<>"2??0:46sr}|9j5f5=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=n=4V0c7>7}#=>=1=55+56495==zutw0e4e33_;j874><,<==6<64}|~?j46i3:1(?j>:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7j4$455>a=zutw0qo;n1;294g?3_;j8744<,<==6<<4}|~?l7f13:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082e<=Q9h>1>v*:7682<>"2??0:46sr}|9j5g2=83.9h<4>a69K6a6<^;n86:0`7?S7f<38p(898:0:8 0112820qpsr;h3ab?6=,;n:6P5l:0:wA=:r.9><4>bg9U5d2=:r.>;:4>8:&633<602wvqp5f1b094?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9j80Z:0a0?S7f<38p(898:0:8 0112820qpsr;h3`0?6=,;n:66a581!30?3;37);86;3;?x{zu2e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:768g?!30>3n0qpsr;|`6e7<72=0;6=u+2e3964?<@;i<7d?n8;29 7b628k<7[290/>i?51`58R7b428qG>i;51zTf{zut1b=o:50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395g2<^8k?6?u+5659`>"2??0o7psr}:m15d<72-8o=7<>a:T1`6<6sE8o97?tVd:96~"5:809=l5Y1`696~"2?>0o7);86;f8yx{z3th?ol4?:383>5}#:m;1h95G2b58m4g0290/>i?51`58?j46i3:1(?j>:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7j4$455>a=zutw0qo:k7;296?6=8r.9h<4k4:J1g2=n9h=1<7*=d082e2=:33b?S7f<38p(898:e9'120=l2wvqp5rb5f5>5<1290;w)N5k>1/=n651`9j5d1=83.9h<4>a69U6a5=9rF9h84>{W024?4|,;8:6{zut1bh;4?:%0g5?b13_8o?7?tL3f6>4}Q:8:1>v*=208g2>P6i=09w);87;f8 0112m1vqps4ie594?"5l80o;6X=d281I4c=3;pZ???:3y'677=l>1]=l:52z&6327}#=>?1h:5+32791216898;|&6a<<6<2w]>l753zTf2?4|,<=>6i94$216>0103t\n;74g03-9897;86:'1`?=9=1vZ?o6:2yUa3<5s-?<97j8;%101?30?2w]i:4={%741?b13-9897;87:'1`?=m2w]>?>52zTf2?4|,<=>6i94$216>0103t.>i44>9:~y>o5i10;6)P5l:09wA??52`:8R4g32;q/9:95199'120=911vqpB=d582S4di38pZh852z&630<5i11/?>;55658y!3b13;37psr;h0b5?6=,;n:6?o>;W0g7?4|D;n>60:46*:7782<>{zuE8o87?tV3ab>7}Qm?09w);85;0b5>"4;<0>;:5r$4g:>4>6<729q/>i?52bg8L7e03`;j47>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b<>P6i=09w);87;f8 0112m1vqps4i0c:>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628k27[?n4;0x 0102m1/9:85d:~yx=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95d:&633:3f;?M4d?2e9=l4?:%0g5?46i21vn9mj:180>5<7s-8o=79?;I0`3>o6i10;6)P5l:0:wA=:r.9><4>a99U5d2=:r.>;:4k;%742?bi?520c8R7b428qG>i;51zTf{zut1vn9mi:180>5<7s-8o=79?;I0`3>o6i10;6)P5l:0:wA=:r.9><4>a99U5d2=:r.>;:4k;%742?bi?520c8R7b428qG>i;51zTf{zut1vn9j?:180>5<7s-8o=79?;I0`3>o6i10;6)P5l:0:wA=:r.9><4>a99U5d2=:r.>;:4>1:&633<692wvqp5f1`;94?"5l80:m:5G2e28R7b428qG>i;51zTf41a;29 7b62;;j7[50z&1`4<082B9o:5f1`:94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9h20Z1/9:85169~yx{:0c:?S7f<38p(898:058 01128=0qpsr;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3n0(899:e9~yx{o>7>53;294~"5l80<<6F=c69j5d>=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l64V0c7>7}#=>=1=:5+564952=zutw0e4g>3_;j8741<,<==6<94}|~?j46i3:1(?j>:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7j4$455>a=zutw0qo:k3;297?6=8r.9h<480:J1g2=n9h21<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51`:8R4g32;q/9:95169'120=9>1vqps4i0c:>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628k27[?n4;0x 01028=0(899:058yx{z3f8:m7>5$3f2>77f3_8o?7?tL3f6>4}Qm109w)<=1;02e>P6i=09w);87;f8 0112m1vqps4}c6g0?6=;3:1N5k>1b=l650;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d><^8k?6?u+565952=#=><1=:5r}|8m4g>290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3b=>P6i=09w);87;34?!30>3;<7psr}:m15d<72-8o=7<>a:T1`6<6sE8o97?tVd:96~"5:809=l5Y1`696~"2?>0o7);86;f8yx{z3th?oi4?:983>5}#:m;1><74H3a4?l7f03:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?n8:T2e1<5s-?<;7j4$455>a=zutw0e4g>3_;j87a=#=><1h6sr}|9j5g2=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=o:4V0c7>7}#=>=1h6*:778g?x{zu2c:nk4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082fc=Q9h>1>v*:768g?!30>3n0qpsr;h3`6?6=,;n:666X>a581!30?3n0(899:e9~yx{:0a0?S7f<38p(898:e9'120=l2wvqp5f1b694?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9j>0Z;;4k;|~y>i59h0;6)P5l:0:wA=:r.9><4=1`9U5d2=:r.>;:4k;%742?b7<729q/>i?5d59K6f15$3f2>77f3_8o?7?tL3f6>4}Qm109w)<=1;02e>P6i=09w);87;f8 0112m1vqps4}c7ab?6=>3:1i?51`58R7b428qG>i;51zT155<5s-89=7?n7:T2e1<5s-?<;7j4$455>a=zutw0ei850;&1`42\9h>4>{M0g1?7|^;;;6?u+2339`3=Q9h>1>v*:768g?!30>3n0qpsr;hf4>5<#:m;1h:5Y2e196~J5l<0:w[<>0;0x 7462m=0Z;;4i;|~H7b32=q]>l753zTf2?4|,<=>6i94$216>0103t\n;74g03-9897;87:'1`?=9=1vZ?o6:2yUa3<5s-?<97j8;%101?30?2w]i:4={%741?7f?2.8?84:779~ 0c>28>0q[;84k7:&070<2?>1vZh952z&6302.8?84:769~ 0c>2l1vZ?vB=d482S46838p(?<>:3c;?S7f<38p(898:0:8 0112820qpsC2e695~P5kh09w[k9:3y'123=:h20(>=::454?x"2m00:46sr}:k1e4<72-8o=77}#:;;1>l?4V0c7>7}#=>=1=55+56495==zutF9h94>{W0`e?4|^l<1>v*:7481e4=#;:?19:94}%7f=?7?3twv7b<>a;29 7b62;;j76sm5c694?5=83:p(?j>:3af?M4d?2c:m54?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082e==Q9h>1>v*:768g?!30>3n0qpsr;h3b=?6=,;n:66a581!30?3n0(899:e9~yx{:33b?S7f<38p(898:e9'120=l2wvqp5rb4`6>5<6290;w)N5k>1d>??51`:8R4g32;q/9:95d:&633a883>!4c93;j;6X=d282I4c=3;pZh652z&164<6i01]=l:52z&632:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7j4$455>a=zutw0qo;m8;297?6=8r.9h<480:J1g2=n9h21<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51`:8R4g32;q/9:95d:&633a883>!4c93;j;6X=d282I4c=3;pZh652z&164<6i01]=l:52z&632:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7j4$455>a=zutw0qo;m9;297?6=8r.9h<480:J1g2=n9h21<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474g?3_;j8741<,<==6<94}|~?l7f13:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?n9:T2e1<5s-?<;7?8;%742?703twvq6a=1`83>!4c938:m6X=d282I4c=3;pZh652z&164<59h1]=l:52z&632n94i0c;>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628k37[?n4;0x 01028=0(899:058yx{z3`;j57>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6i01]=l:52z&632<6?2.>;;4>7:~yx=n9k>1<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474d33_;j8741<,<==6<94}|~?j46i3:1(?j>:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7j4$455>a=zutw0qo;mb;293?6=8r.9h<480:J1g2=n9h21<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51`:8R4g32;q/9:95109'120=981vqps4i0c:>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?n9:T2e1<5s-?<;7?>;%742?763twvq6g>b583>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=o:4V0c7>7}#=>=1=:5+564952=zutw0e6a581!30?3;<7);86;34?x{zu2c:o?4?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9j80Z1/9:85169~yx{i59h0;6)P5l:0:wA=:r.9><4=1`9U5d2=:r.>;:4k;%742?b2<729q/>i?5719K6f1:0c;?S7f<38p(898:038 01128;0qpsr;h3b=?6=,;n:66a581!30?3;<7);86;34?x{zu2c:n94?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9k>0Z1/9:85169~yx{o6k;0;6)N5l91]>i=51zN1`0<6s_o36?u+23395f4<^8k?6?u+565952=#=><1=:5r}|8m4e4290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3`7>P6i=09w);87;34?!30>3;<7psr}:m15d<72-8o=7<>a:T1`6<6sE8o97?tVd:96~"5:809=l5Y1`696~"2?>0o7);86;f8yx{z3th>ni4?:983>5}#:m;1;=5G2b58m4g?290/>i?51`58R7b428qG>i;51zTf470:;6*:77823>{zut1b=o:50;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628h?7[?n4;0x 01028=0(899:058yx{z3`;ij7>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6jo1]=l:52z&632<6?2.>;;4>7:~yx=n9j81<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51b08R4g32;q/9:95169'120=9>1vqps4i0a0>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628i87[?n4;0x 01028=0(899:058yx{z3`;h87>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3`0>P6i=09w);87;34?!30>3;<7psr}:m15d<72-8o=7<>a:T1`6<6sE8o97?tVd:96~"5:809=l5Y1`696~"2?>0o7);86;f8yx{z3th>n;4?:983>5}#:m;1><74H3a4?l7f03:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?n8:T2e1<5s-?<;7j4$455>a=zutw0e4g>3_;j87a=#=><1h6sr}|9j5g2=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=o:4V0c7>7}#=>=1h6*:778g?x{zu2c:nk4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082fc=Q9h>1>v*:768g?!30>3n0qpsr;h3`6?6=,;n:666X>a581!30?3n0(899:e9~yx{:0a0?S7f<38p(898:e9'120=l2wvqp5f1b694?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9j>0Z;;4k;|~y>i59h0;6)P5l:0:wA=:r.9><4=1`9U5d2=:r.>;:4k;%742?b7<729q/>i?5d59K6f15$3f2>77f3_8o?7?tL3f6>4}Qm109w)<=1;02e>P6i=09w);87;f8 0112m1vqps4}c7g1?6=:3:1N5k>1b=l950;&1`4<6i>10c??n:18'6a7=:8k0Z?j<:0yO6a3=9r\n4777f3_;j87a=#=><1h6sr}|9~f0b>29086=4?{%0g5?4dm2B9o:5f1`:94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9h20Z;;4k;|~y>o6i00;6)P5l:0:wA=:r.9><4>a89U5d2=:r.>;:4>5:&633<6=2wvqp5`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Z;;4k;|~y>{e=m21<7850;2x 7b628k>7E4>{M0g1?7|^;;;6?u+23395d1<^8k?6?u+5659`>"2??0o7psr}:kg2?6=,;n:6i84V3f0>4}K:m?1=vX=1181!4593n=7[?n4;0x 0102m1/9:85d:~yx=nl>0;6)<4k7:T2e1<5s-?<;7h4$455>c=zutF9h94;{W0b=?5|^l<1>v*:748g3>"4;<0>;:5rVd596~"2?<0:m:5+32791217}#=>?1=l94$216>0113t.>i44>4:U6d?=;r\n:7a1<,:9>6898;|Tf3?4|,<=>6i84$216>0103t.>i44j;|T165<5s_o=6?u+5679`2=#;:?19:94}%7f=?7>3twv7d<>52z&164<5i11]=l:52z&632<602.>;;4>8:~yI4c<3;pZ?mn:3yUa3<5s-?<971v(8k6:0:8yx{P6i=09w);87;3;?!30>3;37psrL3f7>4}Q:jk1>vXj6;0x 0122;k:7)=<5;743>{#=l31=55r}|9l64g=83.9h<4=1`98yg3dl3:1?7>50z&1`4<5kl1C>n94i0c;>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?n8:T2e1<5s-?<;7j4$455>a=zutw0e4g>3_;j87a=#=><1h6sr}|9l64g=83.9h<4=1`9U6a5=9rF9h84>{Wg;>7}#:;;1>7}#=>=1h6*:778g?x{zu2wi9nk50;394?6|,;n:6?j7;I0`3>i59h0;6)=zj0o7);86;f8yx{z3`;j57>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b=>P6i=09w);87;f8 0112m1vqps4o33b>5<#:m;1>4}K:m?1=vXj8;0x 7462;;j7[?n4;0x 0102m1/9:85d:~yx=zj0:;6*:77823>{zut1b=l750;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628k27[?n4;0x 01028=0(899:058yx{z3f8:m7>5$3f2>77f3_8o?7?tL3f6>4}Qm109w)<=1;02e>P6i=09w);87;f8 0112m1vqps4}c7g6?6=<3:1N5k>1b=l650;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628k37[?n4;0x 01028=0(899:058yx{z3`;j57>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6i01]=l:52z&632<6?2.>;;4>7:~yx=n9k>1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51c68R4g32;q/9:95169'120=9>1vqps4o33b>5<#:m;1>4}K:m?1=vXj8;0x 7462;;j7[?n4;0x 0102m1/9:85d:~yx=zj??51`:8R4g32;q/9:95169'120=9>1vqps4i0c:>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?n9:T2e1<5s-?<;7?8;%742?703twvq6g>b583>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=o:4V0c7>7}#=>=1=:5+564952=zutw0e4da3_;j8741<,<==6<94}|~?j46i3:1(?j>:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7j4$455>a=zutw0qo;k4;291?6=8r.9h<480:J1g2=n9h21<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474g?3_;j8741<,<==6<94}|~?l7f13:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082e<=Q9h>1>v*:76823>"2??0:;6sr}|9j5g2=83.9h<4>a69K6a6<^;n86:0`7?S7f<38p(898:058 01128=0qpsr;h3ab?6=,;n:66a581!30?3;<7);86;34?x{zu2e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:768g?!30>3n0qpsr;|`6gc<72?0;6=u+2e3964?<@;i<7d?n8;29 7b628k<7[290/>i?51`58R7b428qG>i;51zTf{zut1b=o:50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395g2<^8k?6?u+5659`>"2??0o7psr}:k2fc<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:nk5Y1`696~"2?>0o7);86;f8yx{z3`;h>7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3`6>P6i=09w);87;f8 0112m1vqps4o33b>5<#:m;1>4}K:m?1=vXj8;0x 7462;;j7[?n4;0x 0102m1/9:85d:~yx=zj??51`:8R4g32;q/9:95199'120=911vqps4i0c:>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628k27[?n4;0x 0102820(899:0:8yx{z3`;i87>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3a0>P6i=09w);87;3;?!30>3;37psr}:k2fc<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:nk5Y1`696~"2?>0:46*:7782<>{zut1d>i=51zN1`0<6s_o36?u+233964g<^8k?6?u+5659`>"2??0o7psr}:a7cc=8381<7>t$3f2>a2<@;i<7d?n7;29 7b628k<76a=1`83>!4c938:m6X=d282I4c=3;pZh652z&164<59h1]=l:52z&6320;6;4?:1y'6a7=9h?0D?m8;%3`5$3f2>4g03_8o?7?tL3f6>4}Q:8:1>v*=2082e2=Q9h>1>v*:768g?!30>3n0qpsr;hf5>5<#:m;1h;5Y2e195~J5l<0:w[<>0;0x 7462m<0Z;;4k;|~y>oc?3:1(?j>:e58R7b42;qG>i;51zT155<5s-89=7j8;W3b0?4|,<=<6k5+5649b>{zuE8o87:tV3c:>6}Qm?09w);85;f4?!54=3?<;6sYe681!30=3;j;6*<348632=z,v*:748g3>"4;<0>;:5rVd596~"2?<0:m:5+32791207}#=>?1h;5+3279121v*:748g3>"4;<0>;:5r$4g:>4?no52zTf2?4|,<=>6?o7;%101?30?2w/9h75199~yx=n:h;1<7*=d081e4=Q:m91>vB=d482S46838p(?<>:3c2?S7f<38p(898:0:8 0112820qpsC2e695~P5kh09w[k9:3y'123=:h;0(>=::454?x"2m00:46sr}:m15d<72-8o=7<>a:9~f6`a29086=4?{%0g5?4dm2B9o:5f1`:94?"5l80:m:5G2e28R7b428qG>i;51zTf{zut1b=l750;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d?<^8k?6?u+5659`>"2??0o7psr}:m15d<72-8o=7<>a:T1`6<6sE8o97?tVd:96~"5:809=l5Y1`696~"2?>0o7);86;f8yx{z3th?<=4?:083>5}#:m;1>i64H3a4?j46i3:1(?j>:33b?>{e<981<7:50;2x 7b62>:0D?m8;h3b6a581!30?3;<7);86;34?x{zu2c:m44?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082e<=Q9h>1>v*:76823>"2??0:;6sr}|9j5g2=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=o:4V0c7>7}#=>=1=:5+564952=zutw0c??n:18'6a7=:8k0Z?j<:0yO6a3=9r\n4777f3_;j87a=#=><1h6sr}|9~f164290?6=4?{%0g5?173A8h;6g>a983>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=l64V0c7>7}#=>=1=:5+564952=zutw0e4g>3_;j8741<,<==6<94}|~?l7e<3:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082f1=Q9h>1>v*:76823>"2??0:;6sr}|9l64g=83.9h<4=1`9U6a5=9rF9h84>{Wg;>7}#:;;1>7}#=>=1h6*:778g?x{zu2wi8=:50;794?6|,;n:6:>4H3a4?l7f03:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?n8:T2e1<5s-?<;7?>;%742?763twvq6g>a883>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=l74V0c7>7}#=>=1=:5+564952=zutw0e6a581!30?3;<7);86;34?x{zu2c:nk4?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9kl0Z1/9:85169~yx{:33b?S7f<38p(898:e9'120=l2wvqp5rb526>5<2290;w)1/9:85169~yx{o6j=0;6)N5l91]>i=51zN1`0<6s_o36?u+23395g2<^8k?6?u+565952=#=><1=:5r}|8m4da290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3ab>P6i=09w);87;34?!30>3;<7psr}:m15d<72-8o=7<>a:T1`6<6sE8o97?tVd:96~"5:809=l5Y1`696~"2?>0o7);86;f8yx{z3th?<<4?:483>5}#:m;1><74H3a4?l7f03:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?n8:T2e1<5s-?<;7j4$455>a=zutw0e4g>3_;j87a=#=><1h6sr}|9j5g2=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=o:4V0c7>7}#=>=1h6*:778g?x{zu2c:nk4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082fc=Q9h>1>v*:768g?!30>3n0qpsr;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3n0(899:e9~yx{52;294~"5l80o86F=c69j5d1=83.9h<4>a698k77f290/>i?520c8R7b428qG>i;51zTf{zut1vn8mm:180>5<7s-8o=7??51`:8R4g32;q/9:95d:&633a883>!4c93;j;6X=d282I4c=3;pZh652z&164<6i01]=l:52z&632<6=2.>;;4>5:~yx=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95d:&633:0c6?M4d?2.:o54>a:k2e2<72-8o=7?n7:T1`6<6sE8o97?tV333>7}#:;;1=l94V0c7>7}#=>=1h6*:778g?x{zu2co:7>5$3f2>a0<^;n86!4c93n<7[<>52z&164v*:7482e2=#;:?19:94}%7f=?733t\9m44<{Wg5>7}#=>?1h:5+32791216899;|&6a<<6<2w]>l753zTf2?4|,<=>6i94$216>0103t\n;7a0<,:9>6898;|&6a<=4={Wg5>7}#=>?1h:5+3279121:3c;?S4c;38p@?j::0yU646=:r.9><4=a99U5d2=:r.>;:4>8:&633<602wvqA2820qps4i3c2>5<#:m;1>l?4V3f0>7}K:m?1=vX=1181!45938j=6X>a581!30?3;37);86;3;?x{zD;n?638p(89::3c2?!54=3?<;6s+5d;95==zut1d>:0c;?S7f<38p(898:e9'120=l2wvqp5f1`;94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9h30Z;;4k;|~y>i59h0;6)P5l:0:wA=:r.9><4=1`9U5d2=:r.>;:4k;%742?b4<729q/>i?52e:8L7e03f8:m7>5$3f2>77f32wi9n:50;194?6|,;n:6:>4H3a4?l7f03:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?n8:T2e1<5s-?<;7?8;%742?703twvq6g>a883>!4c93;j;6X=d282I4c=3;pZh652z&164<6i01]=l:52z&632<6?2.>;;4>7:~yx=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95d:&633:628L7e03`;j47>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6i11]=l:52z&632<692.>;;4>1:~yx=n9h31<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474g>3_;j8747<,<==6:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082f1=Q9h>1>v*:76825>"2??0:=6sr}|9j5g`=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=oh4V0c7>7}#=>=1=:5+564952=zutw0e66X>a581!30?3;<7);86;34?x{zu2c:o>4?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9j90Z1/9:85169~yx{o6k<0;6)N5l91]>i=51zN1`0<6s_o36?u+23395f3<^8k?6?u+565952=#=><1=:5r}|8k77f290/>i?520c8R7b428qG>i;51zTf{zut1vn8m9:18:>5<7s-8o=79?;I0`3>o6i10;6)N5l91]>i=51zN1`0<6s_o36?u+23395d><^8k?6?u+565954=#=><1=<5r}|8m4g>290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3b=>P6i=09w);87;32?!30>3;:7psr}:k2f1<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:n95Y1`696~"2?>0:;6*:77823>{zut1b=oh50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395g`<^8k?6?u+565952=#=><1=:5r}|8m4e5290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3`6>P6i=09w);87;34?!30>3;<7psr}:k2g6<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51b18R4g32;q/9:95169'120=9>1vqps4i0a7>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?l4:T2e1<5s-?<;7?8;%742?703twvq6g>c483>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=n;4V0c7>7}#=>=1=:5+564952=zutw0c??n:18'6a7=:8k0Z?j<:0yO6a3=9r\n4777f3_;j87a=#=><1h6sr}|9~f0e0290:=7>50z&1`4<082B9o:5f1`:94?"5l80:m:5G2e28R7b428qG>i;51zTf47;|~y>o6j=0;6)P5l:0:wA=:r.9><4>b59U5d2=:r.>;:4>1:&633<692wvqp5f1cd94?"5l80:m:5G2e28R7b428qG>i;51zTf470:;6*:77823>{zut1b=n=50;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628i87[?n4;0x 01028=0(899:058yx{z3`;h87>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6k=1]=l:52z&632<6?2.>;;4>7:~yx=n9j?1<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474e23_;j8741<,<==6<94}|~?l7d>3:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082g3=Q9h>1>v*:76823>"2??0:;6sr}|9j5f1=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=n94V0c7>7}#=>=1h6*:778g?x{zu2c:ml4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082ed=Q9h>1>v*:768g?!30>3n0qpsr;h3bf?6=,;n:66a581!30?3n0(899:e9~yx{:0c`?S7f<38p(898:e9'120=l2wvqp5f1`f94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9hn0Z;;4k;|~y>o6il0;6)P5l:0:wA=:r.9><4>ad9U5d2=:r.>;:4k;%742?bi?520c8R7b428qG>i;51zTf{zut1vn8m7:1825?6=8r.9h<480:J1g2=n9h21<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474g?3_;j8747<,<==6:0c4?S4c;3;p@?j::0yUa=<5s-89=7?n9:T2e1<5s-?<;7?>;%742?763twvq6g>b583>!4c93;j;6X=d282I4c=3;pZh652z&164<6j=1]=l:52z&632<692.>;;4>1:~yx=n9kl1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51cd8R4g32;q/9:95169'120=9>1vqps4i0a1>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?l2:T2e1<5s-?<;7?8;%742?703twvq6g>c283>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=n=4V0c7>7}#=>=1=:5+564952=zutw0e6a581!30?3;<7);86;34?x{zu2c:o84?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9j?0Z1/9:85169~yx{2\:m94={%743?703-?<:7?8;|~y>o6k>0;6)P5l:0:wA=:r.9><4>c69U5d2=:r.>;:4k;%742?bi?51`58R7b428qG>i;51zTf{zut1b=lm50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395de<^8k?6?u+5659`>"2??0o7psr}:k2ea<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:mi5Y1`696~"2?>0o7);86;f8yx{z3`;ji7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3ba>P6i=09w);87;f8 0112m1vqps4i0ce>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628km7[?n4;0x 0102m1/9:85d:~yx=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95d:&633:33:?M4d?2c:m54?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082e==Q9h>1>v*:768g?!30>3n0qpsr;h3b=?6=,;n:66a581!30?3n0(899:e9~yx{:0`7?S7f<38p(898:e9'120=l2wvqp5f1cd94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9kl0Z;;4k;|~y>o6k;0;6)P5l:0:wA=:r.9><4>c39U5d2=:r.>;:4k;%742?ba;29 7b62;;j7[7>50z&1`45<#:m;1>4}K:m?1=vXj8;0x 7462;;j7[?n4;0x 0102m1/9:85d:~yx=zj{Wg;>7}#:;;1>7}#=>=1h6*:778g?x{zu2wi9h650;194?6|,;n:6?mj;I0`3>o6i10;6)P5l:0:wA=:r.9><4>a99U5d2=:r.>;:4k;%742?bi59h0;6)P5l:0:wA=:r.9><4=1`9U5d2=:r.>;:4k;%742?b3<729q/>i?51`78L7e03-;h47?n;h3b3?6=,;n:660o7);86;f8yx{z3`n=6=4+2e39`3=Q:m91=vB=d482S46838p(?<>:e48R4g32;q/9:95d:&633c=#=><1j6sr}M0g0?2|^;k26>uYe781!30=3n<7)=<5;743>{Qm>09w);85;3b3>"4;<0>;:5r$4g:>42v*:7482e2=#;:?19:84}%7f=?733t\9m44<{Wg5>7}#=>?1h:5+3279121i?52`:8R7b42;qG>i;51zT155<5s-89=77g?3-9897;87:'1`?=911vqp5f2`394?"5l809m<5Y2e196~J5l<0:w[<>0;0x 7462;k:7[?n4;0x 0102820(899:0:8yx{K:m>1=vX=c`81Sc12;q/9:;52`38 6522<=<7p*:e882<>{zu2e9=l4?:%0g5?46i21vn8jm:180>5<7s-8o=74g?3_;j87a=#=><1h6sr}|9j5d?=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l74V0c7>7}#=>=1h6*:778g?x{zu2e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:768g?!30>3n0qpsr;|`6`f<7280;6=u+2e396a><@;i<7b<>a;29 7b62;;j76sm5eg94?5=83:p(?j>:628L7e03`;j47>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b<>P6i=09w);87;f8 0112m1vqps4i0c:>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628k27[?n4;0x 0102m1/9:85d:~yx=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95d:&633:628L7e03`;j47>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b<>P6i=09w);87;32?!30>3;:7psr}:k2e<<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51`;8R4g32;q/9:95169'120=9>1vqps4i0`7>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?m4:T2e1<5s-?<;7?8;%742?703twvq6g>bg83>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=oh4V0c7>7}#=>=1=:5+564952=zutw0c??n:18'6a7=:8k0Z?j<:0yO6a3=9r\n4777f3_;j87a=#=><1h6sr}|9~f0bc29086=4?{%0g5?4612B9o:5f1`:94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9h20Z;;4k;|~y>o6i00;6)P5l:0:wA=:r.9><4>a89U5d2=:r.>;:4k;%742?ba;29 7b62;;j7[50z&1`4<082B9o:5f1`:94?"5l80:m:5G2e28R7b428qG>i;51zTf440:>6*:77826>{zut1b=o:50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395g2<^8k?6?u+56595==#=><1=55r}|8m4da290/>i?51`58R7b428qG>i;51zTf4>0:46*:7782<>{zut1b=n=50;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628i87[?n4;0x 0102820(899:0:8yx{z3f8:m7>5$3f2>77f3_8o?7?tL3f6>4}Qm109w)<=1;02e>P6i=09w);87;f8 0112m1vqps4}c7f6?6=03:1N5k>1b=l650;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628k37[?n4;0x 0102880(899:008yx{z3`;j57>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b=>P6i=09w);87;31?!30>3;97psr}:k2f1<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:n95Y1`696~"2?>0:>6*:77826>{zut1b=oh50;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628hm7[?n4;0x 0102880(899:008yx{z3`;h>7>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6k;1]=l:52z&632<602.>;;4>8:~yx=n9j91<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474e43_;j874><,<==6<64}|~?l7d<3:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082g1=Q9h>1>v*:7682<>"2??0:46sr}|9l64g=83.9h<4=1`9U6a5=9rF9h84>{Wg;>7}#:;;1>7}#=>=1h6*:778g?x{zu2wi9h=50;:94?6|,;n:6:>4H3a4?l7f03:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082e==Q9h>1>v*:76826>"2??0:>6sr}|9j5d?=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l74V0c7>7}#=>=1=?5+564957=zutw0e4d33_;j8744<,<==6<<4}|~?l7en3:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?mf:T2e1<5s-?<;7?7;%742?7?3twvq6g>c383>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=n<4V0c7>7}#=>=1=55+56495==zutw0e6a581!30?3;37);86;3;?x{zu2c:o94?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9j>0Z:33b?S7f<38p(898:e9'120=l2wvqp5rb4g7>5<>290;w)4>{M0g1?7|^l21>v*=2082e==Q9h>1>v*:76826>"2??0:>6sr}|9j5d?=83.9h<4>a69K6a6<^;n86:0c:?S7f<38p(898:0:8 0112820qpsr;h3a0?6=,;n:66a581!30?3;37);86;3;?x{zu2c:nk4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082fc=Q9h>1>v*:7682<>"2??0:46sr}|9j5f4=83.9h<4>a69K6a6<^;n86:0a1?S7f<38p(898:0:8 0112820qpsr;h3`7?6=,;n:66a581!30?3;37);86;3;?x{zu2c:o94?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082g1=Q9h>1>v*:7682<>"2??0:46sr}|9j5f3=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=n;4V0c7>7}#=>=1=55+56495==zutw0c??n:18'6a7=:8k0Z?j<:0yO6a3=9r\n4777f3_;j87a=#=><1h6sr}|9~f0c2290>6=4?{%0g5?4612B9o:5f1`:94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9h20Z;;4k;|~y>o6i00;6)P5l:0:wA=:r.9><4>a89U5d2=:r.>;:4k;%742?bi?51`58R7b428qG>i;51zTf{zut1d>i=51zN1`0<6s_o36?u+233964g<^8k?6?u+5659`>"2??0o7psr}:a7c5=8381<7>t$3f2>a2<@;i<7d?n7;29 7b628k<76a=1`83>!4c938:m6X=d282I4c=3;pZh652z&164<59h1]=l:52z&6325$3f2>4g03_8o?7?tL3f6>4}Q:8:1>v*=2082e2=Q9h>1>v*:768g?!30>3n0qpsr;hf5>5<#:m;1h;5Y2e195~J5l<0:w[<>0;0x 7462m<0Z;;4k;|~y>oc?3:1(?j>:e58R7b42;qG>i;51zT155<5s-89=7j8;W3b0?4|,<=<6k5+5649b>{zuE8o87:tV3c:>6}Qm?09w);85;f4?!54=3?<;6sYe681!30=3;j;6*<348632=z,v*:748g3>"4;<0>;:5rVd596~"2?<0:m:5+32791207}#=>?1h;5+3279121v*:748g3>"4;<0>;:5r$4g:>4?no52zTf2?4|,<=>6?o7;%101?30?2w/9h75199~yx=n:h;1<7*=d081e4=Q:m91>vB=d482S46838p(?<>:3c2?S7f<38p(898:0:8 0112820qpsC2e695~P5kh09w[k9:3y'123=:h;0(>=::454?x"2m00:46sr}:m15d<72-8o=7<>a:9~f6`329086=4?{%0g5?4dm2B9o:5f1`:94?"5l80:m:5G2e28R7b428qG>i;51zTf{zut1b=l750;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d?<^8k?6?u+5659`>"2??0o7psr}:m15d<72-8o=7<>a:T1`6<6sE8o97?tVd:96~"5:809=l5Y1`696~"2?>0o7);86;f8yx{z3th8j84?:083>5}#:m;1>i64H3a4?j46i3:1(?j>:33b?>{e;o=1<7=50;2x 7b62>:0D?m8;h3bP5l:0:wA=:r.9><4>a99U5d2=:r.>;:4>7:&633<6?2wvqp5f1`;94?"5l80:m:5G2e28R7b428qG>i;51zTf41a;29 7b62;;j7[50z&1`4<082B9o:5f1`:94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9h20Zo6j=0;6)P5l:0:wA=:r.9><4>b59U5d2=:r.>;:4>7:&633<6?2wvqp5`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Z;;4k;|~y>{e;o31<7;50;2x 7b62>:0D?m8;h3b6a581!30?3;:7);86;32?x{zu2c:m44?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9h30Z1/9:85169~yx{:0`7?S7f<38p(898:058 01128=0qpsr;h3ab?6=,;n:66a581!30?3;<7);86;34?x{zu2e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:768g?!30>3n0qpsr;|`0bd<72<0;6=u+2e3935=O:j=0e4g?3_;j8747<,<==6:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082e<=Q9h>1>v*:76823>"2??0:;6sr}|9j5g2=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=o:4V0c7>7}#=>=1=:5+564952=zutw0e4da3_;j8741<,<==6<94}|~?j46i3:1(?j>:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7j4$455>a=zutw0qo=ib;291?6=8r.9h<480:J1g2=n9h21<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51`:8R4g32;q/9:95109'120=981vqps4i0c:>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?n9:T2e1<5s-?<;7?8;%742?703twvq6g>b583>!4c93;j;6X=d282I4c=3;pZh652z&164<6j=1]=l:52z&632<6?2.>;;4>7:~yx=n9kl1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51cd8R4g32;q/9:95169'120=9>1vqps4o33b>5<#:m;1>4}K:m?1=vXj8;0x 7462;;j7[?n4;0x 0102m1/9:85d:~yx=zj:l=6=49:183!4c938:56F=c69j5d>=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l64V0c7>7}#=>=1h6*:778g?x{zu2c:m44?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082e<=Q9h>1>v*:768g?!30>3n0qpsr;h3a0?6=,;n:66a581!30?3n0(899:e9~yx{:0`e?S7f<38p(898:e9'120=l2wvqp5f1b094?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9j80Z;;4k;|~y>i59h0;6)P5l:0:wA=:r.9><4=1`9U5d2=:r.>;:4k;%742?b7<729q/>i?5d59K6f15$3f2>77f3_8o?7?tL3f6>4}Qm109w)<=1;02e>P6i=09w);87;f8 0112m1vqps4}c1e6?6=>3:1i?51`58R7b428qG>i;51zT155<5s-89=7?n7:T2e1<5s-?<;7j4$455>a=zutw0ei850;&1`42\9h>4>{M0g1?7|^;;;6?u+2339`3=Q9h>1>v*:768g?!30>3n0qpsr;hf4>5<#:m;1h:5Y2e196~J5l<0:w[<>0;0x 7462m=0Z;;4i;|~H7b32=q]>l753zTf2?4|,<=>6i94$216>0103t\n;74g03-9897;87:'1`?=9=1vZ?o6:2yUa3<5s-?<97j8;%101?30?2w]i:4={%741?7f?2.8?84:779~ 0c>28>0q[;84k7:&070<2?>1vZh952z&6302.8?84:769~ 0c>2l1vZ?vB=d482S46838p(?<>:3c;?S7f<38p(898:0:8 0112820qpsC2e695~P5kh09w[k9:3y'123=:h20(>=::454?x"2m00:46sr}:k1e4<72-8o=77}#:;;1>l?4V0c7>7}#=>=1=55+56495==zutF9h94>{W0`e?4|^l<1>v*:7481e4=#;:?19:94}%7f=?7?3twv7b<>a;29 7b62;;j76sm3da94?5=83:p(?j>:3af?M4d?2c:m54?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9h20Z;;4k;|~y>o6i00;6)P5l:0:wA=:r.9><4>a89U5d2=:r.>;:4k;%742?ba;29 7b62;;j7[50z&1`4<5l11C>n94o33b>5<#:m;1>6a581!30?3;<7);86;34?x{zu2c:m44?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9h30Z1/9:85169~yx{i59h0;6)P5l:0:wA=:r.9><4=1`9U5d2=:r.>;:4k;%742?b1<729q/>i?5719K6f1o6i00;6)P5l:0:wA=:r.9><4>a89U5d2=:r.>;:4>7:&633<6?2wvqp5f1c694?"5l80:m:5G2e28R7b428qG>i;51zTf41a;29 7b62;;j7[50z&1`4<5901C>n94i0c;>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628k37[?n4;0x 0102m1/9:85d:~yx=n9h31<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51`;8R4g32;q/9:95d:&633!4c938:m6X=d282I4c=3;pZh652z&164<59h1]=l:52z&632n94i0c4>5<#:m;1=l94;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3n0(899:e9~yx{56;294~"5l80:m85G2b58 4e?28k0e7}#:;;1h;5Y1`696~"2?>0o7);86;f8yx{z3`n<6=4+2e39`2=Q:m91>vB=d482S46838p(?<>:e58R4g32;q/9:95f:&633a1<,:9>6898;|Tf3?4|,<=>62:q]i;4={%741?b03-9897;87:Ua2<5s-?<97?n7:&070<2??1v(8k6:068yS4f139pZh852z&630;84k6:&070<2?>1v(8k6:d9~R7472;q]i;4={%741?b03-9897;87:'1`?=901vqp5f2`:94?"5l809m55Y2e196~J5l<0:w[<>0;0x 7462;k37[?n4;0x 0102820(899:0:8yx{K:m>1=vX=c`81Sc12;q/9:;52`:8 6522<=<7p*:e882<>{zu2c9m<4?:%0g5?4f92\9h>4={M0g1?7|^;;;6?u+23396d7<^8k?6?u+56595==#=><1=55r}|N1`1<6s_8hm7:33b?>{e;l>1<7=50;2x 7b62;in7E??51`:8R4g32;q/9:95d:&633a883>!4c93;j;6X=d282I4c=3;pZh652z&164<6i01]=l:52z&632:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7j4$455>a=zutw0qo=j5;295?6=8r.9h<4=d99K6f15}#:m;1;=5G2b58m4g?290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3b<>P6i=09w);87;34?!30>3;<7psr}:k2e<<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51`;8R4g32;q/9:95169'120=9>1vqps4i0`7>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?m4:T2e1<5s-?<;7?8;%742?703twvq6a=1`83>!4c938:m6X=d282I4c=3;pZh652z&164<59h1]=l:52z&632n94i0c;>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?n8:T2e1<5s-?<;7?8;%742?703twvq6g>a883>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=l74V0c7>7}#=>=1=:5+564952=zutw0e4d33_;j8741<,<==6<94}|~?j46i3:1(?j>:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7j4$455>a=zutw0qo=j6;297?6=8r.9h<4=189K6f1:0c;?S7f<38p(898:e9'120=l2wvqp5f1`;94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9h30Z;;4k;|~y>i59h0;6)P5l:0:wA=:r.9><4=1`9U5d2=:r.>;:4k;%742?b7<729q/>i?5d59K6f15$3f2>77f3_8o?7?tL3f6>4}Qm109w)<=1;02e>P6i=09w);87;f8 0112m1vqps4}c6;5?6=>3:1i?51`58R7b428qG>i;51zT155<5s-89=7?n7:T2e1<5s-?<;7j4$455>a=zutw0ei850;&1`42\9h>4>{M0g1?7|^;;;6?u+2339`3=Q9h>1>v*:768g?!30>3n0qpsr;hf4>5<#:m;1h:5Y2e196~J5l<0:w[<>0;0x 7462m=0Z;;4i;|~H7b32=q]>l753zTf2?4|,<=>6i94$216>0103t\n;74g03-9897;87:'1`?=9=1vZ?o6:2yUa3<5s-?<97j8;%101?30?2w]i:4={%741?7f?2.8?84:779~ 0c>28>0q[;84k7:&070<2?>1vZh952z&6302.8?84:769~ 0c>2l1vZ?vB=d482S46838p(?<>:3c;?S7f<38p(898:0:8 0112820qpsC2e695~P5kh09w[k9:3y'123=:h20(>=::454?x"2m00:46sr}:k1e4<72-8o=77}#:;;1>l?4V0c7>7}#=>=1=55+56495==zutF9h94>{W0`e?4|^l<1>v*:7481e4=#;:?19:94}%7f=?7?3twv7b<>a;29 7b62;;j76sm46494?5=83:p(?j>:3af?M4d?2c:m54?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9h20Z;;4k;|~y>o6i00;6)P5l:0:wA=:r.9><4>a89U5d2=:r.>;:4k;%742?ba;29 7b62;;j7[50z&1`4<5l11C>n94o33b>5<#:m;1>4g?3_;j87a=#=><1h6sr}|9j5d?=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l74V0c7>7}#=>=1h6*:778g?x{zu2e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:768g?!30>3n0qpsr;|`73d<72:0;6=u+2e3935=O:j=0e4g?3_;j87a=#=><1h6sr}|9j5d?=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l74V0c7>7}#=>=1h6*:778g?x{zu2e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:768g?!30>3n0qpsr;|`73g<72=0;6=u+2e3935=O:j=0e4g?3_;j8741<,<==6<94}|~?l7f13:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?n9:T2e1<5s-?<;7?8;%742?703twvq6g>b583>!4c93;j;6X=d282I4c=3;pZh652z&164<6j=1]=l:52z&632<6?2.>;;4>7:~yx=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95d:&633:628L7e03`;j47>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6i11]=l:52z&632<6?2.>;;4>7:~yx=n9h31<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51`;8R4g32;q/9:95169'120=9>1vqps4i0`7>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?m4:T2e1<5s-?<;7?8;%742?703twvq6a=1`83>!4c938:m6X=d282I4c=3;pZh652z&164<59h1]=l:52z&632n94i0c;>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628k37[?n4;0x 01028=0(899:058yx{z3`;j57>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6i01]=l:52z&632<6?2.>;;4>7:~yx=n9k>1<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474d33_;j8741<,<==6<94}|~?l7en3:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?mf:T2e1<5s-?<;7?8;%742?703twvq6a=1`83>!4c938:m6X=d282I4c=3;pZh652z&164<59h1]=l:52z&632n94i0c;>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?n8:T2e1<5s-?<;7?>;%742?763twvq6g>a883>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=l74V0c7>7}#=>=1=<5+564954=zutw0e6a581!30?3;<7);86;34?x{zu2c:nk4?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9kl0Z1/9:85169~yx{:0a1?S7f<38p(898:058 01128=0qpsr;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3n0(899:e9~yx{56;294~"5l80<<6F=c69j5d>=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l64V0c7>7}#=>=1=<5+564954=zutw0e6a581!30?3;<7);86;34?x{zu2c:n94?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9k>0Z1/9:85169~yx{o6k;0;6)P5l:0:wA=:r.9><4>c39U5d2=:r.>;:4>7:&633<6?2wvqp5`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Z;;4k;|~y>{e<>21<7650;2x 7b62;;27E0o7);86;f8yx{z3`;j57>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b=>P6i=09w);87;f8 0112m1vqps4i0`7>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628h?7[?n4;0x 0102m1/9:85d:~yx=n9kl1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51cd8R4g32;q/9:95d:&633c383>!4c93;j;6X=d282I4c=3;pZh652z&164<6k;1]=l:52z&632:0c4?S4c;3;p@?j::0yUa=<5s-89=7?l3:T2e1<5s-?<;7j4$455>a=zutw0e4e33_;j87a=#=><1h6sr}|9l64g=83.9h<4=1`9U6a5=9rF9h84>{Wg;>7}#:;;1>7}#=>=1h6*:778g?x{zu2wi?4850;094?6|,;n:6i:4H3a4?l7f?3:1(?j>:0c4?>i59h0;6)P5l:0:wA=:r.9><4=1`9U5d2=:r.>;:4k;%742?b6<729q/>i?52bg8L7e03`;j47>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b<>P6i=09w);87;f8 0112m1vqps4i0c:>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628k27[?n4;0x 01028?0(899:078yx{z3f8:m7>5$3f2>77f3_8o?7?tL3f6>4}Qm109w)<=1;02e>P6i=09w);87;f8 0112m1vqps4}c1:a?6=>3:1i?51`58R7b428qG>i;51zT155<5s-89=7?n7:T2e1<5s-?<;7j4$455>a=zutw0ei850;&1`42\9h>4>{M0g1?7|^;;;6?u+2339`3=Q9h>1>v*:768g?!30>3n0qpsr;hf4>5<#:m;1h:5Y2e196~J5l<0:w[<>0;0x 7462m=0Z;;4i;|~H7b32=q]>l753zTf2?4|,<=>6i94$216>0103t\n;74g03-9897;87:'1`?=9=1vZ?o6:2yUa3<5s-?<97j8;%101?30?2w]i:4={%741?7f?2.8?84:779~ 0c>28>0q[;84k7:&070<2?>1vZh952z&6302.8?84:769~ 0c>2l1vZ?vB=d482S46838p(?<>:3c;?S7f<38p(898:0:8 0112820qpsC2e695~P5kh09w[k9:3y'123=:h20(>=::454?x"2m00:46sr}:k1e4<72-8o=77}#:;;1>l?4V0c7>7}#=>=1=55+56495==zutF9h94>{W0`e?4|^l<1>v*:7481e4=#;:?19:94}%7f=?7?3twv7b<>a;29 7b62;;j76sm38594?5=83:p(?j>:3af?M4d?2c:m54?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9h20Z;;4k;|~y>o6i00;6)P5l:0:wA=:r.9><4>a89U5d2=:r.>;:4k;%742?ba;29 7b62;;j7[03:1=7>50z&1`4<5l11C>n94o33b>5<#:m;1>4g?3_;j8741<,<==6<94}|~?l7f13:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082e<=Q9h>1>v*:76823>"2??0:;6sr}|9j5g2=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=o:4V0c7>7}#=>=1=:5+564952=zutw0c??n:18'6a7=:8k0Z?j<:0yO6a3=9r\n4777f3_;j87a=#=><1h6sr}|9~f6?e290<6=4?{%0g5?173A8h;6g>a983>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=l64V0c7>7}#=>=1=:5+564952=zutw0e6a581!30?3;<7);86;34?x{zu2c:n94?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9k>0Z1/9:85169~yx{o6k;0;6)N5l91]>i=51zN1`0<6s_o36?u+23395f4<^8k?6?u+565952=#=><1=:5r}|8m4e4290/>i?51`58R7b428qG>i;51zTf41a;29 7b62;;j7[k3:1;7>50z&1`4<082B9o:5f1`:94?"5l80:m:5G2e28R7b428qG>i;51zTf410:;6*:77823>{zut1b=o:50;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628h?7[?n4;0x 01028=0(899:058yx{z3`;ij7>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6jo1]=l:52z&632<6?2.>;;4>7:~yx=n9j81<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474e53_;j8741<,<==6<94}|~?l7d;3:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082g6=Q9h>1>v*:76823>"2??0:;6sr}|9l64g=83.9h<4=1`9U6a5=9rF9h84>{Wg;>7}#:;;1>7}#=>=1h6*:778g?x{zu2wi?4750;694?6|,;n:6??6;I0`3>o6i10;6)P5l:0:wA=:r.9><4>a99U5d2=:r.>;:4k;%742?bi?51`58R7b428qG>i;51zTf{zut1d>i=51zN1`0<6s_o36?u+233964g<^8k?6?u+5659`>"2??0o7psr}:a7d6=8381<7>t$3f2>a2<@;i<7d?n7;29 7b628k<76a=1`83>!4c938:m6X=d282I4c=3;pZh652z&164<59h1]=l:52z&6325$3f2>4g03_8o?7?tL3f6>4}Q:8:1>v*=2082e2=Q9h>1>v*:768g?!30>3n0qpsr;hf5>5<#:m;1h;5Y2e195~J5l<0:w[<>0;0x 7462m<0Z;;4k;|~y>oc?3:1(?j>:e58R7b42;qG>i;51zT155<5s-89=7j8;W3b0?4|,<=<6k5+5649b>{zuE8o87:tV3c:>6}Qm?09w);85;f4?!54=3?<;6sYe681!30=3;j;6*<348632=z,v*:748g3>"4;<0>;:5rVd596~"2?<0:m:5+32791207}#=>?1h;5+3279121v*:748g3>"4;<0>;:5r$4g:>4?no52zTf2?4|,<=>6?o7;%101?30?2w/9h75199~yx=n:h;1<7*=d081e4=Q:m91>vB=d482S46838p(?<>:3c2?S7f<38p(898:0:8 0112820qpsC2e695~P5kh09w[k9:3y'123=:h;0(>=::454?x"2m00:46sr}:m15d<72-8o=7<>a:9~f6g629086=4?{%0g5?4dm2B9o:5f1`:94?"5l80:m:5G2e28R7b428qG>i;51zTf{zut1b=l750;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d?<^8k?6?u+5659`>"2??0o7psr}:m15d<72-8o=7<>a:T1`6<6sE8o97?tVd:96~"5:809=l5Y1`696~"2?>0o7);86;f8yx{z3th8m?4?:083>5}#:m;1>i64H3a4?j46i3:1(?j>:33b?>{e;h>1<7=50;2x 7b62>:0D?m8;h3b6a581!30?3;<7);86;34?x{zu2c:m44?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082e<=Q9h>1>v*:76823>"2??0:;6sr}|9l64g=83.9h<4=1`9U6a5=9rF9h84>{Wg;>7}#:;;1>7}#=>=1h6*:778g?x{zu2wi?l;50;194?6|,;n:6:>4H3a4?l7f03:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082e==Q9h>1>v*:76823>"2??0:;6sr}|9j5d?=83.9h<4>a69K6a6<^;n86:0c:?S7f<38p(898:058 01128=0qpsr;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3n0(899:e9~yx{53;294~"5l80<<6F=c69j5d>=83.9h<4>a69K6a6<^;n86:0c;?S7f<38p(898:058 01128=0qpsr;h3b=?6=,;n:66a581!30?3;<7);86;34?x{zu2e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:768g?!30>3n0qpsr;|`0e6<72=0;6=u+2e3964?<@;i<7d?n8;29 7b628k<7[290/>i?51`58R7b428qG>i;51zTf{zut1b=o:50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395g2<^8k?6?u+5659`>"2??0o7psr}:m15d<72-8o=7<>a:T1`6<6sE8o97?tVd:96~"5:809=l5Y1`696~"2?>0o7);86;f8yx{z3th8m44?:383>5}#:m;1h95G2b58m4g0290/>i?51`58?j46i3:1(?j>:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7j4$455>a=zutw0qo=m3;292?6=8r.9h<4>a49K6f1<,8i365<#:m;1=l94V3f0>4}K:m?1=vX=1181!4593;j;6X>a581!30?3n0(899:e9~yx{P5l:0:wA??5d79U5d2=:r.>;:4k;%742?b1]>i=52zN1`0<6s_8:<7a1<^8k?6?u+5659b>"2??0m7psrL3f7>1}Q:h31?vXj6;0x 0122m=0(>=::454?xPb?38p(89::0c4?!54=3?<;6s+5d;951=z^;k26>uYe781!30=3n<7)=<5;743>{Qm>09w);85;3b3>"4;<0>;;5r$4g:>42v*:748g2>"4;<0>;:5r$4g:>`=z^;8;6?uYe781!30=3n<7)=<5;743>{#=l31=45r}|9j6d>=83.9h<4=a99U6a5=:rF9h84>{W024?4|,;8:6?o7;W3b0?4|,<=<6<64$455>4>i:51zT1gd<5s_o=6?u+56796d><,:9>6898;|&6a<<602wvq6g=a083>!4c938j=6X=d281I4c=3;pZ???:3y'677=:h;0Z;84=a09'763==>=0q);j9;3;?x{z3f8:m7>5$3f2>77f32wi?lo50;194?6|,;n:6?mj;I0`3>o6i10;6)N5l91]>i=51zN1`0<6s_o36?u+23395d><^8k?6?u+5659`>"2??0o7psr}:k2e<<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:m45Y1`696~"2?>0o7);86;f8yx{z3f8:m7>5$3f2>77f3_8o?7?tL3f6>4}Qm109w)<=1;02e>P6i=09w);87;f8 0112m1vqps4}c1bf?6=93:16<729q/>i?5719K6f1o6i00;6)N5l91]>i=51zN1`0<6s_o36?u+23395d?<^8k?6?u+565952=#=><1=:5r}|8k77f290/>i?520c8R7b428qG>i;51zTf{zut1vn>oj:180>5<7s-8o=79?;I0`3>o6i10;6)N5l91]>i=51zN1`0<6s_o36?u+23395d><^8k?6?u+565952=#=><1=:5r}|8m4g>290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3b=>P6i=09w);87;34?!30>3;<7psr}:m15d<72-8o=7<>a:T1`6<6sE8o97?tVd:96~"5:809=l5Y1`696~"2?>0o7);86;f8yx{z3th8mk4?:283>5}#:m;1;=5G2b58m4g?290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3b<>P6i=09w);87;34?!30>3;<7psr}:k2e<<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:m45Y1`696~"2?>0:;6*:77823>{zut1d>i=51zN1`0<6s_o36?u+233964g<^8k?6?u+5659`>"2??0o7psr}:a7g6=83>1<7>t$3f2>26<@;i<7d?n8;29 7b628k<7[o6i00;6)P5l:0:wA=:r.9><4>a89U5d2=:r.>;:4>7:&633<6?2wvqp5f1c694?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9k>0Z1/9:85169~yx{:33b?S7f<38p(898:e9'120=l2wvqp5rb2`2>5<3290;w)1/9:85169~yx{o6j=0;6)P5l:0:wA=:r.9><4>b59U5d2=:r.>;:4>7:&633<6?2wvqp5`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Z;;4k;|~y>{e;hi1<7850;2x 7b62;;27E0o7);86;f8yx{z3`;j57>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b=>P6i=09w);87;f8 0112m1vqps4i0`7>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628h?7[?n4;0x 0102m1/9:85d:~yx=n9kl1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51cd8R4g32;q/9:95d:&633c383>!4c93;j;6X=d282I4c=3;pZh652z&164<6k;1]=l:52z&632:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7j4$455>a=zutw0qo:9d;296?6=8r.9h<4k4:J1g2=n9h=1<7*=d082e2=:33b?S7f<38p(898:e9'120=l2wvqp5rb557>5<1290;w)N5k>1/=n651`9j5d1=83.9h<4>a69U6a5=9rF9h84>{W024?4|,;8:6{zut1bh;4?:%0g5?b13_8o?7?tL3f6>4}Q:8:1>v*=208g2>P6i=09w);87;f8 0112m1vqps4ie594?"5l80o;6X=d281I4c=3;pZ???:3y'677=l>1]=l:52z&6327}#=>?1h:5+32791216898;|&6a<<6<2w]>l753zTf2?4|,<=>6i94$216>0103t\n;74g03-9897;86:'1`?=9=1vZ?o6:2yUa3<5s-?<97j8;%101?30?2w]i:4={%741?b13-9897;87:'1`?=m2w]>?>52zTf2?4|,<=>6i94$216>0103t.>i44>9:~y>o5i10;6)P5l:09wA??52`:8R4g32;q/9:95199'120=911vqpB=d582S4di38pZh852z&630<5i11/?>;55658y!3b13;37psr;h0b5?6=,;n:6?o>;W0g7?4|D;n>60:46*:7782<>{zuE8o87?tV3ab>7}Qm?09w);85;0b5>"4;<0>;:5r$4g:>4>6<729q/>i?52bg8L7e03`;j47>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b<>P6i=09w);87;f8 0112m1vqps4i0c:>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628k27[?n4;0x 0102m1/9:85d:~yx=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95d:&633:3f;?M4d?2e9=l4?:%0g5?46i21vn99>:180>5<7s-8o=79?;I0`3>o6i10;6)P5l:0:wA=:r.9><4>a99U5d2=:r.>;:4>7:&633<6?2wvqp5f1`;94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9h30Z1/9:85169~yx{:33b?S7f<38p(898:e9'120=l2wvqp5rb551>5<4290;w)4>{M0g1?7|^l21>v*=2082e==Q9h>1>v*:76823>"2??0:;6sr}|9j5d?=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l74V0c7>7}#=>=1=:5+564952=zutw0c??n:18'6a7=:8k0Z?j<:0yO6a3=9r\n4777f3_;j87a=#=><1h6sr}|9~f11729086=4?{%0g5?4612B9o:5f1`:94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9h20Z;;4k;|~y>o6i00;6)P5l:0:wA=:r.9><4>a89U5d2=:r.>;:4k;%742?ba;29 7b62;;j7[7>50z&1`45<#:m;1>4}K:m?1=vXj8;0x 7462;;j7[?n4;0x 0102m1/9:85d:~yx=zj:ho6=4<:183!4c938hi6F=c69j5d>=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l64V0c7>7}#=>=1h6*:778g?x{zu2c:m44?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082e<=Q9h>1>v*:76821>"2??0:96sr}|9l64g=83.9h<4=1`9U6a5=9rF9h84>{Wg;>7}#:;;1>7}#=>=1h6*:778g?x{zu2wi?om50;494?6|,;n:6"6k10:m6g>a683>!4c93;j;6X=d282I4c=3;pZ???:3y'677=9h=0Z;;4k;|~y>oc>3:1(?j>:e48R7b428qG>i;51zT155<5s-89=7j9;W3b0?4|,<=<6i5+5649`>{zut1bh:4?:%0g5?b03_8o?74}Q:8:1>v*=208g3>P6i=09w);87;d8 0112o1vqpB=d587S4f139pZh852z&630;84>a69'763==>=0q);j9;37?xP5i008w[k9:3y'123=l>1/?>;55658ySc02;q/9:;51`58 6522<==7p*:e8820>{Q:h31?vXj6;0x 0122m=0(>=::454?xPb?38p(89::e48 6522<=<7p*:e88f?xP5:909w[k9:3y'123=l>1/?>;55658y!3b13;27psr;h0b60:46*:7782<>{zuE8o87?tV3ab>7}Qm?09w);85;0b<>"4;<0>;:5r$4g:>4>:18'6a7=:h;0Z?j<:3yO6a3=9r\9==4={%015?4f92\:m94={%743?7?3-?<:7?7;|~H7b328q]>no52zTf2?4|,<=>6?o>;%101?30?2w/9h75199~yx=h:8k1<7*=d0815d=53;294~"5l809oh5G2b58m4g?290/>i?51`58R7b428qG>i;51zTf{zut1b=l750;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d?<^8k?6?u+5659`>"2??0o7psr}:m15d<72-8o=7<>a:T1`6<6sE8o97?tVd:96~"5:809=l5Y1`696~"2?>0o7);86;f8yx{z3th8n;4?:083>5}#:m;1>i64H3a4?j46i3:1(?j>:33b?>{e;k21<7:50;2x 7b62>:0D?m8;h3b6a581!30?3;<7);86;34?x{zu2c:m44?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9h30Z1/9:85169~yx{:0`7?S7f<38p(898:058 01128=0qpsr;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3n0(899:e9~yx{55;294~"5l80<<6F=c69j5d>=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l64V0c7>7}#=>=1=:5+564952=zutw0e4g>3_;j8741<,<==6<94}|~?l7e<3:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?m4:T2e1<5s-?<;7?8;%742?703twvq6g>bg83>!4c93;j;6X=d282I4c=3;pZh652z&164<6jo1]=l:52z&632<6?2.>;;4>7:~yx=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95d:&633:628L7e03`;j47>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b<>P6i=09w);87;34?!30>3;<7psr}:k2e<<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:m45Y1`696~"2?>0:;6*:77823>{zut1b=o:50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395g2<^8k?6?u+565952=#=><1=:5r}|8m4da290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3ab>P6i=09w);87;34?!30>3;<7psr}:m15d<72-8o=7<>a:T1`6<6sE8o97?tVd:96~"5:809=l5Y1`696~"2?>0o7);86;f8yx{z3th8n:4?:583>5}#:m;1><74H3a4?l7f03:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?n8:T2e1<5s-?<;7j4$455>a=zutw0e4g>3_;j87a=#=><1h6sr}|9j5g2=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=o:4V0c7>7}#=>=1h6*:778g?x{zu2e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:768g?!30>3n0qpsr;|`0f`<72;0;6=u+2e39`1=O:j=0ea;29 7b62;;j7[50z&1`4<5kl1C>n94i0c;>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628k37[?n4;0x 0102m1/9:85d:~yx=n9h31<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51`;8R4g32;q/9:95149'120=9<1vqps4o33b>5<#:m;1>4}K:m?1=vXj8;0x 7462;;j7[?n4;0x 0102m1/9:85d:~yx=zj:i<6=49:183!4c93;j96F=c69'5f>=9h1b=l950;&1`4<6i>1]>i=51zN1`0<6s_8:<74g03_;j87a=#=><1h6sr}|9j`3<72-8o=7j9;W0g7?7|D;n>6a581!30?3n0(899:e9~yx{P5l:09wA??5d69U5d2=:r.>;:4i;%742?`i:54zT1e<<4s_o=6?u+5679`2=#;:?19:94}Wg4>7}#=>?1=l94$216>0103t.>i44>4:U6d?=;r\n:7a1<,:9>6898;|Tf3?4|,<=>62w/9h75159~R7g>2:q]i;4={%741?b03-9897;87:Ua2<5s-?<97j9;%101?30?2w/9h75e:U676=:r\n:7a1<,:9>6898;|&6a<<612wvq6g=a983>!4c938j46X=d281I4c=3;pZ???:3y'677=:h20Z;84=a99'763==>=0q);j9;3;?x{z3`8j=7>5$3f2>7g63_8o?74}Q:8:1>v*=2081e4=Q9h>1>v*:7682<>"2??0:46sr}M0g0?7|^;ij6?uYe781!30=38j=6*<348632=z,i?520c8?xd4jo0;6>4?:1y'6a7=:jo0D?m8;h3b6a581!30?3n0(899:e9~yx{:0c:?S7f<38p(898:e9'120=l2wvqp5`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Z;;4k;|~y>{e;j:1<7?50;2x 7b62;n37Ea:9~f6e5290?6=4?{%0g5?173A8h;6g>a983>!4c93;j;6X=d282I4c=3;pZh652z&164<6i11]=l:52z&632<6?2.>;;4>7:~yx=n9h31<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474g>3_;j8741<,<==6<94}|~?l7e<3:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?m4:T2e1<5s-?<;7?8;%742?703twvq6a=1`83>!4c938:m6X=d282I4c=3;pZh652z&164<59h1]=l:52z&632n94i0c;>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628k37[?n4;0x 01028=0(899:058yx{z3`;j57>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b=>P6i=09w);87;34?!30>3;<7psr}:k2f1<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:n95Y1`696~"2?>0:;6*:77823>{zut1b=oh50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395g`<^8k?6?u+565952=#=><1=:5r}|8m4e5290/>i?51`58R7b428qG>i;51zTf41a;29 7b62;;j7[50z&1`4<082B9o:5f1`:94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9h20Z1/9:85169~yx{:0c:?S7f<38p(898:058 01128=0qpsr;h3a0?6=,;n:66a581!30?3;<7);86;34?x{zu2c:nk4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082fc=Q9h>1>v*:76823>"2??0:;6sr}|9j5f4=83.9h<4>a69K6a6<^;n86:0a1?S7f<38p(898:058 01128=0qpsr;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3n0(899:e9~yx{56;294~"5l80<<6F=c69j5d>=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l64V0c7>7}#=>=1=:5+564952=zutw0e4g>3_;j8741<,<==6<94}|~?l7e<3:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082f1=Q9h>1>v*:76823>"2??0:;6sr}|9j5g`=83.9h<4>a69K6a6<^;n86:0`e?S7f<38p(898:058 01128=0qpsr;h3`6?6=,;n:666X>a581!30?3;<7);86;34?x{zu2e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:768g?!30>3n0qpsr;|`0g4<72<0;6=u+2e3964?<@;i<7d?n8;29 7b628k<7[290/>i?51`58R7b428qG>i;51zTf{zut1b=o:50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395g2<^8k?6?u+5659`>"2??0o7psr}:k2fc<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:nk5Y1`696~"2?>0o7);86;f8yx{z3f8:m7>5$3f2>77f3_8o?7?tL3f6>4}Qm109w)<=1;02e>P6i=09w);87;f8 0112m1vqps4}c0g=?6=:3:1N5k>1b=l950;&1`4<6i>10c??n:18'6a7=:8k0Z?j<:0yO6a3=9r\n4777f3_;j87a=#=><1h6sr}|9~f7ba29086=4?{%0g5?4dm2B9o:5f1`:94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9h20Z;;4k;|~y>o6i00;6)P5l:0:wA=:r.9><4>a89U5d2=:r.>;:4>5:&633<6=2wvqp5`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Z;;4k;|~y>{e:mo1<7850;2x 7b628k>7E4>{M0g1?7|^;;;6?u+23395d1<^8k?6?u+5659`>"2??0o7psr}:kg2?6=,;n:6i84V3f0>4}K:m?1=vX=1181!4593n=7[?n4;0x 0102m1/9:85d:~yx=nl>0;6)<4k7:T2e1<5s-?<;7h4$455>c=zutF9h94;{W0b=?5|^l<1>v*:748g3>"4;<0>;:5rVd596~"2?<0:m:5+32791217}#=>?1=l94$216>0113t.>i44>4:U6d?=;r\n:7a1<,:9>6898;|Tf3?4|,<=>6i84$216>0103t.>i44j;|T165<5s_o=6?u+5679`2=#;:?19:94}%7f=?7>3twv7d<>52z&164<5i11]=l:52z&632<602.>;;4>8:~yI4c<3;pZ?mn:3yUa3<5s-?<971v(8k6:0:8yx{P6i=09w);87;3;?!30>3;37psrL3f7>4}Q:jk1>vXj6;0x 0122;k:7)=<5;743>{#=l31=55r}|9l64g=83.9h<4=1`98yg4ci3:1?7>50z&1`4<5kl1C>n94i0c;>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628k37[?n4;0x 0102m1/9:85d:~yx=n9h31<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51`;8R4g32;q/9:95d:&633!4c938:m6X=d282I4c=3;pZh652z&164<59h1]=l:52z&632t$3f2>77?3A8h;6a=1`83>!4c938:m65rb3d`>5<5290;w)i=51zN1`0<6s_o36?u+233964g<^8k?6?u+5659`>"2??0o7psr}:a754=8391<7>t$3f2>7eb3A8h;6g>a983>!4c93;j;6X=d282I4c=3;pZh652z&164<6i11]=l:52z&632:0c4?S4c;3;p@?j::0yUa=<5s-89=7?n9:T2e1<5s-?<;7?:;%742?723twvq6a=1`83>!4c938:m6X=d282I4c=3;pZh652z&164<59h1]=l:52z&6325$3f2>4g03_8o?7?tL3f6>4}Q:8:1>v*=2082e2=Q9h>1>v*:768g?!30>3n0qpsr;hf5>5<#:m;1h;5Y2e195~J5l<0:w[<>0;0x 7462m<0Z;;4k;|~y>oc?3:1(?j>:e58R7b42;qG>i;51zT155<5s-89=7j8;W3b0?4|,<=<6k5+5649b>{zuE8o87:tV3c:>6}Qm?09w);85;f4?!54=3?<;6sYe681!30=3;j;6*<348632=z,v*:748g3>"4;<0>;:5rVd596~"2?<0:m:5+32791207}#=>?1h;5+3279121v*:748g3>"4;<0>;:5r$4g:>4?no52zTf2?4|,<=>6?o7;%101?30?2w/9h75199~yx=n:h;1<7*=d081e4=Q:m91>vB=d482S46838p(?<>:3c2?S7f<38p(898:0:8 0112820qpsC2e695~P5kh09w[k9:3y'123=:h;0(>=::454?x"2m00:46sr}:m15d<72-8o=7<>a:9~f7`c29086=4?{%0g5?4dm2B9o:5f1`:94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9h20Z;;4k;|~y>o6i00;6)P5l:0:wA=:r.9><4>a89U5d2=:r.>;:4k;%742?ba;29 7b62;;j7[50z&1`4<5l11C>n94o33b>5<#:m;1>4g?3_;j8741<,<==6<94}|~?l7f13:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?n9:T2e1<5s-?<;7?8;%742?703twvq6g>b583>!4c93;j;6X=d282I4c=3;pZh652z&164<6j=1]=l:52z&632<6?2.>;;4>7:~yx=n9kl1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51cd8R4g32;q/9:95169'120=9>1vqps4i0a1>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628i97[?n4;0x 01028=0(899:058yx{z3f8:m7>5$3f2>77f3_8o?7?tL3f6>4}Qm109w)<=1;02e>P6i=09w);87;f8 0112m1vqps4}c137?6=:3:1N5k>1b=l950;&1`4<6i>10c??n:18'6a7=:8k0Z?j<:0yO6a3=9r\n4777f3_;j87a=#=><1h6sr}|9~f66>29086=4?{%0g5?4dm2B9o:5f1`:94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9h20Z;;4k;|~y>o6i00;6)P5l:0:wA=:r.9><4>a89U5d2=:r.>;:4>5:&633<6=2wvqp5`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Z;;4k;|~y>{e;921<7850;2x 7b628k>7E4>{M0g1?7|^;;;6?u+23395d1<^8k?6?u+5659`>"2??0o7psr}:kg2?6=,;n:6i84V3f0>4}K:m?1=vX=1181!4593n=7[?n4;0x 0102m1/9:85d:~yx=nl>0;6)<4k7:T2e1<5s-?<;7h4$455>c=zutF9h94;{W0b=?5|^l<1>v*:748g3>"4;<0>;:5rVd596~"2?<0:m:5+32791217}#=>?1=l94$216>0113t.>i44>4:U6d?=;r\n:7a1<,:9>6898;|Tf3?4|,<=>6i84$216>0103t.>i44j;|T165<5s_o=6?u+5679`2=#;:?19:94}%7f=?7>3twv7d<>52z&164<5i11]=l:52z&632<602.>;;4>8:~yI4c<3;pZ?mn:3yUa3<5s-?<971v(8k6:0:8yx{P6i=09w);87;3;?!30>3;37psrL3f7>4}Q:jk1>vXj6;0x 0122;k:7)=<5;743>{#=l31=55r}|9l64g=83.9h<4=1`98yg57<3:1?7>50z&1`4<5kl1C>n94i0c;>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628k37[?n4;0x 0102m1/9:85d:~yx=n9h31<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51`;8R4g32;q/9:95d:&633!4c938:m6X=d282I4c=3;pZh652z&164<59h1]=l:52z&632t$3f2>26<@;i<7d?n8;29 7b628k<7[o6i00;6)P5l:0:wA=:r.9><4>a89U5d2=:r.>;:4>7:&633<6?2wvqp5f1c694?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9k>0Z1/9:85169~yx{:0`e?S7f<38p(898:058 01128=0qpsr;h3`6?6=,;n:666X>a581!30?3;<7);86;34?x{zu2c:o>4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082g6=Q9h>1>v*:76823>"2??0:;6sr}|9l64g=83.9h<4=1`9U6a5=9rF9h84>{Wg;>7}#:;;1>7}#=>=1h6*:778g?x{zu2wi8?850;094?6|,;n:6i:4H3a4?l7f?3:1(?j>:0c4?>i59h0;6)P5l:0:wA=:r.9><4=1`9U5d2=:r.>;:4k;%742?b3<729q/>i?51`78L7e03-;h47?n;h3b3?6=,;n:660o7);86;f8yx{z3`n=6=4+2e39`3=Q:m91=vB=d482S46838p(?<>:e48R4g32;q/9:95d:&633c=#=><1j6sr}M0g0?2|^;k26>uYe781!30=3n<7)=<5;743>{Qm>09w);85;3b3>"4;<0>;:5r$4g:>42v*:7482e2=#;:?19:84}%7f=?733t\9m44<{Wg5>7}#=>?1h:5+3279121i?52`:8R7b42;qG>i;51zT155<5s-89=77g?3-9897;87:'1`?=911vqp5f2`394?"5l809m<5Y2e196~J5l<0:w[<>0;0x 7462;k:7[?n4;0x 0102820(899:0:8yx{K:m>1=vX=c`81Sc12;q/9:;52`38 6522<=<7p*:e882<>{zu2e9=l4?:%0g5?46i21vn9<8:180>5<7s-8o=7??51`:8R4g32;q/9:95d:&633a883>!4c93;j;6X=d282I4c=3;pZh652z&164<6i01]=l:52z&632:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7j4$455>a=zutw0qo:=8;295?6=8r.9h<4=d99K6f144?:283>5}#:m;1;=5G2b58m4g?290/>i?51`58R7b428qG>i;51zTf41i59h0;6)P5l:0:wA=:r.9><4=1`9U5d2=:r.>;:4k;%742?b7<729q/>i?5d59K6f15$3f2>77f3_8o?7?tL3f6>4}Qm109w)<=1;02e>P6i=09w);87;f8 0112m1vqps4}c0f2?6=;3:14g?3_;j87a=#=><1h6sr}|9j5d?=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l74V0c7>7}#=>=1=85+564950=zutw0c??n:18'6a7=:8k0Z?j<:0yO6a3=9r\n4777f3_;j87a=#=><1h6sr}|9~f7c2290=6=4?{%0g5?7f=2B9o:5+1b:95d=n9h=1<7*=d082e2=Q:m91=vB=d482S46838p(?<>:0c4?S7f<38p(898:e9'120=l2wvqp5fd783>!4c93n=7[<>52z&1642\:m94={%743?b<,<==6i5r}|8ma1=83.9h<4k7:T1`6<5sE8o97?tV333>7}#:;;1h:5Y1`696~"2?>0m7);86;d8yx{K:m>18vX=a880Sc12;q/9:;5d69'763==>=0q[k8:3y'123=9h=0(>=::454?x"2m00:86sY2`;97~Pb>38p(89::e58 6522<=<7pXj7;0x 01228k<7)=<5;742>{#=l31=95rV3c:>6}Qm?09w);85;f4?!54=3?<;6sYe681!30=3n=7)=<5;743>{#=l31i6sY23296~Pb>38p(89::e58 6522<=<7p*:e882=>{zu2c9m54?:%0g5?4f02\9h>4={M0g1?7|^;;;6?u+23396d><^8k?6?u+56595==#=><1=55r}|N1`1<6s_8hm7:3c2?S4c;38p@?j::0yU646=:r.9><4=a09U5d2=:r.>;:4>8:&633<602wvqA2820qps4o33b>5<#:m;1>290/>i?51`58R7b428qG>i;51zTf{zut1d>i=51zN1`0<6s_o36?u+233964g<^8k?6?u+5659`>"2??0o7psr}:a6`4=83;1<7>t$3f2>7b?3A8h;6a=1`83>!4c938:m65rb3g0>5<4290;w)4>{M0g1?7|^l21>v*=2082e==Q9h>1>v*:76823>"2??0:;6sr}|9j5d?=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l74V0c7>7}#=>=1=:5+564952=zutw0c??n:18'6a7=:8k0Z?j<:0yO6a3=9r\n4777f3_;j87a=#=><1h6sr}|9~f7c029096=4?{%0g5?b33A8h;6g>a683>!4c93;j;65`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Z;;4k;|~y>{e:ln1<7=50;2x 7b62;in7E0o7);86;f8yx{z3`;j57>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b=>P6i=09w);87;36?!30>3;>7psr}:m15d<72-8o=7<>a:T1`6<6sE8o97?tVd:96~"5:809=l5Y1`696~"2?>0o7);86;f8yx{z3th9in4?:783>5}#:m;1=l;4H3a4?!7d03;j7d?n7;29 7b628k<7[<>52z&164<6i>1]=l:52z&632i?5d79U6a5=9rF9h84>{W024?4|,;8:6i84V0c7>7}#=>=1h6*:778g?x{zu2co;7>5$3f2>a1<^;n86?uC2e795~P59909w)<=1;f4?S7f<38p(898:g9'120=n2wvqA2:q]i;4={%741?b03-9897;87:Ua2<5s-?<97?n7:&070<2?>1v(8k6:068yS4f139pZh852z&630;84>a69'763==><0q);j9;37?xP5i008w[k9:3y'123=l>1/?>;55658ySc02;q/9:;5d79'763==>=0q);j9;g8yS45838pZh852z&6302830qps4i3c;>5<#:m;1>l64V3f0>7}K:m?1=vX=1181!45938j46X>a581!30?3;37);86;3;?x{zD;n?638p(89::3c;?!54=3?<;6s+5d;95==zut1b>l?50;&1`4<5i81]>i=52zN1`0<6s_8:<77g63_;j874><,<==6<64}|O6a2=9r\9ol4={Wg5>7}#=>?1>l?4$216>0103t.>i44>8:~y>i59h0;6)=zj;o36=4<:183!4c938hi6F=c69j5d>=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l64V0c7>7}#=>=1h6*:778g?x{zu2c:m44?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082e<=Q9h>1>v*:768g?!30>3n0qpsr;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3n0(899:e9~yx{51;294~"5l809h55G2b58k77f290/>i?520c8?xd5mh0;6>4?:1y'6a7=?91C>n94i0c;>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628k37[?n4;0x 01028=0(899:058yx{z3`;j57>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b=>P6i=09w);87;34?!30>3;<7psr}:m15d<72-8o=7<>a:T1`6<6sE8o97?tVd:96~"5:809=l5Y1`696~"2?>0o7);86;f8yx{z3th9ih4?:383>5}#:m;1h95G2b58m4g0290/>i?51`58?j46i3:1(?j>:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7j4$455>a=zutw0qo:0c;?S7f<38p(898:e9'120=l2wvqp5f1`;94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9h30Z:33b?S7f<38p(898:e9'120=l2wvqp5rb3d0>5<1290;w)N5k>1/=n651`9j5d1=83.9h<4>a69U6a5=9rF9h84>{W024?4|,;8:6{zut1bh;4?:%0g5?b13_8o?7?tL3f6>4}Q:8:1>v*=208g2>P6i=09w);87;f8 0112m1vqps4ie594?"5l80o;6X=d281I4c=3;pZ???:3y'677=l>1]=l:52z&6327}#=>?1h:5+32791216898;|&6a<<6<2w]>l753zTf2?4|,<=>6i94$216>0103t\n;74g03-9897;86:'1`?=9=1vZ?o6:2yUa3<5s-?<97j8;%101?30?2w]i:4={%741?b13-9897;87:'1`?=m2w]>?>52zTf2?4|,<=>6i94$216>0103t.>i44>9:~y>o5i10;6)P5l:09wA??52`:8R4g32;q/9:95199'120=911vqpB=d582S4di38pZh852z&630<5i11/?>;55658y!3b13;37psr;h0b5?6=,;n:6?o>;W0g7?4|D;n>60:46*:7782<>{zuE8o87?tV3ab>7}Qm?09w);85;0b5>"4;<0>;:5r$4g:>4>6<729q/>i?52bg8L7e03`;j47>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b<>P6i=09w);87;f8 0112m1vqps4i0c:>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628k27[?n4;0x 0102m1/9:85d:~yx=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95d:&633:3f;?M4d?2e9=l4?:%0g5?46i21vn?h>:187>5<7s-8o=79?;I0`3>o6i10;6)P5l:0:wA=:r.9><4>a99U5d2=:r.>;:4>7:&633<6?2wvqp5f1`;94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9h30Z1/9:85169~yx{:0`7?S7f<38p(898:058 01128=0qpsr;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3n0(899:e9~yx{52;294~"5l80o86F=c69j5d1=83.9h<4>a698k77f290/>i?520c8R7b428qG>i;51zTf{zut1vn?hm:180>5<7s-8o=7??51`:8R4g32;q/9:95d:&633a883>!4c93;j;6X=d282I4c=3;pZh652z&164<6i01]=l:52z&632<6=2.>;;4>5:~yx=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95d:&633:0c6?M4d?2.:o54>a:k2e2<72-8o=7?n7:T1`6<6sE8o97?tV333>7}#:;;1=l94V0c7>7}#=>=1h6*:778g?x{zu2co:7>5$3f2>a0<^;n86!4c93n<7[<>52z&164v*:7482e2=#;:?19:94}%7f=?733t\9m44<{Wg5>7}#=>?1h:5+32791216899;|&6a<<6<2w]>l753zTf2?4|,<=>6i94$216>0103t\n;7a0<,:9>6898;|&6a<=4={Wg5>7}#=>?1h:5+3279121:3c;?S4c;38p@?j::0yU646=:r.9><4=a99U5d2=:r.>;:4>8:&633<602wvqA2820qps4i3c2>5<#:m;1>l?4V3f0>7}K:m?1=vX=1181!45938j=6X>a581!30?3;37);86;3;?x{zD;n?638p(89::3c2?!54=3?<;6s+5d;95==zut1d>:0c;?S7f<38p(898:e9'120=l2wvqp5f1`;94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9h30Z;;4k;|~y>i59h0;6)P5l:0:wA=:r.9><4=1`9U5d2=:r.>;:4k;%742?b4<729q/>i?52e:8L7e03f8:m7>5$3f2>77f32wi>k650;794?6|,;n:6:>4H3a4?l7f03:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?n8:T2e1<5s-?<;7?8;%742?703twvq6g>a883>!4c93;j;6X=d282I4c=3;pZh652z&164<6i01]=l:52z&632<6?2.>;;4>7:~yx=n9k>1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51c68R4g32;q/9:95169'120=9>1vqps4i0`e>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628hm7[?n4;0x 01028=0(899:058yx{z3f8:m7>5$3f2>77f3_8o?7?tL3f6>4}Qm109w)<=1;02e>P6i=09w);87;f8 0112m1vqps4}c667?6=:3:1N5k>1b=l950;&1`4<6i>10c??n:18'6a7=:8k0Z?j<:0yO6a3=9r\n4777f3_;j87a=#=><1h6sr}|9~f13a290=6=4?{%0g5?7f=2B9o:5+1b:95d=n9h=1<7*=d082e2=Q:m91=vB=d482S46838p(?<>:0c4?S7f<38p(898:e9'120=l2wvqp5fd783>!4c93n=7[<>52z&1642\:m94={%743?b<,<==6i5r}|8ma1=83.9h<4k7:T1`6<5sE8o97?tV333>7}#:;;1h:5Y1`696~"2?>0m7);86;d8yx{K:m>18vX=a880Sc12;q/9:;5d69'763==>=0q[k8:3y'123=9h=0(>=::454?x"2m00:86sY2`;97~Pb>38p(89::e58 6522<=<7pXj7;0x 01228k<7)=<5;742>{#=l31=95rV3c:>6}Qm?09w);85;f4?!54=3?<;6sYe681!30=3n=7)=<5;743>{#=l31i6sY23296~Pb>38p(89::e58 6522<=<7p*:e882=>{zu2c9m54?:%0g5?4f02\9h>4={M0g1?7|^;;;6?u+23396d><^8k?6?u+56595==#=><1=55r}|N1`1<6s_8hm7:3c2?S4c;38p@?j::0yU646=:r.9><4=a09U5d2=:r.>;:4>8:&633<602wvqA2820qps4o33b>5<#:m;1>0o7);86;f8yx{z3`;j57>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b=>P6i=09w);87;f8 0112m1vqps4o33b>5<#:m;1>4}K:m?1=vXj8;0x 7462;;j7[?n4;0x 0102m1/9:85d:~yx=zj=?>6=4>:183!4c938o46F=c69l64g=83.9h<4=1`98yg22?3:1?7>50z&1`4<082B9o:5f1`:94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9h20Z1/9:85169~yx{:0c:?S7f<38p(898:058 01128=0qpsr;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3n0(899:e9~yx{>47>53;294~"5l80<<6F=c69j5d>=83.9h<4>a69K6a6<^;n86:0c;?S7f<38p(898:058 01128=0qpsr;h3b=?6=,;n:6P5l:0:wA=:r.9><4>a89U5d2=:r.>;:4>7:&633<6?2wvqp5`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Z;;4k;|~y>{e<<31<7=50;2x 7b62>:0D?m8;h3b6a581!30?3n0(899:e9~yx{:0c:?S7f<38p(898:e9'120=l2wvqp5`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Z;;4k;|~y>{e<:0D?m8;h3b6a581!30?3n0(899:e9~yx{:0c:?S7f<38p(898:e9'120=l2wvqp5`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Z;;4k;|~y>{e<:0D?m8;h3bP5l:0:wA=:r.9><4>a99U5d2=:r.>;:4>1:&633<692wvqp5f1`;94?"5l80:m:5G2e28R7b428qG>i;51zTf47a;29 7b62;;j7[50z&1`4<082B9o:5f1`:94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9h20Zi59h0;6)P5l:0:wA=:r.9><4=1`9U5d2=:r.>;:4k;%742?b6<729q/>i?5719K6f1o6i00;6)N5l91]>i=51zN1`0<6s_o36?u+23395d?<^8k?6?u+565952=#=><1=:5r}|8k77f290/>i?520c8R7b428qG>i;51zTf{zut1vn9;9:18;>5<7s-8o=7<>9:J1g2=n9h21<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51`:8R4g32;q/9:95d:&633a883>!4c93;j;6X=d282I4c=3;pZh652z&164<6i01]=l:52z&632:0c4?S4c;3;p@?j::0yUa=<5s-89=7?m4:T2e1<5s-?<;7j4$455>a=zutw0e4da3_;j87a=#=><1h6sr}|9j5f4=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=n<4V0c7>7}#=>=1h6*:778g?x{zu2c:o>4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082g6=Q9h>1>v*:768g?!30>3n0qpsr;h3`0?6=,;n:66a581!30?3n0(899:e9~yx{:33b?S7f<38p(898:e9'120=l2wvqp5rb45;>5<5290;w)i=51zN1`0<6s_o36?u+233964g<^8k?6?u+5659`>"2??0o7psr}:a12b=83<1<7>t$3f2>4g23A8h;6*>c982e>o6i>0;6)P5l:0:wA??51`58R4g32;q/9:95d:&633a=#=><1h6sr}|9j`2<72-8o=7j8;W0g7?4|D;n>6a581!30?3l0(899:g9~yxJ5l=0?w[;84k7:&070<2?>1vZh952z&630<6i>1/?>;55658y!3b13;?7pX=a880Sc12;q/9:;5d69'763==>=0q[k8:3y'123=9h=0(>=::455?x"2m00:86sY2`;97~Pb>38p(89::e58 6522<=<7pXj7;0x 0122m<0(>=::454?x"2m00n7pX=2181Sc12;q/9:;5d69'763==>=0q);j9;3:?x{z3`8j47>5$3f2>7g?3_8o?74}Q:8:1>v*=2081e==Q9h>1>v*:7682<>"2??0:46sr}M0g0?7|^;ij6?uYe781!30=38j46*<348632=z,i?52`38R7b42;qG>i;51zT155<5s-89=77g63-9897;87:'1`?=911vqp5`20c94?"5l809=l54}c74=?6=;3:16a581!30?3n0(899:e9~yx{:0c:?S7f<38p(898:e9'120=l2wvqp5`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Z;;4k;|~y>{e=>k1<7?50;2x 7b62;n37Ea:9~f01e29086=4?{%0g5?173A8h;6g>a983>!4c93;j;6X=d282I4c=3;pZh652z&164<6i11]=l:52z&632<692.>;;4>1:~yx=n9h31<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474g>3_;j8741<,<==6<94}|~?j46i3:1(?j>:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7j4$455>a=zutw0qo?l9;296?6=8r.9h<4k4:J1g2=n9h=1<7*=d082e2=:33b?S7f<38p(898:e9'120=l2wvqp5rb0f7>5<1290;w)N5k>1/=n651`9j5d1=83.9h<4>a69U6a5=9rF9h84>{W024?4|,;8:6{zut1bh;4?:%0g5?b13_8o?7?tL3f6>4}Q:8:1>v*=208g2>P6i=09w);87;f8 0112m1vqps4ie594?"5l80o;6X=d281I4c=3;pZ???:3y'677=l>1]=l:52z&6327}#=>?1h:5+32791216898;|&6a<<6<2w]>l753zTf2?4|,<=>6i94$216>0103t\n;74g03-9897;86:'1`?=9=1vZ?o6:2yUa3<5s-?<97j8;%101?30?2w]i:4={%741?b13-9897;87:'1`?=m2w]>?>52zTf2?4|,<=>6i94$216>0103t.>i44>9:~y>o5i10;6)P5l:09wA??52`:8R4g32;q/9:95199'120=911vqpB=d582S4di38pZh852z&630<5i11/?>;55658y!3b13;37psr;h0b5?6=,;n:6?o>;W0g7?4|D;n>60:46*:7782<>{zuE8o87?tV3ab>7}Qm?09w);85;0b5>"4;<0>;:5r$4g:>4>c`83>6<729q/>i?52bg8L7e03`;j47>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6i11]=l:52z&632:0c4?S4c;3;p@?j::0yUa=<5s-89=7?n9:T2e1<5s-?<;7j4$455>a=zutw0c??n:18'6a7=:8k0Z?j<:0yO6a3=9r\n4777f3_;j87a=#=><1h6sr}|9~f4ee290:6=4?{%0g5?4c02B9o:5`20c94?"5l809=l54}c3``?6=;3:1N5k>1b=l650;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d><^8k?6?u+5659`>"2??0o7psr}:k2e<<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:m45Y1`696~"2?>0o7);86;f8yx{z3f8:m7>5$3f2>77f3_8o?7?tL3f6>4}Qm109w)<=1;02e>P6i=09w);87;f8 0112m1vqps4}c3`a?6=<3:1N5k>1b=l650;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628k37[?n4;0x 01028=0(899:058yx{z3`;j57>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b=>P6i=09w);87;34?!30>3;<7psr}:k2f1<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51c68R4g32;q/9:95169'120=9>1vqps4o33b>5<#:m;1>4}K:m?1=vXj8;0x 7462;;j7[?n4;0x 0102m1/9:85d:~yx=zj8im6=4::183!4c93=;7E??51`:8R4g32;q/9:95169'120=9>1vqps4i0c:>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?n9:T2e1<5s-?<;7?8;%742?703twvq6g>b583>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=o:4V0c7>7}#=>=1=:5+564952=zutw0e6a581!30?3;<7);86;34?x{zu2e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:768g?!30>3n0qpsr;|`2`5<72?0;6=u+2e3935=O:j=0e4g?3_;j8747<,<==6:0c4?S4c;3;p@?j::0yUa=<5s-89=7?n9:T2e1<5s-?<;7?8;%742?703twvq6g>b583>!4c93;j;6X=d282I4c=3;pZh652z&164<6j=1]=l:52z&632<6?2.>;;4>7:~yx=n9kl1<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474da3_;j8741<,<==6<94}|~?l7d:3:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?l2:T2e1<5s-?<;7?8;%742?703twvq6a=1`83>!4c938:m6X=d282I4c=3;pZh652z&164<59h1]=l:52z&632n94i0c;>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628k37[?n4;0x 01028;0(899:038yx{z3`;j57>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b=>P6i=09w);87;34?!30>3;<7psr}:k2f1<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:n95Y1`696~"2?>0:;6*:77823>{zut1b=oh50;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628hm7[?n4;0x 01028=0(899:058yx{z3`;h>7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3`6>P6i=09w);87;34?!30>3;<7psr}:k2g6<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:o>5Y1`696~"2?>0:;6*:77823>{zut1d>i=51zN1`0<6s_o36?u+233964g<^8k?6?u+5659`>"2??0o7psr}:a5a4=83=1<7>t$3f2>26<@;i<7d?n8;29 7b628k<7[;|~y>o6i00;6)P5l:0:wA=:r.9><4>a89U5d2=:r.>;:4>7:&633<6?2wvqp5f1c694?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9k>0Z1/9:85169~yx{o6k;0;6)P5l:0:wA=:r.9><4>c39U5d2=:r.>;:4>7:&633<6?2wvqp5f1b194?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9j90Z1/9:85169~yx{:33b?S7f<38p(898:e9'120=l2wvqp5rb0a`>5<0290;w)N5k>1b=l650;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d><^8k?6?u+5659`>"2??0o7psr}:k2e<<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:m45Y1`696~"2?>0o7);86;f8yx{z3`;i87>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3a0>P6i=09w);87;f8 0112m1vqps4i0`e>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628hm7[?n4;0x 0102m1/9:85d:~yx=n9j81<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51b08R4g32;q/9:95d:&633c283>!4c93;j;6X=d282I4c=3;pZh652z&164<6k:1]=l:52z&632:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7j4$455>a=zutw0qo?k5;296?6=8r.9h<4k4:J1g2=n9h=1<7*=d082e2=:33b?S7f<38p(898:e9'120=l2wvqp5rb0g1>5<4290;w)N5k>1b=l650;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d><^8k?6?u+5659`>"2??0o7psr}:k2e<<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:m45Y1`696~"2?>0:96*:77821>{zut1d>i=51zN1`0<6s_o36?u+233964g<^8k?6?u+5659`>"2??0o7psr}:a5`7=83<1<7>t$3f2>4g23A8h;6*>c982e>o6i>0;6)P5l:0:wA??51`58R4g32;q/9:95d:&633a=#=><1h6sr}|9j`2<72-8o=7j8;W0g7?4|D;n>6a581!30?3l0(899:g9~yxJ5l=0?w[;84k7:&070<2?>1vZh952z&630<6i>1/?>;55658y!3b13;?7pX=a880Sc12;q/9:;5d69'763==>=0q[k8:3y'123=9h=0(>=::455?x"2m00:86sY2`;97~Pb>38p(89::e58 6522<=<7pXj7;0x 0122m<0(>=::454?x"2m00n7pX=2181Sc12;q/9:;5d69'763==>=0q);j9;3:?x{z3`8j47>5$3f2>7g?3_8o?74}Q:8:1>v*=2081e==Q9h>1>v*:7682<>"2??0:46sr}M0g0?7|^;ij6?uYe781!30=38j46*<348632=z,i?52`38R7b42;qG>i;51zT155<5s-89=77g63-9897;87:'1`?=911vqp5`20c94?"5l809=l54}c3g2?6=;3:14g?3_;j87a=#=><1h6sr}|9j5d?=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l74V0c7>7}#=>=1h6*:778g?x{zu2e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:768g?!30>3n0qpsr;|`2`2<7280;6=u+2e396a><@;i<7b<>a;29 7b62;;j76sm1e;94?5=83:p(?j>:628L7e03`;j47>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b<>P6i=09w);87;f8 0112m1vqps4i0c:>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628k27[?n4;0x 0102m1/9:85d:~yx=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95d:&633:628L7e03`;j47>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b<>P6i=09w);87;f8 0112m1vqps4i0c:>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628k27[?n4;0x 0102m1/9:85d:~yx=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95d:&633:628L7e03`;j47>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b<>P6i=09w);87;34?!30>3;<7psr}:k2e<<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51`;8R4g32;q/9:95169'120=9>1vqps4i0`7>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?m4:T2e1<5s-?<;7?8;%742?703twvq6g>bg83>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=oh4V0c7>7}#=>=1=:5+564952=zutw0e4e53_;j8741<,<==6<94}|~?j46i3:1(?j>:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7j4$455>a=zutw0qo?kc;293?6=8r.9h<480:J1g2=n9h21<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474g?3_;j8747<,<==6:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082e<=Q9h>1>v*:76823>"2??0:;6sr}|9j5g2=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=o:4V0c7>7}#=>=1=<5+564954=zutw0e4da3_;j8741<,<==6<94}|~?l7d:3:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082g7=Q9h>1>v*:76823>"2??0:;6sr}|9j5f5=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=n=4V0c7>7}#=>=1=:5+564952=zutw0c??n:18'6a7=:8k0Z?j<:0yO6a3=9r\n4777f3_;j87a=#=><1h6sr}|9~f4bc29036=4?{%0g5?173A8h;6g>a983>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=l64V0c7>7}#=>=1=<5+564954=zutw0e6a581!30?3;<7);86;34?x{zu2c:n94?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082f1=Q9h>1>v*:76825>"2??0:=6sr}|9j5g`=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=oh4V0c7>7}#=>=1=:5+564952=zutw0e66X>a581!30?3;<7);86;34?x{zu2c:o>4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082g6=Q9h>1>v*:76823>"2??0:;6sr}|9j5f2=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=n:4V0c7>7}#=>=1=:5+564952=zutw0c??n:18'6a7=:8k0Z?j<:0yO6a3=9r\n4777f3_;j87a=#=><1h6sr}|9~f4bb29036=4?{%0g5?173A8h;6g>a983>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=l64V0c7>7}#=>=1=<5+564954=zutw0e6a581!30?3;<7);86;34?x{zu2c:n94?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082f1=Q9h>1>v*:76825>"2??0:=6sr}|9j5g`=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=oh4V0c7>7}#=>=1=:5+564952=zutw0e66X>a581!30?3;<7);86;34?x{zu2c:o>4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082g6=Q9h>1>v*:76823>"2??0:;6sr}|9j5f2=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=n:4V0c7>7}#=>=1=:5+564952=zutw0c??n:18'6a7=:8k0Z?j<:0yO6a3=9r\n4777f3_;j87a=#=><1h6sr}|9~f4ba29036=4?{%0g5?173A8h;6g>a983>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=l64V0c7>7}#=>=1=<5+564954=zutw0e6a581!30?3;<7);86;34?x{zu2c:n94?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082f1=Q9h>1>v*:76825>"2??0:=6sr}|9j5g`=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=oh4V0c7>7}#=>=1=:5+564952=zutw0e66X>a581!30?3;<7);86;34?x{zu2c:o>4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082g6=Q9h>1>v*:76823>"2??0:;6sr}|9j5f2=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=n:4V0c7>7}#=>=1=:5+564952=zutw0c??n:18'6a7=:8k0Z?j<:0yO6a3=9r\n4777f3_;j87a=#=><1h6sr}|9~f4b?29036=4?{%0g5?4612B9o:5f1`:94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9h20Z;;4k;|~y>o6i00;6)P5l:0:wA=:r.9><4>a89U5d2=:r.>;:4k;%742?bi?51`58R7b428qG>i;51zTf{zut1b=n<50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395f4<^8k?6?u+5659`>"2??0o7psr}:k2g6<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:o>5Y1`696~"2?>0o7);86;f8yx{z3`;h87>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3`0>P6i=09w);87;f8 0112m1vqps4o33b>5<#:m;1>4}K:m?1=vXj8;0x 7462;;j7[?n4;0x 0102m1/9:85d:~yx=zj::j6=4=:183!4c93n?7E{Wg;>7}#:;;1>7}#=>=1h6*:778g?x{zu2wi?<>50;194?6|,;n:6?mj;I0`3>o6i10;6)P5l:0:wA=:r.9><4>a99U5d2=:r.>;:4k;%742?bi59h0;6)P5l:0:wA=:r.9><4=1`9U5d2=:r.>;:4k;%742?b3<729q/>i?51`78L7e03-;h47?n;h3b3?6=,;n:660o7);86;f8yx{z3`n=6=4+2e39`3=Q:m91=vB=d482S46838p(?<>:e48R4g32;q/9:95d:&633c=#=><1j6sr}M0g0?2|^;k26>uYe781!30=3n<7)=<5;743>{Qm>09w);85;3b3>"4;<0>;:5r$4g:>42v*:7482e2=#;:?19:84}%7f=?733t\9m44<{Wg5>7}#=>?1h:5+3279121i?52`:8R7b42;qG>i;51zT155<5s-89=77g?3-9897;87:'1`?=911vqp5f2`394?"5l809m<5Y2e196~J5l<0:w[<>0;0x 7462;k:7[?n4;0x 0102820(899:0:8yx{K:m>1=vX=c`81Sc12;q/9:;52`38 6522<=<7p*:e882<>{zu2e9=l4?:%0g5?46i21vn>>m:180>5<7s-8o=7??51`:8R4g32;q/9:95d:&633a883>!4c93;j;6X=d282I4c=3;pZh652z&164<6i01]=l:52z&632:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7j4$455>a=zutw0qo=?c;295?6=8r.9h<4=d99K6f15}#:m;1;=5G2b58m4g?290/>i?51`58R7b428qG>i;51zTf41o6j=0;6)P5l:0:wA=:r.9><4>b59U5d2=:r.>;:4>7:&633<6?2wvqp5f1cd94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9kl0Z1/9:85169~yx{:0a1?S7f<38p(898:058 01128=0qpsr;h3`7?6=,;n:66a581!30?3;<7);86;34?x{zu2c:o94?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082g1=Q9h>1>v*:76823>"2??0:;6sr}|9l64g=83.9h<4=1`9U6a5=9rF9h84>{Wg;>7}#:;;1>7}#=>=1h6*:778g?x{zu2wi?i?50;094?6|,;n:6i:4H3a4?l7f?3:1(?j>:0c4?>i59h0;6)P5l:0:wA=:r.9><4=1`9U5d2=:r.>;:4k;%742?b3<729q/>i?51`78L7e03-;h47?n;h3b3?6=,;n:660o7);86;f8yx{z3`n=6=4+2e39`3=Q:m91=vB=d482S46838p(?<>:e48R4g32;q/9:95d:&633c=#=><1j6sr}M0g0?2|^;k26>uYe781!30=3n<7)=<5;743>{Qm>09w);85;3b3>"4;<0>;:5r$4g:>42v*:7482e2=#;:?19:84}%7f=?733t\9m44<{Wg5>7}#=>?1h:5+3279121i?52`:8R7b42;qG>i;51zT155<5s-89=77g?3-9897;87:'1`?=911vqp5f2`394?"5l809m<5Y2e196~J5l<0:w[<>0;0x 7462;k:7[?n4;0x 0102820(899:0:8yx{K:m>1=vX=c`81Sc12;q/9:;52`38 6522<=<7p*:e882<>{zu2e9=l4?:%0g5?46i21vn>j=:180>5<7s-8o=7??51`:8R4g32;q/9:95d:&633a883>!4c93;j;6X=d282I4c=3;pZh652z&164<6i01]=l:52z&632:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7j4$455>a=zutw0qo=k3;295?6=8r.9h<4=d99K6f15}#:m;1;=5G2b58m4g?290/>i?51`58R7b428qG>i;51zTf47;|~y>i59h0;6)P5l:0:wA=:r.9><4=1`9U5d2=:r.>;:4k;%742?b7<729q/>i?5d59K6f15$3f2>77f3_8o?7?tL3f6>4}Qm109w)<=1;02e>P6i=09w);87;f8 0112m1vqps4}c1f6?6=>3:1i?51`58R7b428qG>i;51zT155<5s-89=7?n7:T2e1<5s-?<;7j4$455>a=zutw0ei850;&1`42\9h>4>{M0g1?7|^;;;6?u+2339`3=Q9h>1>v*:768g?!30>3n0qpsr;hf4>5<#:m;1h:5Y2e196~J5l<0:w[<>0;0x 7462m=0Z;;4i;|~H7b32=q]>l753zTf2?4|,<=>6i94$216>0103t\n;74g03-9897;87:'1`?=9=1vZ?o6:2yUa3<5s-?<97j8;%101?30?2w]i:4={%741?7f?2.8?84:779~ 0c>28>0q[;84k7:&070<2?>1vZh952z&6302.8?84:769~ 0c>2l1vZ?vB=d482S46838p(?<>:3c;?S7f<38p(898:0:8 0112820qpsC2e695~P5kh09w[k9:3y'123=:h20(>=::454?x"2m00:46sr}:k1e4<72-8o=77}#:;;1>l?4V0c7>7}#=>=1=55+56495==zutF9h94>{W0`e?4|^l<1>v*:7481e4=#;:?19:94}%7f=?7?3twv7b<>a;29 7b62;;j76sm3eg94?5=83:p(?j>:3af?M4d?2c:m54?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082e==Q9h>1>v*:768g?!30>3n0qpsr;h3b=?6=,;n:66a581!30?3n0(899:e9~yx{:33b?S7f<38p(898:e9'120=l2wvqp5rb2fe>5<6290;w)N5k>1d>??51`:8R4g32;q/9:95169'120=9>1vqps4i0c:>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628k27[?n4;0x 01028=0(899:058yx{z3f8:m7>5$3f2>77f3_8o?7?tL3f6>4}Qm109w)<=1;02e>P6i=09w);87;f8 0112m1vqps4}c0b6?6=:3:1N5k>1b=l950;&1`4<6i>10c??n:18'6a7=:8k0Z?j<:0yO6a3=9r\n4777f3_;j87a=#=><1h6sr}|9~f7g0290=6=4?{%0g5?7f=2B9o:5+1b:95d=n9h=1<7*=d082e2=Q:m91=vB=d482S46838p(?<>:0c4?S7f<38p(898:e9'120=l2wvqp5fd783>!4c93n=7[<>52z&1642\:m94={%743?b<,<==6i5r}|8ma1=83.9h<4k7:T1`6<5sE8o97?tV333>7}#:;;1h:5Y1`696~"2?>0m7);86;d8yx{K:m>18vX=a880Sc12;q/9:;5d69'763==>=0q[k8:3y'123=9h=0(>=::454?x"2m00:86sY2`;97~Pb>38p(89::e58 6522<=<7pXj7;0x 01228k<7)=<5;742>{#=l31=95rV3c:>6}Qm?09w);85;f4?!54=3?<;6sYe681!30=3n=7)=<5;743>{#=l31i6sY23296~Pb>38p(89::e58 6522<=<7p*:e882=>{zu2c9m54?:%0g5?4f02\9h>4={M0g1?7|^;;;6?u+23396d><^8k?6?u+56595==#=><1=55r}|N1`1<6s_8hm7:3c2?S4c;38p@?j::0yU646=:r.9><4=a09U5d2=:r.>;:4>8:&633<602wvqA2820qps4o33b>5<#:m;1>290/>i?51`58R7b428qG>i;51zTf{zut1d>i=51zN1`0<6s_o36?u+233964g<^8k?6?u+5659`>"2??0o7psr}:a6d2=83;1<7>t$3f2>7b?3A8h;6a=1`83>!4c938:m65rb3c6>5<4290;w)4>{M0g1?7|^l21>v*=2082e==Q9h>1>v*:76823>"2??0:;6sr}|9j5d?=83.9h<4>a69K6a6<^;n86:0c:?S7f<38p(898:058 01128=0qpsr;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3n0(899:e9~yx{52;294~"5l80o86F=c69j5d1=83.9h<4>a698k77f290/>i?520c8R7b428qG>i;51zTf{zut1vn>6i:185>5<7s-8o=7?n5:J1g2=#9j21=l5f1`594?"5l80:m:5Y2e195~J5l<0:w[<>0;0x 74628k<7[?n4;0x 0102m1/9:85d:~yx=nl?0;6)<4k6:T2e1<5s-?<;7j4$455>a=zutw0ei950;&1`44={M0g1?7|^;;;6?u+2339`2=Q9h>1>v*:768e?!30>3l0qpsC2e690~P5i008w[k9:3y'123=l>1/?>;55658ySc02;q/9:;51`58 6522<=<7p*:e8820>{Q:h31?vXj6;0x 0122m=0(>=::454?xPb?38p(89::0c4?!54=3?<:6s+5d;951=z^;k26>uYe781!30=3n<7)=<5;743>{Qm>09w);85;f5?!54=3?<;6s+5d;9a>{Q:;:1>vXj6;0x 0122m=0(>=::454?x"2m00:56sr}:k1e=<72-8o=77}#:;;1>l64V0c7>7}#=>=1=55+56495==zutF9h94>{W0`e?4|^l<1>v*:7481e==#;:?19:94}%7f=?7?3twv7d<>52z&164<5i81]=l:52z&632<602.>;;4>8:~yI4c<3;pZ?mn:3yUa3<5s-?<971v(8k6:0:8yx{5}#:m;1>nk4H3a4?l7f03:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?n8:T2e1<5s-?<;7j4$455>a=zutw0e4g>3_;j87a=#=><1h6sr}|9l64g=83.9h<4=1`9U6a5=9rF9h84>{Wg;>7}#:;;1>7}#=>=1h6*:778g?x{zu2wi?5m50;394?6|,;n:6?j7;I0`3>i59h0;6)=zj:2o6=4<:183!4c93=;7E??51`:8R4g32;q/9:95109'120=981vqps4i0c:>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?n9:T2e1<5s-?<;7?>;%742?763twvq6a=1`83>!4c938:m6X=d282I4c=3;pZh652z&164<59h1]=l:52z&632n94i0c4>5<#:m;1=l94;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3n0(899:e9~yx{56;294~"5l80:m85G2b58 4e?28k0e7}#:;;1h;5Y1`696~"2?>0o7);86;f8yx{z3`n<6=4+2e39`2=Q:m91>vB=d482S46838p(?<>:e58R4g32;q/9:95f:&633a1<,:9>6898;|Tf3?4|,<=>62:q]i;4={%741?b03-9897;87:Ua2<5s-?<97?n7:&070<2??1v(8k6:068yS4f139pZh852z&630;84k6:&070<2?>1v(8k6:d9~R7472;q]i;4={%741?b03-9897;87:'1`?=901vqp5f2`:94?"5l809m55Y2e196~J5l<0:w[<>0;0x 7462;k37[?n4;0x 0102820(899:0:8yx{K:m>1=vX=c`81Sc12;q/9:;52`:8 6522<=<7p*:e882<>{zu2c9m<4?:%0g5?4f92\9h>4={M0g1?7|^;;;6?u+23396d7<^8k?6?u+56595==#=><1=55r}|N1`1<6s_8hm7:33b?>{e;0;1<7=50;2x 7b62;in7E0o7);86;f8yx{z3`;j57>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b=>P6i=09w);87;f8 0112m1vqps4o33b>5<#:m;1>4}K:m?1=vXj8;0x 7462;;j7[?n4;0x 0102m1/9:85d:~yx=zj:396=4>:183!4c938o46F=c69l64g=83.9h<4=1`98yg5>;3:1?7>50z&1`4<082B9o:5f1`:94?"5l80:m:5G2e28R7b428qG>i;51zTf470:=6*:77825>{zut1d>i=51zN1`0<6s_o36?u+233964g<^8k?6?u+5659`>"2??0o7psr}:a7f?=8381<7>t$3f2>a2<@;i<7d?n7;29 7b628k<76a=1`83>!4c938:m6X=d282I4c=3;pZh652z&164<59h1]=l:52z&632n94i0c4>5<#:m;1=l94;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3n0(899:e9~yx{56;294~"5l80:m85G2b58 4e?28k0e7}#:;;1h;5Y1`696~"2?>0o7);86;f8yx{z3`n<6=4+2e39`2=Q:m91>vB=d482S46838p(?<>:e58R4g32;q/9:95f:&633a1<,:9>6898;|Tf3?4|,<=>62:q]i;4={%741?b03-9897;87:Ua2<5s-?<97?n7:&070<2??1v(8k6:068yS4f139pZh852z&630;84k6:&070<2?>1v(8k6:d9~R7472;q]i;4={%741?b03-9897;87:'1`?=901vqp5f2`:94?"5l809m55Y2e196~J5l<0:w[<>0;0x 7462;k37[?n4;0x 0102820(899:0:8yx{K:m>1=vX=c`81Sc12;q/9:;52`:8 6522<=<7p*:e882<>{zu2c9m<4?:%0g5?4f92\9h>4={M0g1?7|^;;;6?u+23396d7<^8k?6?u+56595==#=><1=55r}|N1`1<6s_8hm7:33b?>{e;jk1<7=50;2x 7b62;in7E0o7);86;f8yx{z3`;j57>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b=>P6i=09w);87;f8 0112m1vqps4o33b>5<#:m;1>4}K:m?1=vXj8;0x 7462;;j7[?n4;0x 0102m1/9:85d:~yx=zj:ii6=4>:183!4c938o46F=c69l64g=83.9h<4=1`98yg5dk3:1;7>50z&1`4<082B9o:5f1`:94?"5l80:m:5G2e28R7b428qG>i;51zTf410:;6*:77823>{zut1b=o:50;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628h?7[?n4;0x 01028=0(899:058yx{z3`;ij7>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6jo1]=l:52z&632<6?2.>;;4>7:~yx=n9j81<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474e53_;j8741<,<==6<94}|~?l7d;3:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?l3:T2e1<5s-?<;7?8;%742?703twvq6a=1`83>!4c938:m6X=d282I4c=3;pZh652z&164<59h1]=l:52z&632:0D?m8;h3b6a581!30?3;97);86;31?x{zu2c:m44?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082e<=Q9h>1>v*:7682<>"2??0:46sr}|9j5g2=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=o:4V0c7>7}#=>=1=?5+564957=zutw0e4da3_;j874><,<==6<64}|~?l7d:3:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?l2:T2e1<5s-?<;7?7;%742?7?3twvq6g>c283>!4c93;j;6X=d282I4c=3;pZh652z&164<6k:1]=l:52z&632<602.>;;4>8:~yx=n9j>1<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474e33_;j874><,<==6<64}|~?l7d=3:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?l5:T2e1<5s-?<;7?7;%742?7?3twvq6g>c783>!4c93;j;6X=d282I4c=3;pZh652z&164<6k?1]=l:52z&632<602.>;;4>8:~yx=n9j=1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51b58R4g32;q/9:95d:&633a`83>!4c93;j;6X=d282I4c=3;pZh652z&164<6ih1]=l:52z&632:0c4?S4c;3;p@?j::0yUa=<5s-89=7?nb:T2e1<5s-?<;7j4$455>a=zutw0e4gd3_;j87a=#=><1h6sr}|9j5db=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=lj4V0c7>7}#=>=1h6*:778g?x{zu2c:mh4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082e`=Q9h>1>v*:768g?!30>3n0qpsr;h3bb?6=,;n:66a581!30?3n0(899:e9~yx{:33b?S7f<38p(898:e9'120=l2wvqp5rb2f4>5<5290;w)i=51zN1`0<6s_o36?u+233964g<^8k?6?u+5659`>"2??0o7psr}:a7ae=83<1<7>t$3f2>4g23A8h;6*>c982e>o6i>0;6)P5l:0:wA??51`58R4g32;q/9:95d:&633a=#=><1h6sr}|9j`2<72-8o=7j8;W0g7?4|D;n>6a581!30?3l0(899:g9~yxJ5l=0?w[;84k7:&070<2?>1vZh952z&630<6i>1/?>;55658y!3b13;?7pX=a880Sc12;q/9:;5d69'763==>=0q[k8:3y'123=9h=0(>=::455?x"2m00:86sY2`;97~Pb>38p(89::e58 6522<=<7pXj7;0x 0122m<0(>=::454?x"2m00n7pX=2181Sc12;q/9:;5d69'763==>=0q);j9;3:?x{z3`8j47>5$3f2>7g?3_8o?74}Q:8:1>v*=2081e==Q9h>1>v*:7682<>"2??0:46sr}M0g0?7|^;ij6?uYe781!30=38j46*<348632=z,i?52`38R7b42;qG>i;51zT155<5s-89=77g63-9897;87:'1`?=911vqp5`20c94?"5l809=l54}c1g4g?3_;j87a=#=><1h6sr}|9j5d?=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l74V0c7>7}#=>=1h6*:778g?x{zu2e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:768g?!30>3n0qpsr;|`0`<<7280;6=u+2e396a><@;i<7b<>a;29 7b62;;j76sm3ec94?5=83:p(?j>:628L7e03`;j47>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b<>P6i=09w);87;34?!30>3;<7psr}:k2e<<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:m45Y1`696~"2?>0:;6*:77823>{zut1d>i=51zN1`0<6s_o36?u+233964g<^8k?6?u+5659`>"2??0o7psr}:a042=8381<7>t$3f2>a2<@;i<7d?n7;29 7b628k<76a=1`83>!4c938:m6X=d282I4c=3;pZh652z&164<59h1]=l:52z&6325$3f2>4g03_8o?7?tL3f6>4}Q:8:1>v*=2082e2=Q9h>1>v*:768g?!30>3n0qpsr;hf5>5<#:m;1h;5Y2e195~J5l<0:w[<>0;0x 7462m<0Z;;4k;|~y>oc?3:1(?j>:e58R7b42;qG>i;51zT155<5s-89=7j8;W3b0?4|,<=<6k5+5649b>{zuE8o87:tV3c:>6}Qm?09w);85;f4?!54=3?<;6sYe681!30=3;j;6*<348632=z,v*:748g3>"4;<0>;:5rVd596~"2?<0:m:5+32791207}#=>?1h;5+3279121v*:748g3>"4;<0>;:5r$4g:>4?no52zTf2?4|,<=>6?o7;%101?30?2w/9h75199~yx=n:h;1<7*=d081e4=Q:m91>vB=d482S46838p(?<>:3c2?S7f<38p(898:0:8 0112820qpsC2e695~P5kh09w[k9:3y'123=:h;0(>=::454?x"2m00:46sr}:m15d<72-8o=7<>a:9~f17229086=4?{%0g5?4dm2B9o:5f1`:94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9h20Z;;4k;|~y>o6i00;6)P5l:0:wA=:r.9><4>a89U5d2=:r.>;:4k;%742?ba;29 7b62;;j7[3:1=7>50z&1`4<5l11C>n94o33b>5<#:m;1>6a581!30?3;:7);86;32?x{zu2c:m44?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9h30Z:33b?S7f<38p(898:e9'120=l2wvqp5rb53b>5<5290;w)i=51zN1`0<6s_o36?u+233964g<^8k?6?u+5659`>"2??0o7psr}:a04`=83<1<7>t$3f2>4g23A8h;6*>c982e>o6i>0;6)P5l:0:wA??51`58R4g32;q/9:95d:&633a=#=><1h6sr}|9j`2<72-8o=7j8;W0g7?4|D;n>6a581!30?3l0(899:g9~yxJ5l=0?w[;84k7:&070<2?>1vZh952z&630<6i>1/?>;55658y!3b13;?7pX=a880Sc12;q/9:;5d69'763==>=0q[k8:3y'123=9h=0(>=::455?x"2m00:86sY2`;97~Pb>38p(89::e58 6522<=<7pXj7;0x 0122m<0(>=::454?x"2m00n7pX=2181Sc12;q/9:;5d69'763==>=0q);j9;3:?x{z3`8j47>5$3f2>7g?3_8o?74}Q:8:1>v*=2081e==Q9h>1>v*:7682<>"2??0:46sr}M0g0?7|^;ij6?uYe781!30=38j46*<348632=z,i?52`38R7b42;qG>i;51zT155<5s-89=77g63-9897;87:'1`?=911vqp5`20c94?"5l809=l54}c62f?6=;3:14g?3_;j87a=#=><1h6sr}|9j5d?=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l74V0c7>7}#=>=1h6*:778g?x{zu2e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:768g?!30>3n0qpsr;|`75f<7280;6=u+2e396a><@;i<7b<>a;29 7b62;;j76sm40f94?5=83:p(?j>:628L7e03`;j47>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6i11]=l:52z&632<692.>;;4>1:~yx=n9h31<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474g>3_;j8747<,<==6:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7j4$455>a=zutw0qo8>:181>5<7s-8o=7j;;I0`3>o6i>0;6)=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95d:&6333<729q/>i?51`78L7e03-;h47?n;h3b3?6=,;n:660o7);86;f8yx{z3`n=6=4+2e39`3=Q:m91=vB=d482S46838p(?<>:e48R4g32;q/9:95d:&633c=#=><1j6sr}M0g0?2|^;k26>uYe781!30=3n<7)=<5;743>{Qm>09w);85;3b3>"4;<0>;:5r$4g:>42v*:7482e2=#;:?19:84}%7f=?733t\9m44<{Wg5>7}#=>?1h:5+3279121i?52`:8R7b42;qG>i;51zT155<5s-89=77g?3-9897;87:'1`?=911vqp5f2`394?"5l809m<5Y2e196~J5l<0:w[<>0;0x 7462;k:7[?n4;0x 0102820(899:0:8yx{K:m>1=vX=c`81Sc12;q/9:;52`38 6522<=<7p*:e882<>{zu2e9=l4?:%0g5?46i21vn;<50;194?6|,;n:6?mj;I0`3>o6i10;6)N5l91]>i=51zN1`0<6s_o36?u+23395d><^8k?6?u+5659`>"2??0o7psr}:k2e<<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:m45Y1`696~"2?>0o7);86;f8yx{z3f8:m7>5$3f2>77f3_8o?7?tL3f6>4}Qm109w)<=1;02e>P6i=09w);87;f8 0112m1vqps4}c40>5<6290;w)N5k>1d>5<7s-8o=79?;I0`3>o6i10;6)N5l91]>i=51zN1`0<6s_o36?u+23395d><^8k?6?u+565952=#=><1=:5r}|8m4g>290/>i?51`58R7b428qG>i;51zTf410:;6*:77823>{zut1d>i=51zN1`0<6s_o36?u+233964g<^8k?6?u+5659`>"2??0o7psr}:a23<72<0;6=u+2e3935=O:j=0e6a581!30?3;<7);86;34?x{zu2c:m44?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9h30Z1/9:85169~yx{o6jo0;6)N5l91]>i=51zN1`0<6s_o36?u+23395g`<^8k?6?u+565952=#=><1=:5r}|8k77f290/>i?520c8R7b428qG>i;51zTf{zut1vn;:50;194?6|,;n:6??6;I0`3>o6i10;6)P5l:0:wA=:r.9><4>a99U5d2=:r.>;:4k;%742?bi?520c8R7b428qG>i;51zTf{zut1vn;750;094?6|,;n:6i:4H3a4?l7f?3:1(?j>:0c4?>i59h0;6)P5l:0:wA=:r.9><4=1`9U5d2=:r.>;:4k;%742?ba49K6f1<,8i365<#:m;1=l94V3f0>4}K:m?1=vX=1181!4593;j;6X>a581!30?3n0(899:e9~yx{P5l:0:wA??5d79U5d2=:r.>;:4k;%742?b1]>i=52zN1`0<6s_8:<7a1<^8k?6?u+5659b>"2??0m7psrL3f7>1}Q:h31?vXj6;0x 0122m=0(>=::454?xPb?38p(89::0c4?!54=3?<;6s+5d;951=z^;k26>uYe781!30=3n<7)=<5;743>{Qm>09w);85;3b3>"4;<0>;;5r$4g:>42v*:748g2>"4;<0>;:5r$4g:>`=z^;8;6?uYe781!30=3n<7)=<5;743>{#=l31=45r}|9j6d>=83.9h<4=a99U6a5=:rF9h84>{W024?4|,;8:6?o7;W3b0?4|,<=<6<64$455>4>i:51zT1gd<5s_o=6?u+56796d><,:9>6898;|&6a<<602wvq6g=a083>!4c938j=6X=d281I4c=3;pZ???:3y'677=:h;0Z;84=a09'763==>=0q);j9;3;?x{z3f8:m7>5$3f2>77f32wi:l4?:283>5}#:m;1>nk4H3a4?l7f03:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?n8:T2e1<5s-?<;7j4$455>a=zutw0e4g>3_;j87a=#=><1h6sr}|9l64g=83.9h<4=1`9U6a5=9rF9h84>{Wg;>7}#:;;1>7}#=>=1h6*:778g?x{zu2wi:o4?:083>5}#:m;1>i64H3a4?j46i3:1(?j>:33b?>{e>j0;6>4?:1y'6a7=?91C>n94i0c;>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628k37[?n4;0x 01028=0(899:058yx{z3`;j57>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6i01]=l:52z&632<6?2.>;;4>7:~yx=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95d:&633:e68L7e03`;j;7>5$3f2>4g032e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:768g?!30>3n0qpsr;|`2b=<72?0;6=u+2e395d3<@;i<7)?l8;3b?l7f?3:1(?j>:0c4?S4c;3;p@?j::0yU646=:r.9><4>a69U5d2=:r.>;:4k;%742?bi=51zN1`0<6s_8:<7a0<^8k?6?u+5659`>"2??0o7psr}:kg3?6=,;n:6i94V3f0>7}K:m?1=vX=1181!4593n<7[?n4;0x 0102o1/9:85f:~yI4c<3>pZ?o6:2yUa3<5s-?<97j8;%101?30?2w]i:4={%741?7f?2.8?84:769~ 0c>28>0q[;84k7:&070<2?>1vZh952z&630<6i>1/?>;55648y!3b13;?7pX=a880Sc12;q/9:;5d69'763==>=0q[k8:3y'123=l?1/?>;55658y!3b13o0q[<=0;0xR`0=:r.>;84k7:&070<2?>1v(8k6:0;8yx{<^;n86?uC2e795~P59909w)<=1;0b<>P6i=09w);87;3;?!30>3;37psrL3f7>4}Q:jk1>vXj6;0x 0122;k37)=<5;743>{#=l31=55r}|9j6d7=83.9h<4=a09U6a5=:rF9h84>{W024?4|,;8:6?o>;W3b0?4|,<=<6<64$455>4>i:51zT1gd<5s_o=6?u+56796d7<,:9>6898;|&6a<<602wvq6a=1`83>!4c938:m65rb0gg>5<4290;w)N5k>1b=l650;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628k37[?n4;0x 0102m1/9:85d:~yx=n9h31<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51`;8R4g32;q/9:95d:&633!4c938:m6X=d282I4c=3;pZh652z&164<59h1]=l:52z&632t$3f2>26<@;i<7d?n8;29 7b628k<7[290/>i?51`58R7b428qG>i;51zTf{zut1d>i=51zN1`0<6s_o36?u+233964g<^8k?6?u+5659`>"2??0o7psr}:a5c7=8391<7>t$3f2>26<@;i<7d?n8;29 7b628k<7[290/>i?51`58R7b428qG>i;51zTf{zut1d>i=51zN1`0<6s_o36?u+233964g<^8k?6?u+5659`>"2??0o7psr}:a5c4=8391<7>t$3f2>26<@;i<7d?n8;29 7b628k<7E0:;6*:77823>{zut1b=l750;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d?<^8k?6?u+565952=#=><1=:5r}|8k77f290/>i?520c8R7b428qG>i;51zTf{zut1vn5<7s-8o=79?;I0`3>o6i10;6)P5l:0:wA=:r.9><4>a99U5d2=:r.>;:4>7:&633<6?2wvqp5f1`;94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9h30Z1/9:85169~yx{:33b?S7f<38p(898:e9'120=l2wvqp5rb0d7>5<3290;w)4>{M0g1?7|^l21>v*=2082e==Q9h>1>v*:76823>"2??0:;6sr}|9j5d?=83.9h<4>a69K6a6<^;n86:0c:?S7f<38p(898:058 01128=0qpsr;h3a0?6=,;n:66a581!30?3;<7);86;34?x{zu2e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:768g?!30>3n0qpsr;|`2b0<72<0;6=u+2e3935=O:j=0e6a581!30?3;:7);86;32?x{zu2c:m44?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9h30Zo6jo0;6)P5l:0:wA=:r.9><4>bg9U5d2=:r.>;:4>7:&633<6?2wvqp5`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Z;;4k;|~y>{e9o<1<7;50;2x 7b62>:0D?m8;h3b6a581!30?3;:7);86;32?x{zu2c:m44?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9h30Z1/9:85169~yx{o6jo0;6)P5l:0:wA=:r.9><4>bg9U5d2=:r.>;:4>7:&633<6?2wvqp5`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Z;;4k;|~y>{e9ll1<7650;2x 7b62;;27E0o7);86;f8yx{z3`;j57>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b=>P6i=09w);87;f8 0112m1vqps4i0`7>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628h?7[?n4;0x 0102m1/9:85d:~yx=n9kl1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51cd8R4g32;q/9:95d:&633c383>!4c93;j;6X=d282I4c=3;pZh652z&164<6k;1]=l:52z&632:0c4?S4c;3;p@?j::0yUa=<5s-89=7?l3:T2e1<5s-?<;7j4$455>a=zutw0e4e33_;j87a=#=><1h6sr}|9l64g=83.9h<4=1`9U6a5=9rF9h84>{Wg;>7}#:;;1>7}#=>=1h6*:778g?x{zu2wi?>850;094?6|,;n:6i:4H3a4?l7f?3:1(?j>:0c4?>i59h0;6)P5l:0:wA=:r.9><4=1`9U5d2=:r.>;:4k;%742?b3<729q/>i?51`78L7e03-;h47?n;h3b3?6=,;n:660o7);86;f8yx{z3`n=6=4+2e39`3=Q:m91=vB=d482S46838p(?<>:e48R4g32;q/9:95d:&633c=#=><1j6sr}M0g0?2|^;k26>uYe781!30=3n<7)=<5;743>{Qm>09w);85;3b3>"4;<0>;:5r$4g:>42v*:7482e2=#;:?19:84}%7f=?733t\9m44<{Wg5>7}#=>?1h:5+3279121i?52`:8R7b42;qG>i;51zT155<5s-89=77g?3-9897;87:'1`?=911vqp5f2`394?"5l809m<5Y2e196~J5l<0:w[<>0;0x 7462;k:7[?n4;0x 0102820(899:0:8yx{K:m>1=vX=c`81Sc12;q/9:;52`38 6522<=<7p*:e882<>{zu2e9=l4?:%0g5?46i21vn>=8:180>5<7s-8o=7??51`:8R4g32;q/9:95d:&633a883>!4c93;j;6X=d282I4c=3;pZh652z&164<6i01]=l:52z&632:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7j4$455>a=zutw0qo=<8;295?6=8r.9h<4=d99K6f15}#:m;1;=5G2b58m4g?290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3b<>P6i=09w);87;32?!30>3;:7psr}:k2e<<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51`;8R4g32;q/9:95109'120=981vqps4o33b>5<#:m;1>4}K:m?1=vXj8;0x 7462;;j7[?n4;0x 0102m1/9:85d:~yx=zj:=n6=4=:183!4c93n?7E{Wg;>7}#:;;1>7}#=>=1h6*:778g?x{zu2wi?5=50;494?6|,;n:6"6k10:m6g>a683>!4c93;j;6X=d282I4c=3;pZ???:3y'677=9h=0Z;;4k;|~y>oc>3:1(?j>:e48R7b428qG>i;51zT155<5s-89=7j9;W3b0?4|,<=<6i5+5649`>{zut1bh:4?:%0g5?b03_8o?74}Q:8:1>v*=208g3>P6i=09w);87;d8 0112o1vqpB=d587S4f139pZh852z&630;84>a69'763==>=0q);j9;37?xP5i008w[k9:3y'123=l>1/?>;55658ySc02;q/9:;51`58 6522<==7p*:e8820>{Q:h31?vXj6;0x 0122m=0(>=::454?xPb?38p(89::e48 6522<=<7p*:e88f?xP5:909w[k9:3y'123=l>1/?>;55658y!3b13;27psr;h0b60:46*:7782<>{zuE8o87?tV3ab>7}Qm?09w);85;0b<>"4;<0>;:5r$4g:>4>:18'6a7=:h;0Z?j<:3yO6a3=9r\9==4={%015?4f92\:m94={%743?7?3-?<:7?7;|~H7b328q]>no52zTf2?4|,<=>6?o>;%101?30?2w/9h75199~yx=h:8k1<7*=d0815d=53;294~"5l809oh5G2b58m4g?290/>i?51`58R7b428qG>i;51zTf{zut1b=l750;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d?<^8k?6?u+5659`>"2??0o7psr}:m15d<72-8o=7<>a:T1`6<6sE8o97?tVd:96~"5:809=l5Y1`696~"2?>0o7);86;f8yx{z3th84=4?:083>5}#:m;1>i64H3a4?j46i3:1(?j>:33b?>{e;1;1<7=50;2x 7b62>:0D?m8;h3bP5l:0:wA=:r.9><4>a99U5d2=:r.>;:4>1:&633<692wvqp5f1`;94?"5l80:m:5G2e28R7b428qG>i;51zTf47a;29 7b62;;j7[7>50z&1`45<#:m;1>4}K:m?1=vXj8;0x 7462;;j7[?n4;0x 0102m1/9:85d:~yx=zj:226=49:183!4c93;j96F=c69'5f>=9h1b=l950;&1`4<6i>1]>i=51zN1`0<6s_8:<74g03_;j87a=#=><1h6sr}|9j`3<72-8o=7j9;W0g7?7|D;n>6a581!30?3n0(899:e9~yx{P5l:09wA??5d69U5d2=:r.>;:4i;%742?`i:54zT1e<<4s_o=6?u+5679`2=#;:?19:94}Wg4>7}#=>?1=l94$216>0103t.>i44>4:U6d?=;r\n:7a1<,:9>6898;|Tf3?4|,<=>62w/9h75159~R7g>2:q]i;4={%741?b03-9897;87:Ua2<5s-?<97j9;%101?30?2w/9h75e:U676=:r\n:7a1<,:9>6898;|&6a<<612wvq6g=a983>!4c938j46X=d281I4c=3;pZ???:3y'677=:h20Z;84=a99'763==>=0q);j9;3;?x{z3`8j=7>5$3f2>7g63_8o?74}Q:8:1>v*=2081e4=Q9h>1>v*:7682<>"2??0:46sr}M0g0?7|^;ij6?uYe781!30=38j=6*<348632=z,i?520c8?xd40<0;6>4?:1y'6a7=:jo0D?m8;h3b6a581!30?3n0(899:e9~yx{:0c:?S7f<38p(898:e9'120=l2wvqp5`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Z;;4k;|~y>{e;1<1<7?50;2x 7b62;n37Ea:9~f6>029086=4?{%0g5?173A8h;6g>a983>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=l64V0c7>7}#=>=1=<5+564954=zutw0e6a581!30?3;:7);86;32?x{zu2e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:768g?!30>3n0qpsr;|`74=<72;0;6=u+2e39`1=O:j=0ea;29 7b62;;j7[50z&1`4<6i<1C>n94$0a;>4gP6i=09w);87;f8 0112m1vqps4ie494?"5l80o:6X=d282I4c=3;pZ???:3y'677=l?1]=l:52z&632i?5d69U6a5=:rF9h84>{W024?4|,;8:6i94V0c7>7}#=>=1j6*:778e?x{zD;n?69uY2`;97~Pb>38p(89::e58 6522<=<7pXj7;0x 01228k<7)=<5;743>{#=l31=95rV3c:>6}Qm?09w);85;f4?!54=3?<;6sYe681!30=3;j;6*<348633=z,v*:748g3>"4;<0>;:5rVd596~"2?<0o:6*<348632=z,7}Qm?09w);85;f4?!54=3?<;6s+5d;95<=zut1b>l650;&1`4<5i11]>i=52zN1`0<6s_8:<77g?3_;j874><,<==6<64}|O6a2=9r\9ol4={Wg5>7}#=>?1>l64$216>0103t.>i44>8:~y>o5i80;6)P5l:09wA??52`38R4g32;q/9:95199'120=911vqpB=d582S4di38pZh852z&630<5i81/?>;55658y!3b13;37psr;n02e?6=,;n:6??n;:a05?=8391<7>t$3f2>7eb3A8h;6g>a983>!4c93;j;6X=d282I4c=3;pZh652z&164<6i11]=l:52z&632:0c4?S4c;3;p@?j::0yUa=<5s-89=7?n9:T2e1<5s-?<;7j4$455>a=zutw0c??n:18'6a7=:8k0Z?j<:0yO6a3=9r\n4777f3_;j87a=#=><1h6sr}|9~f16f290:6=4?{%0g5?4c02B9o:5`20c94?"5l809=l54}c63f?6=;3:1N5k>1b=l650;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628k37[?n4;0x 01028;0(899:038yx{z3`;j57>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6i01]=l:52z&632<692.>;;4>1:~yx=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95d:&633:e68L7e03`;j;7>5$3f2>4g032e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:768g?!30>3n0qpsr;|`756<72?0;6=u+2e395d3<@;i<7)?l8;3b?l7f?3:1(?j>:0c4?S4c;3;p@?j::0yU646=:r.9><4>a69U5d2=:r.>;:4k;%742?bi=51zN1`0<6s_8:<7a0<^8k?6?u+5659`>"2??0o7psr}:kg3?6=,;n:6i94V3f0>7}K:m?1=vX=1181!4593n<7[?n4;0x 0102o1/9:85f:~yI4c<3>pZ?o6:2yUa3<5s-?<97j8;%101?30?2w]i:4={%741?7f?2.8?84:769~ 0c>28>0q[;84k7:&070<2?>1vZh952z&630<6i>1/?>;55648y!3b13;?7pX=a880Sc12;q/9:;5d69'763==>=0q[k8:3y'123=l?1/?>;55658y!3b13o0q[<=0;0xR`0=:r.>;84k7:&070<2?>1v(8k6:0;8yx{<^;n86?uC2e795~P59909w)<=1;0b<>P6i=09w);87;3;?!30>3;37psrL3f7>4}Q:jk1>vXj6;0x 0122;k37)=<5;743>{#=l31=55r}|9j6d7=83.9h<4=a09U6a5=:rF9h84>{W024?4|,;8:6?o>;W3b0?4|,<=<6<64$455>4>i:51zT1gd<5s_o=6?u+56796d7<,:9>6898;|&6a<<602wvq6a=1`83>!4c938:m65rb52e>5<4290;w)N5k>1b=l650;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d><^8k?6?u+5659`>"2??0o7psr}:k2e<<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:m45Y1`696~"2?>0o7);86;f8yx{z3f8:m7>5$3f2>77f3_8o?7?tL3f6>4}Qm109w)<=1;02e>P6i=09w);87;f8 0112m1vqps4}c624?6=93:16<729q/>i?5719K6f1;|~y>o6i00;6)N5l91]>i=51zN1`0<6s_o36?u+23395d?<^8k?6?u+565954=#=><1=<5r}|8k77f290/>i?520c8R7b428qG>i;51zTf{zut1vn95<7s-8o=7j;;I0`3>o6i>0;6)=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95d:&633:0c6?M4d?2.:o54>a:k2e2<72-8o=7?n7:T1`6<6sE8o97?tV333>7}#:;;1=l94V0c7>7}#=>=1h6*:778g?x{zu2co:7>5$3f2>a0<^;n86!4c93n<7[<>52z&164v*:7482e2=#;:?19:94}%7f=?733t\9m44<{Wg5>7}#=>?1h:5+32791216899;|&6a<<6<2w]>l753zTf2?4|,<=>6i94$216>0103t\n;7a0<,:9>6898;|&6a<=4={Wg5>7}#=>?1h:5+3279121:3c;?S4c;38p@?j::0yU646=:r.9><4=a99U5d2=:r.>;:4>8:&633<602wvqA2820qps4i3c2>5<#:m;1>l?4V3f0>7}K:m?1=vX=1181!45938j=6X>a581!30?3;37);86;3;?x{zD;n?638p(89::3c2?!54=3?<;6s+5d;95==zut1d>:0c;?S7f<38p(898:e9'120=l2wvqp5f1`;94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9h30Z;;4k;|~y>i59h0;6)P5l:0:wA=:r.9><4=1`9U5d2=:r.>;:4k;%742?b4<729q/>i?52e:8L7e03f8:m7>5$3f2>77f32wi8?=50;694?6|,;n:6:>4H3a4?l7f03:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?n8:T2e1<5s-?<;7?8;%742?703twvq6g>a883>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=l74V0c7>7}#=>=1=:5+564952=zutw0e6a581!30?3;<7);86;34?x{zu2e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:768g?!30>3n0qpsr;|`6a;29 7b62;;j7[>3:1:7>50z&1`4<6i<1C>n94$0a;>4gP6i=09w);87;f8 0112m1vqps4ie494?"5l80o:6X=d282I4c=3;pZ???:3y'677=l?1]=l:52z&632i?5d69U6a5=:rF9h84>{W024?4|,;8:6i94V0c7>7}#=>=1j6*:778e?x{zD;n?69uY2`;97~Pb>38p(89::e58 6522<=<7pXj7;0x 01228k<7)=<5;743>{#=l31=95rV3c:>6}Qm?09w);85;f4?!54=3?<;6sYe681!30=3;j;6*<348633=z,v*:748g3>"4;<0>;:5rVd596~"2?<0o:6*<348632=z,7}Qm?09w);85;f4?!54=3?<;6s+5d;95<=zut1b>l650;&1`4<5i11]>i=52zN1`0<6s_8:<77g?3_;j874><,<==6<64}|O6a2=9r\9ol4={Wg5>7}#=>?1>l64$216>0103t.>i44>8:~y>o5i80;6)P5l:09wA??52`38R4g32;q/9:95199'120=911vqpB=d582S4di38pZh852z&630<5i81/?>;55658y!3b13;37psr;n02e?6=,;n:6??n;:a1=d=8391<7>t$3f2>7eb3A8h;6g>a983>!4c93;j;6X=d282I4c=3;pZh652z&164<6i11]=l:52z&632:0c4?S4c;3;p@?j::0yUa=<5s-89=7?n9:T2e1<5s-?<;7j4$455>a=zutw0c??n:18'6a7=:8k0Z?j<:0yO6a3=9r\n4777f3_;j87a=#=><1h6sr}|9~f0>d290:6=4?{%0g5?4c02B9o:5`20c94?"5l809=l54}c7;a?6=;3:1N5k>1b=l650;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d><^8k?6?u+5659`>"2??0o7psr}:k2e<<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:m45Y1`696~"2?>0o7);86;f8yx{z3f8:m7>5$3f2>77f3_8o?7?tL3f6>4}Qm109w)<=1;02e>P6i=09w);87;f8 0112m1vqps4}c7;b?6=;3:1N5k>1b=l650;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d><^8k?6?u+5659`>"2??0o7psr}:k2e<<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:m45Y1`696~"2?>0o7);86;f8yx{z3f8:m7>5$3f2>77f3_8o?7?tL3f6>4}Qm109w)<=1;02e>P6i=09w);87;f8 0112m1vqps4}c7:4?6=;3:1N5k>1b=l650;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d><^8k?6?u+565952=#=><1=:5r}|8m4g>290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3b=>P6i=09w);87;34?!30>3;<7psr}:m15d<72-8o=7<>a:T1`6<6sE8o97?tVd:96~"5:809=l5Y1`696~"2?>0o7);86;f8yx{z3th>5<4?:283>5}#:m;1;=5G2b58m4g?290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3b<>P6i=09w);87;34?!30>3;<7psr}:k2e<<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:m45Y1`696~"2?>0:;6*:77823>{zut1d>i=51zN1`0<6s_o36?u+233964g<^8k?6?u+5659`>"2??0o7psr}:a1<4=83>1<7>t$3f2>26<@;i<7d?n8;29 7b628k<7E0:;6*:77823>{zut1b=l750;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d?<^8k?6?u+565952=#=><1=:5r}|8m4d3290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3a0>P6i=09w);87;34?!30>3;<7psr}:m15d<72-8o=7<>a:T1`6<6sE8o97?tVd:96~"5:809=l5Y1`696~"2?>0o7);86;f8yx{z3th>5>4?:783>5}#:m;1;=5G2b58m4g?290/>i?51`58R7b428qG>i;51zTf470:=6*:77825>{zut1b=o:50;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628h?7[?n4;0x 01028=0(899:058yx{z3`;ij7>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6jo1]=l:52z&632<6?2.>;;4>7:~yx=n9j81<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474e53_;j8741<,<==6<94}|~?j46i3:1(?j>:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7j4$455>a=zutw0qo;64;292?6=8r.9h<480:J1g2=n9h21<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51`:8R4g32;q/9:95109'120=981vqps4i0c:>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628k27[?n4;0x 01028=0(899:058yx{z3`;i87>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6j=1]=l:52z&632<6?2.>;;4>7:~yx=n9kl1<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474da3_;j8741<,<==6<94}|~?l7d:3:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082g7=Q9h>1>v*:76823>"2??0:;6sr}|9l64g=83.9h<4=1`9U6a5=9rF9h84>{Wg;>7}#:;;1>7}#=>=1h6*:778g?x{zu2wi95j50;:94?6|,;n:6??6;I0`3>o6i10;6)P5l:0:wA=:r.9><4>a99U5d2=:r.>;:4k;%742?bi?51`58R7b428qG>i;51zTf{zut1b=oh50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395g`<^8k?6?u+5659`>"2??0o7psr}:k2g7<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:o?5Y1`696~"2?>0o7);86;f8yx{z3`;h?7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3`7>P6i=09w);87;f8 0112m1vqps4i0a7>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628i?7[?n4;0x 0102m1/9:85d:~yx=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95d:&633:e68L7e03`;j;7>5$3f2>4g032e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:768g?!30>3n0qpsr;|`6f7<72?0;6=u+2e395d3<@;i<7)?l8;3b?l7f?3:1(?j>:0c4?S4c;3;p@?j::0yU646=:r.9><4>a69U5d2=:r.>;:4k;%742?bi=51zN1`0<6s_8:<7a0<^8k?6?u+5659`>"2??0o7psr}:kg3?6=,;n:6i94V3f0>7}K:m?1=vX=1181!4593n<7[?n4;0x 0102o1/9:85f:~yI4c<3>pZ?o6:2yUa3<5s-?<97j8;%101?30?2w]i:4={%741?7f?2.8?84:769~ 0c>28>0q[;84k7:&070<2?>1vZh952z&630<6i>1/?>;55648y!3b13;?7pX=a880Sc12;q/9:;5d69'763==>=0q[k8:3y'123=l?1/?>;55658y!3b13o0q[<=0;0xR`0=:r.>;84k7:&070<2?>1v(8k6:0;8yx{<^;n86?uC2e795~P59909w)<=1;0b<>P6i=09w);87;3;?!30>3;37psrL3f7>4}Q:jk1>vXj6;0x 0122;k37)=<5;743>{#=l31=55r}|9j6d7=83.9h<4=a09U6a5=:rF9h84>{W024?4|,;8:6?o>;W3b0?4|,<=<6<64$455>4>i:51zT1gd<5s_o=6?u+56796d7<,:9>6898;|&6a<<602wvq6a=1`83>!4c938:m65rb4c4>5<4290;w)N5k>1b=l650;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628k37[?n4;0x 0102m1/9:85d:~yx=n9h31<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51`;8R4g32;q/9:95d:&633!4c938:m6X=d282I4c=3;pZh652z&164<59h1]=l:52z&632t$3f2>26<@;i<7d?n8;29 7b628k<7[290/>i?51`58R7b428qG>i;51zTf{zut1d>i=51zN1`0<6s_o36?u+233964g<^8k?6?u+5659`>"2??0o7psr}:a1dd=8391<7>t$3f2>26<@;i<7d?n8;29 7b628k<7[290/>i?51`58R7b428qG>i;51zTf{zut1d>i=51zN1`0<6s_o36?u+233964g<^8k?6?u+5659`>"2??0o7psr}:a1de=83=1<7>t$3f2>26<@;i<7d?n8;29 7b628k<7[;|~y>o6i00;6)N5l91]>i=51zN1`0<6s_o36?u+23395d?<^8k?6?u+565954=#=><1=<5r}|8m4d3290/>i?51`58R7b428qG>i;51zTf410:;6*:77823>{zut1b=n<50;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628i97[?n4;0x 01028=0(899:058yx{z3`;h?7>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6k:1]=l:52z&632<6?2.>;;4>7:~yx=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95d:&633:628L7e03`;j47>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6i11]=l:52z&632<692.>;;4>1:~yx=n9h31<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474g>3_;j8747<,<==6:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082f1=Q9h>1>v*:76823>"2??0:;6sr}|9j5g`=83.9h<4>a69K6a6<^;n86:0`e?S7f<38p(898:058 01128=0qpsr;h3`6?6=,;n:6P5l:0:wA=:r.9><4>c39U5d2=:r.>;:4>7:&633<6?2wvqp5f1b194?"5l80:m:5G2e28R7b428qG>i;51zTf41a;29 7b62;;j7[50z&1`4<082B9o:5f1`:94?"5l80:m:5G2e28R7b428qG>i;51zTf470:=6*:77825>{zut1b=o:50;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628h?7[?n4;0x 01028=0(899:058yx{z3`;ij7>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6jo1]=l:52z&632<6?2.>;;4>7:~yx=n9j81<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474e53_;j8741<,<==6<94}|~?l7d;3:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082g6=Q9h>1>v*:76823>"2??0:;6sr}|9l64g=83.9h<4=1`9U6a5=9rF9h84>{Wg;>7}#:;;1>7}#=>=1h6*:778g?x{zu2wi9lh50;594?6|,;n:6:>4H3a4?l7f03:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082e==Q9h>1>v*:76825>"2??0:=6sr}|9j5d?=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l74V0c7>7}#=>=1=:5+564952=zutw0e6a581!30?3;<7);86;34?x{zu2c:nk4?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9kl0Z1/9:85169~yx{o6k:0;6)N5l91]>i=51zN1`0<6s_o36?u+23395f5<^8k?6?u+565952=#=><1=:5r}|8k77f290/>i?520c8R7b428qG>i;51zTf{zut1vn8l?:18;>5<7s-8o=79?;I0`3>o6i10;6)N5l91]>i=51zN1`0<6s_o36?u+23395d><^8k?6?u+565954=#=><1=<5r}|8m4g>290/>i?51`58R7b428qG>i;51zTf47;|~y>o6jo0;6)N5l91]>i=51zN1`0<6s_o36?u+23395g`<^8k?6?u+565954=#=><1=<5r}|8m4e5290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3`6>P6i=09w);87;34?!30>3;<7psr}:k2g6<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51b18R4g32;q/9:95169'120=9>1vqps4i0a7>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?l4:T2e1<5s-?<;7?8;%742?703twvq6a=1`83>!4c938:m6X=d282I4c=3;pZh652z&164<59h1]=l:52z&6326a581!30?3n0(899:e9~yx{:0c:?S7f<38p(898:e9'120=l2wvqp5f1c694?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9k>0Z;;4k;|~y>o6jo0;6)P5l:0:wA=:r.9><4>bg9U5d2=:r.>;:4k;%742?bi?51`58R7b428qG>i;51zTf{zut1b=n:50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395f2<^8k?6?u+5659`>"2??0o7psr}:m15d<72-8o=7<>a:T1`6<6sE8o97?tVd:96~"5:809=l5Y1`696~"2?>0o7);86;f8yx{z3th9?o4?:383>5}#:m;1h95G2b58m4g0290/>i?51`58?j46i3:1(?j>:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7j4$455>a=zutw0qo<;1;296?6=8r.9h<4k4:J1g2=n9h=1<7*=d082e2=:33b?S7f<38p(898:028 01128:0qpsr;|`17f<72:0;6=u+2e396fc<@;i<7d?n8;29 7b628k<7[290/>i?51`58R7b428qG>i;51zTf{zut1d>i=51zN1`0<6s_o36?u+233964g<^8k?6?u+5659`>"2??0o7psr}:a66b=83;1<7>t$3f2>7b?3A8h;6a=1`83>!4c938:m65rb31e>5<4290;w)4>{M0g1?7|^l21>v*=2082e==Q9h>1>v*:76825>"2??0:=6sr}|9j5d?=83.9h<4>a69K6a6<^;n86:0c:?S7f<38p(898:058 01128=0qpsr;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3n0(899:e9~yx{53;294~"5l80<<6F=c69j5d>=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l64V0c7>7}#=>=1=:5+564952=zutw0e4g>3_;j8747<,<==6:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7j4$455>a=zutw0qo<:0c;?S7f<38p(898:e9'120=l2wvqp5f1`;94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9h30Z;;4k;|~y>i59h0;6)P5l:0:wA=:r.9><4=1`9U5d2=:r.>;:4k;%742?b7<729q/>i?5d59K6f15$3f2>77f3_8o?7?tL3f6>4}Qm109w)<=1;02e>P6i=09w);87;f8 0112m1vqps4}c07N5k>1b=l950;&1`4<6i>10c??n:18'6a7=:8k0Z?j<:0yO6a3=9r\n4777f3_;j87a=#=><1h6sr}|9~f72c29096=4?{%0g5?b33A8h;6g>a683>!4c93;j;65`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Z53;294~"5l809oh5G2b58m4g?290/>i?51`58R7b428qG>i;51zTf{zut1b=l750;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d?<^8k?6?u+5659`>"2??0o7psr}:m15d<72-8o=7<>a:T1`6<6sE8o97?tVd:96~"5:809=l5Y1`696~"2?>0o7);86;f8yx{z3th9894?:083>5}#:m;1>i64H3a4?j46i3:1(?j>:33b?>{e:=<1<7=50;2x 7b62>:0D?m8;h3b6a581!30?3;:7);86;32?x{zu2c:m44?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9h30Z1/9:85169~yx{:33b?S7f<38p(898:e9'120=l2wvqp5rb364>5<4290;w)4>{M0g1?7|^l21>v*=2082e==Q9h>1>v*:76823>"2??0:;6sr}|9j5d?=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l74V0c7>7}#=>=1=<5+564954=zutw0c??n:18'6a7=:8k0Z?j<:0yO6a3=9r\n4777f3_;j87a=#=><1h6sr}|9~f72229086=4?{%0g5?4612B9o:5f1`:94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9h20Z;;4k;|~y>o6i00;6)P5l:0:wA=:r.9><4>a89U5d2=:r.>;:4k;%742?ba;29 7b62;;j7[50z&1`4<082B9o:5f1`:94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9h20Zo6j=0;6)P5l:0:wA=:r.9><4>b59U5d2=:r.>;:4>8:&633<602wvqp5`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Z;;4k;|~y>{e:=k1<7?>:183!4c93=;7E??51`:8R4g32;q/9:95139'120=9;1vqps4i0c:>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?n9:T2e1<5s-?<;7?7;%742?7?3twvq6g>b583>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=o:4V0c7>7}#=>=1=55+56495==zutw0e6a581!30?3;37);86;3;?x{zu2c:o?4?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9j80Zo6k=0;6)N5l91]>i=51zN1`0<6s_o36?u+23395f2<^8k?6?u+56595==#=><1=55r}|8m4e2290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3`1>P6i=09w);87;3;?!30>3;37psr}:k2g3<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51b48R4g32;q/9:95199'120=911vqps4i0a4>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?l7:T2e1<5s-?<;7?7;%742?7?3twvq6g>a`83>!4c93;j;6X=d282I4c=3;pZh652z&164<6ih1]=l:52z&632<602.>;;4>8:~yx=n9hh1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51``8R4g32;q/9:95d:&633ab83>!4c93;j;6X=d282I4c=3;pZh652z&164<6ij1]=l:52z&632:0c4?S4c;3;p@?j::0yUa=<5s-89=7?nd:T2e1<5s-?<;7j4$455>a=zutw0e4gb3_;j87a=#=><1h6sr}|9j5d`=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=lh4V0c7>7}#=>=1h6*:778g?x{zu2e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:768g?!30>3n0qpsr;|`10g<728;1<7>t$3f2>26<@;i<7d?n8;29 7b628k<7[o6i00;6)N5l91]>i=51zN1`0<6s_o36?u+23395d?<^8k?6?u+56595==#=><1=55r}|8m4d3290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3a0>P6i=09w);87;3;?!30>3;37psr}:k2fc<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51cd8R4g32;q/9:95199'120=911vqps4i0a1>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?l2:T2e1<5s-?<;7?7;%742?7?3twvq6g>c283>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=n=4V0c7>7}#=>=1=55+56495==zutw0e6a581!30?3;37);86;3;?x{zu2c:o84?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9j?0Z2\:m94={%743?7?3-?<:7?7;|~y>o6k>0;6)N5l91]>i=51zN1`0<6s_o36?u+23395f1<^8k?6?u+56595==#=><1=55r}|8m4gf290/>i?51`58R7b428qG>i;51zTf4>i?51`58R7b428qG>i;51zTf{zut1b=lj50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395db<^8k?6?u+5659`>"2??0o7psr}:k2e`<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:mh5Y1`696~"2?>0o7);86;f8yx{z3`;jj7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3bb>P6i=09w);87;f8 0112m1vqps4o33b>5<#:m;1>4}K:m?1=vXj8;0x 7462;;j7[?n4;0x 0102m1/9:85d:~yx=zj;>h6=4;:183!4c938:56F=c69j5d>=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l64V0c7>7}#=>=1h6*:778g?x{zu2c:m44?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082e<=Q9h>1>v*:768g?!30>3n0qpsr;h3a0?6=,;n:66a581!30?3n0(899:e9~yx{:33b?S7f<38p(898:e9'120=l2wvqp5rb36f>5<5290;w)i=51zN1`0<6s_o36?u+233964g<^8k?6?u+5659`>"2??0o7psr}:a602=8381<7>t$3f2>a2<@;i<7d?n7;29 7b628k<76a=1`83>!4c938:m6X=d282I4c=3;pZh652z&164<59h1]=l:52z&632n94i0c4>5<#:m;1=l94;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3;;7);86;33?x{zu2wi>9h50;194?6|,;n:6?mj;I0`3>o6i10;6)P5l:0:wA=:r.9><4>a99U5d2=:r.>;:4k;%742?bi?520c8R7b428qG>i;51zTf{zut1vn?;?:182>5<7s-8o=7>7>53;294~"5l80<<6F=c69j5d>=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l64V0c7>7}#=>=1h6*:778g?x{zu2c:m44?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082e<=Q9h>1>v*:768g?!30>3n0qpsr;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3n0(899:e9~yx{?7>53;294~"5l80<<6F=c69j5d>=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l64V0c7>7}#=>=1=<5+564954=zutw0e6a581!30?3;<7);86;34?x{zu2e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:768g?!30>3n0qpsr;|`114<72:0;6=u+2e3964?<@;i<7d?n8;29 7b628k<7[290/>i?51`58R7b428qG>i;51zTf{zut1d>i=51zN1`0<6s_o36?u+233964g<^8k?6?u+5659`>"2??0o7psr}:a603=83<1<7>t$3f2>26<@;i<7d?n8;29 7b628k<7E0:>6*:77826>{zut1b=l750;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d?<^8k?6?u+565957=#=><1=?5r}|8m4d3290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3a0>P6i=09w);87;31?!30>3;97psr}:k2fc<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51cd8R4g32;q/9:95199'120=911vqps4i0a1>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628i97[?n4;0x 0102820(899:0:8yx{z3f8:m7>5$3f2>77f3_8o?7?tL3f6>4}Qm109w)<=1;02e>P6i=09w);87;f8 0112m1vqps4}c062?6=>3:1N5k>1b=l650;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628k37[?n4;0x 0102880(899:008yx{z3`;j57>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b=>P6i=09w);87;31?!30>3;97psr}:k2f1<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51c68R4g32;q/9:95139'120=9;1vqps4i0`e>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?mf:T2e1<5s-?<;7?7;%742?7?3twvq6g>c383>!4c93;j;6X=d282I4c=3;pZh652z&164<6k;1]=l:52z&632<602.>;;4>8:~yx=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95d:&633:628L7e03`;j47>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6i11]=l:52z&632<6:2.>;;4>2:~yx=n9h31<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474g>3_;j8744<,<==6<<4}|~?l7e<3:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082f1=Q9h>1>v*:76826>"2??0:>6sr}|9j5g`=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=oh4V0c7>7}#=>=1=?5+564957=zutw0e66X>a581!30?3;37);86;3;?x{zu2c:o>4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082g6=Q9h>1>v*:7682<>"2??0:46sr}|9l64g=83.9h<4=1`9U6a5=9rF9h84>{Wg;>7}#:;;1>7}#=>=1h6*:778g?x{zu2wi>8650;594?6|,;n:6:>4H3a4?l7f03:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082e==Q9h>1>v*:76826>"2??0:>6sr}|9j5d?=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l74V0c7>7}#=>=1=?5+564957=zutw0e4d33_;j8744<,<==6<<4}|~?l7en3:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?mf:T2e1<5s-?<;7?7;%742?7?3twvq6g>c383>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=n<4V0c7>7}#=>=1=55+56495==zutw0e4e43_;j874><,<==6<64}|~?j46i3:1(?j>:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7j4$455>a=zutw0qo<:9;291?6=8r.9h<4=189K6f1:0c;?S7f<38p(898:e9'120=l2wvqp5f1`;94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9h30Z;;4k;|~y>o6j=0;6)P5l:0:wA=:r.9><4>b59U5d2=:r.>;:4k;%742?bi?520c8R7b428qG>i;51zTf{zut1vn?;m:181>5<7s-8o=7j;;I0`3>o6i>0;6)=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95d:&633:e68L7e03`;j;7>5$3f2>4g032e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:768g?!30>3n0qpsr;|`120<72;0;6=u+2e39`1=O:j=0ea;29 7b62;;j7[{e:0o7);86;f8yx{z3`;j57>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b=>P6i=09w);87;f8 0112m1vqps4o33b>5<#:m;1>4}K:m?1=vXj8;0x 7462;;j7[?n4;0x 0102m1/9:85d:~yx=zj;?o6=4>:183!4c938o46F=c69l64g=83.9h<4=1`98yg42n3:1?7>50z&1`4<082B9o:5f1`:94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9h20Zi59h0;6)P5l:0:wA=:r.9><4=1`9U5d2=:r.>;:4k;%742?b6<729q/>i?5719K6f1:0c;?S7f<38p(898:058 01128=0qpsr;h3b=?6=,;n:66a581!30?3;:7);86;32?x{zu2e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:768g?!30>3n0qpsr;|`11`<72:0;6=u+2e3964?<@;i<7d?n8;29 7b628k<7[290/>i?51`58R7b428qG>i;51zTf{zut1d>i=51zN1`0<6s_o36?u+233964g<^8k?6?u+5659`>"2??0o7psr}:a634=8391<7>t$3f2>26<@;i<7d?n8;29 7b628k<7[o6i00;6)N5l91]>i=51zN1`0<6s_o36?u+23395d?<^8k?6?u+56595==#=><1=55r}|8k77f290/>i?520c8R7b428qG>i;51zTf{zut1vn?8<:180>5<7s-8o=79?;I0`3>o6i10;6)P5l:0:wA=:r.9><4>a99U5d2=:r.>;:4>8:&633<602wvqp5f1`;94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9h30Z:33b?S7f<38p(898:e9'120=l2wvqp5rb347>5<4290;w)N5k>1b=l650;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d><^8k?6?u+5659`>"2??0o7psr}:k2e<<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:m45Y1`696~"2?>0o7);86;f8yx{z3f8:m7>5$3f2>77f3_8o?7?tL3f6>4}Qm109w)<=1;02e>P6i=09w);87;f8 0112m1vqps4}c052?6=:3:1N5k>1b=l950;&1`4<6i>10c??n:18'6a7=:8k0Z?j<:0yO6a3=9r\n4777f3_;j87a=#=><1h6sr}|9~f70f29096=4?{%0g5?b33A8h;6g>a683>!4c93;j;65`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Z;;4k;|~y>{e:>;1<7<50;2x 7b62m>0D?m8;h3b3?6=,;n:6a:T1`6<6sE8o97?tVd:96~"5:809=l5Y1`696~"2?>0:<6*:77824>{zut1vn?88:180>5<7s-8o=7??51`:8R4g32;q/9:95d:&633a883>!4c93;j;6X=d282I4c=3;pZh652z&164<6i01]=l:52z&632:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7j4$455>a=zutw0qo<98;295?6=8r.9h<4=d99K6f15}#:m;1;=5G2b58m4g?290/>i?51`58R7b428qG>i;51zTf{zut1b=l750;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d?<^8k?6?u+5659`>"2??0o7psr}:m15d<72-8o=7<>a:T1`6<6sE8o97?tVd:96~"5:809=l5Y1`696~"2?>0o7);86;f8yx{z3th9:o4?:483>5}#:m;1;=5G2b58m4g?290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3b<>P6i=09w);87;31?!30>3;97psr}:k2e<<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:m45Y1`696~"2?>0:>6*:77826>{zut1b=o:50;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628h?7[?n4;0x 0102880(899:008yx{z3`;ij7>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6jo1]=l:52z&632<602.>;;4>8:~yx=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95d:&633:628L7e03`;j47>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6i11]=l:52z&632<6:2.>;;4>2:~yx=n9h31<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51`;8R4g32;q/9:95139'120=9;1vqps4i0`7>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?m4:T2e1<5s-?<;7?=;%742?753twvq6g>bg83>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=oh4V0c7>7}#=>=1=55+56495==zutw0c??n:18'6a7=:8k0Z?j<:0yO6a3=9r\n4777f3_;j87a=#=><1h6sr}|9~f70c290>6=4?{%0g5?173A8h;6g>a983>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=l64V0c7>7}#=>=1=?5+564957=zutw0e4g>3_;j8744<,<==6<<4}|~?l7e<3:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082f1=Q9h>1>v*:76826>"2??0:>6sr}|9j5g`=83.9h<4>a69K6a6<^;n86:0`e?S7f<38p(898:0:8 0112820qpsr;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3n0(899:e9~yx{55;294~"5l80<<6F=c69j5d>=83.9h<4>a69K6a6<^;n86:0c;?S7f<38p(898:008 0112880qpsr;h3b=?6=,;n:66a581!30?3;97);86;31?x{zu2c:n94?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9k>0Zi59h0;6)P5l:0:wA=:r.9><4=1`9U5d2=:r.>;:4k;%742?b0<729q/>i?5719K6f1o6i00;6)P5l:0:wA=:r.9><4>a89U5d2=:r.>;:4>2:&633<6:2wvqp5f1c694?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9k>0Zi59h0;6)P5l:0:wA=:r.9><4=1`9U5d2=:r.>;:4k;%742?b3<729q/>i?520;8L7e03`;j47>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b<>P6i=09w);87;f8 0112m1vqps4i0c:>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628k27[?n4;0x 0102m1/9:85d:~yx=n9k>1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51c68R4g32;q/9:95d:&633bg83>!4c93;j;6X=d282I4c=3;pZh652z&164<6jo1]=l:52z&632:0c4?S4c;3;p@?j::0yUa=<5s-89=7?l2:T2e1<5s-?<;7j4$455>a=zutw0c??n:18'6a7=:8k0Z?j<:0yO6a3=9r\n4777f3_;j87a=#=><1h6sr}|9~f71529096=4?{%0g5?b33A8h;6g>a683>!4c93;j;65`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Z;;4k;|~y>{e:><1<7<50;2x 7b62m>0D?m8;h3b3?6=,;n:6a:T1`6<6sE8o97?tVd:96~"5:809=l5Y1`696~"2?>0o7);86;f8yx{z3th9;i4?:383>5}#:m;1h95G2b58m4g0290/>i?51`58?j46i3:1(?j>:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7??;%742?773twvq6sm26194?5=83:p(?j>:3af?M4d?2c:m54?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082e==Q9h>1>v*:768g?!30>3n0qpsr;h3b=?6=,;n:66a581!30?3n0(899:e9~yx{:33b?S7f<38p(898:e9'120=l2wvqp5rb357>5<6290;w)N5k>1d>??51`:8R4g32;q/9:95d:&633a883>!4c93;j;6X=d282I4c=3;pZh652z&164<6i01]=l:52z&632:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7j4$455>a=zutw0qo<87;292?6=8r.9h<480:J1g2=n9h21<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474g?3_;j8744<,<==6<<4}|~?l7f13:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082e<=Q9h>1>v*:76826>"2??0:>6sr}|9j5g2=83.9h<4>a69K6a6<^;n86:0`7?S7f<38p(898:008 0112880qpsr;h3ab?6=,;n:6P5l:0:wA=:r.9><4>bg9U5d2=:r.>;:4>8:&633<602wvqp5f1b094?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9j80Z:33b?S7f<38p(898:e9'120=l2wvqp5rb35;>5<1290;w)o6j=0;6)N5l91]>i=51zN1`0<6s_o36?u+23395g2<^8k?6?u+56595==#=><1=55r}|8m4da290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3ab>P6i=09w);87;3;?!30>3;37psr}:k2g7<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:o?5Y1`696~"2?>0:46*:7782<>{zut1d>i=51zN1`0<6s_o36?u+233964g<^8k?6?u+5659`>"2??0o7psr}:a62?=83<1<7>t$3f2>26<@;i<7d?n8;29 7b628k<7E0:>6*:77826>{zut1b=l750;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d?<^8k?6?u+565957=#=><1=?5r}|8m4d3290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3a0>P6i=09w);87;31?!30>3;97psr}:k2fc<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51cd8R4g32;q/9:95199'120=911vqps4i0a1>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628i97[?n4;0x 0102820(899:0:8yx{z3f8:m7>5$3f2>77f3_8o?7?tL3f6>4}Qm109w)<=1;02e>P6i=09w);87;f8 0112m1vqps4}c04e?6=>3:1N5k>1b=l650;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628k37[?n4;0x 0102880(899:008yx{z3`;j57>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b=>P6i=09w);87;31?!30>3;97psr}:k2f1<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51c68R4g32;q/9:95139'120=9;1vqps4i0`e>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?mf:T2e1<5s-?<;7?7;%742?7?3twvq6g>c383>!4c93;j;6X=d282I4c=3;pZh652z&164<6k;1]=l:52z&632<602.>;;4>8:~yx=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95d:&633:628L7e03`;j47>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6i11]=l:52z&632<6:2.>;;4>2:~yx=n9h31<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51`;8R4g32;q/9:95139'120=9;1vqps4i0`7>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628h?7[?n4;0x 0102880(899:008yx{z3`;ij7>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6jo1]=l:52z&632<602.>;;4>8:~yx=n9j81<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51b08R4g32;q/9:95199'120=911vqps4o33b>5<#:m;1>4}K:m?1=vXj8;0x 7462;;j7[?n4;0x 0102m1/9:85d:~yx=zj;=h6=49:183!4c938:56F=c69j5d>=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l64V0c7>7}#=>=1h6*:778g?x{zu2c:m44?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082e<=Q9h>1>v*:768g?!30>3n0qpsr;h3a0?6=,;n:66a581!30?3n0(899:e9~yx{:0`e?S7f<38p(898:e9'120=l2wvqp5f1b094?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9j80Z;;4k;|~y>i59h0;6)P5l:0:wA=:r.9><4=1`9U5d2=:r.>;:4k;%742?b7<729q/>i?5d59K6f15$3f2>77f3_8o?7?tL3f6>4}Qm109w)<=1;02e>P6i=09w);87;f8 0112m1vqps4}c0;0?6=:3:1N5k>1b=l950;&1`4<6i>10c??n:18'6a7=:8k0Z?j<:0yO6a3=9r\n4777f3_;j87a=#=><1h6sr}|9~f7>129096=4?{%0g5?b33A8h;6g>a683>!4c93;j;65`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Z53;294~"5l809oh5G2b58m4g?290/>i?51`58R7b428qG>i;51zTf{zut1b=l750;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d?<^8k?6?u+5659`>"2??0o7psr}:m15d<72-8o=7<>a:T1`6<6sE8o97?tVd:96~"5:809=l5Y1`696~"2?>0o7);86;f8yx{z3th94=4?:083>5}#:m;1>i64H3a4?j46i3:1(?j>:33b?>{e:181<7=50;2x 7b62>:0D?m8;h3b6a581!30?3;:7);86;32?x{zu2c:m44?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9h30Z1/9:85169~yx{:33b?S7f<38p(898:e9'120=l2wvqp5rb3:0>5<4290;w)4>{M0g1?7|^l21>v*=2082e==Q9h>1>v*:76823>"2??0:;6sr}|9j5d?=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l74V0c7>7}#=>=1=<5+564954=zutw0c??n:18'6a7=:8k0Z?j<:0yO6a3=9r\n4777f3_;j87a=#=><1h6sr}|9~f7>629086=4?{%0g5?4612B9o:5f1`:94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9h20Z;;4k;|~y>o6i00;6)P5l:0:wA=:r.9><4>a89U5d2=:r.>;:4k;%742?ba;29 7b62;;j7[50z&1`4<082B9o:5f1`:94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9h20Z:0c:?S7f<38p(898:008 0112880qpsr;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3n0(899:e9~yx{52;294~"5l80o86F=c69j5d1=83.9h<4>a698k77f290/>i?520c8R7b428qG>i;51zTf{zut1vn?6k:181>5<7s-8o=7j;;I0`3>o6i>0;6)=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95119'120=991vqps4}c0;4g?3_;j87a=#=><1h6sr}|9j5d?=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l74V0c7>7}#=>=1h6*:778g?x{zu2e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:768g?!30>3n0qpsr;|`1<<<7280;6=u+2e396a><@;i<7b<>a;29 7b62;;j76sm29`94?5=83:p(?j>:628L7e03`;j47>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b<>P6i=09w);87;32?!30>3;:7psr}:k2e<<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:m45Y1`696~"2?>0:;6*:77823>{zut1d>i=51zN1`0<6s_o36?u+233964g<^8k?6?u+5659`>"2??0o7psr}:a6=e=8391<7>t$3f2>26<@;i<7d?n8;29 7b628k<7[;|~y>o6i00;6)N5l91]>i=51zN1`0<6s_o36?u+23395d?<^8k?6?u+565952=#=><1=:5r}|8k77f290/>i?520c8R7b428qG>i;51zTf{zut1vn?6n:180>5<7s-8o=7<>9:J1g2=n9h21<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51`:8R4g32;q/9:95d:&633a883>!4c93;j;6X=d282I4c=3;pZh652z&164<6i01]=l:52z&632:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7j4$455>a=zutw0qo<7e;296?6=8r.9h<4k4:J1g2=n9h=1<7*=d082e2=:33b?S7f<38p(898:e9'120=l2wvqp5rb3;7>5<5290;w)i=51zN1`0<6s_o36?u+233964g<^8k?6?u+565955=#=><1==5r}|8yg4?n3:1?7>50z&1`4<5kl1C>n94i0c;>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628k37[?n4;0x 0102m1/9:85d:~yx=n9h31<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51`;8R4g32;q/9:95d:&633!4c938:m6X=d282I4c=3;pZh652z&164<59h1]=l:52z&632t$3f2>26<@;i<7d?n8;29 7b628k<7[;|~y>o6i00;6)P5l:0:wA=:r.9><4>a89U5d2=:r.>;:4>7:&633<6?2wvqp5`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Z;;4k;|~y>{e:091<7=50;2x 7b62>:0D?m8;h3b6a581!30?3;:7);86;32?x{zu2c:m44?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9h30Z1/9:85169~yx{:33b?S7f<38p(898:e9'120=l2wvqp5rb3;2>5<4290;w)N5k>1b=l650;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d><^8k?6?u+5659`>"2??0o7psr}:k2e<<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:m45Y1`696~"2?>0o7);86;f8yx{z3f8:m7>5$3f2>77f3_8o?7?tL3f6>4}Qm109w)<=1;02e>P6i=09w);87;f8 0112m1vqps4}c0:1?6=:3:1N5k>1b=l950;&1`4<6i>10c??n:18'6a7=:8k0Z?j<:0yO6a3=9r\n4777f3_;j87a=#=><1h6sr}|9~f7?e29096=4?{%0g5?b33A8h;6g>a683>!4c93;j;65`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Z;;4k;|~y>{e:h:1<7<50;2x 7b62m>0D?m8;h3b3?6=,;n:6a:T1`6<6sE8o97?tVd:96~"5:809=l5Y1`696~"2?>0:<6*:77824>{zut1vn?79:180>5<7s-8o=7??51`:8R4g32;q/9:95d:&633a883>!4c93;j;6X=d282I4c=3;pZh652z&164<6i01]=l:52z&632:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7j4$455>a=zutw0qo<67;295?6=8r.9h<4=d99K6f15}#:m;1;=5G2b58m4g?290/>i?51`58R7b428qG>i;51zTf47i59h0;6)P5l:0:wA=:r.9><4=1`9U5d2=:r.>;:4k;%742?b6<729q/>i?5719K6f1:0c;?S7f<38p(898:038 01128;0qpsr;h3b=?6=,;n:6P5l:0:wA=:r.9><4>a89U5d2=:r.>;:4>7:&633<6?2wvqp5`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Z;;4k;|~y>{e:021<7=50;2x 7b62;;27E0o7);86;f8yx{z3`;j57>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b=>P6i=09w);87;f8 0112m1vqps4o33b>5<#:m;1>4}K:m?1=vXj8;0x 7462;;j7[?n4;0x 0102m1/9:85d:~yx=zj;3h6=4;:183!4c93=;7E0:>6*:77826>{zut1b=l750;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628k27[?n4;0x 0102820(899:0:8yx{z3`;i87>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3a0>P6i=09w);87;3;?!30>3;37psr}:m15d<72-8o=7<>a:T1`6<6sE8o97?tVd:96~"5:809=l5Y1`696~"2?>0o7);86;f8yx{z3th95i4?:0394?6|,;n:6:>4H3a4?l7f03:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082e==Q9h>1>v*:76826>"2??0:>6sr}|9j5d?=83.9h<4>a69K6a6<^;n86:0c:?S7f<38p(898:0:8 0112820qpsr;h3a0?6=,;n:6P5l:0:wA=:r.9><4>b59U5d2=:r.>;:4>8:&633<602wvqp5f1cd94?"5l80:m:5G2e28R7b428qG>i;51zTf4>0:46*:7782<>{zut1b=n=50;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628i87[?n4;0x 0102820(899:0:8yx{z3`;h87>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6k=1]=l:52z&632<602.>;;4>8:~yx=n9j?1<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474e23_;j874><,<==6<64}|~?l7d>3:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082g3=Q9h>1>v*:7682<>"2??0:46sr}|9j5f1=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=n94V0c7>7}#=>=1h6*:778g?x{zu2c:ml4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082ed=Q9h>1>v*:768g?!30>3n0qpsr;h3bf?6=,;n:66a581!30?3n0(899:e9~yx{:0c`?S7f<38p(898:e9'120=l2wvqp5f1`f94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9hn0Z;;4k;|~y>o6il0;6)P5l:0:wA=:r.9><4>ad9U5d2=:r.>;:4k;%742?bi?520c8R7b428qG>i;51zTf{zut1vn?7j:1825?6=8r.9h<480:J1g2=n9h21<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51`:8R4g32;q/9:95199'120=911vqps4i0c:>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?n9:T2e1<5s-?<;7?7;%742?7?3twvq6g>b583>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=o:4V0c7>7}#=>=1=55+56495==zutw0e6a581!30?3;37);86;3;?x{zu2c:o?4?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9j80Zo6k=0;6)N5l91]>i=51zN1`0<6s_o36?u+23395f2<^8k?6?u+56595==#=><1=55r}|8m4e2290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3`1>P6i=09w);87;3;?!30>3;37psr}:k2g3<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51b48R4g32;q/9:95199'120=911vqps4i0a4>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628i<7[?n4;0x 0102m1/9:85d:~yx=n9hk1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51`c8R4g32;q/9:95d:&633ac83>!4c93;j;6X=d282I4c=3;pZh652z&164<6ik1]=l:52z&632:0c4?S4c;3;p@?j::0yUa=<5s-89=7?nc:T2e1<5s-?<;7j4$455>a=zutw0e4gc3_;j87a=#=><1h6sr}|9j5dc=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=lk4V0c7>7}#=>=1h6*:778g?x{zu2c:mk4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082ec=Q9h>1>v*:768g?!30>3n0qpsr;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3n0(899:e9~yx{54;294~"5l809=45G2b58m4g?290/>i?51`58R7b428qG>i;51zTf{zut1b=l750;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d?<^8k?6?u+5659`>"2??0o7psr}:k2f1<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:n95Y1`696~"2?>0o7);86;f8yx{z3f8:m7>5$3f2>77f3_8o?7?tL3f6>4}Qm109w)<=1;02e>P6i=09w);87;f8 0112m1vqps4}c6bf?6=:3:1N5k>1b=l950;&1`4<6i>10c??n:18'6a7=:8k0Z?j<:0yO6a3=9r\n4777f3_;j87a=#=><1h6sr}|9~f1ga29096=4?{%0g5?b33A8h;6g>a683>!4c93;j;65`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Z;;4k;|~y>{e0D?m8;h3b3?6=,;n:6a:T1`6<6sE8o97?tVd:96~"5:809=l5Y1`696~"2?>0:<6*:77824>{zut1vn9ol:180>5<7s-8o=7??51`:8R4g32;q/9:95d:&633a883>!4c93;j;6X=d282I4c=3;pZh652z&164<6i01]=l:52z&632:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7j4$455>a=zutw0qo:nd;295?6=8r.9h<4=d99K6f15}#:m;1;=5G2b58m4g?290/>i?51`58R7b428qG>i;51zTf{zut1b=l750;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d?<^8k?6?u+5659`>"2??0o7psr}:m15d<72-8o=7<>a:T1`6<6sE8o97?tVd:96~"5:809=l5Y1`696~"2?>0o7);86;f8yx{z3th?n=4?:0394?6|,;n:6:>4H3a4?l7f03:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?n8:T2e1<5s-?<;7?7;%742?7?3twvq6g>a883>!4c93;j;6X=d282I4c=3;pZh652z&164<6i01]=l:52z&632<602.>;;4>8:~yx=n9k>1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51c68R4g32;q/9:95199'120=911vqps4i0`e>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628hm7[?n4;0x 0102820(899:0:8yx{z3`;h>7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3`6>P6i=09w);87;3;?!30>3;37psr}:k2g6<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51b18R4g32;q/9:95199'120=911vqps4i0a7>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628i?7[?n4;0x 0102820(899:0:8yx{z3`;h97>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3`1>P6i=09w);87;3;?!30>3;37psr}:k2g3<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:o;5Y1`696~"2?>0:46*:7782<>{zut1b=n950;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628i<7[?n4;0x 0102820(899:0:8yx{z3`;jm7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3be>P6i=09w);87;f8 0112m1vqps4i0ca>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628ki7[?n4;0x 0102m1/9:85d:~yx=n9hi1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51`a8R4g32;q/9:95d:&633ae83>!4c93;j;6X=d282I4c=3;pZh652z&164<6im1]=l:52z&632:0c4?S4c;3;p@?j::0yUa=<5s-89=7?ne:T2e1<5s-?<;7j4$455>a=zutw0e4ga3_;j87a=#=><1h6sr}|9l64g=83.9h<4=1`9U6a5=9rF9h84>{Wg;>7}#:;;1>7}#=>=1h6*:778g?x{zu2wi8o?50;32>5<7s-8o=79?;I0`3>o6i10;6)N5l91]>i=51zN1`0<6s_o36?u+23395d><^8k?6?u+565957=#=><1=?5r}|8m4g>290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3b=>P6i=09w);87;31?!30>3;97psr}:k2f1<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51c68R4g32;q/9:95139'120=9;1vqps4i0`e>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628hm7[?n4;0x 0102820(899:0:8yx{z3`;h>7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3`6>P6i=09w);87;3;?!30>3;37psr}:k2g6<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51b18R4g32;q/9:95199'120=911vqps4i0a7>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?l4:T2e1<5s-?<;7?7;%742?7?3twvq6g>c483>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=n;4V0c7>7}#=>=1=55+56495==zutw0e6a581!30?3;37);86;3;?x{zu2c:o:4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082g2=Q9h>1>v*:7682<>"2??0:46sr}|9j5dg=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=lo4V0c7>7}#=>=1=55+56495==zutw0e4ge3_;j874><,<==6<64}|~?l7fk3:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082ef=Q9h>1>v*:7682<>"2??0:46sr}|9j5db=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=lj4V0c7>7}#=>=1h6*:778g?x{zu2c:mh4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082e`=Q9h>1>v*:768g?!30>3n0qpsr;h3bb?6=,;n:66a581!30?3n0(899:e9~yx{:33b?S7f<38p(898:e9'120=l2wvqp5rb5`1>5<693:1N5k>1b=l650;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628k37[?n4;0x 0102880(899:008yx{z3`;j57>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6i01]=l:52z&632<6:2.>;;4>2:~yx=n9k>1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51c68R4g32;q/9:95199'120=911vqps4i0`e>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628hm7[?n4;0x 0102820(899:0:8yx{z3`;h>7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3`6>P6i=09w);87;3;?!30>3;37psr}:k2g6<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51b18R4g32;q/9:95199'120=911vqps4i0a7>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?l4:T2e1<5s-?<;7?7;%742?7?3twvq6g>c483>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=n;4V0c7>7}#=>=1=55+56495==zutw0e6a581!30?3;37);86;3;?x{zu2c:o:4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082g2=Q9h>1>v*:7682<>"2??0:46sr}|9j5dg=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=lo4V0c7>7}#=>=1=55+56495==zutw0e4ge3_;j874><,<==6<64}|~?l7fk3:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082ef=Q9h>1>v*:7682<>"2??0:46sr}|9j5db=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=lj4V0c7>7}#=>=1h6*:778g?x{zu2c:mh4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082e`=Q9h>1>v*:768g?!30>3n0qpsr;h3bb?6=,;n:66a581!30?3n0(899:e9~yx{:33b?S7f<38p(898:e9'120=l2wvqp5rb5`0>5<693:1N5k>1b=l650;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628k37[?n4;0x 0102880(899:008yx{z3`;j57>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b=>P6i=09w);87;31?!30>3;97psr}:k2f1<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:n95Y1`696~"2?>0:>6*:77826>{zut1b=oh50;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628hm7[?n4;0x 0102880(899:008yx{z3`;h>7>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6k;1]=l:52z&632<602.>;;4>8:~yx=n9j91<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51b18R4g32;q/9:95199'120=911vqps4i0a7>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?l4:T2e1<5s-?<;7?7;%742?7?3twvq6g>c483>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=n;4V0c7>7}#=>=1=55+56495==zutw0e6a581!30?3;37);86;3;?x{zu2c:o:4?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9j=0Z:0cb?S7f<38p(898:0:8 0112820qpsr;h3bf?6=,;n:66a581!30?3;37);86;3;?x{zu2c:mn4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082ef=Q9h>1>v*:7682<>"2??0:46sr}|9j5db=83.9h<4>a69K6a6<^;n86:0cg?S7f<38p(898:0:8 0112820qpsr;h3ba?6=,;n:66a581!30?3n0(899:e9~yx{:0ce?S7f<38p(898:e9'120=l2wvqp5`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Z;;4k;|~y>{e1<7?>:183!4c93=;7E??51`:8R4g32;q/9:95139'120=9;1vqps4i0c:>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628k27[?n4;0x 0102880(899:008yx{z3`;i87>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3a0>P6i=09w);87;31?!30>3;97psr}:k2fc<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:nk5Y1`696~"2?>0:46*:7782<>{zut1b=n<50;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628i97[?n4;0x 0102820(899:0:8yx{z3`;h?7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3`7>P6i=09w);87;3;?!30>3;37psr}:k2g1<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51b68R4g32;q/9:95199'120=911vqps4i0a6>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?l5:T2e1<5s-?<;7?7;%742?7?3twvq6g>c783>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=n84V0c7>7}#=>=1=55+56495==zutw0e6a581!30?3;37);86;3;?x{zu2c:ml4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082ed=Q9h>1>v*:7682<>"2??0:46sr}|9j5dd=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=ll4V0c7>7}#=>=1=55+56495==zutw0e4gd3_;j874><,<==6<64}|~?l7fl3:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082ea=Q9h>1>v*:7682<>"2??0:46sr}|9j5dc=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=lk4V0c7>7}#=>=1h6*:778g?x{zu2c:mk4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082ec=Q9h>1>v*:768g?!30>3n0qpsr;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3n0(899:e9~yx{i97>56;294~"5l809=45G2b58m4g?290/>i?51`58R7b428qG>i;51zTf{zut1b=l750;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d?<^8k?6?u+5659`>"2??0o7psr}:k2f1<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:n95Y1`696~"2?>0o7);86;f8yx{z3`;ij7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3ab>P6i=09w);87;f8 0112m1vqps4i0a1>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628i97[?n4;0x 0102m1/9:85d:~yx=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95d:&633:e68L7e03`;j;7>5$3f2>4g032e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:768g?!30>3n0qpsr;|`657<72;0;6=u+2e39`1=O:j=0ea;29 7b62;;j7[3:1>7>50z&1`45<#:m;1>4}K:m?1=vXj8;0x 7462;;j7[?n4;0x 01028:0(899:028yx{z3th>5}#:m;1>nk4H3a4?l7f03:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082e==Q9h>1>v*:768g?!30>3n0qpsr;h3b=?6=,;n:66a581!30?3n0(899:e9~yx{:33b?S7f<38p(898:e9'120=l2wvqp5rb433>5<6290;w)N5k>1d>1;297?6=8r.9h<480:J1g2=n9h21<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474g?3_;j8747<,<==6:0c4?S4c;3;p@?j::0yUa=<5s-89=7?n9:T2e1<5s-?<;7?>;%742?763twvq6a=1`83>!4c938:m6X=d282I4c=3;pZh652z&164<59h1]=l:52z&632n94i0c;>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?n8:T2e1<5s-?<;7?=;%742?753twvq6g>a883>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=l74V0c7>7}#=>=1=?5+564957=zutw0e6a581!30?3;97);86;31?x{zu2c:nk4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082fc=Q9h>1>v*:76826>"2??0:>6sr}|9j5f4=83.9h<4>a69K6a6<^;n86:0a1?S7f<38p(898:0:8 0112820qpsr;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3n0(899:e9~yx{56;294~"5l80<<6F=c69j5d>=83.9h<4>a69K6a6<^;n86:0c;?S7f<38p(898:008 0112880qpsr;h3b=?6=,;n:66a581!30?3;97);86;31?x{zu2c:n94?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082f1=Q9h>1>v*:76826>"2??0:>6sr}|9j5g`=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=oh4V0c7>7}#=>=1=55+56495==zutw0e66X>a581!30?3;37);86;3;?x{zu2e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:768g?!30>3n0qpsr;|`650<72:0;6=u+2e3964?<@;i<7d?n8;29 7b628k<7[290/>i?51`58R7b428qG>i;51zTf{zut1d>i=51zN1`0<6s_o36?u+233964g<^8k?6?u+5659`>"2??0o7psr}:a11>=8381<7>t$3f2>a2<@;i<7d?n7;29 7b628k<76a=1`83>!4c938:m6X=d282I4c=3;pZh652z&164<59h1]=l:52z&632n94i0c4>5<#:m;1=l94;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3;;7);86;33?x{zu2wi99750;194?6|,;n:6?mj;I0`3>o6i10;6)N5l91]>i=51zN1`0<6s_o36?u+23395d><^8k?6?u+5659`>"2??0o7psr}:k2e<<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:m45Y1`696~"2?>0o7);86;f8yx{z3f8:m7>5$3f2>77f3_8o?7?tL3f6>4}Qm109w)<=1;02e>P6i=09w);87;f8 0112m1vqps4}c77e?6=93:16<729q/>i?5719K6f1;|~y>o6i00;6)N5l91]>i=51zN1`0<6s_o36?u+23395d?<^8k?6?u+565954=#=><1=<5r}|8k77f290/>i?520c8R7b428qG>i;51zTf{zut1vn88n:181>5<7s-8o=7j;;I0`3>o6i>0;6)=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95d:&633:e68L7e03`;j;7>5$3f2>4g032e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:76824>"2??0:<6sr}|9~f00e29086=4?{%0g5?4dm2B9o:5f1`:94?"5l80:m:5G2e28R7b428qG>i;51zTf{zut1b=l750;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d?<^8k?6?u+5659`>"2??0o7psr}:m15d<72-8o=7<>a:T1`6<6sE8o97?tVd:96~"5:809=l5Y1`696~"2?>0o7);86;f8yx{z3th>:n4?:083>5}#:m;1>i64H3a4?j46i3:1(?j>:33b?>{e=?n1<7=50;2x 7b62>:0D?m8;h3bP5l:0:wA=:r.9><4>a99U5d2=:r.>;:4>1:&633<692wvqp5f1`;94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9h30Z1/9:85169~yx{:33b?S7f<38p(898:e9'120=l2wvqp5rb5:7>5<5290;w)i=51zN1`0<6s_o36?u+233964g<^8k?6?u+5659`>"2??0o7psr}:a0=>=8381<7>t$3f2>a2<@;i<7d?n7;29 7b628k<76a=1`83>!4c938:m6X=d282I4c=3;pZh652z&164<59h1]=l:52z&632n94i0c4>5<#:m;1=l94;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3;;7);86;33?x{zu2wi85;50;194?6|,;n:6?mj;I0`3>o6i10;6)P5l:0:wA=:r.9><4>a99U5d2=:r.>;:4k;%742?bi?520c8R7b428qG>i;51zTf{zut1vn969:182>5<7s-8o=73;7>53;294~"5l80<<6F=c69j5d>=83.9h<4>a69K6a6<^;n86:0c;?S7f<38p(898:058 01128=0qpsr;h3b=?6=,;n:6P5l:0:wA=:r.9><4>a89U5d2=:r.>;:4>7:&633<6?2wvqp5`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Z;;4k;|~y>{e<131<7950;2x 7b62>:0D?m8;h3b6a581!30?3;97);86;31?x{zu2c:m44?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082e<=Q9h>1>v*:7682<>"2??0:46sr}|9j5g2=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=o:4V0c7>7}#=>=1=55+56495==zutw0e6a581!30?3;37);86;3;?x{zu2c:o?4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082g7=Q9h>1>v*:7682<>"2??0:46sr}|9j5f5=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=n=4V0c7>7}#=>=1=55+56495==zutw0c??n:18'6a7=:8k0Z?j<:0yO6a3=9r\n4777f3_;j87a=#=><1h6sr}|9~f1?f29096=4?{%0g5?b33A8h;6g>a683>!4c93;j;65`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Z;;4k;|~y>{e7E4>{M0g1?7|^;;;6?u+23395d1<^8k?6?u+5659`>"2??0o7psr}:kg2?6=,;n:6i84V3f0>4}K:m?1=vX=1181!4593n=7[?n4;0x 0102m1/9:85d:~yx=nl>0;6)<4k7:T2e1<5s-?<;7h4$455>c=zutF9h94;{W0b=?5|^l<1>v*:748g3>"4;<0>;:5rVd596~"2?<0:m:5+32791217}#=>?1=l94$216>0113t.>i44>4:U6d?=;r\n:7a1<,:9>6898;|Tf3?4|,<=>6i84$216>0103t.>i44j;|T165<5s_o=6?u+5679`2=#;:?19:94}%7f=?7>3twv7d<>52z&164<5i11]=l:52z&632<602.>;;4>8:~yI4c<3;pZ?mn:3yUa3<5s-?<971v(8k6:0:8yx{P6i=09w);87;3;?!30>3;37psrL3f7>4}Q:jk1>vXj6;0x 0122;k:7)=<5;743>{#=l31=55r}|9l64g=83.9h<4=1`98yg2>j3:1?7>50z&1`4<5kl1C>n94i0c;>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628k37[?n4;0x 0102m1/9:85d:~yx=n9h31<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51`;8R4g32;q/9:95d:&633!4c938:m6X=d282I4c=3;pZh652z&164<59h1]=l:52z&6321<7>t$3f2>26<@;i<7d?n8;29 7b628k<7E0:;6*:77823>{zut1b=l750;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628k27[?n4;0x 01028=0(899:058yx{z3`;i87>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6j=1]=l:52z&632<6?2.>;;4>7:~yx=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95d:&633:628L7e03`;j47>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6i11]=l:52z&632<6?2.>;;4>7:~yx=n9h31<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51`;8R4g32;q/9:95169'120=9>1vqps4i0`7>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?m4:T2e1<5s-?<;7?8;%742?703twvq6a=1`83>!4c938:m6X=d282I4c=3;pZh652z&164<59h1]=l:52z&6324?:1y'6a7=:830D?m8;h3b6a581!30?3n0(899:e9~yx{:0c:?S7f<38p(898:e9'120=l2wvqp5`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Z;;4k;|~y>{e0D?m8;h3b3?6=,;n:6a:T1`6<6sE8o97?tVd:96~"5:809=l5Y1`696~"2?>0o7);86;f8yx{z3th?o=4?:383>5}#:m;1h95G2b58m4g0290/>i?51`58?j46i3:1(?j>:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7j4$455>a=zutw0qo:l7;292?6=8r.9h<4>a49K6f1<,8i365<#:m;1=l94V3f0>4}K:m?1=vX=1181!4593;j;6X>a581!30?3n0(899:e9~yx{P5l:0:wA??5d79U5d2=:r.>;:4k;%742?b1]>i=52zN1`0<6s_8:<7a1<^8k?6?u+5659b>"2??0m7psrL3f7>1}Q:h31?vXj6;0x 0122m=0(>=::454?xPb?38p(89::0c4?!54=3?<;6s+5d;951=z^;k26>uYe781!30=3n<7)=<5;743>{Qm>09w);85;3b3>"4;<0>;;5r$4g:>42v*:748g2>"4;<0>;:5r$4g:>`=z^;8;6?uYe781!30=3n<7)=<5;743>{#=l31=45r}|9j6d>=83.9h<4=a99U6a5=:rF9h84>{W024?4|,;8:6?o7;W3b0?4|,<=<6<64$455>4>i:51zT1gd<5s_o=6?u+56796d><,:9>6898;|&6a<<602wvq6g=a083>!4c938j=6X=d281I4c=3;pZ???:3y'677=:h;0Z;84=a09'763==>=0q);j9;3;?x{z3f8:m7>5$3f2>77f32wi8oj50;194?6|,;n:6?mj;I0`3>o6i10;6)P5l:0:wA=:r.9><4>a99U5d2=:r.>;:4k;%742?bi?520c8R7b428qG>i;51zTf{zut1vn9lj:182>5<7s-8o=7ij7>53;294~"5l80<<6F=c69j5d>=83.9h<4>a69K6a6<^;n86:0c;?S7f<38p(898:058 01128=0qpsr;h3b=?6=,;n:6P5l:0:wA=:r.9><4>a89U5d2=:r.>;:4>7:&633<6?2wvqp5`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Z;;4k;|~y>{e:0D?m8;h3bP5l:0:wA=:r.9><4>a99U5d2=:r.>;:4>2:&633<6:2wvqp5f1`;94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9h30Zo6jo0;6)N5l91]>i=51zN1`0<6s_o36?u+23395g`<^8k?6?u+56595==#=><1=55r}|8m4e5290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3`6>P6i=09w);87;3;?!30>3;37psr}:k2g6<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:o>5Y1`696~"2?>0:46*:7782<>{zut1d>i=51zN1`0<6s_o36?u+233964g<^8k?6?u+5659`>"2??0o7psr}:a0f4=83=1<7>t$3f2>26<@;i<7d?n8;29 7b628k<7E0:>6*:77826>{zut1b=l750;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d?<^8k?6?u+565957=#=><1=?5r}|8m4d3290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3a0>P6i=09w);87;31?!30>3;97psr}:k2fc<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51cd8R4g32;q/9:95199'120=911vqps4i0a1>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?l2:T2e1<5s-?<;7?7;%742?7?3twvq6g>c283>!4c93;j;6X=d282I4c=3;pZh652z&164<6k:1]=l:52z&632<602.>;;4>8:~yx=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95d:&633=83:p(?j>:628L7e03`;j47>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6i11]=l:52z&632<6:2.>;;4>2:~yx=n9h31<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474g>3_;j8744<,<==6<<4}|~?l7e<3:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082f1=Q9h>1>v*:76826>"2??0:>6sr}|9j5g`=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=oh4V0c7>7}#=>=1=?5+564957=zutw0e66X>a581!30?3;37);86;3;?x{zu2c:o>4?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9j90Z:0a7?S7f<38p(898:0:8 0112820qpsr;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3n0(899:e9~yx{h87>58;294~"5l80<<6F=c69j5d>=83.9h<4>a69K6a6<^;n86:0c;?S7f<38p(898:008 0112880qpsr;h3b=?6=,;n:66a581!30?3;97);86;31?x{zu2c:n94?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082f1=Q9h>1>v*:76826>"2??0:>6sr}|9j5g`=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=oh4V0c7>7}#=>=1=55+56495==zutw0e66X>a581!30?3;37);86;3;?x{zu2c:o>4?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9j90Z:0a7?S7f<38p(898:0:8 0112820qpsr;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3n0(899:e9~yx{h97>55;294~"5l809=45G2b58m4g?290/>i?51`58R7b428qG>i;51zTf{zut1b=l750;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d?<^8k?6?u+5659`>"2??0o7psr}:k2f1<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:n95Y1`696~"2?>0o7);86;f8yx{z3`;ij7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3ab>P6i=09w);87;f8 0112m1vqps4o33b>5<#:m;1>4}K:m?1=vXj8;0x 7462;;j7[?n4;0x 0102m1/9:85d:~yx=zj=no6=4=:183!4c93n?7E{Wg;>7}#:;;1>7}#=>=1h6*:778g?x{zu2wi8h=50;094?6|,;n:6i:4H3a4?l7f?3:1(?j>:0c4?>i59h0;6)P5l:0:wA=:r.9><4=1`9U5d2=:r.>;:4k;%742?b3<729q/>i?51`78L7e03-;h47?n;h3b3?6=,;n:660o7);86;f8yx{z3`n=6=4+2e39`3=Q:m91=vB=d482S46838p(?<>:e48R4g32;q/9:95d:&633c=#=><1j6sr}M0g0?2|^;k26>uYe781!30=3n<7)=<5;743>{Qm>09w);85;3b3>"4;<0>;:5r$4g:>42v*:7482e2=#;:?19:84}%7f=?733t\9m44<{Wg5>7}#=>?1h:5+3279121i?52`:8R7b42;qG>i;51zT155<5s-89=77g?3-9897;87:'1`?=911vqp5f2`394?"5l809m<5Y2e196~J5l<0:w[<>0;0x 7462;k:7[?n4;0x 0102820(899:0:8yx{K:m>1=vX=c`81Sc12;q/9:;52`38 6522<=<7p*:e882<>{zu2e9=l4?:%0g5?46i21vn9jj:180>5<7s-8o=7??51`:8R4g32;q/9:95d:&633a883>!4c93;j;6X=d282I4c=3;pZh652z&164<6i01]=l:52z&632:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7j4$455>a=zutw0qo:kf;295?6=8r.9h<4=d99K6f15}#:m;1;=5G2b58m4g?290/>i?51`58R7b428qG>i;51zTf410:;6*:77823>{zut1d>i=51zN1`0<6s_o36?u+233964g<^8k?6?u+5659`>"2??0o7psr}:a0`4=8391<7>t$3f2>26<@;i<7d?n8;29 7b628k<7[o6i00;6)P5l:0:wA=:r.9><4>a89U5d2=:r.>;:4>7:&633<6?2wvqp5`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Z;;4k;|~y>{e0o7);86;f8yx{z3`;j57>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b=>P6i=09w);87;f8 0112m1vqps4o33b>5<#:m;1>4}K:m?1=vXj8;0x 7462;;j7[?n4;0x 0102m1/9:85d:~yx=zj=o?6=46:183!4c93=;7E0:>6*:77826>{zut1b=l750;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d?<^8k?6?u+56595==#=><1=55r}|8m4d3290/>i?51`58R7b428qG>i;51zTf44o6k;0;6)P5l:0:wA=:r.9><4>c39U5d2=:r.>;:4>8:&633<602wvqp5f1b194?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9j90Zo6k<0;6)P5l:0:wA=:r.9><4>c49U5d2=:r.>;:4>8:&633<602wvqp5`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Z;;4k;|~y>{e:183!4c93=;7E0:>6*:77826>{zut1b=l750;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d?<^8k?6?u+56595==#=><1=55r}|8m4d3290/>i?51`58R7b428qG>i;51zTf44o6k;0;6)P5l:0:wA=:r.9><4>c39U5d2=:r.>;:4>8:&633<602wvqp5f1b194?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9j90Zo6k<0;6)P5l:0:wA=:r.9><4>c49U5d2=:r.>;:4>8:&633<602wvqp5f1b494?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9j<0Z:0a4?S7f<38p(898:e9'120=l2wvqp5f1`c94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9hk0Z;;4k;|~y>o6ik0;6)P5l:0:wA=:r.9><4>ac9U5d2=:r.>;:4k;%742?bi?51`58R7b428qG>i;51zTf{zut1b=lk50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395dc<^8k?6?u+5659`>"2??0o7psr}:k2ec<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:mk5Y1`696~"2?>0o7);86;f8yx{z3f8:m7>5$3f2>77f3_8o?7?tL3f6>4}Qm109w)<=1;02e>P6i=09w);87;f8 0112m1vqps4}c6f2?6=980;6=u+2e3935=O:j=0e4g?3_;j8744<,<==6<<4}|~?l7f13:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?n9:T2e1<5s-?<;7?7;%742?7?3twvq6g>b583>!4c93;j;6X=d282I4c=3;pZh652z&164<6j=1]=l:52z&632<6:2.>;;4>2:~yx=n9kl1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51cd8R4g32;q/9:95199'120=911vqps4i0a1>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628i97[?n4;0x 0102820(899:0:8yx{z3`;h?7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3`7>P6i=09w);87;3;?!30>3;37psr}:k2g1<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51b68R4g32;q/9:95199'120=911vqps4i0a6>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628i>7[?n4;0x 0102820(899:0:8yx{z3`;h:7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3`2>P6i=09w);87;3;?!30>3;37psr}:k2g2<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:o:5Y1`696~"2?>0o7);86;f8yx{z3`;jm7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3be>P6i=09w);87;f8 0112m1vqps4i0ca>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628ki7[?n4;0x 0102m1/9:85d:~yx=n9hi1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51`a8R4g32;q/9:95d:&633ae83>!4c93;j;6X=d282I4c=3;pZh652z&164<6im1]=l:52z&632:0c4?S4c;3;p@?j::0yUa=<5s-89=7?ne:T2e1<5s-?<;7j4$455>a=zutw0e4ga3_;j87a=#=><1h6sr}|9l64g=83.9h<4=1`9U6a5=9rF9h84>{Wg;>7}#:;;1>7}#=>=1h6*:778g?x{zu2wi8h950;694?6|,;n:6??6;I0`3>o6i10;6)P5l:0:wA=:r.9><4>a99U5d2=:r.>;:4k;%742?bi?51`58R7b428qG>i;51zTf{zut1d>i=51zN1`0<6s_o36?u+233964g<^8k?6?u+5659`>"2??0o7psr}:a0`e=8381<7>t$3f2>a2<@;i<7d?n7;29 7b628k<76a=1`83>!4c938:m6X=d282I4c=3;pZh652z&164<59h1]=l:52z&632n94i0c4>5<#:m;1=l94;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3;;7);86;33?x{zu2wi8hj50;194?6|,;n:6?mj;I0`3>o6i10;6)P5l:0:wA=:r.9><4>a99U5d2=:r.>;:4k;%742?bi?520c8R7b428qG>i;51zTf{zut1vn9kj:182>5<7s-8o=7m<7>54;294~"5l80<<6F=c69j5d>=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l64V0c7>7}#=>=1=<5+564954=zutw0e6a581!30?3;:7);86;32?x{zu2c:n94?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082f1=Q9h>1>v*:76825>"2??0:=6sr}|9l64g=83.9h<4=1`9U6a5=9rF9h84>{Wg;>7}#:;;1>7}#=>=1h6*:778g?x{zu2wi8k?50;494?6|,;n:6:>4H3a4?l7f03:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?n8:T2e1<5s-?<;7?>;%742?763twvq6g>a883>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=l74V0c7>7}#=>=1=<5+564954=zutw0e4d33_;j8747<,<==6:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082fc=Q9h>1>v*:76825>"2??0:=6sr}|9j5f4=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=n<4V0c7>7}#=>=1=<5+564954=zutw0c??n:18'6a7=:8k0Z?j<:0yO6a3=9r\n4777f3_;j87a=#=><1h6sr}|9~f1ca29086=4?{%0g5?4612B9o:5f1`:94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9h20Z;;4k;|~y>o6i00;6)P5l:0:wA=:r.9><4>a89U5d2=:r.>;:4k;%742?ba;29 7b62;;j7[7>50z&1`45<#:m;1>4}K:m?1=vXj8;0x 7462;;j7[?n4;0x 0102m1/9:85d:~yx=zj=lh6=49:183!4c93;j96F=c69'5f>=9h1b=l950;&1`4<6i>1]>i=51zN1`0<6s_8:<74g03_;j87a=#=><1h6sr}|9j`3<72-8o=7j9;W0g7?7|D;n>6a581!30?3n0(899:e9~yx{P5l:09wA??5d69U5d2=:r.>;:4i;%742?`i:54zT1e<<4s_o=6?u+5679`2=#;:?19:94}Wg4>7}#=>?1=l94$216>0103t.>i44>4:U6d?=;r\n:7a1<,:9>6898;|Tf3?4|,<=>62w/9h75159~R7g>2:q]i;4={%741?b03-9897;87:Ua2<5s-?<97j9;%101?30?2w/9h75e:U676=:r\n:7a1<,:9>6898;|&6a<<612wvq6g=a983>!4c938j46X=d281I4c=3;pZ???:3y'677=:h20Z;84=a99'763==>=0q);j9;3;?x{z3`8j=7>5$3f2>7g63_8o?74}Q:8:1>v*=2081e4=Q9h>1>v*:7682<>"2??0:46sr}M0g0?7|^;ij6?uYe781!30=38j=6*<348632=z,i?520c8?xd3n?0;6>4?:1y'6a7=:jo0D?m8;h3b6a581!30?3n0(899:e9~yx{:0c:?S7f<38p(898:e9'120=l2wvqp5`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Z;;4k;|~y>{ea:9~f1`>29086=4?{%0g5?173A8h;6g>a983>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=l64V0c7>7}#=>=1=:5+564952=zutw0e6a581!30?3;<7);86;34?x{zu2e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:768g?!30>3n0qpsr;|`7bd<72=0;6=u+2e3935=O:j=0e6a581!30?3;<7);86;34?x{zu2c:m44?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9h30Z1/9:85169~yx{i59h0;6)P5l:0:wA=:r.9><4=1`9U5d2=:r.>;:4k;%742?b6<729q/>i?520;8L7e03`;j47>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b<>P6i=09w);87;f8 0112m1vqps4i0c:>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628k27[?n4;0x 0102m1/9:85d:~yx=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95d:&633:e68L7e03`;j;7>5$3f2>4g032e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:768g?!30>3n0qpsr;|`64g<72?0;6=u+2e395d3<@;i<7)?l8;3b?l7f?3:1(?j>:0c4?S4c;3;p@?j::0yU646=:r.9><4>a69U5d2=:r.>;:4k;%742?bi=51zN1`0<6s_8:<7a0<^8k?6?u+5659`>"2??0o7psr}:kg3?6=,;n:6i94V3f0>7}K:m?1=vX=1181!4593n<7[?n4;0x 0102o1/9:85f:~yI4c<3>pZ?o6:2yUa3<5s-?<97j8;%101?30?2w]i:4={%741?7f?2.8?84:769~ 0c>28>0q[;84k7:&070<2?>1vZh952z&630<6i>1/?>;55648y!3b13;?7pX=a880Sc12;q/9:;5d69'763==>=0q[k8:3y'123=l?1/?>;55658y!3b13o0q[<=0;0xR`0=:r.>;84k7:&070<2?>1v(8k6:0;8yx{<^;n86?uC2e795~P59909w)<=1;0b<>P6i=09w);87;3;?!30>3;37psrL3f7>4}Q:jk1>vXj6;0x 0122;k37)=<5;743>{#=l31=55r}|9j6d7=83.9h<4=a09U6a5=:rF9h84>{W024?4|,;8:6?o>;W3b0?4|,<=<6<64$455>4>i:51zT1gd<5s_o=6?u+56796d7<,:9>6898;|&6a<<602wvq6a=1`83>!4c938:m65rb420>5<4290;w)N5k>1b=l650;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d><^8k?6?u+5659`>"2??0o7psr}:k2e<<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:m45Y1`696~"2?>0o7);86;f8yx{z3f8:m7>5$3f2>77f3_8o?7?tL3f6>4}Qm109w)<=1;02e>P6i=09w);87;f8 0112m1vqps4}c730?6=93:16<729q/>i?5719K6f1o6i00;6)N5l91]>i=51zN1`0<6s_o36?u+23395d?<^8k?6?u+565952=#=><1=:5r}|8k77f290/>i?520c8R7b428qG>i;51zTf{zut1vn8>8:187>5<7s-8o=79?;I0`3>o6i10;6)N5l91]>i=51zN1`0<6s_o36?u+23395d><^8k?6?u+565952=#=><1=:5r}|8m4g>290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3b=>P6i=09w);87;34?!30>3;<7psr}:k2f1<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51c68R4g32;q/9:95169'120=9>1vqps4o33b>5<#:m;1>4}K:m?1=vXj8;0x 7462;;j7[?n4;0x 0102m1/9:85d:~yx=zj<:36=4;:183!4c93=;7E??51`:8R4g32;q/9:95169'120=9>1vqps4i0c:>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628k27[?n4;0x 01028=0(899:058yx{z3`;i87>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6j=1]=l:52z&632<6?2.>;;4>7:~yx=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95d:&633:628L7e03`;j47>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b<>P6i=09w);87;34?!30>3;<7psr}:k2e<<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51`;8R4g32;q/9:95169'120=9>1vqps4i0`7>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?m4:T2e1<5s-?<;7?8;%742?703twvq6g>bg83>!4c93;j;6X=d282I4c=3;pZh652z&164<6jo1]=l:52z&632<6?2.>;;4>7:~yx=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95d:&633:33:?M4d?2c:m54?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082e==Q9h>1>v*:768g?!30>3n0qpsr;h3b=?6=,;n:66a581!30?3n0(899:e9~yx{:0`7?S7f<38p(898:e9'120=l2wvqp5f1cd94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9kl0Z;;4k;|~y>i59h0;6)P5l:0:wA=:r.9><4=1`9U5d2=:r.>;:4k;%742?b7<729q/>i?5d59K6f15$3f2>77f3_8o?7?tL3f6>4}Qm109w)<=1;02e>P6i=09w);87;f8 0112m1vqps4}c700?6=:3:1N5k>1b=l950;&1`4<6i>10c??n:18'6a7=:8k0Z?j<:0yO6a3=9r\n4777f3_;j8746<,<==6<>4}|~?xd2:l0;6>4?:1y'6a7=:jo0D?m8;h3bP5l:0:wA=:r.9><4>a99U5d2=:r.>;:4k;%742?bi?520c8R7b428qG>i;51zTf{zut1vn85<7s-8o=753;294~"5l80<<6F=c69j5d>=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l64V0c7>7}#=>=1=:5+564952=zutw0e4g>3_;j8741<,<==6<94}|~?j46i3:1(?j>:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7j4$455>a=zutw0qo;<2;293?6=8r.9h<480:J1g2=n9h21<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474g?3_;j8747<,<==6:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082e<=Q9h>1>v*:76825>"2??0:=6sr}|9j5g2=83.9h<4>a69K6a6<^;n86:0`7?S7f<38p(898:038 01128;0qpsr;h3ab?6=,;n:66a581!30?3;<7);86;34?x{zu2c:o?4?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9j80Z1/9:85169~yx{i59h0;6)P5l:0:wA=:r.9><4=1`9U5d2=:r.>;:4k;%742?b=<729q/>i?5719K6f1;|~y>o6i00;6)P5l:0:wA=:r.9><4>a89U5d2=:r.>;:4>1:&633<692wvqp5f1c694?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9k>0Z;|~y>o6k;0;6)N5l91]>i=51zN1`0<6s_o36?u+23395f4<^8k?6?u+565952=#=><1=:5r}|8m4e4290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3`7>P6i=09w);87;34?!30>3;<7psr}:k2g1<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51b68R4g32;q/9:95169'120=9>1vqps4o33b>5<#:m;1>4}K:m?1=vXj8;0x 7462;;j7[?n4;0x 0102m1/9:85d:~yx=zj<9;6=4;:183!4c938:56F=c69j5d>=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l64V0c7>7}#=>=1h6*:778g?x{zu2c:m44?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082e<=Q9h>1>v*:768g?!30>3n0qpsr;h3a0?6=,;n:66a581!30?3n0(899:e9~yx{:33b?S7f<38p(898:e9'120=l2wvqp5rb471>5<5290;w)i=51zN1`0<6s_o36?u+233964g<^8k?6?u+5659`>"2??0o7psr}:a10d=83<1<7>t$3f2>4g23A8h;6*>c982e>o6i>0;6)P5l:0:wA??51`58R4g32;q/9:95d:&633a=#=><1h6sr}|9j`2<72-8o=7j8;W0g7?4|D;n>6a581!30?3l0(899:g9~yxJ5l=0?w[;84k7:&070<2?>1vZh952z&630<6i>1/?>;55658y!3b13;?7pX=a880Sc12;q/9:;5d69'763==>=0q[k8:3y'123=9h=0(>=::455?x"2m00:86sY2`;97~Pb>38p(89::e58 6522<=<7pXj7;0x 0122m<0(>=::454?x"2m00n7pX=2181Sc12;q/9:;5d69'763==>=0q);j9;3:?x{z3`8j47>5$3f2>7g?3_8o?74}Q:8:1>v*=2081e==Q9h>1>v*:7682<>"2??0:46sr}M0g0?7|^;ij6?uYe781!30=38j46*<348632=z,i?52`38R7b42;qG>i;51zT155<5s-89=77g63-9897;87:'1`?=911vqp5`20c94?"5l809=l54}c767?6=;3:14g?3_;j87a=#=><1h6sr}|9j5d?=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l74V0c7>7}#=>=1h6*:778g?x{zu2e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:768g?!30>3n0qpsr;|`611<7280;6=u+2e396a><@;i<7b<>a;29 7b62;;j76sm54494?5=83:p(?j>:628L7e03`;j47>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6i11]=l:52z&632<6?2.>;;4>7:~yx=n9h31<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474g>3_;j8741<,<==6<94}|~?j46i3:1(?j>:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7j4$455>a=zutw0qo;:7;290?6=8r.9h<480:J1g2=n9h21<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474g?3_;j8741<,<==6<94}|~?l7f13:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082e<=Q9h>1>v*:76823>"2??0:;6sr}|9j5g2=83.9h<4>a69K6a6<^;n86:0`7?S7f<38p(898:058 01128=0qpsr;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3n0(899:e9~yx{47>54;294~"5l80<<6F=c69j5d>=83.9h<4>a69K6a6<^;n86:0c;?S7f<38p(898:058 01128=0qpsr;h3b=?6=,;n:66a581!30?3;<7);86;34?x{zu2c:n94?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9k>0Z1/9:85169~yx{:33b?S7f<38p(898:e9'120=l2wvqp5rb47:>5<2290;w)4>{M0g1?7|^l21>v*=2082e==Q9h>1>v*:76823>"2??0:;6sr}|9j5d?=83.9h<4>a69K6a6<^;n86:0c:?S7f<38p(898:058 01128=0qpsr;h3a0?6=,;n:6P5l:0:wA=:r.9><4>b59U5d2=:r.>;:4>7:&633<6?2wvqp5f1cd94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9kl0Z1/9:85169~yx{:33b?S7f<38p(898:e9'120=l2wvqp5rb476>5<2290;w)N5k>1b=l650;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d><^8k?6?u+5659`>"2??0o7psr}:k2e<<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:m45Y1`696~"2?>0o7);86;f8yx{z3`;i87>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3a0>P6i=09w);87;f8 0112m1vqps4i0`e>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628hm7[?n4;0x 0102m1/9:85d:~yx=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95d:&6337<729q/>i?5d59K6f15$3f2>77f3_8o?7?tL3f6>4}Qm109w)<=1;02e>P6i=09w);87;f8 0112m1vqps4}cce>5<5290;w)i=51zN1`0<6s_o36?u+233964g<^8k?6?u+5659`>"2??0o7psr}:af3<72;0;6=u+2e39`1=O:j=0ea;29 7b62;;j7[{eij0;6>4?:1y'6a7=:jo0D?m8;h3b6a581!30?3n0(899:e9~yx{:0c:?S7f<38p(898:e9'120=l2wvqp5`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Z;;4k;|~y>{eim0;6<4?:1y'6a7=:m20D?m8;n02e?6=,;n:6??n;:ae`<72:0;6=u+2e3935=O:j=0e4g?3_;j87a=#=><1h6sr}|9j5d?=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l74V0c7>7}#=>=1h6*:778g?x{zu2e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:768g?!30>3n0qpsr;|`a4?6=03:1N5k>1b=l650;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d><^8k?6?u+565957=#=><1=?5r}|8m4g>290/>i?51`58R7b428qG>i;51zTf4>o6jo0;6)N5l91]>i=51zN1`0<6s_o36?u+23395g`<^8k?6?u+56595==#=><1=55r}|8m4e5290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3`6>P6i=09w);87;3;?!30>3;37psr}:k2g6<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51b18R4g32;q/9:95199'120=911vqps4i0a7>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?l4:T2e1<5s-?<;7?7;%742?7?3twvq6a=1`83>!4c938:m6X=d282I4c=3;pZh652z&164<59h1]=l:52z&63250z&1`4<082B9o:5f1`:94?"5l80:m:5G2e28R7b428qG>i;51zTf440:>6*:77826>{zut1b=o:50;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628h?7[?n4;0x 0102820(899:0:8yx{z3`;ij7>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6jo1]=l:52z&632<602.>;;4>8:~yx=n9j81<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474e53_;j874><,<==6<64}|~?l7d;3:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082g6=Q9h>1>v*:7682<>"2??0:46sr}|9j5f2=83.9h<4>a69K6a6<^;n86:0a7?S7f<38p(898:0:8 0112820qpsr;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3n0(899:e9~yx{??51`:8R4g32;q/9:95139'120=9;1vqps4i0c:>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628k27[?n4;0x 0102820(899:0:8yx{z3`;i87>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6j=1]=l:52z&632<602.>;;4>8:~yx=n9kl1<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474da3_;j874><,<==6<64}|~?l7d:3:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082g7=Q9h>1>v*:7682<>"2??0:46sr}|9j5f5=83.9h<4>a69K6a6<^;n86:0a0?S7f<38p(898:0:8 0112820qpsr;h3`0?6=,;n:6P5l:0:wA=:r.9><4>c59U5d2=:r.>;:4>8:&633<602wvqp5`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Z;;4k;|~y>{ej:0;644?:1y'6a7=?91C>n94i0c;>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628k37[?n4;0x 0102880(899:008yx{z3`;j57>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6i01]=l:52z&632<602.>;;4>8:~yx=n9k>1<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474d33_;j874><,<==6<64}|~?l7en3:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082fc=Q9h>1>v*:7682<>"2??0:46sr}|9j5f4=83.9h<4>a69K6a6<^;n86:0a1?S7f<38p(898:0:8 0112820qpsr;h3`7?6=,;n:66a581!30?3;37);86;3;?x{zu2c:o94?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082g1=Q9h>1>v*:7682<>"2??0:46sr}|9j5f3=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=n;4V0c7>7}#=>=1=55+56495==zutw0c??n:18'6a7=:8k0Z?j<:0yO6a3=9r\n4777f3_;j87a=#=><1h6sr}|9~fg2=8331<7>t$3f2>26<@;i<7d?n8;29 7b628k<7[o6i00;6)N5l91]>i=51zN1`0<6s_o36?u+23395d?<^8k?6?u+56595==#=><1=55r}|8m4d3290/>i?51`58R7b428qG>i;51zTf4>o6k;0;6)N5l91]>i=51zN1`0<6s_o36?u+23395f4<^8k?6?u+56595==#=><1=55r}|8m4e4290/>i?51`58R7b428qG>i;51zTf4>o6k<0;6)P5l:0:wA=:r.9><4>c49U5d2=:r.>;:4>8:&633<602wvqp5`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Z;;4k;|~y>{ej<0;6;4?:1y'6a7=:830D?m8;h3b6a581!30?3n0(899:e9~yx{:0c:?S7f<38p(898:e9'120=l2wvqp5f1c694?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9k>0Z;;4k;|~y>o6jo0;6)P5l:0:wA=:r.9><4>bg9U5d2=:r.>;:4k;%742?bi?520c8R7b428qG>i;51zTf{zut1vnoo50;094?6|,;n:6i:4H3a4?l7f?3:1(?j>:0c4?>i59h0;6)P5l:0:wA=:r.9><4=1`9U5d2=:r.>;:4k;%742?b:33b?S7f<38p(898:028 01128:0qpsr;|`af?6=;3:14g?3_;j87a=#=><1h6sr}|9j5d?=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l74V0c7>7}#=>=1h6*:778g?x{zu2e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:768g?!30>3n0qpsr;|`ag?6=93:1??51`:8R4g32;q/9:95109'120=981vqps4i0c:>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628k27[?n4;0x 01028;0(899:038yx{z3f8:m7>5$3f2>77f3_8o?7?tL3f6>4}Qm109w)<=1;02e>P6i=09w);87;f8 0112m1vqps4}c6;`?6=:3:1N5k>1b=l950;&1`4<6i>10c??n:18'6a7=:8k0Z?j<:0yO6a3=9r\n4777f3_;j87a=#=><1h6sr}|9~f3`=8381<7>t$3f2>a2<@;i<7d?n7;29 7b628k<76a=1`83>!4c938:m6X=d282I4c=3;pZh652z&164<59h1]=l:52z&6325$3f2>4g03_8o?7?tL3f6>4}Q:8:1>v*=2082e2=Q9h>1>v*:768g?!30>3n0qpsr;hf5>5<#:m;1h;5Y2e195~J5l<0:w[<>0;0x 7462m<0Z;;4k;|~y>oc?3:1(?j>:e58R7b42;qG>i;51zT155<5s-89=7j8;W3b0?4|,<=<6k5+5649b>{zuE8o87:tV3c:>6}Qm?09w);85;f4?!54=3?<;6sYe681!30=3;j;6*<348632=z,v*:748g3>"4;<0>;:5rVd596~"2?<0:m:5+32791207}#=>?1h;5+3279121v*:748g3>"4;<0>;:5r$4g:>4?no52zTf2?4|,<=>6?o7;%101?30?2w/9h75199~yx=n:h;1<7*=d081e4=Q:m91>vB=d482S46838p(?<>:3c2?S7f<38p(898:0:8 0112820qpsC2e695~P5kh09w[k9:3y'123=:h;0(>=::454?x"2m00:46sr}:m15d<72-8o=7<>a:9~f1>b29086=4?{%0g5?4dm2B9o:5f1`:94?"5l80:m:5G2e28R7b428qG>i;51zTf{zut1b=l750;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d?<^8k?6?u+5659`>"2??0o7psr}:m15d<72-8o=7<>a:T1`6<6sE8o97?tVd:96~"5:809=l5Y1`696~"2?>0o7);86;f8yx{z3th?4k4?:083>5}#:m;1>i64H3a4?j46i3:1(?j>:33b?>{e<0;1<7=50;2x 7b62>:0D?m8;h3b6a581!30?3;<7);86;34?x{zu2c:m44?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082e<=Q9h>1>v*:76823>"2??0:;6sr}|9l64g=83.9h<4=1`9U6a5=9rF9h84>{Wg;>7}#:;;1>7}#=>=1h6*:778g?x{zu2wi84<50;194?6|,;n:6:>4H3a4?l7f03:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082e==Q9h>1>v*:76823>"2??0:;6sr}|9j5d?=83.9h<4>a69K6a6<^;n86:0c:?S7f<38p(898:058 01128=0qpsr;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3n0(899:e9~yx{2<7>53;294~"5l809=45G2b58m4g?290/>i?51`58R7b428qG>i;51zTf{zut1b=l750;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d?<^8k?6?u+5659`>"2??0o7psr}:m15d<72-8o=7<>a:T1`6<6sE8o97?tVd:96~"5:809=l5Y1`696~"2?>0o7);86;f8yx{z3th>=44?:383>5}#:m;1h95G2b58m4g0290/>i?51`58?j46i3:1(?j>:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7j4$455>a=zutw0qo;>d;296?6=8r.9h<4k4:J1g2=n9h=1<7*=d082e2=:33b?S7f<38p(898:028 01128:0qpsr;|`65d<72:0;6=u+2e396fc<@;i<7d?n8;29 7b628k<7E0o7);86;f8yx{z3`;j57>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b=>P6i=09w);87;f8 0112m1vqps4o33b>5<#:m;1>4}K:m?1=vXj8;0x 7462;;j7[?n4;0x 0102m1/9:85d:~yx=zj<;i6=4>:183!4c938o46F=c69l64g=83.9h<4=1`98yg36k3:1:7>50z&1`4<082B9o:5f1`:94?"5l80:m:5G2e28R7b428qG>i;51zTf470:=6*:77825>{zut1b=o:50;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628h?7[?n4;0x 01028;0(899:038yx{z3`;ij7>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6jo1]=l:52z&632<6?2.>;;4>7:~yx=n9j81<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474e53_;j8741<,<==6<94}|~?j46i3:1(?j>:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7j4$455>a=zutw0qo;=0;296?6=8r.9h<4k4:J1g2=n9h=1<7*=d082e2=:33b?S7f<38p(898:e9'120=l2wvqp5rb407>5<5290;w)i=51zN1`0<6s_o36?u+233964g<^8k?6?u+5659`>"2??0o7psr}:a17g=8381<7>t$3f2>a2<@;i<7d?n7;29 7b628k<76a=1`83>!4c938:m6X=d282I4c=3;pZh652z&164<59h1]=l:52z&632<682.>;;4>0:~yx=zj<8:6=4<:183!4c938hi6F=c69j5d>=83.9h<4>a69K6a6<^;n86:0c;?S7f<38p(898:e9'120=l2wvqp5f1`;94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9h30Z;;4k;|~y>i59h0;6)P5l:0:wA=:r.9><4=1`9U5d2=:r.>;:4k;%742?b4<729q/>i?52e:8L7e03f8:m7>5$3f2>77f32wi9?=50;494?6|,;n:6:>4H3a4?l7f03:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082e==Q9h>1>v*:76825>"2??0:=6sr}|9j5d?=83.9h<4>a69K6a6<^;n86:0c:?S7f<38p(898:038 01128;0qpsr;h3a0?6=,;n:6P5l:0:wA=:r.9><4>b59U5d2=:r.>;:4>1:&633<692wvqp5f1cd94?"5l80:m:5G2e28R7b428qG>i;51zTf410:;6*:77823>{zut1d>i=51zN1`0<6s_o36?u+233964g<^8k?6?u+5659`>"2??0o7psr}:a173=83>1<7>t$3f2>26<@;i<7d?n8;29 7b628k<7E0:>6*:77826>{zut1b=l750;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628k27[?n4;0x 0102880(899:008yx{z3`;i87>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6j=1]=l:52z&632<6:2.>;;4>2:~yx=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95d:&633:628L7e03`;j47>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6i11]=l:52z&632<6:2.>;;4>2:~yx=n9h31<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474g>3_;j8744<,<==6<<4}|~?l7e<3:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082f1=Q9h>1>v*:7682<>"2??0:46sr}|9l64g=83.9h<4=1`9U6a5=9rF9h84>{Wg;>7}#:;;1>7}#=>=1h6*:778g?x{zu2wi9?950;694?6|,;n:6:>4H3a4?l7f03:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082e==Q9h>1>v*:76826>"2??0:>6sr}|9j5d?=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l74V0c7>7}#=>=1=?5+564957=zutw0e6a581!30?3;97);86;31?x{zu2e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:768g?!30>3n0qpsr;|`66=<72=0;6=u+2e3935=O:j=0e6a581!30?3;97);86;31?x{zu2c:m44?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082e<=Q9h>1>v*:76826>"2??0:>6sr}|9j5g2=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=o:4V0c7>7}#=>=1=?5+564957=zutw0c??n:18'6a7=:8k0Z?j<:0yO6a3=9r\n4777f3_;j87a=#=><1h6sr}|9~f04>290>6=4?{%0g5?4612B9o:5f1`:94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9h20Z;;4k;|~y>o6i00;6)P5l:0:wA=:r.9><4>a89U5d2=:r.>;:4k;%742?bi?51`58R7b428qG>i;51zTf{zut1d>i=51zN1`0<6s_o36?u+233964g<^8k?6?u+5659`>"2??0o7psr}:a16g=8381<7>t$3f2>a2<@;i<7d?n7;29 7b628k<76a=1`83>!4c938:m6X=d282I4c=3;pZh652z&164<59h1]=l:52z&632n94i0c4>5<#:m;1=l94;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3n0(899:e9~yx{52;294~"5l80o86F=c69j5d1=83.9h<4>a698k77f290/>i?520c8R7b428qG>i;51zTf4$455>466<729q/>i?52bg8L7e03`;j47>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6i11]=l:52z&632:0c4?S4c;3;p@?j::0yUa=<5s-89=7?n9:T2e1<5s-?<;7j4$455>a=zutw0c??n:18'6a7=:8k0Z?j<:0yO6a3=9r\n4777f3_;j87a=#=><1h6sr}|9~f05d290:6=4?{%0g5?4c02B9o:5`20c94?"5l809=l54}c70a?6==3:1N5k>1b=l650;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628k37[?n4;0x 01028;0(899:038yx{z3`;j57>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b=>P6i=09w);87;32?!30>3;:7psr}:k2f1<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51c68R4g32;q/9:95109'120=981vqps4i0`e>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?mf:T2e1<5s-?<;7?>;%742?763twvq6a=1`83>!4c938:m6X=d282I4c=3;pZh652z&164<59h1]=l:52z&632n94i0c;>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?n8:T2e1<5s-?<;7?>;%742?763twvq6g>a883>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=l74V0c7>7}#=>=1=<5+564954=zutw0e6a581!30?3;:7);86;32?x{zu2c:nk4?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9kl0Z1/9:85169~yx{:33b?S7f<38p(898:e9'120=l2wvqp5rb41g>5<4290;w)N5k>1b=l650;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d><^8k?6?u+5659`>"2??0o7psr}:k2e<<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:m45Y1`696~"2?>0o7);86;f8yx{z3f8:m7>5$3f2>77f3_8o?7?tL3f6>4}Qm109w)<=1;02e>P6i=09w);87;f8 0112m1vqps4}c775?6=980;6=u+2e3935=O:j=0e4g?3_;j8744<,<==6<<4}|~?l7f13:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082e<=Q9h>1>v*:76826>"2??0:>6sr}|9j5g2=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=o:4V0c7>7}#=>=1=?5+564957=zutw0e4da3_;j8744<,<==6<<4}|~?l7d:3:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?l2:T2e1<5s-?<;7?=;%742?753twvq6g>c283>!4c93;j;6X=d282I4c=3;pZh652z&164<6k:1]=l:52z&632<6:2.>;;4>2:~yx=n9j>1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51b68R4g32;q/9:95139'120=9;1vqps4i0a6>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628i>7[?n4;0x 0102880(899:008yx{z3`;h:7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3`2>P6i=09w);87;3;?!30>3;37psr}:k2g2<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:o:5Y1`696~"2?>0:46*:7782<>{zut1b=lo50;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628kj7[?n4;0x 0102880(899:008yx{z3`;jn7>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6ik1]=l:52z&632<602.>;;4>8:~yx=n9hi1<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474gd3_;j874><,<==6<64}|~?l7fl3:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082ea=Q9h>1>v*:7682<>"2??0:46sr}|9j5dc=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=lk4V0c7>7}#=>=1=55+56495==zutw0e4ga3_;j874><,<==6<64}|~?j46i3:1(?j>:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7j4$455>a=zutw0qo;;2;2954<729q/>i?5719K6f1:0c;?S7f<38p(898:008 0112880qpsr;h3b=?6=,;n:6P5l:0:wA=:r.9><4>a89U5d2=:r.>;:4>2:&633<6:2wvqp5f1c694?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9k>0Z:0`e?S7f<38p(898:008 0112880qpsr;h3`6?6=,;n:666X>a581!30?3;97);86;31?x{zu2c:o>4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082g6=Q9h>1>v*:76826>"2??0:>6sr}|9j5f2=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=n:4V0c7>7}#=>=1=?5+564957=zutw0e4e23_;j8744<,<==6<<4}|~?l7d>3:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082g3=Q9h>1>v*:76826>"2??0:>6sr}|9j5f1=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=n94V0c7>7}#=>=1=55+56495==zutw0e6a581!30?3;97);86;31?x{zu2c:mo4?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9hh0Zo6im0;6)N5l91]>i=51zN1`0<6s_o36?u+23395db<^8k?6?u+56595==#=><1=55r}|8m4gb290/>i?51`58R7b428qG>i;51zTf4>i59h0;6)P5l:0:wA=:r.9><4=1`9U5d2=:r.>;:4k;%742?b47=83:p(?j>:628L7e03`;j47>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b<>P6i=09w);87;31?!30>3;97psr}:k2e<<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51`;8R4g32;q/9:95139'120=9;1vqps4i0`7>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628h?7[?n4;0x 0102880(899:008yx{z3`;ij7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3ab>P6i=09w);87;31?!30>3;97psr}:k2g7<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:o?5Y1`696~"2?>0:>6*:77826>{zut1b=n=50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395f5<^8k?6?u+565957=#=><1=?5r}|8m4e3290/>i?51`58R7b428qG>i;51zTf44o6k?0;6)P5l:0:wA=:r.9><4>c79U5d2=:r.>;:4>8:&633<602wvqp5f1b594?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9j=0Zo6ik0;6)N5l91]>i=51zN1`0<6s_o36?u+23395dd<^8k?6?u+56595==#=><1=55r}|8m4gd290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3bg>P6i=09w);87;3;?!30>3;37psr}:k2ea<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51`f8R4g32;q/9:95199'120=911vqps4i0cf>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628kn7[?n4;0x 0102820(899:0:8yx{z3`;jj7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3bb>P6i=09w);87;3;?!30>3;37psr}:m15d<72-8o=7<>a:T1`6<6sE8o97?tVd:96~"5:809=l5Y1`696~"2?>0o7);86;f8yx{z3th>894?:583>5}#:m;1><74H3a4?l7f03:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?n8:T2e1<5s-?<;7j4$455>a=zutw0e4g>3_;j87a=#=><1h6sr}|9j5g2=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=o:4V0c7>7}#=>=1h6*:778g?x{zu2e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:768g?!30>3n0qpsr;|`7e1<72;0;6=u+2e39`1=O:j=0ea;29 7b62;;j7[7>50z&1`45<#:m;1>4}K:m?1=vXj8;0x 7462;;j7[?n4;0x 01028:0(899:028yx{z3th?m84?:283>5}#:m;1>nk4H3a4?l7f03:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?n8:T2e1<5s-?<;7j4$455>a=zutw0e4g>3_;j87a=#=><1h6sr}|9l64g=83.9h<4=1`9U6a5=9rF9h84>{Wg;>7}#:;;1>7}#=>=1h6*:778g?x{zu2wi8l850;394?6|,;n:6?j7;I0`3>i59h0;6)=zj=k<6=4>:183!4c938o46F=c69l64g=83.9h<4=1`98yg2=8381<7>t$3f2>a2<@;i<7d?n7;29 7b628k<76a=1`83>!4c938:m6X=d282I4c=3;pZh652z&164<59h1]=l:52z&632a683>!4c93;j;65`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Z0o7);86;f8yx{z3`;j57>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b=>P6i=09w);87;f8 0112m1vqps4o33b>5<#:m;1>4}K:m?1=vXj8;0x 7462;;j7[?n4;0x 0102m1/9:85d:~yx=zj80;6<4?:1y'6a7=:m20D?m8;n02e?6=,;n:6??n;:a6?6=;3:1N5k>1b=l650;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d><^8k?6?u+565954=#=><1=<5r}|8m4g>290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3b=>P6i=09w);87;34?!30>3;<7psr}:m15d<72-8o=7<>a:T1`6<6sE8o97?tVd:96~"5:809=l5Y1`696~"2?>0o7);86;f8yx{z3thi6=4=:183!4c93n?7E{Wg;>7}#:;;1>7}#=>=1h6*:778g?x{zu2wim7>52;294~"5l80o86F=c69j5d1=83.9h<4>a698k77f290/>i?520c8R7b428qG>i;51zTf4$455>465<7s-8o=7??51`:8R4g32;q/9:95d:&633a883>!4c93;j;6X=d282I4c=3;pZh652z&164<6i01]=l:52z&632:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7j4$455>a=zutw0qo850;394?6|,;n:6?j7;I0`3>i59h0;6)=zj10;6>4?:1y'6a7=?91C>n94i0c;>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?n8:T2e1<5s-?<;7?8;%742?703twvq6g>a883>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=l74V0c7>7}#=>=1=:5+564952=zutw0c??n:18'6a7=:8k0Z?j<:0yO6a3=9r\n4777f3_;j87a=#=><1h6sr}|9~f<<72<0;6=u+2e3935=O:j=0e6a581!30?3;:7);86;32?x{zu2c:m44?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082e<=Q9h>1>v*:76825>"2??0:=6sr}|9j5g2=83.9h<4>a69K6a6<^;n86:0`7?S7f<38p(898:038 01128;0qpsr;h3ab?6=,;n:66a581!30?3;:7);86;32?x{zu2e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:768g?!30>3n0qpsr;|`4>5<4290;w)N5k>1b=l650;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d><^8k?6?u+5659`>"2??0o7psr}:k2e<<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:m45Y1`696~"2?>0o7);86;f8yx{z3f8:m7>5$3f2>77f3_8o?7?tL3f6>4}Qm109w)<=1;02e>P6i=09w);87;f8 0112m1vqps4}cg:>5<5290;w)i=51zN1`0<6s_o36?u+233964g<^8k?6?u+5659`>"2??0o7psr}:aad<72:0;6=u+2e3935=O:j=0e4g?3_;j8740<,<==6<84}|~?l7f13:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?n9:T2e1<5s-?<;7?9;%742?713twvq6a=1`83>!4c938:m6X=d282I4c=3;pZh652z&164<59h1]=l:52z&63250z&1`4<082B9o:5f1`:94?"5l80:m:5G2e28R7b428qG>i;51zTf44o6j=0;6)P5l:0:wA=:r.9><4>b59U5d2=:r.>;:4>8:&633<602wvqp5f1cd94?"5l80:m:5G2e28R7b428qG>i;51zTf4>a;29 7b62;;j7[6=4?{%0g5?173A8h;6g>a983>!4c93;j;6X=d282I4c=3;pZh652z&164<6i11]=l:52z&632<6:2.>;;4>2:~yx=n9h31<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51`;8R4g32;q/9:95199'120=911vqps4i0`7>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628h?7[?n4;0x 0102820(899:0:8yx{z3`;ij7>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6jo1]=l:52z&632<602.>;;4>8:~yx=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95d:&6333<729q/>i?5719K6f1:0c;?S7f<38p(898:008 0112880qpsr;h3b=?6=,;n:6P5l:0:wA=:r.9><4>a89U5d2=:r.>;:4>8:&633<602wvqp5f1c694?"5l80:m:5G2e28R7b428qG>i;51zTf4>o6k;0;6)N5l91]>i=51zN1`0<6s_o36?u+23395f4<^8k?6?u+56595==#=><1=55r}|8k77f290/>i?520c8R7b428qG>i;51zTf{zut1vnhk50;:94?6|,;n:6:>4H3a4?l7f03:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082e==Q9h>1>v*:76826>"2??0:>6sr}|9j5d?=83.9h<4>a69K6a6<^;n86:0c:?S7f<38p(898:008 0112880qpsr;h3a0?6=,;n:66a581!30?3;37);86;3;?x{zu2c:nk4?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9kl0Zo6k:0;6)P5l:0:wA=:r.9><4>c29U5d2=:r.>;:4>8:&633<602wvqp5f1b694?"5l80:m:5G2e28R7b428qG>i;51zTf4>a;29 7b62;;j7[a983>!4c93;j;6X=d282I4c=3;pZh652z&164<6i11]=l:52z&632<6:2.>;;4>2:~yx=n9h31<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474g>3_;j8744<,<==6<<4}|~?l7e<3:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?m4:T2e1<5s-?<;7?=;%742?753twvq6g>bg83>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=oh4V0c7>7}#=>=1=55+56495==zutw0e66X>a581!30?3;37);86;3;?x{zu2c:o>4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082g6=Q9h>1>v*:7682<>"2??0:46sr}|9j5f2=83.9h<4>a69K6a6<^;n86:0a7?S7f<38p(898:0:8 0112820qpsr;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3n0(899:e9~yx{=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l64V0c7>7}#=>=1h6*:778g?x{zu2c:m44?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082e<=Q9h>1>v*:768g?!30>3n0qpsr;h3a0?6=,;n:66a581!30?3n0(899:e9~yx{:0`e?S7f<38p(898:e9'120=l2wvqp5f1b094?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9j80Z;;4k;|~y>o6k:0;6)P5l:0:wA=:r.9><4>c29U5d2=:r.>;:4k;%742?ba;29 7b62;;j7[a683>!4c93;j;65`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Z;;4k;|~y>{en;0;6>4?:1y'6a7=?91C>n94i0c;>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628k37[?n4;0x 01028<0(899:048yx{z3`;j57>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b=>P6i=09w);87;35?!30>3;=7psr}:m15d<72-8o=7<>a:T1`6<6sE8o97?tVd:96~"5:809=l5Y1`696~"2?>0o7);86;f8yx{z3thm?7>59;294~"5l80<<6F=c69j5d>=83.9h<4>a69K6a6<^;n86:0c;?S7f<38p(898:008 0112880qpsr;h3b=?6=,;n:66a581!30?3;97);86;31?x{zu2c:n94?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082f1=Q9h>1>v*:76826>"2??0:>6sr}|9j5g`=83.9h<4>a69K6a6<^;n86:0`e?S7f<38p(898:0:8 0112820qpsr;h3`6?6=,;n:6P5l:0:wA=:r.9><4>c39U5d2=:r.>;:4>8:&633<602wvqp5f1b194?"5l80:m:5G2e28R7b428qG>i;51zTf4>o6k<0;6)N5l91]>i=51zN1`0<6s_o36?u+23395f3<^8k?6?u+56595==#=><1=55r}|8k77f290/>i?520c8R7b428qG>i;51zTf{zut1vnk:50;32>5<7s-8o=79?;I0`3>o6i10;6)P5l:0:wA=:r.9><4>a99U5d2=:r.>;:4>2:&633<6:2wvqp5f1`;94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9h30Z:0`7?S7f<38p(898:008 0112880qpsr;h3ab?6=,;n:66a581!30?3;97);86;31?x{zu2c:o?4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082g7=Q9h>1>v*:76826>"2??0:>6sr}|9j5f5=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=n=4V0c7>7}#=>=1=?5+564957=zutw0e4e33_;j8744<,<==6<<4}|~?l7d=3:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082g0=Q9h>1>v*:7682<>"2??0:46sr}|9j5f0=83.9h<4>a69K6a6<^;n86:0a5?S7f<38p(898:008 0112880qpsr;h3`3?6=,;n:6P5l:0:wA=:r.9><4>c69U5d2=:r.>;:4>8:&633<602wvqp5f1`c94?"5l80:m:5G2e28R7b428qG>i;51zTf4>o6ij0;6)N5l91]>i=51zN1`0<6s_o36?u+23395de<^8k?6?u+56595==#=><1=55r}|8m4gc290/>i?51`58R7b428qG>i;51zTf{zut1b=lk50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395dc<^8k?6?u+5659`>"2??0o7psr}:k2ec<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:mk5Y1`696~"2?>0o7);86;f8yx{z3f8:m7>5$3f2>77f3_8o?7?tL3f6>4}Qm109w)<=1;02e>P6i=09w);87;f8 0112m1vqps4}cd6>5<693:1N5k>1b=l650;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d><^8k?6?u+565957=#=><1=?5r}|8m4g>290/>i?51`58R7b428qG>i;51zTf44o6jo0;6)P5l:0:wA=:r.9><4>bg9U5d2=:r.>;:4>2:&633<6:2wvqp5f1b094?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9j80Z:0a0?S7f<38p(898:008 0112880qpsr;h3`0?6=,;n:66a581!30?3;97);86;31?x{zu2c:o84?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9j?0Z2\:m94={%743?753-?<:7?=;|~y>o6k>0;6)N5l91]>i=51zN1`0<6s_o36?u+23395f1<^8k?6?u+56595==#=><1=55r}|8m4gf290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3be>P6i=09w);87;3;?!30>3;37psr}:k2eg<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:mo5Y1`696~"2?>0:46*:7782<>{zut1b=lm50;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628kh7[?n4;0x 0102820(899:0:8yx{z3`;jh7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b`>P6i=09w);87;f8 0112m1vqps4i0cf>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628kn7[?n4;0x 0102m1/9:85d:~yx=n9hl1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51`d8R4g32;q/9:95d:&633!4c938:m6X=d282I4c=3;pZh652z&164<59h1]=l:52z&6323:1=<4?:1y'6a7=?91C>n94i0c;>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628k37[?n4;0x 0102880(899:008yx{z3`;j57>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6i01]=l:52z&632<6:2.>;;4>2:~yx=n9k>1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51c68R4g32;q/9:95139'120=9;1vqps4i0`e>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628hm7[?n4;0x 0102880(899:008yx{z3`;h>7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3`6>P6i=09w);87;31?!30>3;97psr}:k2g6<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:o>5Y1`696~"2?>0:>6*:77826>{zut1b=n:50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395f2<^8k?6?u+565957=#=><1=?5r}|8m4e2290/>i?51`58R7b428qG>i;51zTf442\:m94={%743?7?3-?<:7?7;|~y>o6k>0;6)N5l91]>i=51zN1`0<6s_o36?u+23395f1<^8k?6?u+565957=#=><1=?5r}|8m4gf290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3be>P6i=09w);87;3;?!30>3;37psr}:k2eg<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51``8R4g32;q/9:95199'120=911vqps4i0c`>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?nc:T2e1<5s-?<;7?7;%742?7?3twvq6g>ae83>!4c93;j;6X=d282I4c=3;pZh652z&164<6im1]=l:52z&632<602.>;;4>8:~yx=n9ho1<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474gb3_;j874><,<==6<64}|~?l7fn3:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?nf:T2e1<5s-?<;7j4$455>a=zutw0c??n:18'6a7=:8k0Z?j<:0yO6a3=9r\n4777f3_;j87a=#=><1h6sr}|9~fc1=83;:6=4?{%0g5?173A8h;6g>a983>!4c93;j;6X=d282I4c=3;pZh652z&164<6i11]=l:52z&632<6:2.>;;4>2:~yx=n9h31<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474g>3_;j8744<,<==6<<4}|~?l7e<3:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?m4:T2e1<5s-?<;7?=;%742?753twvq6g>bg83>!4c93;j;6X=d282I4c=3;pZh652z&164<6jo1]=l:52z&632<6:2.>;;4>2:~yx=n9j81<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51b08R4g32;q/9:95139'120=9;1vqps4i0a0>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628i87[?n4;0x 0102880(899:008yx{z3`;h87>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3`0>P6i=09w);87;31?!30>3;97psr}:k2g0<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:o85Y1`696~"2?>0:>6*:77826>{zut1b=n850;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395f0<^8k?6?u+56595==#=><1=55r}|8m4e0290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3`3>P6i=09w);87;31?!30>3;97psr}:k2ed<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51`c8R4g32;q/9:95199'120=911vqps4i0ca>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?nb:T2e1<5s-?<;7?7;%742?7?3twvq6g>ab83>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=lm4V0c7>7}#=>=1=55+56495==zutw0e4gc3_;j874><,<==6<64}|~?l7fm3:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082e`=Q9h>1>v*:7682<>"2??0:46sr}|9j5d`=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=lh4V0c7>7}#=>=1h6*:778g?x{zu2e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:768g?!30>3n0qpsr;|`e4g?3_;j87a=#=><1h6sr}|9j5d?=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l74V0c7>7}#=>=1h6*:778g?x{zu2c:n94?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082f1=Q9h>1>v*:768g?!30>3n0qpsr;h3ab?6=,;n:66a581!30?3n0(899:e9~yx{:0a1?S7f<38p(898:e9'120=l2wvqp5f1b194?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9j90Z;;4k;|~y>i59h0;6)P5l:0:wA=:r.9><4=1`9U5d2=:r.>;:4k;%742?b:33b?S7f<38p(898:e9'120=l2wvqp5rbgc94?46290;w)4>{M0g1?7|^l21>v*=2082e==Q9h>1>v*:76826>"2??0:>6sr}|9j5d?=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l74V0c7>7}#=>=1=?5+564957=zutw0e4d33_;j8744<,<==6<<4}|~?l7en3:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?mf:T2e1<5s-?<;7?=;%742?753twvq6g>c383>!4c93;j;6X=d282I4c=3;pZh652z&164<6k;1]=l:52z&632<6:2.>;;4>2:~yx=n9j91<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51b18R4g32;q/9:95139'120=9;1vqps4i0a7>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628i?7[?n4;0x 0102880(899:008yx{z3`;h97>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6k<1]=l:52z&632<6:2.>;;4>2:~yx=n9j<1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51b48R4g32;q/9:95139'120=9;1vqps4i0a4>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628i<7[?n4;0x 0102880(899:008yx{z3`;jm7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3be>P6i=09w);87;31?!30>3;97psr}:k2eg<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:mo5Y1`696~"2?>0:>6*:77826>{zut1b=lm50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395de<^8k?6?u+565957=#=><1=?5r}|8m4gc290/>i?51`58R7b428qG>i;51zTf44o6io0;6)N5l91]>i=51zN1`0<6s_o36?u+23395d`<^8k?6?u+56595==#=><1=55r}|8m4d7290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3a4>P6i=09w);87;31?!30>3;97psr}:k2f4<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51c38R4g32;q/9:95199'120=911vqps4i0`1>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?m2:T2e1<5s-?<;7?7;%742?7?3twvq6g>b283>!4c93;j;6X=d282I4c=3;pZh652z&164<6j:1]=l:52z&632<602.>;;4>8:~yx=n9k?1<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474d23_;j874><,<==6<64}|~?l7e>3:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?m6:T2e1<5s-?<;7j4$455>a=zutw0e4d03_;j87a=#=><1h6sr}|9j5g>=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=o64V0c7>7}#=>=1h6*:778g?x{zu2c:n44?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082f<=Q9h>1>v*:768g?!30>3n0qpsr;h3ae?6=,;n:66a581!30?3n0(899:e9~yx{:0`a?S7f<38p(898:e9'120=l2wvqp5f1ca94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9ki0Z;;4k;|~y>o6jm0;6)P5l:0:wA=:r.9><4>be9U5d2=:r.>;:4k;%742?bi?51`58R7b428qG>i;51zTf{zut1b=n?50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395f7<^8k?6?u+5659`>"2??0o7psr}:m15d<72-8o=7<>a:T1`6<6sE8o97?tVd:96~"5:809=l5Y1`696~"2?>0o7);86;f8yx{z3thmn7>52083>5}#:m;1;=5G2b58m4g?290/>i?51`58R7b428qG>i;51zTf44o6j=0;6)N5l91]>i=51zN1`0<6s_o36?u+23395g2<^8k?6?u+565957=#=><1=?5r}|8m4da290/>i?51`58R7b428qG>i;51zTf440:>6*:77826>{zut1b=n=50;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628i87[?n4;0x 0102880(899:008yx{z3`;h87>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6k=1]=l:52z&632<6:2.>;;4>2:~yx=n9j?1<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474e23_;j8744<,<==6<<4}|~?l7d>3:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?l6:T2e1<5s-?<;7?=;%742?753twvq6g>c683>!4c93;j;6X=d282I4c=3;pZh652z&164<6k>1]=l:52z&632<6:2.>;;4>2:~yx=n9hk1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51`c8R4g32;q/9:95139'120=9;1vqps4i0ca>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628ki7[?n4;0x 0102880(899:008yx{z3`;jo7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3bg>P6i=09w);87;31?!30>3;97psr}:k2ea<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:mi5Y1`696~"2?>0:>6*:77826>{zut1b=lk50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395dc<^8k?6?u+565957=#=><1=?5r}|8m4ga290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3bb>P6i=09w);87;3;?!30>3;37psr}:k2f5<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51c28R4g32;q/9:95139'120=9;1vqps4i0`2>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?m1:T2e1<5s-?<;7?7;%742?7?3twvq6g>b383>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=o<4V0c7>7}#=>=1=55+56495==zutw0e4d43_;j874><,<==6<64}|~?l7e=3:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082f0=Q9h>1>v*:7682<>"2??0:46sr}|9j5g0=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=o84V0c7>7}#=>=1h6*:778g?x{zu2c:n:4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082f2=Q9h>1>v*:768g?!30>3n0qpsr;h3a6a581!30?3n0(899:e9~yx{:0`:?S7f<38p(898:e9'120=l2wvqp5f1cc94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9kk0Z;;4k;|~y>o6jk0;6)P5l:0:wA=:r.9><4>bc9U5d2=:r.>;:4k;%742?bi?51`58R7b428qG>i;51zTf{zut1b=ok50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395gc<^8k?6?u+5659`>"2??0o7psr}:k2g5<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:o=5Y1`696~"2?>0o7);86;f8yx{z3`;h=7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3`5>P6i=09w);87;f8 0112m1vqps4o33b>5<#:m;1>4}K:m?1=vXj8;0x 7462;;j7[?n4;0x 0102m1/9:85d:~yx=zjoi1<7<>:183!4c93=;7E0:>6*:77826>{zut1b=l750;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d?<^8k?6?u+565957=#=><1=?5r}|8m4d3290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3a0>P6i=09w);87;31?!30>3;97psr}:k2fc<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:nk5Y1`696~"2?>0:>6*:77826>{zut1b=n<50;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628i97[?n4;0x 0102880(899:008yx{z3`;h?7>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6k:1]=l:52z&632<6:2.>;;4>2:~yx=n9j>1<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474e33_;j8744<,<==6<<4}|~?l7d=3:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082g0=Q9h>1>v*:76826>"2??0:>6sr}|9j5f0=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=n84V0c7>7}#=>=1=?5+564957=zutw0e4e03_;j8744<,<==6<<4}|~?l7fi3:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?na:T2e1<5s-?<;7?=;%742?753twvq6g>ac83>!4c93;j;6X=d282I4c=3;pZh652z&164<6ik1]=l:52z&632<6:2.>;;4>2:~yx=n9hi1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51`a8R4g32;q/9:95139'120=9;1vqps4i0cg>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628ko7[?n4;0x 0102880(899:008yx{z3`;ji7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3ba>P6i=09w);87;31?!30>3;97psr}:k2ec<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51`d8R4g32;q/9:95199'120=911vqps4i0`3>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?m0:T2e1<5s-?<;7?=;%742?753twvq6g>b083>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=o?4V0c7>7}#=>=1=55+56495==zutw0e66X>a581!30?3;37);86;3;?x{zu2c:n>4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082f6=Q9h>1>v*:7682<>"2??0:46sr}|9j5g3=83.9h<4>a69K6a6<^;n86:0`6?S7f<38p(898:0:8 0112820qpsr;h3a2?6=,;n:66a581!30?3n0(899:e9~yx{:0`4?S7f<38p(898:e9'120=l2wvqp5f1c:94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9k20Z;;4k;|~y>o6j00;6)P5l:0:wA=:r.9><4>b89U5d2=:r.>;:4k;%742?bi?51`58R7b428qG>i;51zTf{zut1b=om50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395ge<^8k?6?u+5659`>"2??0o7psr}:k2fa<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:ni5Y1`696~"2?>0o7);86;f8yx{z3`;ii7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3aa>P6i=09w);87;f8 0112m1vqps4i0a3>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628i;7[?n4;0x 0102m1/9:85d:~yx=n9j;1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51b38R4g32;q/9:95d:&633!4c938:m6X=d282I4c=3;pZh652z&164<59h1]=l:52z&632<4?:1y'6a7=?91C>n94i0c;>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628k37[?n4;0x 0102880(899:008yx{z3`;j57>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b=>P6i=09w);87;31?!30>3;97psr}:k2f1<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:n95Y1`696~"2?>0:>6*:77826>{zut1b=oh50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395g`<^8k?6?u+565957=#=><1=?5r}|8m4e5290/>i?51`58R7b428qG>i;51zTf44o6k=0;6)P5l:0:wA=:r.9><4>c59U5d2=:r.>;:4>2:&633<6:2wvqp5f1b794?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9j?0Z:0a5?S7f<38p(898:008 0112880qpsr;h3`3?6=,;n:66a581!30?3;97);86;31?x{zu2c:ml4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082ed=Q9h>1>v*:76826>"2??0:>6sr}|9j5dd=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=ll4V0c7>7}#=>=1=?5+564957=zutw0e4gd3_;j8744<,<==6<<4}|~?l7fl3:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?nd:T2e1<5s-?<;7?=;%742?753twvq6g>ad83>!4c93;j;6X=d282I4c=3;pZh652z&164<6il1]=l:52z&632<6:2.>;;4>2:~yx=n9hl1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51`d8R4g32;q/9:95139'120=9;1vqps4i0`3>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?m0:T2e1<5s-?<;7?7;%742?7?3twvq6g>b083>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=o?4V0c7>7}#=>=1=55+56495==zutw0e66X>a581!30?3;37);86;3;?x{zu2c:n>4?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9k90Z6=4+2e395d1<^;n86:0`6?S7f<38p(898:0:8 0112820qpsr;h3a2?6=,;n:6P5l:0:wA=:r.9><4>b79U5d2=:r.>;:4>8:&633<602wvqp5f1c594?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9k=0Z;;4k;|~y>o6j10;6)P5l:0:wA=:r.9><4>b99U5d2=:r.>;:4k;%742?bi?51`58R7b428qG>i;51zTf{zut1b=ol50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395gd<^8k?6?u+5659`>"2??0o7psr}:k2ff<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:nn5Y1`696~"2?>0o7);86;f8yx{z3`;ih7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3a`>P6i=09w);87;f8 0112m1vqps4i0`f>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628hn7[?n4;0x 0102m1/9:85d:~yx=n9j:1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51b28R4g32;q/9:95d:&633c083>!4c93;j;6X=d282I4c=3;pZh652z&164<6k81]=l:52z&632:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7j4$455>a=zutw0qohj:1815?6=8r.9h<480:J1g2=n9h21<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51`:8R4g32;q/9:95139'120=9;1vqps4i0c:>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628k27[?n4;0x 0102880(899:008yx{z3`;i87>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3a0>P6i=09w);87;31?!30>3;97psr}:k2fc<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:nk5Y1`696~"2?>0:>6*:77826>{zut1b=n<50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395f4<^8k?6?u+565957=#=><1=?5r}|8m4e4290/>i?51`58R7b428qG>i;51zTf44o6k<0;6)P5l:0:wA=:r.9><4>c49U5d2=:r.>;:4>2:&633<6:2wvqp5f1b494?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9j<0Z:0a4?S7f<38p(898:008 0112880qpsr;h3be?6=,;n:66a581!30?3;97);86;31?x{zu2c:mo4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082eg=Q9h>1>v*:76826>"2??0:>6sr}|9j5de=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=lm4V0c7>7}#=>=1=?5+564957=zutw0e4gc3_;j8744<,<==6<<4}|~?l7fm3:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?ne:T2e1<5s-?<;7?=;%742?753twvq6g>ag83>!4c93;j;6X=d282I4c=3;pZh652z&164<6io1]=l:52z&632<6:2.>;;4>2:~yx=n9k:1<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474d73_;j874><,<==6<64}|~?l7e93:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082f4=Q9h>1>v*:7682<>"2??0:46sr}|9j5g4=83.9h<4>a69K6a6<^;n86:0`1?S7f<38p(898:0:8 0112820qpsr;h3a7?6=,;n:6P5l:0:wA=:r.9><4>b29U5d2=:r.>;:4>8:&633<602wvqp5f1c794?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9k?0Z2\:m94={%743?7?3-?<:7?7;|~y>o6j>0;6)P5l:0:wA=:r.9><4>b69U5d2=:r.>;:4k;%742?b290/>i?51`58R7b428qG>i;51zTf{zut1b=oo50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395gg<^8k?6?u+5659`>"2??0o7psr}:k2fg<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:no5Y1`696~"2?>0o7);86;f8yx{z3`;io7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3ag>P6i=09w);87;f8 0112m1vqps4i0`g>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628ho7[?n4;0x 0102m1/9:85d:~yx=n9ko1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51cg8R4g32;q/9:95d:&633c183>!4c93;j;6X=d282I4c=3;pZh652z&164<6k91]=l:52z&632:0c4?S4c;3;p@?j::0yUa=<5s-89=7?l1:T2e1<5s-?<;7j4$455>a=zutw0c??n:18'6a7=:8k0Z?j<:0yO6a3=9r\n4777f3_;j87a=#=><1h6sr}|9~fc`=83<1<7>t$3f2>77>3A8h;6g>a983>!4c93;j;6X=d282I4c=3;pZh652z&164<6i11]=l:52z&632:0c4?S4c;3;p@?j::0yUa=<5s-89=7?n9:T2e1<5s-?<;7j4$455>a=zutw0e4d33_;j87a=#=><1h6sr}|9j5g`=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=oh4V0c7>7}#=>=1h6*:778g?x{zu2c:o?4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082g7=Q9h>1>v*:768g?!30>3n0qpsr;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3n0(899:e9~yx{52;294~"5l80o86F=c69j5d1=83.9h<4>a698k77f290/>i?520c8R7b428qG>i;51zTf{zut1vn<>>:1825?6=8r.9h<480:J1g2=n9h21<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51`:8R4g32;q/9:95139'120=9;1vqps4i0c:>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?n9:T2e1<5s-?<;7?=;%742?753twvq6g>b583>!4c93;j;6X=d282I4c=3;pZh652z&164<6j=1]=l:52z&632<6:2.>;;4>2:~yx=n9kl1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51cd8R4g32;q/9:95139'120=9;1vqps4i0a1>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628i97[?n4;0x 0102880(899:008yx{z3`;h?7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3`7>P6i=09w);87;31?!30>3;97psr}:k2g1<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:o95Y1`696~"2?>0:>6*:77826>{zut1b=n;50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395f3<^8k?6?u+565957=#=><1=?5r}|8m4e1290/>i?51`58R7b428qG>i;51zTf4>0:>6*:77826>{zut1b=lo50;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628kj7[?n4;0x 0102820(899:0:8yx{z3`;jn7>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6ik1]=l:52z&632<602.>;;4>8:~yx=n9hi1<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474gd3_;j874><,<==6<64}|~?l7fl3:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?nd:T2e1<5s-?<;7j4$455>a=zutw0e4gb3_;j87a=#=><1h6sr}|9j5d`=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=lh4V0c7>7}#=>=1h6*:778g?x{zu2e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:768g?!30>3n0qpsr;|`247<728;1<7>t$3f2>26<@;i<7d?n8;29 7b628k<7[o6i00;6)N5l91]>i=51zN1`0<6s_o36?u+23395d?<^8k?6?u+565957=#=><1=?5r}|8m4d3290/>i?51`58R7b428qG>i;51zTf44o6k;0;6)P5l:0:wA=:r.9><4>c39U5d2=:r.>;:4>2:&633<6:2wvqp5f1b194?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9j90Z:0a7?S7f<38p(898:008 0112880qpsr;h3`1?6=,;n:66a581!30?3;97);86;31?x{zu2c:o;4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082g3=Q9h>1>v*:7682<>"2??0:46sr}|9j5f1=83.9h<4>a69K6a6<^;n86:0a4?S7f<38p(898:008 0112880qpsr;h3be?6=,;n:6P5l:0:wA=:r.9><4>a`9U5d2=:r.>;:4>8:&633<602wvqp5f1``94?"5l80:m:5G2e28R7b428qG>i;51zTf4>0:46*:7782<>{zut1b=lj50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395db<^8k?6?u+5659`>"2??0o7psr}:k2e`<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:mh5Y1`696~"2?>0o7);86;f8yx{z3`;jj7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3bb>P6i=09w);87;f8 0112m1vqps4o33b>5<#:m;1>4}K:m?1=vXj8;0x 7462;;j7[?n4;0x 0102m1/9:85d:~yx=zj8:86=4<:183!4c938:56F=c69j5d>=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l64V0c7>7}#=>=1h6*:778g?x{zu2c:m44?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082e<=Q9h>1>v*:768g?!30>3n0qpsr;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3n0(899:e9~yx{52;294~"5l80o86F=c69j5d1=83.9h<4>a698k77f290/>i?520c8R7b428qG>i;51zTf{zut1vn<>::1815?6=8r.9h<480:J1g2=n9h21<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51`:8R4g32;q/9:95139'120=9;1vqps4i0c:>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628k27[?n4;0x 0102880(899:008yx{z3`;i87>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6j=1]=l:52z&632<6:2.>;;4>2:~yx=n9kl1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51cd8R4g32;q/9:95139'120=9;1vqps4i0a1>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?l2:T2e1<5s-?<;7?=;%742?753twvq6g>c283>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=n=4V0c7>7}#=>=1=?5+564957=zutw0e6a581!30?3;97);86;31?x{zu2c:o84?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9j?0Z2\:m94={%743?753-?<:7?=;|~y>o6k>0;6)P5l:0:wA=:r.9><4>c69U5d2=:r.>;:4>2:&633<6:2wvqp5f1`c94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9hk0Z:0ca?S7f<38p(898:008 0112880qpsr;h3bg?6=,;n:66a581!30?3;97);86;31?x{zu2c:mi4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082ea=Q9h>1>v*:76826>"2??0:>6sr}|9j5dc=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=lk4V0c7>7}#=>=1=?5+564957=zutw0e4ga3_;j874><,<==6<64}|~?l7e83:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082f5=Q9h>1>v*:76826>"2??0:>6sr}|9j5g7=83.9h<4>a69K6a6<^;n86:0`2?S7f<38p(898:0:8 0112820qpsr;h3a6?6=,;n:6P5l:0:wA=:r.9><4>b39U5d2=:r.>;:4>8:&633<602wvqp5f1c194?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9k90Z6=4+2e395d1<^;n86:0`6?S7f<38p(898:e9'120=l2wvqp5f1c494?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9k<0Z;;4k;|~y>o6j>0;6)P5l:0:wA=:r.9><4>b69U5d2=:r.>;:4k;%742?b290/>i?51`58R7b428qG>i;51zTf{zut1b=oo50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395gg<^8k?6?u+5659`>"2??0o7psr}:k2fg<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:no5Y1`696~"2?>0o7);86;f8yx{z3`;io7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3ag>P6i=09w);87;f8 0112m1vqps4i0`g>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628ho7[?n4;0x 0102m1/9:85d:~yx=n9ko1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51cg8R4g32;q/9:95d:&633c183>!4c93;j;6X=d282I4c=3;pZh652z&164<6k91]=l:52z&632:0c4?S4c;3;p@?j::0yUa=<5s-89=7?l1:T2e1<5s-?<;7j4$455>a=zutw0c??n:18'6a7=:8k0Z?j<:0yO6a3=9r\n4777f3_;j87a=#=><1h6sr}|9~f4612909=7>50z&1`4<082B9o:5f1`:94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9h20Z:0c:?S7f<38p(898:008 0112880qpsr;h3a0?6=,;n:66a581!30?3;97);86;31?x{zu2c:nk4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082fc=Q9h>1>v*:76826>"2??0:>6sr}|9j5f4=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=n<4V0c7>7}#=>=1=?5+564957=zutw0e4e43_;j8744<,<==6<<4}|~?l7d<3:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?l4:T2e1<5s-?<;7?=;%742?753twvq6g>c483>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=n;4V0c7>7}#=>=1=?5+564957=zutw0e4e13_;j8744<,<==6<<4}|~?l7d?3:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?l7:T2e1<5s-?<;7?=;%742?753twvq6g>a`83>!4c93;j;6X=d282I4c=3;pZh652z&164<6ih1]=l:52z&632<6:2.>;;4>2:~yx=n9hh1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51``8R4g32;q/9:95139'120=9;1vqps4i0c`>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628kh7[?n4;0x 0102880(899:008yx{z3`;jh7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b`>P6i=09w);87;31?!30>3;97psr}:k2e`<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:mh5Y1`696~"2?>0:>6*:77826>{zut1b=lh50;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628km7[?n4;0x 0102820(899:0:8yx{z3`;i<7>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6j91]=l:52z&632<6:2.>;;4>2:~yx=n9k;1<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474d63_;j874><,<==6<64}|~?l7e:3:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082f7=Q9h>1>v*:7682<>"2??0:46sr}|9j5g5=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=o=4V0c7>7}#=>=1=55+56495==zutw0e4d23_;j87a=#=><1h6sr}|9j5g0=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=o84V0c7>7}#=>=1h6*:778g?x{zu2c:n:4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082f2=Q9h>1>v*:768g?!30>3n0qpsr;h3a6a581!30?3n0(899:e9~yx{:0`:?S7f<38p(898:e9'120=l2wvqp5f1cc94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9kk0Z;;4k;|~y>o6jk0;6)P5l:0:wA=:r.9><4>bc9U5d2=:r.>;:4k;%742?bi?51`58R7b428qG>i;51zTf{zut1b=ok50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395gc<^8k?6?u+5659`>"2??0o7psr}:k2g5<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:o=5Y1`696~"2?>0o7);86;f8yx{z3`;h=7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3`5>P6i=09w);87;f8 0112m1vqps4o33b>5<#:m;1>4}K:m?1=vXj8;0x 7462;;j7[?n4;0x 0102m1/9:85d:~yx=zj8:<6=4=1;294~"5l80<<6F=c69j5d>=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l64V0c7>7}#=>=1=?5+564957=zutw0e4g>3_;j8744<,<==6<<4}|~?l7e<3:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082f1=Q9h>1>v*:76826>"2??0:>6sr}|9j5g`=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=oh4V0c7>7}#=>=1=?5+564957=zutw0e66X>a581!30?3;97);86;31?x{zu2c:o>4?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9j90Zo6k<0;6)N5l91]>i=51zN1`0<6s_o36?u+23395f3<^8k?6?u+565957=#=><1=?5r}|8m4e1290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3`2>P6i=09w);87;31?!30>3;97psr}:k2g2<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:o:5Y1`696~"2?>0:>6*:77826>{zut1b=lo50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395dg<^8k?6?u+565957=#=><1=?5r}|8m4ge290/>i?51`58R7b428qG>i;51zTf44o6im0;6)P5l:0:wA=:r.9><4>ae9U5d2=:r.>;:4>2:&633<6:2wvqp5f1`g94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9ho0Z:0ce?S7f<38p(898:0:8 0112820qpsr;h3a4?6=,;n:6P5l:0:wA=:r.9><4>b19U5d2=:r.>;:4>2:&633<6:2wvqp5f1c394?"5l80:m:5G2e28R7b428qG>i;51zTf;W3b0?4|,<=<6<64$455>4>0:46*:7782<>{zut1b=o=50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395g5<^8k?6?u+56595==#=><1=55r}|8m4d2290/>i?51`58R7b428qG>i;51zTf{zut1b=o850;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395g0<^8k?6?u+5659`>"2??0o7psr}:k2f2<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:n:5Y1`696~"2?>0o7);86;f8yx{z3`;i47>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3a<>P6i=09w);87;f8 0112m1vqps4i0`:>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628h27[?n4;0x 0102m1/9:85d:~yx=n9kk1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51cc8R4g32;q/9:95d:&633bc83>!4c93;j;6X=d282I4c=3;pZh652z&164<6jk1]=l:52z&632:0c4?S4c;3;p@?j::0yUa=<5s-89=7?mc:T2e1<5s-?<;7j4$455>a=zutw0e4dc3_;j87a=#=><1h6sr}|9j5gc=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=ok4V0c7>7}#=>=1h6*:778g?x{zu2c:o=4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082g5=Q9h>1>v*:768g?!30>3n0qpsr;h3`5?6=,;n:66a581!30?3n0(899:e9~yx{:33b?S7f<38p(898:e9'120=l2wvqp5rb02;>5<3290;w)N5k>1b=l650;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d><^8k?6?u+5659`>"2??0o7psr}:k2e<<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:m45Y1`696~"2?>0o7);86;f8yx{z3`;i87>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3a0>P6i=09w);87;f8 0112m1vqps4o33b>5<#:m;1>4}K:m?1=vXj8;0x 7462;;j7[?n4;0x 0102m1/9:85d:~yx=zj8:26=4=:183!4c93n?7E{Wg;>7}#:;;1>7}#=>=1h6*:778g?x{zu2wi==o50;194?6|,;n:6:>4H3a4?l7f03:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?n8:T2e1<5s-?<;7?9;%742?713twvq6g>a883>!4c93;j;6X=d282I4c=3;pZh652z&164<6i01]=l:52z&632<6>2.>;;4>6:~yx=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95d:&633:628L7e03`;j47>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b<>P6i=09w);87;31?!30>3;97psr}:k2e<<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51`;8R4g32;q/9:95199'120=911vqps4i0`7>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?m4:T2e1<5s-?<;7?7;%742?7?3twvq6g>bg83>!4c93;j;6X=d282I4c=3;pZh652z&164<6jo1]=l:52z&632<602.>;;4>8:~yx=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95d:&633:628L7e03`;j47>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6i11]=l:52z&632<6:2.>;;4>2:~yx=n9h31<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474g>3_;j8744<,<==6<<4}|~?l7e<3:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?m4:T2e1<5s-?<;7?7;%742?7?3twvq6g>bg83>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=oh4V0c7>7}#=>=1=55+56495==zutw0e66X>a581!30?3;37);86;3;?x{zu2c:o>4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082g6=Q9h>1>v*:7682<>"2??0:46sr}|9l64g=83.9h<4=1`9U6a5=9rF9h84>{Wg;>7}#:;;1>7}#=>=1h6*:778g?x{zu2wi==j50;594?6|,;n:6:>4H3a4?l7f03:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?n8:T2e1<5s-?<;7?=;%742?753twvq6g>a883>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=l74V0c7>7}#=>=1=?5+564957=zutw0e4d33_;j8744<,<==6<<4}|~?l7en3:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082fc=Q9h>1>v*:7682<>"2??0:46sr}|9j5f4=83.9h<4>a69K6a6<^;n86:0a1?S7f<38p(898:0:8 0112820qpsr;h3`7?6=,;n:66a581!30?3;37);86;3;?x{zu2e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:768g?!30>3n0qpsr;|`24`<7210;6=u+2e3935=O:j=0e6a581!30?3;97);86;31?x{zu2c:m44?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082e<=Q9h>1>v*:76826>"2??0:>6sr}|9j5g2=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=o:4V0c7>7}#=>=1=?5+564957=zutw0e6a581!30?3;37);86;3;?x{zu2c:o?4?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9j80Zo6k=0;6)P5l:0:wA=:r.9><4>c59U5d2=:r.>;:4>8:&633<602wvqp5`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Z;;4k;|~y>{e99l1<7?>:183!4c93=;7E0:>6*:77826>{zut1b=l750;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d?<^8k?6?u+565957=#=><1=?5r}|8m4d3290/>i?51`58R7b428qG>i;51zTf44o6k;0;6)P5l:0:wA=:r.9><4>c39U5d2=:r.>;:4>2:&633<6:2wvqp5f1b194?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9j90Z:0a7?S7f<38p(898:008 0112880qpsr;h3`1?6=,;n:6P5l:0:wA=:r.9><4>c49U5d2=:r.>;:4>8:&633<602wvqp5f1b494?"5l80:m:5G2e28R7b428qG>i;51zTf440:46*:7782<>{zut1b=lo50;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628kj7[?n4;0x 0102820(899:0:8yx{z3`;jn7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3bf>P6i=09w);87;3;?!30>3;37psr}:k2ef<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:mn5Y1`696~"2?>0o7);86;f8yx{z3`;jh7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b`>P6i=09w);87;f8 0112m1vqps4i0cf>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628kn7[?n4;0x 0102m1/9:85d:~yx=n9hl1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51`d8R4g32;q/9:95d:&633!4c938:m6X=d282I4c=3;pZh652z&164<59h1]=l:52z&6326a581!30?3n0(899:e9~yx{:0c:?S7f<38p(898:e9'120=l2wvqp5f1c694?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9k>0Z;;4k;|~y>o6jo0;6)P5l:0:wA=:r.9><4>bg9U5d2=:r.>;:4k;%742?bi?51`58R7b428qG>i;51zTf{zut1d>i=51zN1`0<6s_o36?u+233964g<^8k?6?u+5659`>"2??0o7psr}:a547=8381<7>t$3f2>a2<@;i<7d?n7;29 7b628k<76a=1`83>!4c938:m6X=d282I4c=3;pZh652z&164<59h1]=l:52z&6324?:1y'6a7=?91C>n94i0c;>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628k37[?n4;0x 01028<0(899:048yx{z3`;j57>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b=>P6i=09w);87;35?!30>3;=7psr}:m15d<72-8o=7<>a:T1`6<6sE8o97?tVd:96~"5:809=l5Y1`696~"2?>0o7);86;f8yx{z3th:=>4?:0394?6|,;n:6:>4H3a4?l7f03:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?n8:T2e1<5s-?<;7?=;%742?753twvq6g>a883>!4c93;j;6X=d282I4c=3;pZh652z&164<6i01]=l:52z&632<6:2.>;;4>2:~yx=n9k>1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51c68R4g32;q/9:95139'120=9;1vqps4i0`e>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628hm7[?n4;0x 0102880(899:008yx{z3`;h>7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3`6>P6i=09w);87;31?!30>3;97psr}:k2g6<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:o>5Y1`696~"2?>0:>6*:77826>{zut1b=n:50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395f2<^8k?6?u+565957=#=><1=?5r}|8m4e2290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3`1>P6i=09w);87;3;?!30>3;37psr}:k2g3<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51b48R4g32;q/9:95139'120=9;1vqps4i0a4>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?l7:T2e1<5s-?<;7?7;%742?7?3twvq6g>a`83>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=lo4V0c7>7}#=>=1=55+56495==zutw0e4ge3_;j874><,<==6<64}|~?l7fk3:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?nc:T2e1<5s-?<;7j4$455>a=zutw0e4gc3_;j87a=#=><1h6sr}|9j5dc=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=lk4V0c7>7}#=>=1h6*:778g?x{zu2c:mk4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082ec=Q9h>1>v*:768g?!30>3n0qpsr;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3n0(899:e9~yx{51083>5}#:m;1;=5G2b58m4g?290/>i?51`58R7b428qG>i;51zTf440:>6*:77826>{zut1b=o:50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395g2<^8k?6?u+565957=#=><1=?5r}|8m4da290/>i?51`58R7b428qG>i;51zTf44o6k:0;6)P5l:0:wA=:r.9><4>c29U5d2=:r.>;:4>2:&633<6:2wvqp5f1b694?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9j>0Z6=4+2e395d1<^;n86:0a6?S7f<38p(898:008 0112880qpsr;h3`2?6=,;n:66a581!30?3;37);86;3;?x{zu2c:o:4?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9j=0Zo6ik0;6)N5l91]>i=51zN1`0<6s_o36?u+23395dd<^8k?6?u+56595==#=><1=55r}|8m4gd290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3bg>P6i=09w);87;3;?!30>3;37psr}:k2ea<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:mi5Y1`696~"2?>0:46*:7782<>{zut1b=lk50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395dc<^8k?6?u+5659`>"2??0o7psr}:k2ec<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:mk5Y1`696~"2?>0o7);86;f8yx{z3f8:m7>5$3f2>77f3_8o?7?tL3f6>4}Qm109w)<=1;02e>P6i=09w);87;f8 0112m1vqps4}c321?6=980;6=u+2e3935=O:j=0e4g?3_;j8744<,<==6<<4}|~?l7f13:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082e<=Q9h>1>v*:76826>"2??0:>6sr}|9j5g2=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=o:4V0c7>7}#=>=1=?5+564957=zutw0e4da3_;j8744<,<==6<<4}|~?l7d:3:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?l2:T2e1<5s-?<;7?=;%742?753twvq6g>c283>!4c93;j;6X=d282I4c=3;pZh652z&164<6k:1]=l:52z&632<6:2.>;;4>2:~yx=n9j>1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51b68R4g32;q/9:95139'120=9;1vqps4i0a6>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628i>7[?n4;0x 0102880(899:008yx{z3`;h:7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3`2>P6i=09w);87;3;?!30>3;37psr}:k2g2<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51b58R4g32;q/9:95139'120=9;1vqps4i0cb>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?na:T2e1<5s-?<;7?7;%742?7?3twvq6g>ac83>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=ll4V0c7>7}#=>=1=55+56495==zutw0e6a581!30?3;37);86;3;?x{zu2c:mi4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082ea=Q9h>1>v*:7682<>"2??0:46sr}|9j5dc=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=lk4V0c7>7}#=>=1h6*:778g?x{zu2c:mk4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082ec=Q9h>1>v*:768g?!30>3n0qpsr;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3n0(899:e9~yx{52083>5}#:m;1;=5G2b58m4g?290/>i?51`58R7b428qG>i;51zTf44o6j=0;6)P5l:0:wA=:r.9><4>b59U5d2=:r.>;:4>2:&633<6:2wvqp5f1cd94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9kl0Z:0a1?S7f<38p(898:008 0112880qpsr;h3`7?6=,;n:66a581!30?3;97);86;31?x{zu2c:o94?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082g1=Q9h>1>v*:76826>"2??0:>6sr}|9j5f3=83.9h<4>a69K6a6<^;n86:0a6?S7f<38p(898:008 0112880qpsr;h3`2?6=,;n:6P5l:0:wA=:r.9><4>c79U5d2=:r.>;:4>2:&633<6:2wvqp5f1b594?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9j=0Z:0cb?S7f<38p(898:008 0112880qpsr;h3bf?6=,;n:66a581!30?3;97);86;31?x{zu2c:mn4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082ef=Q9h>1>v*:76826>"2??0:>6sr}|9j5db=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=lj4V0c7>7}#=>=1=?5+564957=zutw0e4gb3_;j8744<,<==6<<4}|~?l7fn3:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?nf:T2e1<5s-?<;7?7;%742?7?3twvq6g>b183>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=o>4V0c7>7}#=>=1=?5+564957=zutw0e:18'6a7=9h=0D?j?;W0g7?7|D;n>6a581!30?3;37);86;3;?x{zu2c:n?4?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9k80Z:0`0?S7f<38p(898:0:8 0112820qpsr;h3a1?6=,;n:66a581!30?3n0(899:e9~yx{:0`5?S7f<38p(898:e9'120=l2wvqp5f1c594?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9k=0Z;;4k;|~y>o6j10;6)P5l:0:wA=:r.9><4>b99U5d2=:r.>;:4k;%742?bi?51`58R7b428qG>i;51zTf{zut1b=ol50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395gd<^8k?6?u+5659`>"2??0o7psr}:k2ff<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:nn5Y1`696~"2?>0o7);86;f8yx{z3`;ih7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3a`>P6i=09w);87;f8 0112m1vqps4i0`f>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628hn7[?n4;0x 0102m1/9:85d:~yx=n9j:1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51b28R4g32;q/9:95d:&633c083>!4c93;j;6X=d282I4c=3;pZh652z&164<6k81]=l:52z&632:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7j4$455>a=zutw0qo?>7;2964<729q/>i?5719K6f1:0c;?S7f<38p(898:008 0112880qpsr;h3b=?6=,;n:66a581!30?3;97);86;31?x{zu2c:n94?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082f1=Q9h>1>v*:76826>"2??0:>6sr}|9j5g`=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=oh4V0c7>7}#=>=1=?5+564957=zutw0e4e53_;j8744<,<==6<<4}|~?l7d;3:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?l3:T2e1<5s-?<;7?=;%742?753twvq6g>c583>!4c93;j;6X=d282I4c=3;pZh652z&164<6k=1]=l:52z&632<6:2.>;;4>2:~yx=n9j?1<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474e23_;j8744<,<==6<<4}|~?l7d>3:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082g3=Q9h>1>v*:76826>"2??0:>6sr}|9j5f1=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=n94V0c7>7}#=>=1=?5+564957=zutw0e4gf3_;j8744<,<==6<<4}|~?l7fj3:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?nb:T2e1<5s-?<;7?=;%742?753twvq6g>ab83>!4c93;j;6X=d282I4c=3;pZh652z&164<6ij1]=l:52z&632<6:2.>;;4>2:~yx=n9hn1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51`f8R4g32;q/9:95139'120=9;1vqps4i0cf>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628kn7[?n4;0x 0102880(899:008yx{z3`;jj7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3bb>P6i=09w);87;3;?!30>3;37psr}:k2f5<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51c28R4g32;q/9:95139'120=9;1vqps4i0`2>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?m1:T2e1<5s-?<;7?7;%742?7?3twvq6g>b383>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=o<4V0c7>7}#=>=1=55+56495==zutw0e4d43_;j874><,<==6<64}|~?l7e=3:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?m5:T2e1<5s-?<;7j4$455>a=zutw0e4d13_;j87a=#=><1h6sr}|9j5g1=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=o94V0c7>7}#=>=1h6*:778g?x{zu2c:n54?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082f==Q9h>1>v*:768g?!30>3n0qpsr;h3a=?6=,;n:66a581!30?3n0(899:e9~yx{:0`b?S7f<38p(898:e9'120=l2wvqp5f1c`94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9kh0Z;;4k;|~y>o6jj0;6)P5l:0:wA=:r.9><4>bb9U5d2=:r.>;:4k;%742?bi?51`58R7b428qG>i;51zTf{zut1b=n>50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395f6<^8k?6?u+5659`>"2??0o7psr}:k2g4<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:o<5Y1`696~"2?>0o7);86;f8yx{z3f8:m7>5$3f2>77f3_8o?7?tL3f6>4}Qm109w)<=1;02e>P6i=09w);87;f8 0112m1vqps4}c324g?3_;j87a=#=><1h6sr}|9j5d?=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l74V0c7>7}#=>=1h6*:778g?x{zu2c:n94?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082f1=Q9h>1>v*:768g?!30>3n0qpsr;h3ab?6=,;n:66a581!30?3n0(899:e9~yx{:0a1?S7f<38p(898:e9'120=l2wvqp5f1b194?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9j90Z;;4k;|~y>i59h0;6)P5l:0:wA=:r.9><4=1`9U5d2=:r.>;:4k;%742?b1883>7<729q/>i?5d59K6f15$3f2>77f3_8o?7?tL3f6>4}Qm109w)<=1;02e>P6i=09w);87;f8 0112m1vqps4}c32e?6=:80;6=u+2e3935=O:j=0e4g?3_;j8744<,<==6<<4}|~?l7f13:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?n9:T2e1<5s-?<;7?=;%742?753twvq6g>b583>!4c93;j;6X=d282I4c=3;pZh652z&164<6j=1]=l:52z&632<6:2.>;;4>2:~yx=n9kl1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51cd8R4g32;q/9:95139'120=9;1vqps4i0a1>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628i97[?n4;0x 0102880(899:008yx{z3`;h?7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3`7>P6i=09w);87;31?!30>3;97psr}:k2g1<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:o95Y1`696~"2?>0:>6*:77826>{zut1b=n;50;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628i>7[?n4;0x 0102880(899:008yx{z3`;h:7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3`2>P6i=09w);87;31?!30>3;97psr}:k2g2<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:o:5Y1`696~"2?>0:>6*:77826>{zut1b=lo50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395dg<^8k?6?u+565957=#=><1=?5r}|8m4ge290/>i?51`58R7b428qG>i;51zTf44o6im0;6)P5l:0:wA=:r.9><4>ae9U5d2=:r.>;:4>2:&633<6:2wvqp5f1`g94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9ho0Zo6j90;6)N5l91]>i=51zN1`0<6s_o36?u+23395g6<^8k?6?u+565957=#=><1=?5r}|8m4d6290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3a5>P6i=09w);87;3;?!30>3;37psr}:k2f7<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51c08R4g32;q/9:95199'120=911vqps4i0`0>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628h87[?n4;0x 0102820(899:0:8yx{z3`;i97>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3a1>P6i=09w);87;f8 0112m1vqps4i0`5>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628h=7[?n4;0x 0102m1/9:85d:~yx=n9k=1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51c58R4g32;q/9:95d:&633b983>!4c93;j;6X=d282I4c=3;pZh652z&164<6j11]=l:52z&632:0c4?S4c;3;p@?j::0yUa=<5s-89=7?m9:T2e1<5s-?<;7j4$455>a=zutw0e4df3_;j87a=#=><1h6sr}|9j5gd=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=ol4V0c7>7}#=>=1h6*:778g?x{zu2c:nn4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082ff=Q9h>1>v*:768g?!30>3n0qpsr;h3a`?6=,;n:66a581!30?3n0(899:e9~yx{:0`f?S7f<38p(898:e9'120=l2wvqp5f1b294?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9j:0Z;;4k;|~y>o6k80;6)P5l:0:wA=:r.9><4>c09U5d2=:r.>;:4k;%742?ba;29 7b62;;j7[<4?:1y'6a7=?91C>n94i0c;>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628k37[?n4;0x 0102880(899:008yx{z3`;j57>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b=>P6i=09w);87;31?!30>3;97psr}:k2f1<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51c68R4g32;q/9:95139'120=9;1vqps4i0`e>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628hm7[?n4;0x 0102880(899:008yx{z3`;h>7>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6k;1]=l:52z&632<6:2.>;;4>2:~yx=n9j91<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474e43_;j8744<,<==6<<4}|~?l7d<3:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082g1=Q9h>1>v*:76826>"2??0:>6sr}|9j5f3=83.9h<4>a69K6a6<^;n86:0a6?S7f<38p(898:008 0112880qpsr;h3`2?6=,;n:66a581!30?3;97);86;31?x{zu2c:o:4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082g2=Q9h>1>v*:76826>"2??0:>6sr}|9j5dg=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=lo4V0c7>7}#=>=1=?5+564957=zutw0e4ge3_;j8744<,<==6<<4}|~?l7fk3:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?nc:T2e1<5s-?<;7?=;%742?753twvq6g>ae83>!4c93;j;6X=d282I4c=3;pZh652z&164<6im1]=l:52z&632<6:2.>;;4>2:~yx=n9ho1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51`g8R4g32;q/9:95139'120=9;1vqps4i0ce>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?nf:T2e1<5s-?<;7?7;%742?7?3twvq6g>b183>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=o>4V0c7>7}#=>=1=?5+564957=zutw0e:18'6a7=9h=0D?j?;W0g7?7|D;n>6a581!30?3;37);86;3;?x{zu2c:n?4?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9k80Z:0`0?S7f<38p(898:0:8 0112820qpsr;h3a1?6=,;n:66a581!30?3n0(899:e9~yx{:0`5?S7f<38p(898:e9'120=l2wvqp5f1c594?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9k=0Z;;4k;|~y>o6j10;6)P5l:0:wA=:r.9><4>b99U5d2=:r.>;:4k;%742?bi?51`58R7b428qG>i;51zTf{zut1b=ol50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395gd<^8k?6?u+5659`>"2??0o7psr}:k2ff<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:nn5Y1`696~"2?>0o7);86;f8yx{z3`;ih7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3a`>P6i=09w);87;f8 0112m1vqps4i0`f>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628hn7[?n4;0x 0102m1/9:85d:~yx=n9j:1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51b28R4g32;q/9:95d:&633c083>!4c93;j;6X=d282I4c=3;pZh652z&164<6k81]=l:52z&632:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7j4$455>a=zutw0qo?>c;2964<729q/>i?5719K6f1:0c;?S7f<38p(898:008 0112880qpsr;h3b=?6=,;n:66a581!30?3;97);86;31?x{zu2c:n94?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9k>0Z:0`e?S7f<38p(898:008 0112880qpsr;h3`6?6=,;n:6P5l:0:wA=:r.9><4>c39U5d2=:r.>;:4>2:&633<6:2wvqp5f1b194?"5l80:m:5G2e28R7b428qG>i;51zTf440:>6*:77826>{zut1b=n;50;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628i>7[?n4;0x 0102880(899:008yx{z3`;h:7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3`2>P6i=09w);87;31?!30>3;97psr}:k2g2<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:o:5Y1`696~"2?>0:>6*:77826>{zut1b=lo50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395dg<^8k?6?u+565957=#=><1=?5r}|8m4ge290/>i?51`58R7b428qG>i;51zTf44o6im0;6)P5l:0:wA=:r.9><4>ae9U5d2=:r.>;:4>2:&633<6:2wvqp5f1`g94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9ho0Zo6j90;6)N5l91]>i=51zN1`0<6s_o36?u+23395g6<^8k?6?u+565957=#=><1=?5r}|8m4d6290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3a5>P6i=09w);87;3;?!30>3;37psr}:k2f7<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51c08R4g32;q/9:95199'120=911vqps4i0`0>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628h87[?n4;0x 0102820(899:0:8yx{z3`;i97>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3a1>P6i=09w);87;f8 0112m1vqps4i0`5>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628h=7[?n4;0x 0102m1/9:85d:~yx=n9k=1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51c58R4g32;q/9:95d:&633b983>!4c93;j;6X=d282I4c=3;pZh652z&164<6j11]=l:52z&632:0c4?S4c;3;p@?j::0yUa=<5s-89=7?m9:T2e1<5s-?<;7j4$455>a=zutw0e4df3_;j87a=#=><1h6sr}|9j5gd=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=ol4V0c7>7}#=>=1h6*:778g?x{zu2c:nn4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082ff=Q9h>1>v*:768g?!30>3n0qpsr;h3a`?6=,;n:66a581!30?3n0(899:e9~yx{:0`f?S7f<38p(898:e9'120=l2wvqp5f1b294?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9j:0Z;;4k;|~y>o6k80;6)P5l:0:wA=:r.9><4>c09U5d2=:r.>;:4k;%742?ba;29 7b62;;j7[<4?:1y'6a7=?91C>n94i0c;>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628k37[?n4;0x 0102880(899:008yx{z3`;j57>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b=>P6i=09w);87;31?!30>3;97psr}:k2f1<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:n95Y1`696~"2?>0:>6*:77826>{zut1b=oh50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395g`<^8k?6?u+565957=#=><1=?5r}|8m4e5290/>i?51`58R7b428qG>i;51zTf44o6k=0;6)P5l:0:wA=:r.9><4>c59U5d2=:r.>;:4>2:&633<6:2wvqp5f1b794?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9j?0Z:0a5?S7f<38p(898:008 0112880qpsr;h3`3?6=,;n:66a581!30?3;97);86;31?x{zu2c:ml4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082ed=Q9h>1>v*:76826>"2??0:>6sr}|9j5dd=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=ll4V0c7>7}#=>=1=?5+564957=zutw0e4gd3_;j8744<,<==6<<4}|~?l7fl3:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?nd:T2e1<5s-?<;7?=;%742?753twvq6g>ad83>!4c93;j;6X=d282I4c=3;pZh652z&164<6il1]=l:52z&632<6:2.>;;4>2:~yx=n9hl1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51`d8R4g32;q/9:95139'120=9;1vqps4i0`3>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?m0:T2e1<5s-?<;7?7;%742?7?3twvq6g>b083>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=o?4V0c7>7}#=>=1=55+56495==zutw0e66X>a581!30?3;37);86;3;?x{zu2c:n>4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082f6=Q9h>1>v*:7682<>"2??0:46sr}|9j5g3=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=o;4V0c7>7}#=>=1=55+56495==zutw0e4d13_;j87a=#=><1h6sr}|9j5g1=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=o94V0c7>7}#=>=1h6*:778g?x{zu2c:n54?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082f==Q9h>1>v*:768g?!30>3n0qpsr;h3a=?6=,;n:66a581!30?3n0(899:e9~yx{:0`b?S7f<38p(898:e9'120=l2wvqp5f1c`94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9kh0Z;;4k;|~y>o6jj0;6)P5l:0:wA=:r.9><4>bb9U5d2=:r.>;:4k;%742?bi?51`58R7b428qG>i;51zTf{zut1b=n>50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395f6<^8k?6?u+5659`>"2??0o7psr}:k2g4<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:o<5Y1`696~"2?>0o7);86;f8yx{z3f8:m7>5$3f2>77f3_8o?7?tL3f6>4}Qm109w)<=1;02e>P6i=09w);87;f8 0112m1vqps4}c32a?6=:80;6=u+2e3935=O:j=0e4g?3_;j8744<,<==6<<4}|~?l7f13:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?n9:T2e1<5s-?<;7?=;%742?753twvq6g>b583>!4c93;j;6X=d282I4c=3;pZh652z&164<6j=1]=l:52z&632<6:2.>;;4>2:~yx=n9kl1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51cd8R4g32;q/9:95139'120=9;1vqps4i0a1>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628i97[?n4;0x 0102880(899:008yx{z3`;h?7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3`7>P6i=09w);87;31?!30>3;97psr}:k2g1<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:o95Y1`696~"2?>0:>6*:77826>{zut1b=n;50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395f3<^8k?6?u+565957=#=><1=?5r}|8m4e1290/>i?51`58R7b428qG>i;51zTf44o6ih0;6)P5l:0:wA=:r.9><4>a`9U5d2=:r.>;:4>2:&633<6:2wvqp5f1``94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9hh0Z:0c`?S7f<38p(898:008 0112880qpsr;h3b`?6=,;n:66a581!30?3;97);86;31?x{zu2c:mh4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082e`=Q9h>1>v*:76826>"2??0:>6sr}|9j5d`=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=lh4V0c7>7}#=>=1=?5+564957=zutw0e6a581!30?3;37);86;3;?x{zu2c:n<4?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9k;0Zo6j:0;6)P5l:0:wA=:r.9><4>b29U5d2=:r.>;:4>8:&633<602wvqp5f1c794?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9k?0Z:0`5?S7f<38p(898:e9'120=l2wvqp5f1c594?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9k=0Z;;4k;|~y>o6j10;6)P5l:0:wA=:r.9><4>b99U5d2=:r.>;:4k;%742?bi?51`58R7b428qG>i;51zTf{zut1b=ol50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395gd<^8k?6?u+5659`>"2??0o7psr}:k2ff<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:nn5Y1`696~"2?>0o7);86;f8yx{z3`;ih7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3a`>P6i=09w);87;f8 0112m1vqps4i0`f>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628hn7[?n4;0x 0102m1/9:85d:~yx=n9j:1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51b28R4g32;q/9:95d:&633c083>!4c93;j;6X=d282I4c=3;pZh652z&164<6k81]=l:52z&632:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7j4$455>a=zutw0qo?>f;292?6=8r.9h<4=189K6f1:0c;?S7f<38p(898:e9'120=l2wvqp5f1`;94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9h30Z;;4k;|~y>o6j=0;6)P5l:0:wA=:r.9><4>b59U5d2=:r.>;:4k;%742?bi?51`58R7b428qG>i;51zTf{zut1d>i=51zN1`0<6s_o36?u+233964g<^8k?6?u+5659`>"2??0o7psr}:a576=8381<7>t$3f2>a2<@;i<7d?n7;29 7b628k<76a=1`83>!4c938:m6X=d282I4c=3;pZh652z&164<59h1]=l:52z&6324?:1y'6a7=?91C>n94i0c;>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628k37[?n4;0x 0102880(899:008yx{z3`;j57>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6i01]=l:52z&632<602.>;;4>8:~yx=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95d:&633:628L7e03`;j47>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6i11]=l:52z&632<6:2.>;;4>2:~yx=n9h31<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474g>3_;j874><,<==6<64}|~?j46i3:1(?j>:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7j4$455>a=zutw0qo?=3;297?6=8r.9h<480:J1g2=n9h21<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474g?3_;j874><,<==6<64}|~?l7f13:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082e<=Q9h>1>v*:7682<>"2??0:46sr}|9l64g=83.9h<4=1`9U6a5=9rF9h84>{Wg;>7}#:;;1>7}#=>=1h6*:778g?x{zu2wi=?:50;694?6|,;n:6:>4H3a4?l7f03:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082e==Q9h>1>v*:76826>"2??0:>6sr}|9j5d?=83.9h<4>a69K6a6<^;n86:0c:?S7f<38p(898:008 0112880qpsr;h3a0?6=,;n:6P5l:0:wA=:r.9><4>b59U5d2=:r.>;:4>2:&633<6:2wvqp5`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Z;;4k;|~y>{e9;?1<7:50;2x 7b62>:0D?m8;h3bP5l:0:wA=:r.9><4>a99U5d2=:r.>;:4>2:&633<6:2wvqp5f1`;94?"5l80:m:5G2e28R7b428qG>i;51zTf440:46*:7782<>{zut1d>i=51zN1`0<6s_o36?u+233964g<^8k?6?u+5659`>"2??0o7psr}:a570=83<1<7>t$3f2>77>3A8h;6g>a983>!4c93;j;6X=d282I4c=3;pZh652z&164<6i11]=l:52z&632:0c4?S4c;3;p@?j::0yUa=<5s-89=7?n9:T2e1<5s-?<;7j4$455>a=zutw0e4d33_;j87a=#=><1h6sr}|9j5g`=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=oh4V0c7>7}#=>=1h6*:778g?x{zu2c:o?4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082g7=Q9h>1>v*:768g?!30>3n0qpsr;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3n0(899:e9~yx{52;294~"5l80o86F=c69j5d1=83.9h<4>a698k77f290/>i?520c8R7b428qG>i;51zTf{zut1vn<<7:180>5<7s-8o=79?;I0`3>o6i10;6)P5l:0:wA=:r.9><4>a99U5d2=:r.>;:4>6:&633<6>2wvqp5f1`;94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9h30Z:33b?S7f<38p(898:e9'120=l2wvqp5rb00:>5<3290;w):0c:?S7f<38p(898:008 0112880qpsr;h3a0?6=,;n:6P5l:0:wA=:r.9><4>b59U5d2=:r.>;:4>2:&633<6:2wvqp5`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Z;;4k;|~y>{e9;k1<7:50;2x 7b62>:0D?m8;h3bP5l:0:wA=:r.9><4>a99U5d2=:r.>;:4>2:&633<6:2wvqp5f1`;94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9h30Zi59h0;6)P5l:0:wA=:r.9><4=1`9U5d2=:r.>;:4k;%742?b2c83>1<729q/>i?5719K6f1o6i00;6)P5l:0:wA=:r.9><4>a89U5d2=:r.>;:4>2:&633<6:2wvqp5f1c694?"5l80:m:5G2e28R7b428qG>i;51zTf44a;29 7b62;;j7[50z&1`4<082B9o:5f1`:94?"5l80:m:5G2e28R7b428qG>i;51zTf44o6j=0;6)N5l91]>i=51zN1`0<6s_o36?u+23395g2<^8k?6?u+565957=#=><1=?5r}|8k77f290/>i?520c8R7b428qG>i;51zTf{zut1vn<5<7s-8o=79?;I0`3>o6i10;6)N5l91]>i=51zN1`0<6s_o36?u+23395d><^8k?6?u+565957=#=><1=?5r}|8m4g>290/>i?51`58R7b428qG>i;51zTf44o6jo0;6)P5l:0:wA=:r.9><4>bg9U5d2=:r.>;:4>8:&633<602wvqp5`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Z;;4k;|~y>{e9;o1<7950;2x 7b62;;27E0o7);86;f8yx{z3`;j57>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b=>P6i=09w);87;f8 0112m1vqps4i0`7>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628h?7[?n4;0x 0102m1/9:85d:~yx=n9kl1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51cd8R4g32;q/9:95d:&633c383>!4c93;j;6X=d282I4c=3;pZh652z&164<6k;1]=l:52z&632:0c4?S4c;3;p@?j::0yUa=<5s-89=7?l3:T2e1<5s-?<;7j4$455>a=zutw0c??n:18'6a7=:8k0Z?j<:0yO6a3=9r\n4777f3_;j87a=#=><1h6sr}|9~f44a29096=4?{%0g5?b33A8h;6g>a683>!4c93;j;65`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Z;;4k;|~y>{e9::1<7=50;2x 7b62>:0D?m8;h3b6a581!30?3;97);86;31?x{zu2c:m44?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9h30Z:33b?S7f<38p(898:e9'120=l2wvqp5rb012>5<593:1N5k>1b=l650;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d><^8k?6?u+565957=#=><1=?5r}|8m4g>290/>i?51`58R7b428qG>i;51zTf44o6jo0;6)P5l:0:wA=:r.9><4>bg9U5d2=:r.>;:4>2:&633<6:2wvqp5f1b094?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9j80Z:0a0?S7f<38p(898:008 0112880qpsr;h3`0?6=,;n:66a581!30?3;97);86;31?x{zu2c:o84?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9j?0Z:0a5?S7f<38p(898:008 0112880qpsr;h3`3?6=,;n:66a581!30?3;97);86;31?x{zu2c:ml4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082ed=Q9h>1>v*:76826>"2??0:>6sr}|9j5dd=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=ll4V0c7>7}#=>=1=?5+564957=zutw0e4gd3_;j8744<,<==6<<4}|~?l7fl3:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?nd:T2e1<5s-?<;7?=;%742?753twvq6g>ad83>!4c93;j;6X=d282I4c=3;pZh652z&164<6il1]=l:52z&632<6:2.>;;4>2:~yx=n9hl1<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474ga3_;j874><,<==6<64}|~?l7e83:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082f5=Q9h>1>v*:76826>"2??0:>6sr}|9j5g7=83.9h<4>a69K6a6<^;n86:0`2?S7f<38p(898:0:8 0112820qpsr;h3a6?6=,;n:6P5l:0:wA=:r.9><4>b39U5d2=:r.>;:4>8:&633<602wvqp5f1c194?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9k90Z;;4k;|~y>o6j<0;6)P5l:0:wA=:r.9><4>b49U5d2=:r.>;:4k;%742?b2\:m94={%743?b<,<==6i5r}|8m4d0290/>i?51`58R7b428qG>i;51zTf{zut1b=o650;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395g><^8k?6?u+5659`>"2??0o7psr}:k2f<<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:n45Y1`696~"2?>0o7);86;f8yx{z3`;im7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3ae>P6i=09w);87;f8 0112m1vqps4i0`a>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628hi7[?n4;0x 0102m1/9:85d:~yx=n9ki1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51ca8R4g32;q/9:95d:&633be83>!4c93;j;6X=d282I4c=3;pZh652z&164<6jm1]=l:52z&632:0c4?S4c;3;p@?j::0yUa=<5s-89=7?me:T2e1<5s-?<;7j4$455>a=zutw0e4e73_;j87a=#=><1h6sr}|9j5f7=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=n?4V0c7>7}#=>=1h6*:778g?x{zu2e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:768g?!30>3n0qpsr;|`277<72;;1<7>t$3f2>26<@;i<7d?n8;29 7b628k<7[o6i00;6)P5l:0:wA=:r.9><4>a89U5d2=:r.>;:4>2:&633<6:2wvqp5f1c694?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9k>0Z:0`e?S7f<38p(898:008 0112880qpsr;h3`6?6=,;n:666X>a581!30?3;97);86;31?x{zu2c:o>4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082g6=Q9h>1>v*:76826>"2??0:>6sr}|9j5f2=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=n:4V0c7>7}#=>=1=?5+564957=zutw0e6a581!30?3;97);86;31?x{zu2c:o;4?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9j<0Z:0a4?S7f<38p(898:008 0112880qpsr;h3be?6=,;n:66a581!30?3;97);86;31?x{zu2c:mo4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082eg=Q9h>1>v*:76826>"2??0:>6sr}|9j5de=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=lm4V0c7>7}#=>=1=?5+564957=zutw0e4gc3_;j8744<,<==6<<4}|~?l7fm3:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?ne:T2e1<5s-?<;7?=;%742?753twvq6g>ag83>!4c93;j;6X=d282I4c=3;pZh652z&164<6io1]=l:52z&632<602.>;;4>8:~yx=n9k:1<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474d73_;j8744<,<==6<<4}|~?l7e93:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082f4=Q9h>1>v*:7682<>"2??0:46sr}|9j5g4=83.9h<4>a69K6a6<^;n86:0`1?S7f<38p(898:0:8 0112820qpsr;h3a7?6=,;n:66a581!30?3n0(899:e9~yx{6=4+2e395d1<^;n86:0`6?S7f<38p(898:e9'120=l2wvqp5f1c494?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9k<0Z;;4k;|~y>o6j>0;6)P5l:0:wA=:r.9><4>b69U5d2=:r.>;:4k;%742?b290/>i?51`58R7b428qG>i;51zTf{zut1b=oo50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395gg<^8k?6?u+5659`>"2??0o7psr}:k2fg<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:no5Y1`696~"2?>0o7);86;f8yx{z3`;io7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3ag>P6i=09w);87;f8 0112m1vqps4i0`g>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628ho7[?n4;0x 0102m1/9:85d:~yx=n9ko1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51cg8R4g32;q/9:95d:&633c183>!4c93;j;6X=d282I4c=3;pZh652z&164<6k91]=l:52z&632:0c4?S4c;3;p@?j::0yUa=<5s-89=7?l1:T2e1<5s-?<;7j4$455>a=zutw0c??n:18'6a7=:8k0Z?j<:0yO6a3=9r\n4777f3_;j87a=#=><1h6sr}|9~f4542909=7>50z&1`4<082B9o:5f1`:94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9h20Z:0c:?S7f<38p(898:008 0112880qpsr;h3a0?6=,;n:6P5l:0:wA=:r.9><4>b59U5d2=:r.>;:4>2:&633<6:2wvqp5f1cd94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9kl0Zo6k:0;6)N5l91]>i=51zN1`0<6s_o36?u+23395f5<^8k?6?u+565957=#=><1=?5r}|8m4e3290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3`0>P6i=09w);87;31?!30>3;97psr}:k2g0<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51b78R4g32;q/9:95139'120=9;1vqps4i0a5>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628i=7[?n4;0x 0102880(899:008yx{z3`;h;7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3`3>P6i=09w);87;31?!30>3;97psr}:k2ed<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:ml5Y1`696~"2?>0:>6*:77826>{zut1b=ll50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395dd<^8k?6?u+565957=#=><1=?5r}|8m4gd290/>i?51`58R7b428qG>i;51zTf44o6il0;6)P5l:0:wA=:r.9><4>ad9U5d2=:r.>;:4>2:&633<6:2wvqp5f1`d94?"5l80:m:5G2e28R7b428qG>i;51zTf4>0:>6*:77826>{zut1b=o?50;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628h:7[?n4;0x 0102820(899:0:8yx{z3`;i>7>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6j;1]=l:52z&632<602.>;;4>8:~yx=n9k91<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51c18R4g32;q/9:95d:&633b483>!4c93;j;6X=d282I4c=3;pZh652z&164<6j<1]=l:52z&6323:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?m6:T2e1<5s-?<;7j4$455>a=zutw0e4d03_;j87a=#=><1h6sr}|9j5g>=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=o64V0c7>7}#=>=1h6*:778g?x{zu2c:n44?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082f<=Q9h>1>v*:768g?!30>3n0qpsr;h3ae?6=,;n:66a581!30?3n0(899:e9~yx{:0`a?S7f<38p(898:e9'120=l2wvqp5f1ca94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9ki0Z;;4k;|~y>o6jm0;6)P5l:0:wA=:r.9><4>be9U5d2=:r.>;:4k;%742?bi?51`58R7b428qG>i;51zTf{zut1b=n?50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395f7<^8k?6?u+5659`>"2??0o7psr}:m15d<72-8o=7<>a:T1`6<6sE8o97?tVd:96~"5:809=l5Y1`696~"2?>0o7);86;f8yx{z3th:?94?:3394?6|,;n:6:>4H3a4?l7f03:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?n8:T2e1<5s-?<;7?=;%742?753twvq6g>a883>!4c93;j;6X=d282I4c=3;pZh652z&164<6i01]=l:52z&632<6:2.>;;4>2:~yx=n9k>1<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474d33_;j8744<,<==6<<4}|~?l7en3:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?mf:T2e1<5s-?<;7?=;%742?753twvq6g>c383>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=n<4V0c7>7}#=>=1=?5+564957=zutw0e6a581!30?3;97);86;31?x{zu2c:o94?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9j>0Z6=4+2e395d1<@;n;7[o6k?0;6)N5l91]>i=51zN1`0<6s_o36?u+23395f0<^8k?6?u+565957=#=><1=?5r}|8m4e0290/>i?51`58R7b428qG>i;51zTf44o6ik0;6)P5l:0:wA=:r.9><4>ac9U5d2=:r.>;:4>2:&633<6:2wvqp5f1`a94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9hi0Z:0cg?S7f<38p(898:008 0112880qpsr;h3ba?6=,;n:66a581!30?3;97);86;31?x{zu2c:mk4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082ec=Q9h>1>v*:7682<>"2??0:46sr}|9j5g6=83.9h<4>a69K6a6<^;n86:0`3?S7f<38p(898:008 0112880qpsr;h3a5?6=,;n:6P5l:0:wA=:r.9><4>b09U5d2=:r.>;:4>8:&633<602wvqp5f1c094?"5l80:m:5G2e28R7b428qG>i;51zTf4>i?51`58R7b428qG>i;51zTf{zut1b=o850;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395g0<^8k?6?u+5659`>"2??0o7psr}:k2f2<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:n:5Y1`696~"2?>0o7);86;f8yx{z3`;i47>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3a<>P6i=09w);87;f8 0112m1vqps4i0`:>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628h27[?n4;0x 0102m1/9:85d:~yx=n9kk1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51cc8R4g32;q/9:95d:&633bc83>!4c93;j;6X=d282I4c=3;pZh652z&164<6jk1]=l:52z&632:0c4?S4c;3;p@?j::0yUa=<5s-89=7?mc:T2e1<5s-?<;7j4$455>a=zutw0e4dc3_;j87a=#=><1h6sr}|9j5gc=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=ok4V0c7>7}#=>=1h6*:778g?x{zu2c:o=4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082g5=Q9h>1>v*:768g?!30>3n0qpsr;h3`5?6=,;n:66a581!30?3n0(899:e9~yx{:33b?S7f<38p(898:e9'120=l2wvqp5rb016>5<1290;w)N5k>1b=l650;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d><^8k?6?u+5659`>"2??0o7psr}:k2e<<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:m45Y1`696~"2?>0o7);86;f8yx{z3`;i87>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3a0>P6i=09w);87;f8 0112m1vqps4i0`e>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628hm7[?n4;0x 0102m1/9:85d:~yx=n9j81<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51b08R4g32;q/9:95d:&633!4c938:m6X=d282I4c=3;pZh652z&164<59h1]=l:52z&632n94i0c4>5<#:m;1=l94;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3n0(899:e9~yx{52083>5}#:m;1;=5G2b58m4g?290/>i?51`58R7b428qG>i;51zTf44o6j=0;6)P5l:0:wA=:r.9><4>b59U5d2=:r.>;:4>2:&633<6:2wvqp5f1cd94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9kl0Z:0a1?S7f<38p(898:008 0112880qpsr;h3`7?6=,;n:66a581!30?3;97);86;31?x{zu2c:o94?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082g1=Q9h>1>v*:76826>"2??0:>6sr}|9j5f3=83.9h<4>a69K6a6<^;n86:0a6?S7f<38p(898:008 0112880qpsr;h3`2?6=,;n:6P5l:0:wA=:r.9><4>c79U5d2=:r.>;:4>2:&633<6:2wvqp5f1b594?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9j=0Z:0cb?S7f<38p(898:008 0112880qpsr;h3bf?6=,;n:66a581!30?3;97);86;31?x{zu2c:mn4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082ef=Q9h>1>v*:76826>"2??0:>6sr}|9j5db=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=lj4V0c7>7}#=>=1=?5+564957=zutw0e4gb3_;j8744<,<==6<<4}|~?l7fn3:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?nf:T2e1<5s-?<;7?7;%742?7?3twvq6g>b183>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=o>4V0c7>7}#=>=1=?5+564957=zutw0e:18'6a7=9h=0D?j?;W0g7?7|D;n>6a581!30?3;37);86;3;?x{zu2c:n?4?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9k80Z:0`0?S7f<38p(898:0:8 0112820qpsr;h3a1?6=,;n:6P5l:0:wA=:r.9><4>b49U5d2=:r.>;:4>8:&633<602wvqp5f1c494?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9k<0Z;;4k;|~y>o6j>0;6)P5l:0:wA=:r.9><4>b69U5d2=:r.>;:4k;%742?b290/>i?51`58R7b428qG>i;51zTf{zut1b=oo50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395gg<^8k?6?u+5659`>"2??0o7psr}:k2fg<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:no5Y1`696~"2?>0o7);86;f8yx{z3`;io7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3ag>P6i=09w);87;f8 0112m1vqps4i0`g>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628ho7[?n4;0x 0102m1/9:85d:~yx=n9ko1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51cg8R4g32;q/9:95d:&633c183>!4c93;j;6X=d282I4c=3;pZh652z&164<6k91]=l:52z&632:0c4?S4c;3;p@?j::0yUa=<5s-89=7?l1:T2e1<5s-?<;7j4$455>a=zutw0c??n:18'6a7=:8k0Z?j<:0yO6a3=9r\n4777f3_;j87a=#=><1h6sr}|9~f45?2909=7>50z&1`4<082B9o:5f1`:94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9h20Z:0c:?S7f<38p(898:008 0112880qpsr;h3a0?6=,;n:6P5l:0:wA=:r.9><4>b59U5d2=:r.>;:4>2:&633<6:2wvqp5f1cd94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9kl0Zo6k:0;6)N5l91]>i=51zN1`0<6s_o36?u+23395f5<^8k?6?u+565957=#=><1=?5r}|8m4e3290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3`0>P6i=09w);87;31?!30>3;97psr}:k2g0<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51b78R4g32;q/9:95139'120=9;1vqps4i0a5>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?l6:T2e1<5s-?<;7?=;%742?753twvq6g>c683>!4c93;j;6X=d282I4c=3;pZh652z&164<6k>1]=l:52z&632<6:2.>;;4>2:~yx=n9hk1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51`c8R4g32;q/9:95139'120=9;1vqps4i0ca>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628ki7[?n4;0x 0102880(899:008yx{z3`;jo7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3bg>P6i=09w);87;31?!30>3;97psr}:k2ea<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:mi5Y1`696~"2?>0:>6*:77826>{zut1b=lk50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395dc<^8k?6?u+565957=#=><1=?5r}|8m4ga290/>i?51`58R7b428qG>i;51zTf4>0:>6*:77826>{zut1b=o?50;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628h:7[?n4;0x 0102820(899:0:8yx{z3`;i>7>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6j;1]=l:52z&632<602.>;;4>8:~yx=n9k91<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51c18R4g32;q/9:95199'120=911vqps4i0`6>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?m5:T2e1<5s-?<;7?7;%742?7?3twvq6g>b783>!4c93;j;6X=d282I4c=3;pZh652z&164<6j?1]=l:52z&632:0c4?S4c;3;p@?j::0yUa=<5s-89=7?m7:T2e1<5s-?<;7j4$455>a=zutw0e4d?3_;j87a=#=><1h6sr}|9j5g?=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=o74V0c7>7}#=>=1h6*:778g?x{zu2c:nl4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082fd=Q9h>1>v*:768g?!30>3n0qpsr;h3af?6=,;n:66a581!30?3n0(899:e9~yx{:0``?S7f<38p(898:e9'120=l2wvqp5f1cf94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9kn0Z;;4k;|~y>o6jl0;6)P5l:0:wA=:r.9><4>bd9U5d2=:r.>;:4k;%742?bi?51`58R7b428qG>i;51zTf;W3b0?4|,<=<6i5+5649`>{zut1d>i=51zN1`0<6s_o36?u+233964g<^8k?6?u+5659`>"2??0o7psr}:a56?=838:6=4?{%0g5?173A8h;6g>a983>!4c93;j;6X=d282I4c=3;pZh652z&164<6i11]=l:52z&632<6:2.>;;4>2:~yx=n9h31<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51`;8R4g32;q/9:95139'120=9;1vqps4i0`7>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628h?7[?n4;0x 0102880(899:008yx{z3`;ij7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3ab>P6i=09w);87;31?!30>3;97psr}:k2g7<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:o?5Y1`696~"2?>0:>6*:77826>{zut1b=n=50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395f5<^8k?6?u+565957=#=><1=?5r}|8m4e3290/>i?51`58R7b428qG>i;51zTf440:>6*:77826>{zut1b=n850;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395f0<^8k?6?u+565957=#=><1=?5r}|8m4e0290/>i?51`58R7b428qG>i;51zTf44o6ik0;6)P5l:0:wA=:r.9><4>ac9U5d2=:r.>;:4>2:&633<6:2wvqp5f1`a94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9hi0Z:0cg?S7f<38p(898:008 0112880qpsr;h3ba?6=,;n:66a581!30?3;97);86;31?x{zu2c:mk4?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9hl0Zo6j80;6)N5l91]>i=51zN1`0<6s_o36?u+23395g7<^8k?6?u+56595==#=><1=55r}|8m4d5290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3a6>P6i=09w);87;3;?!30>3;37psr}:k2f6<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:n>5Y1`696~"2?>0:46*:7782<>{zut1b=o;50;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628h>7[?n4;0x 0102820(899:0:8yx{z3`;i:7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3a2>P6i=09w);87;f8 0112m1vqps4i0`4>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628h<7[?n4;0x 0102m1/9:85d:~yx=n9k21<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51c:8R4g32;q/9:95d:&633b883>!4c93;j;6X=d282I4c=3;pZh652z&164<6j01]=l:52z&632:0c4?S4c;3;p@?j::0yUa=<5s-89=7?ma:T2e1<5s-?<;7j4$455>a=zutw0e4de3_;j87a=#=><1h6sr}|9j5ge=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=om4V0c7>7}#=>=1h6*:778g?x{zu2c:ni4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082fa=Q9h>1>v*:768g?!30>3n0qpsr;h3aa?6=,;n:66a581!30?3n0(899:e9~yx{:0a3?S7f<38p(898:e9'120=l2wvqp5f1b394?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9j;0Z;;4k;|~y>i59h0;6)P5l:0:wA=:r.9><4=1`9U5d2=:r.>;:4k;%742?b3`83>77=83:p(?j>:628L7e03`;j47>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b<>P6i=09w);87;31?!30>3;97psr}:k2e<<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:m45Y1`696~"2?>0:>6*:77826>{zut1b=o:50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395g2<^8k?6?u+565957=#=><1=?5r}|8m4da290/>i?51`58R7b428qG>i;51zTf44o6k:0;6)P5l:0:wA=:r.9><4>c29U5d2=:r.>;:4>2:&633<6:2wvqp5f1b694?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9j>0Z6=4+2e395d1<@;n;7[o6k?0;6)N5l91]>i=51zN1`0<6s_o36?u+23395f0<^8k?6?u+565957=#=><1=?5r}|8m4e0290/>i?51`58R7b428qG>i;51zTf44o6ik0;6)P5l:0:wA=:r.9><4>ac9U5d2=:r.>;:4>2:&633<6:2wvqp5f1`a94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9hi0Z:0cg?S7f<38p(898:008 0112880qpsr;h3ba?6=,;n:66a581!30?3;97);86;31?x{zu2c:mk4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082ec=Q9h>1>v*:7682<>"2??0:46sr}|9j5g6=83.9h<4>a69K6a6<^;n86:0`3?S7f<38p(898:008 0112880qpsr;h3a5?6=,;n:6P5l:0:wA=:r.9><4>b09U5d2=:r.>;:4>8:&633<602wvqp5f1c094?"5l80:m:5G2e28R7b428qG>i;51zTf4>o6j<0;6)N5l91]>i=51zN1`0<6s_o36?u+23395g3<^8k?6?u+56595==#=><1=55r}|8m4d1290/>i?51`58R7b428qG>i;51zTf{zut1b=o950;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395g1<^8k?6?u+5659`>"2??0o7psr}:k2f=<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:n55Y1`696~"2?>0o7);86;f8yx{z3`;i57>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3a=>P6i=09w);87;f8 0112m1vqps4i0`b>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628hj7[?n4;0x 0102m1/9:85d:~yx=n9kh1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51c`8R4g32;q/9:95d:&633bb83>!4c93;j;6X=d282I4c=3;pZh652z&164<6jj1]=l:52z&632:0c4?S4c;3;p@?j::0yUa=<5s-89=7?md:T2e1<5s-?<;7j4$455>a=zutw0e4db3_;j87a=#=><1h6sr}|9j5f6=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=n>4V0c7>7}#=>=1h6*:778g?x{zu2c:o<4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082g4=Q9h>1>v*:768g?!30>3n0qpsr;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3n0(899:e9~yx{52083>5}#:m;1;=5G2b58m4g?290/>i?51`58R7b428qG>i;51zTf44o6j=0;6)N5l91]>i=51zN1`0<6s_o36?u+23395g2<^8k?6?u+565957=#=><1=?5r}|8m4da290/>i?51`58R7b428qG>i;51zTf440:>6*:77826>{zut1b=n=50;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628i87[?n4;0x 0102880(899:008yx{z3`;h87>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6k=1]=l:52z&632<6:2.>;;4>2:~yx=n9j?1<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474e23_;j8744<,<==6<<4}|~?l7d>3:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082g3=Q9h>1>v*:76826>"2??0:>6sr}|9j5f1=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=n94V0c7>7}#=>=1=?5+564957=zutw0e4gf3_;j8744<,<==6<<4}|~?l7fj3:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?nb:T2e1<5s-?<;7?=;%742?753twvq6g>ab83>!4c93;j;6X=d282I4c=3;pZh652z&164<6ij1]=l:52z&632<6:2.>;;4>2:~yx=n9hn1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51`f8R4g32;q/9:95139'120=9;1vqps4i0cf>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628kn7[?n4;0x 0102880(899:008yx{z3`;jj7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3bb>P6i=09w);87;3;?!30>3;37psr}:k2f5<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51c28R4g32;q/9:95139'120=9;1vqps4i0`2>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?m1:T2e1<5s-?<;7?7;%742?7?3twvq6g>b383>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=o<4V0c7>7}#=>=1=55+56495==zutw0e4d43_;j874><,<==6<64}|~?l7e=3:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082f0=Q9h>1>v*:7682<>"2??0:46sr}|9j5g0=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=o84V0c7>7}#=>=1h6*:778g?x{zu2c:n:4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082f2=Q9h>1>v*:768g?!30>3n0qpsr;h3a6a581!30?3n0(899:e9~yx{:0`:?S7f<38p(898:e9'120=l2wvqp5f1cc94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9kk0Z;;4k;|~y>o6jk0;6)P5l:0:wA=:r.9><4>bc9U5d2=:r.>;:4k;%742?bi?51`58R7b428qG>i;51zTf{zut1b=ok50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395gc<^8k?6?u+5659`>"2??0o7psr}:k2g5<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:o=5Y1`696~"2?>0o7);86;f8yx{z3`;h=7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3`5>P6i=09w);87;f8 0112m1vqps4o33b>5<#:m;1>4}K:m?1=vXj8;0x 7462;;j7[?n4;0x 0102m1/9:85d:~yx=zj89h6=49:183!4c938:56F=c69j5d>=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l64V0c7>7}#=>=1h6*:778g?x{zu2c:m44?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082e<=Q9h>1>v*:768g?!30>3n0qpsr;h3a0?6=,;n:66a581!30?3n0(899:e9~yx{:0`e?S7f<38p(898:e9'120=l2wvqp5f1b094?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9j80Z;;4k;|~y>i59h0;6)P5l:0:wA=:r.9><4=1`9U5d2=:r.>;:4k;%742?b3e83>7<729q/>i?5d59K6f15$3f2>77f3_8o?7?tL3f6>4}Qm109w)<=1;02e>P6i=09w);87;f8 0112m1vqps4}c30a?6=;3:1N5k>1b=l650;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d><^8k?6?u+565953=#=><1=;5r}|8m4g>290/>i?51`58R7b428qG>i;51zTf40a;29 7b62;;j7[n94i0c;>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628k37[?n4;0x 0102880(899:008yx{z3`;j57>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b=>P6i=09w);87;31?!30>3;97psr}:k2f1<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:n95Y1`696~"2?>0:>6*:77826>{zut1b=oh50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395g`<^8k?6?u+565957=#=><1=?5r}|8m4e5290/>i?51`58R7b428qG>i;51zTf44o6k=0;6)P5l:0:wA=:r.9><4>c59U5d2=:r.>;:4>2:&633<6:2wvqp5f1b794?"5l80:m:5G2e28R7b428qG>i;51zTf440:46*:7782<>{zut1b=n950;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628i<7[?n4;0x 0102880(899:008yx{z3`;jm7>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6ih1]=l:52z&632<602.>;;4>8:~yx=n9hh1<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474ge3_;j874><,<==6<64}|~?l7fk3:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082ef=Q9h>1>v*:7682<>"2??0:46sr}|9j5db=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=lj4V0c7>7}#=>=1=55+56495==zutw0e4gb3_;j874><,<==6<64}|~?l7fn3:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?nf:T2e1<5s-?<;7j4$455>a=zutw0c??n:18'6a7=:8k0Z?j<:0yO6a3=9r\n4777f3_;j87a=#=><1h6sr}|9~f427290:=7>50z&1`4<082B9o:5f1`:94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9h20Z:0c:?S7f<38p(898:008 0112880qpsr;h3a0?6=,;n:66a581!30?3;97);86;31?x{zu2c:nk4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082fc=Q9h>1>v*:76826>"2??0:>6sr}|9j5f4=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=n<4V0c7>7}#=>=1=?5+564957=zutw0e4e43_;j8744<,<==6<<4}|~?l7d<3:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?l4:T2e1<5s-?<;7?=;%742?753twvq6g>c483>!4c93;j;6X=d282I4c=3;pZh652z&164<6k<1]=l:52z&632<602.>;;4>8:~yx=n9j<1<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474e13_;j874><,<==6<64}|~?l7d?3:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082g2=Q9h>1>v*:76826>"2??0:>6sr}|9j5dg=83.9h<4>a69K6a6<^;n86:0cb?S7f<38p(898:0:8 0112820qpsr;h3bf?6=,;n:6P5l:0:wA=:r.9><4>ac9U5d2=:r.>;:4>8:&633<602wvqp5f1`a94?"5l80:m:5G2e28R7b428qG>i;51zTf4>o6il0;6)P5l:0:wA=:r.9><4>ad9U5d2=:r.>;:4>8:&633<602wvqp5f1`d94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9hl0Z;;4k;|~y>i59h0;6)P5l:0:wA=:r.9><4=1`9U5d2=:r.>;:4k;%742?b4083>47=83:p(?j>:628L7e03`;j47>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b<>P6i=09w);87;31?!30>3;97psr}:k2e<<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:m45Y1`696~"2?>0:>6*:77826>{zut1b=o:50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395g2<^8k?6?u+565957=#=><1=?5r}|8m4da290/>i?51`58R7b428qG>i;51zTf44o6k:0;6)P5l:0:wA=:r.9><4>c29U5d2=:r.>;:4>2:&633<6:2wvqp5f1b694?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9j>0Z6=4+2e395d1<@;n;7[o6k?0;6)N5l91]>i=51zN1`0<6s_o36?u+23395f0<^8k?6?u+56595==#=><1=55r}|8m4e0290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3`3>P6i=09w);87;31?!30>3;97psr}:k2ed<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51`c8R4g32;q/9:95199'120=911vqps4i0ca>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?nb:T2e1<5s-?<;7?7;%742?7?3twvq6g>ab83>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=lm4V0c7>7}#=>=1=55+56495==zutw0e4gc3_;j874><,<==6<64}|~?l7fm3:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?ne:T2e1<5s-?<;7?7;%742?7?3twvq6g>ag83>!4c93;j;6X=d282I4c=3;pZh652z&164<6io1]=l:52z&632:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7j4$455>a=zutw0qo?;2;2954<729q/>i?5719K6f1:0c;?S7f<38p(898:008 0112880qpsr;h3b=?6=,;n:66a581!30?3;97);86;31?x{zu2c:n94?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082f1=Q9h>1>v*:76826>"2??0:>6sr}|9j5g`=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=oh4V0c7>7}#=>=1=?5+564957=zutw0e4e53_;j8744<,<==6<<4}|~?l7d;3:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?l3:T2e1<5s-?<;7?=;%742?753twvq6g>c583>!4c93;j;6X=d282I4c=3;pZh652z&164<6k=1]=l:52z&632<6:2.>;;4>2:~yx=n9j?1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51b78R4g32;q/9:95199'120=911vqps4i0a5>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?l6:T2e1<5s-?<;7?7;%742?7?3twvq6g>c683>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=n94V0c7>7}#=>=1=?5+564957=zutw0e6a581!30?3;37);86;3;?x{zu2c:mo4?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9hh0Zo6im0;6)P5l:0:wA=:r.9><4>ae9U5d2=:r.>;:4>8:&633<602wvqp5f1`g94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9ho0Z:0ce?S7f<38p(898:e9'120=l2wvqp5`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Z;;4k;|~y>{e9=91<7?>:183!4c93=;7E0:>6*:77826>{zut1b=l750;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628k27[?n4;0x 0102880(899:008yx{z3`;i87>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3a0>P6i=09w);87;31?!30>3;97psr}:k2fc<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:nk5Y1`696~"2?>0:>6*:77826>{zut1b=n<50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395f4<^8k?6?u+565957=#=><1=?5r}|8m4e4290/>i?51`58R7b428qG>i;51zTf44o6k<0;6)P5l:0:wA=:r.9><4>c49U5d2=:r.>;:4>2:&633<6:2wvqp5f1b494?"5l80:m:5G2e28R7b428qG>i;51zTf44o6ih0;6)N5l91]>i=51zN1`0<6s_o36?u+23395dg<^8k?6?u+565957=#=><1=?5r}|8m4ge290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3bf>P6i=09w);87;3;?!30>3;37psr}:k2ef<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51`a8R4g32;q/9:95199'120=911vqps4i0cg>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?nd:T2e1<5s-?<;7?7;%742?7?3twvq6g>ad83>!4c93;j;6X=d282I4c=3;pZh652z&164<6il1]=l:52z&632<602.>;;4>8:~yx=n9hl1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51`d8R4g32;q/9:95199'120=911vqps4o33b>5<#:m;1>4}K:m?1=vXj8;0x 7462;;j7[?n4;0x 0102m1/9:85d:~yx=zj8>?6=48:183!4c938:56F=c69j5d>=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l64V0c7>7}#=>=1h6*:778g?x{zu2c:m44?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082e<=Q9h>1>v*:768g?!30>3n0qpsr;h3a0?6=,;n:66a581!30?3n0(899:e9~yx{:0`e?S7f<38p(898:e9'120=l2wvqp5f1b094?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9j80Z;;4k;|~y>o6k:0;6)P5l:0:wA=:r.9><4>c29U5d2=:r.>;:4k;%742?ba;29 7b62;;j7[7>50z&1`45<#:m;1>4}K:m?1=vXj8;0x 7462;;j7[?n4;0x 0102m1/9:85d:~yx=zj8>=6=48:183!4c93=;7E??51`:8R4g32;q/9:95139'120=9;1vqps4i0c:>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?n9:T2e1<5s-?<;7?=;%742?753twvq6g>b583>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=o:4V0c7>7}#=>=1=?5+564957=zutw0e6a581!30?3;37);86;3;?x{zu2c:o?4?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9j80Z:0a0?S7f<38p(898:0:8 0112820qpsr;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3n0(899:e9~yx{57;294~"5l80<<6F=c69j5d>=83.9h<4>a69K6a6<^;n86:0c;?S7f<38p(898:008 0112880qpsr;h3b=?6=,;n:6P5l:0:wA=:r.9><4>a89U5d2=:r.>;:4>2:&633<6:2wvqp5f1c694?"5l80:m:5G2e28R7b428qG>i;51zTf4>0:46*:7782<>{zut1b=n<50;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628i97[?n4;0x 0102820(899:0:8yx{z3`;h?7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3`7>P6i=09w);87;3;?!30>3;37psr}:m15d<72-8o=7<>a:T1`6<6sE8o97?tVd:96~"5:809=l5Y1`696~"2?>0o7);86;f8yx{z3th:854?:683>5}#:m;1;=5G2b58m4g?290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3b<>P6i=09w);87;31?!30>3;97psr}:k2e<<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:m45Y1`696~"2?>0:>6*:77826>{zut1b=o:50;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628h?7[?n4;0x 0102880(899:008yx{z3`;ij7>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6jo1]=l:52z&632<602.>;;4>8:~yx=n9j81<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474e53_;j874><,<==6<64}|~?l7d;3:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?l3:T2e1<5s-?<;7?7;%742?7?3twvq6a=1`83>!4c938:m6X=d282I4c=3;pZh652z&164<59h1]=l:52z&632n94i0c;>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?n8:T2e1<5s-?<;7?=;%742?753twvq6g>a883>!4c93;j;6X=d282I4c=3;pZh652z&164<6i01]=l:52z&632<6:2.>;;4>2:~yx=n9k>1<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474d33_;j8744<,<==6<<4}|~?l7en3:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082fc=Q9h>1>v*:7682<>"2??0:46sr}|9j5f4=83.9h<4>a69K6a6<^;n86:0a1?S7f<38p(898:0:8 0112820qpsr;h3`7?6=,;n:66a581!30?3;37);86;3;?x{zu2e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:768g?!30>3n0qpsr;|`20d<72>0;6=u+2e3935=O:j=0e6a581!30?3;97);86;31?x{zu2c:m44?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082e<=Q9h>1>v*:76826>"2??0:>6sr}|9j5g2=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=o:4V0c7>7}#=>=1=?5+564957=zutw0e6a581!30?3;37);86;3;?x{zu2c:o?4?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9j80Z:0a0?S7f<38p(898:0:8 0112820qpsr;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3n0(899:e9~yx{56;294~"5l809=45G2b58m4g?290/>i?51`58R7b428qG>i;51zTf{zut1b=l750;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d?<^8k?6?u+5659`>"2??0o7psr}:k2f1<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:n95Y1`696~"2?>0o7);86;f8yx{z3`;ij7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3ab>P6i=09w);87;f8 0112m1vqps4i0a1>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628i97[?n4;0x 0102m1/9:85d:~yx=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95d:&633:e68L7e03`;j;7>5$3f2>4g032e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:768g?!30>3n0qpsr;|`20a<72:0;6=u+2e3935=O:j=0e4g?3_;j8740<,<==6<84}|~?l7f13:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?n9:T2e1<5s-?<;7?9;%742?713twvq6a=1`83>!4c938:m6X=d282I4c=3;pZh652z&164<59h1]=l:52z&632n94i0c;>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628k37[?n4;0x 0102880(899:008yx{z3`;j57>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6i01]=l:52z&632<602.>;;4>8:~yx=n9k>1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51c68R4g32;q/9:95199'120=911vqps4o33b>5<#:m;1>4}K:m?1=vXj8;0x 7462;;j7[?n4;0x 0102m1/9:85d:~yx=zj8>m6=4;:183!4c93=;7E??51`:8R4g32;q/9:95199'120=911vqps4i0c:>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628k27[?n4;0x 0102820(899:0:8yx{z3`;i87>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6j=1]=l:52z&632<602.>;;4>8:~yx=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95d:&633:628L7e03`;j47>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6i11]=l:52z&632<602.>;;4>8:~yx=n9h31<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51`;8R4g32;q/9:95199'120=911vqps4i0`7>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?m4:T2e1<5s-?<;7?7;%742?7?3twvq6a=1`83>!4c938:m6X=d282I4c=3;pZh652z&164<59h1]=l:52z&632n94i0c;>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?n8:T2e1<5s-?<;7?=;%742?753twvq6g>a883>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=l74V0c7>7}#=>=1=?5+564957=zutw0e6a581!30?3;97);86;31?x{zu2c:nk4?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9kl0Z:33b?S7f<38p(898:e9'120=l2wvqp5rb071>5<2290;w)o6j=0;6)N5l91]>i=51zN1`0<6s_o36?u+23395g2<^8k?6?u+56595==#=><1=55r}|8m4da290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3ab>P6i=09w);87;3;?!30>3;37psr}:m15d<72-8o=7<>a:T1`6<6sE8o97?tVd:96~"5:809=l5Y1`696~"2?>0o7);86;f8yx{z3th:9>4?:683>5}#:m;1><74H3a4?l7f03:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?n8:T2e1<5s-?<;7j4$455>a=zutw0e4g>3_;j87a=#=><1h6sr}|9j5g2=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=o:4V0c7>7}#=>=1h6*:778g?x{zu2c:nk4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082fc=Q9h>1>v*:768g?!30>3n0qpsr;h3`6?6=,;n:666X>a581!30?3n0(899:e9~yx{:0a0?S7f<38p(898:e9'120=l2wvqp5`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Z;;4k;|~y>{e9<>1<7<50;2x 7b62m>0D?m8;h3b3?6=,;n:6a:T1`6<6sE8o97?tVd:96~"5:809=l5Y1`696~"2?>0o7);86;f8yx{z3th:984?:283>5}#:m;1;=5G2b58m4g?290/>i?51`58R7b428qG>i;51zTf440:46*:7782<>{zut1d>i=51zN1`0<6s_o36?u+233964g<^8k?6?u+5659`>"2??0o7psr}:a500=8391<7>t$3f2>26<@;i<7d?n8;29 7b628k<7[o6i00;6)P5l:0:wA=:r.9><4>a89U5d2=:r.>;:4>2:&633<6:2wvqp5`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Z;;4k;|~y>{e9<=1<7=50;2x 7b62;;27E0o7);86;f8yx{z3`;j57>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b=>P6i=09w);87;f8 0112m1vqps4o33b>5<#:m;1>4}K:m?1=vXj8;0x 7462;;j7[?n4;0x 0102m1/9:85d:~yx=zj8?36=4=:183!4c93n?7E{Wg;>7}#:;;1>7}#=>=1h6*:778g?x{zu2wi=8750;;94?6|,;n:6:>4H3a4?l7f03:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?n8:T2e1<5s-?<;7?=;%742?753twvq6g>a883>!4c93;j;6X=d282I4c=3;pZh652z&164<6i01]=l:52z&632<602.>;;4>8:~yx=n9k>1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51c68R4g32;q/9:95139'120=9;1vqps4i0`e>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?mf:T2e1<5s-?<;7?7;%742?7?3twvq6g>c383>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=n<4V0c7>7}#=>=1=55+56495==zutw0e6a581!30?3;37);86;3;?x{zu2c:o94?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082g1=Q9h>1>v*:7682<>"2??0:46sr}|9j5f3=83.9h<4>a69K6a6<^;n86:0a6?S7f<38p(898:0:8 0112820qpsr;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3n0(899:e9~yx{m7>51083>5}#:m;1;=5G2b58m4g?290/>i?51`58R7b428qG>i;51zTf440:>6*:77826>{zut1b=o:50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395g2<^8k?6?u+565957=#=><1=?5r}|8m4da290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3ab>P6i=09w);87;31?!30>3;97psr}:k2g7<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:o?5Y1`696~"2?>0:>6*:77826>{zut1b=n=50;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628i87[?n4;0x 0102820(899:0:8yx{z3`;h87>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6k=1]=l:52z&632<602.>;;4>8:~yx=n9j?1<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474e23_;j874><,<==6<64}|~?l7d>3:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?l6:T2e1<5s-?<;7?7;%742?7?3twvq6g>c683>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=n94V0c7>7}#=>=1=55+56495==zutw0e4gf3_;j87a=#=><1h6sr}|9j5dd=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=ll4V0c7>7}#=>=1h6*:778g?x{zu2c:mn4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082ef=Q9h>1>v*:768g?!30>3n0qpsr;h3b`?6=,;n:66a581!30?3n0(899:e9~yx{:0cf?S7f<38p(898:e9'120=l2wvqp5f1`d94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9hl0Z;;4k;|~y>i59h0;6)P5l:0:wA=:r.9><4=1`9U5d2=:r.>;:4k;%742?b5c83>47=83:p(?j>:628L7e03`;j47>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b<>P6i=09w);87;31?!30>3;97psr}:k2e<<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51`;8R4g32;q/9:95139'120=9;1vqps4i0`7>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628h?7[?n4;0x 0102880(899:008yx{z3`;ij7>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6jo1]=l:52z&632<6:2.>;;4>2:~yx=n9j81<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474e53_;j8744<,<==6<<4}|~?l7d;3:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082g6=Q9h>1>v*:7682<>"2??0:46sr}|9j5f2=83.9h<4>a69K6a6<^;n86:0a7?S7f<38p(898:0:8 0112820qpsr;h3`1?6=,;n:6P5l:0:wA=:r.9><4>c49U5d2=:r.>;:4>8:&633<602wvqp5f1b494?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9j<0Z:0a4?S7f<38p(898:0:8 0112820qpsr;h3be?6=,;n:66a581!30?3n0(899:e9~yx{:0ca?S7f<38p(898:e9'120=l2wvqp5f1`a94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9hi0Z;;4k;|~y>o6im0;6)P5l:0:wA=:r.9><4>ae9U5d2=:r.>;:4k;%742?bi?51`58R7b428qG>i;51zTf{zut1d>i=51zN1`0<6s_o36?u+233964g<^8k?6?u+5659`>"2??0o7psr}:a50e=83;:6=4?{%0g5?173A8h;6g>a983>!4c93;j;6X=d282I4c=3;pZh652z&164<6i11]=l:52z&632<6:2.>;;4>2:~yx=n9h31<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474g>3_;j8744<,<==6<<4}|~?l7e<3:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?m4:T2e1<5s-?<;7?=;%742?753twvq6g>bg83>!4c93;j;6X=d282I4c=3;pZh652z&164<6jo1]=l:52z&632<602.>;;4>8:~yx=n9j81<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51b08R4g32;q/9:95139'120=9;1vqps4i0a0>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?l3:T2e1<5s-?<;7?7;%742?7?3twvq6g>c583>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=n:4V0c7>7}#=>=1=55+56495==zutw0e6a581!30?3;37);86;3;?x{zu2c:o;4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082g3=Q9h>1>v*:7682<>"2??0:46sr}|9j5f1=83.9h<4>a69K6a6<^;n86:0a4?S7f<38p(898:0:8 0112820qpsr;h3be?6=,;n:66a581!30?3n0(899:e9~yx{:0ca?S7f<38p(898:e9'120=l2wvqp5f1`a94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9hi0Z;;4k;|~y>o6im0;6)P5l:0:wA=:r.9><4>ae9U5d2=:r.>;:4k;%742?bi?51`58R7b428qG>i;51zTf{zut1d>i=51zN1`0<6s_o36?u+233964g<^8k?6?u+5659`>"2??0o7psr}:a50b=83;:6=4?{%0g5?173A8h;6g>a983>!4c93;j;6X=d282I4c=3;pZh652z&164<6i11]=l:52z&632<6:2.>;;4>2:~yx=n9h31<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474g>3_;j8744<,<==6<<4}|~?l7e<3:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?m4:T2e1<5s-?<;7?=;%742?753twvq6g>bg83>!4c93;j;6X=d282I4c=3;pZh652z&164<6jo1]=l:52z&632<602.>;;4>8:~yx=n9j81<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474e53_;j8744<,<==6<<4}|~?l7d;3:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082g6=Q9h>1>v*:7682<>"2??0:46sr}|9j5f2=83.9h<4>a69K6a6<^;n86:0a7?S7f<38p(898:0:8 0112820qpsr;h3`1?6=,;n:6P5l:0:wA=:r.9><4>c49U5d2=:r.>;:4>8:&633<602wvqp5f1b494?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9j<0Z:0a4?S7f<38p(898:0:8 0112820qpsr;h3be?6=,;n:66a581!30?3n0(899:e9~yx{:0ca?S7f<38p(898:e9'120=l2wvqp5f1`a94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9hi0Z;;4k;|~y>o6im0;6)P5l:0:wA=:r.9><4>ae9U5d2=:r.>;:4k;%742?bi?51`58R7b428qG>i;51zTf{zut1d>i=51zN1`0<6s_o36?u+233964g<^8k?6?u+5659`>"2??0o7psr}:a50c=83<1<7>t$3f2>77>3A8h;6g>a983>!4c93;j;6X=d282I4c=3;pZh652z&164<6i11]=l:52z&632:0c4?S4c;3;p@?j::0yUa=<5s-89=7?n9:T2e1<5s-?<;7j4$455>a=zutw0e4d33_;j87a=#=><1h6sr}|9j5g`=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=oh4V0c7>7}#=>=1h6*:778g?x{zu2c:o?4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082g7=Q9h>1>v*:768g?!30>3n0qpsr;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3n0(899:e9~yx{j7>52;294~"5l80o86F=c69j5d1=83.9h<4>a698k77f290/>i?520c8R7b428qG>i;51zTf{zut1vn<8?:180>5<7s-8o=79?;I0`3>o6i10;6)P5l:0:wA=:r.9><4>a99U5d2=:r.>;:4>6:&633<6>2wvqp5f1`;94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9h30Z:33b?S7f<38p(898:e9'120=l2wvqp5rb042>5<1290;w)4>{M0g1?7|^l21>v*=2082e==Q9h>1>v*:76826>"2??0:>6sr}|9j5d?=83.9h<4>a69K6a6<^;n86:0c:?S7f<38p(898:0:8 0112820qpsr;h3a0?6=,;n:6P5l:0:wA=:r.9><4>b59U5d2=:r.>;:4>8:&633<602wvqp5f1cd94?"5l80:m:5G2e28R7b428qG>i;51zTf4>0:46*:7782<>{zut1d>i=51zN1`0<6s_o36?u+233964g<^8k?6?u+5659`>"2??0o7psr}:a534=83<1<7>t$3f2>26<@;i<7d?n8;29 7b628k<7[o6i00;6)N5l91]>i=51zN1`0<6s_o36?u+23395d?<^8k?6?u+56595==#=><1=55r}|8m4d3290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3a0>P6i=09w);87;3;?!30>3;37psr}:k2fc<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51cd8R4g32;q/9:95199'120=911vqps4i0a1>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628i97[?n4;0x 0102820(899:0:8yx{z3f8:m7>5$3f2>77f3_8o?7?tL3f6>4}Qm109w)<=1;02e>P6i=09w);87;f8 0112m1vqps4}c357?6=>3:1N5k>1b=l650;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d><^8k?6?u+56595==#=><1=55r}|8m4g>290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3b=>P6i=09w);87;3;?!30>3;37psr}:k2f1<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51c68R4g32;q/9:95199'120=911vqps4i0`e>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?mf:T2e1<5s-?<;7?7;%742?7?3twvq6g>c383>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=n<4V0c7>7}#=>=1=55+56495==zutw0c??n:18'6a7=:8k0Z?j<:0yO6a3=9r\n4777f3_;j87a=#=><1h6sr}|9~f403290=6=4?{%0g5?173A8h;6g>a983>!4c93;j;6X=d282I4c=3;pZh652z&164<6i11]=l:52z&632<602.>;;4>8:~yx=n9h31<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474g>3_;j874><,<==6<64}|~?l7e<3:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082f1=Q9h>1>v*:7682<>"2??0:46sr}|9j5g`=83.9h<4>a69K6a6<^;n86:0`e?S7f<38p(898:0:8 0112820qpsr;h3`6?6=,;n:6P5l:0:wA=:r.9><4>c39U5d2=:r.>;:4>8:&633<602wvqp5`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Z;;4k;|~y>{e9??1<7850;2x 7b62>:0D?m8;h3b6a581!30?3;37);86;3;?x{zu2c:m44?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9h30Zo6jo0;6)N5l91]>i=51zN1`0<6s_o36?u+23395g`<^8k?6?u+56595==#=><1=55r}|8m4e5290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3`6>P6i=09w);87;3;?!30>3;37psr}:m15d<72-8o=7<>a:T1`6<6sE8o97?tVd:96~"5:809=l5Y1`696~"2?>0o7);86;f8yx{z3th::;4?:683>5}#:m;1><74H3a4?l7f03:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?n8:T2e1<5s-?<;7j4$455>a=zutw0e4g>3_;j87a=#=><1h6sr}|9j5g2=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=o:4V0c7>7}#=>=1h6*:778g?x{zu2c:nk4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082fc=Q9h>1>v*:768g?!30>3n0qpsr;h3`6?6=,;n:666X>a581!30?3n0(899:e9~yx{:0a0?S7f<38p(898:e9'120=l2wvqp5`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Z;;4k;|~y>{e9?=1<7<50;2x 7b62m>0D?m8;h3b3?6=,;n:6a:T1`6<6sE8o97?tVd:96~"5:809=l5Y1`696~"2?>0o7);86;f8yx{z3th::54?:283>5}#:m;1;=5G2b58m4g?290/>i?51`58R7b428qG>i;51zTf40i59h0;6)P5l:0:wA=:r.9><4=1`9U5d2=:r.>;:4k;%742?b6883>0<729q/>i?5719K6f1o6i00;6)N5l91]>i=51zN1`0<6s_o36?u+23395d?<^8k?6?u+56595==#=><1=55r}|8m4d3290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3a0>P6i=09w);87;3;?!30>3;37psr}:k2fc<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:nk5Y1`696~"2?>0:46*:7782<>{zut1d>i=51zN1`0<6s_o36?u+233964g<^8k?6?u+5659`>"2??0o7psr}:a53g=83<1<7>t$3f2>26<@;i<7d?n8;29 7b628k<7[o6i00;6)N5l91]>i=51zN1`0<6s_o36?u+23395d?<^8k?6?u+56595==#=><1=55r}|8m4d3290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3a0>P6i=09w);87;3;?!30>3;37psr}:k2fc<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51cd8R4g32;q/9:95199'120=911vqps4i0a1>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?l2:T2e1<5s-?<;7?7;%742?7?3twvq6a=1`83>!4c938:m6X=d282I4c=3;pZh652z&164<59h1]=l:52z&632k0;6;4?:1y'6a7=?91C>n94i0c;>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628k37[?n4;0x 0102880(899:008yx{z3`;j57>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6i01]=l:52z&632<602.>;;4>8:~yx=n9k>1<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474d33_;j874><,<==6<64}|~?l7en3:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082fc=Q9h>1>v*:7682<>"2??0:46sr}|9j5f4=83.9h<4>a69K6a6<^;n86:0a1?S7f<38p(898:0:8 0112820qpsr;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3n0(899:e9~yx{56;294~"5l80<<6F=c69j5d>=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l64V0c7>7}#=>=1=?5+564957=zutw0e6a581!30?3;37);86;3;?x{zu2c:n94?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9k>0Zo6k;0;6)N5l91]>i=51zN1`0<6s_o36?u+23395f4<^8k?6?u+56595==#=><1=55r}|8k77f290/>i?520c8R7b428qG>i;51zTf{zut1vn<8k:184>5<7s-8o=79?;I0`3>o6i10;6)N5l91]>i=51zN1`0<6s_o36?u+23395d><^8k?6?u+565957=#=><1=?5r}|8m4g>290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3b=>P6i=09w);87;31?!30>3;97psr}:k2f1<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51c68R4g32;q/9:95139'120=9;1vqps4i0`e>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628hm7[?n4;0x 0102820(899:0:8yx{z3`;h>7>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6k;1]=l:52z&632<602.>;;4>8:~yx=n9j91<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474e43_;j874><,<==6<64}|~?j46i3:1(?j>:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7j4$455>a=zutw0qo?9e;293?6=8r.9h<4=189K6f1:0c;?S7f<38p(898:e9'120=l2wvqp5f1`;94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9h30Z;;4k;|~y>o6j=0;6)P5l:0:wA=:r.9><4>b59U5d2=:r.>;:4k;%742?bi?51`58R7b428qG>i;51zTf{zut1b=n=50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395f5<^8k?6?u+5659`>"2??0o7psr}:m15d<72-8o=7<>a:T1`6<6sE8o97?tVd:96~"5:809=l5Y1`696~"2?>0o7);86;f8yx{z3th::k4?:383>5}#:m;1h95G2b58m4g0290/>i?51`58?j46i3:1(?j>:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7j4$455>a=zutw0qo?80;297?6=8r.9h<480:J1g2=n9h21<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51`:8R4g32;q/9:95179'120=9?1vqps4i0c:>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628k27[?n4;0x 01028<0(899:048yx{z3f8:m7>5$3f2>77f3_8o?7?tL3f6>4}Qm109w)<=1;02e>P6i=09w);87;f8 0112m1vqps4}c345?6=?3:1N5k>1b=l650;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628k37[?n4;0x 0102880(899:008yx{z3`;j57>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6i01]=l:52z&632<6:2.>;;4>2:~yx=n9k>1<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474d33_;j8744<,<==6<<4}|~?l7en3:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?mf:T2e1<5s-?<;7?7;%742?7?3twvq6g>c383>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=n<4V0c7>7}#=>=1=55+56495==zutw0e6a581!30?3;37);86;3;?x{zu2e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:768g?!30>3n0qpsr;|`237<72>0;6=u+2e3935=O:j=0e6a581!30?3;97);86;31?x{zu2c:m44?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9h30Z:0`7?S7f<38p(898:0:8 0112820qpsr;h3ab?6=,;n:66a581!30?3;37);86;3;?x{zu2c:o?4?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9j80Zi59h0;6)P5l:0:wA=:r.9><4=1`9U5d2=:r.>;:4k;%742?b7283>2<729q/>i?5719K6f1:0c;?S7f<38p(898:008 0112880qpsr;h3b=?6=,;n:66a581!30?3;37);86;3;?x{zu2c:n94?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082f1=Q9h>1>v*:7682<>"2??0:46sr}|9j5g`=83.9h<4>a69K6a6<^;n86:0`e?S7f<38p(898:0:8 0112820qpsr;h3`6?6=,;n:6P5l:0:wA=:r.9><4>c39U5d2=:r.>;:4>8:&633<602wvqp5f1b194?"5l80:m:5G2e28R7b428qG>i;51zTf4>a;29 7b62;;j7[50z&1`4<082B9o:5f1`:94?"5l80:m:5G2e28R7b428qG>i;51zTf44o6j=0;6)N5l91]>i=51zN1`0<6s_o36?u+23395g2<^8k?6?u+56595==#=><1=55r}|8m4da290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3ab>P6i=09w);87;3;?!30>3;37psr}:k2g7<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51b08R4g32;q/9:95199'120=911vqps4i0a0>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?l3:T2e1<5s-?<;7?7;%742?7?3twvq6a=1`83>!4c938:m6X=d282I4c=3;pZh652z&164<59h1]=l:52z&632n94i0c;>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?n8:T2e1<5s-?<;7?=;%742?753twvq6g>a883>!4c93;j;6X=d282I4c=3;pZh652z&164<6i01]=l:52z&632<6:2.>;;4>2:~yx=n9k>1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51c68R4g32;q/9:95139'120=9;1vqps4i0`e>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628hm7[?n4;0x 0102820(899:0:8yx{z3`;h>7>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6k;1]=l:52z&632<602.>;;4>8:~yx=n9j91<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474e43_;j874><,<==6<64}|~?l7d<3:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082g1=Q9h>1>v*:7682<>"2??0:46sr}|9l64g=83.9h<4=1`9U6a5=9rF9h84>{Wg;>7}#:;;1>7}#=>=1h6*:778g?x{zu2wi=:850;594?6|,;n:6??6;I0`3>o6i10;6)P5l:0:wA=:r.9><4>a99U5d2=:r.>;:4k;%742?bi?51`58R7b428qG>i;51zTf{zut1b=oh50;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395g`<^8k?6?u+5659`>"2??0o7psr}:k2g7<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:o?5Y1`696~"2?>0o7);86;f8yx{z3`;h?7>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3`7>P6i=09w);87;f8 0112m1vqps4o33b>5<#:m;1>4}K:m?1=vXj8;0x 7462;;j7[?n4;0x 0102m1/9:85d:~yx=zj8=<6=4=:183!4c93n?7E{Wg;>7}#:;;1>7}#=>=1h6*:778g?x{zu2wi=:650;694?6|,;n:6:>4H3a4?l7f03:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082e==Q9h>1>v*:76826>"2??0:>6sr}|9j5d?=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l74V0c7>7}#=>=1=?5+564957=zutw0e6a581!30?3;97);86;31?x{zu2e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:768g?!30>3n0qpsr;|`23<<72=0;6=u+2e3935=O:j=0e6a581!30?3;97);86;31?x{zu2c:m44?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082e<=Q9h>1>v*:76826>"2??0:>6sr}|9j5g2=83.9h<4>a69K6a6<^;n86:0`7?S7f<38p(898:008 0112880qpsr;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3n0(899:e9~yx{54;294~"5l80<<6F=c69j5d>=83.9h<4>a69K6a6<^;n86:0c;?S7f<38p(898:008 0112880qpsr;h3b=?6=,;n:66a581!30?3;97);86;31?x{zu2c:n94?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9k>0Z:33b?S7f<38p(898:e9'120=l2wvqp5rb05a>5<2290;w)o6j=0;6)N5l91]>i=51zN1`0<6s_o36?u+23395g2<^8k?6?u+565957=#=><1=?5r}|8m4da290/>i?51`58R7b428qG>i;51zTf44a;29 7b62;;j7[50z&1`4<082B9o:5f1`:94?"5l80:m:5G2e28R7b428qG>i;51zTf44o6j=0;6)P5l:0:wA=:r.9><4>b59U5d2=:r.>;:4>2:&633<6:2wvqp5f1cd94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9kl0Z:33b?S7f<38p(898:e9'120=l2wvqp5rb05g>5<1290;w)N5k>1b=l650;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d><^8k?6?u+5659`>"2??0o7psr}:k2e<<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:m45Y1`696~"2?>0o7);86;f8yx{z3`;i87>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3a0>P6i=09w);87;f8 0112m1vqps4i0`e>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628hm7[?n4;0x 0102m1/9:85d:~yx=n9j81<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51b08R4g32;q/9:95d:&633!4c938:m6X=d282I4c=3;pZh652z&164<59h1]=l:52z&632n94i0c4>5<#:m;1=l94;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3n0(899:e9~yx{53;294~"5l80<<6F=c69j5d>=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l64V0c7>7}#=>=1=?5+564957=zutw0e4g>3_;j8744<,<==6<<4}|~?j46i3:1(?j>:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7j4$455>a=zutw0qo?70;291?6=8r.9h<480:J1g2=n9h21<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474g?3_;j874><,<==6<64}|~?l7f13:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082e<=Q9h>1>v*:7682<>"2??0:46sr}|9j5g2=83.9h<4>a69K6a6<^;n86:0`7?S7f<38p(898:0:8 0112820qpsr;h3ab?6=,;n:6P5l:0:wA=:r.9><4>bg9U5d2=:r.>;:4>8:&633<602wvqp5`20c94?"5l809=l5Y2e195~J5l<0:w[k7:3y'677=:8k0Z;;4k;|~y>{e91;1<7650;2x 7b62>:0D?m8;h3bP5l:0:wA=:r.9><4>a99U5d2=:r.>;:4>2:&633<6:2wvqp5f1`;94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9h30Zo6jo0;6)P5l:0:wA=:r.9><4>bg9U5d2=:r.>;:4>2:&633<6:2wvqp5f1b094?"5l80:m:5G2e28R7b428qG>i;51zTf4>5Y1`696~"2?>0:46*:7782<>{zut1b=n:50;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628i?7[?n4;0x 0102820(899:0:8yx{z3f8:m7>5$3f2>77f3_8o?7?tL3f6>4}Qm109w)<=1;02e>P6i=09w);87;f8 0112m1vqps4}c3;6?6=<3:14g?3_;j87a=#=><1h6sr}|9j5d?=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l74V0c7>7}#=>=1h6*:778g?x{zu2c:n94?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082f1=Q9h>1>v*:768g?!30>3n0qpsr;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3n0(899:e9~yx{52;294~"5l80o86F=c69j5d1=83.9h<4>a698k77f290/>i?520c8R7b428qG>i;51zTf{zut1vn<6;:180>5<7s-8o=79?;I0`3>o6i10;6)P5l:0:wA=:r.9><4>a99U5d2=:r.>;:4>6:&633<6>2wvqp5f1`;94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9h30Z:33b?S7f<38p(898:e9'120=l2wvqp5rb0:6>5<0290;w)4>{M0g1?7|^l21>v*=2082e==Q9h>1>v*:76826>"2??0:>6sr}|9j5d?=83.9h<4>a69K6a6<^;n86:0c:?S7f<38p(898:008 0112880qpsr;h3a0?6=,;n:6P5l:0:wA=:r.9><4>b59U5d2=:r.>;:4>2:&633<6:2wvqp5f1cd94?"5l80:m:5G2e28R7b428qG>i;51zTf4>0:46*:7782<>{zut1b=n=50;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628i87[?n4;0x 0102820(899:0:8yx{z3f8:m7>5$3f2>77f3_8o?7?tL3f6>4}Qm109w)<=1;02e>P6i=09w);87;f8 0112m1vqps4}c3;2?6=?3:1N5k>1b=l650;&1`4<6i>1]>i=51zN1`0<6s_o36?u+23395d><^8k?6?u+565957=#=><1=?5r}|8m4g>290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3b=>P6i=09w);87;31?!30>3;97psr}:k2f1<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:n95Y1`696~"2?>0:46*:7782<>{zut1b=oh50;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628hm7[?n4;0x 0102820(899:0:8yx{z3`;h>7>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6k;1]=l:52z&632<602.>;;4>8:~yx=n9j91<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474e43_;j874><,<==6<64}|~?j46i3:1(?j>:33b?S4c;3;p@?j::0yUa=<5s-89=7<>a:T2e1<5s-?<;7j4$455>a=zutw0qo?77;293?6=8r.9h<480:J1g2=n9h21<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51`:8R4g32;q/9:95139'120=9;1vqps4i0c:>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?n9:T2e1<5s-?<;7?=;%742?753twvq6g>b583>!4c93;j;6X=d282I4c=3;pZh652z&164<6j=1]=l:52z&632<602.>;;4>8:~yx=n9kl1<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474da3_;j874><,<==6<64}|~?l7d:3:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082g7=Q9h>1>v*:7682<>"2??0:46sr}|9j5f5=83.9h<4>a69K6a6<^;n86:0a0?S7f<38p(898:0:8 0112820qpsr;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3n0(899:e9~yx{57;294~"5l80<<6F=c69j5d>=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l64V0c7>7}#=>=1=?5+564957=zutw0e4g>3_;j874><,<==6<64}|~?l7e<3:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?m4:T2e1<5s-?<;7?7;%742?7?3twvq6g>bg83>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=oh4V0c7>7}#=>=1=55+56495==zutw0e66X>a581!30?3;37);86;3;?x{zu2c:o>4?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9j90Z:33b?S7f<38p(898:e9'120=l2wvqp5rb0::>5<0290;w)o6j=0;6)N5l91]>i=51zN1`0<6s_o36?u+23395g2<^8k?6?u+56595==#=><1=55r}|8m4da290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3ab>P6i=09w);87;3;?!30>3;37psr}:k2g7<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51b08R4g32;q/9:95199'120=911vqps4i0a0>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?l3:T2e1<5s-?<;7?7;%742?7?3twvq6a=1`83>!4c938:m6X=d282I4c=3;pZh652z&164<59h1]=l:52z&6326a581!30?3n0(899:e9~yx{:0c:?S7f<38p(898:e9'120=l2wvqp5f1c694?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9k>0Z;;4k;|~y>o6jo0;6)P5l:0:wA=:r.9><4>bg9U5d2=:r.>;:4k;%742?bi?51`58R7b428qG>i;51zTf{zut1d>i=51zN1`0<6s_o36?u+233964g<^8k?6?u+5659`>"2??0o7psr}:a5=d=8381<7>t$3f2>a2<@;i<7d?n7;29 7b628k<76a=1`83>!4c938:m6X=d282I4c=3;pZh652z&164<59h1]=l:52z&632n94i0c;>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628k37[?n4;0x 0102880(899:008yx{z3`;j57>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6i01]=l:52z&632<602.>;;4>8:~yx=n9k>1<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474d33_;j874><,<==6<64}|~?l7en3:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?mf:T2e1<5s-?<;7?7;%742?7?3twvq6g>c383>!4c93;j;6X=d282I4c=3;pZh652z&164<6k;1]=l:52z&632<602.>;;4>8:~yx=n9j91<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51b18R4g32;q/9:95199'120=911vqps4o33b>5<#:m;1>4}K:m?1=vXj8;0x 7462;;j7[?n4;0x 0102m1/9:85d:~yx=zj82o6=48:183!4c93=;7E??51`:8R4g32;q/9:95139'120=9;1vqps4i0c:>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628k27[?n4;0x 0102820(899:0:8yx{z3`;i87>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6j=1]=l:52z&632<602.>;;4>8:~yx=n9kl1<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474da3_;j874><,<==6<64}|~?l7d:3:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082g7=Q9h>1>v*:7682<>"2??0:46sr}|9j5f5=83.9h<4>a69K6a6<^;n86:0a0?S7f<38p(898:0:8 0112820qpsr;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3n0(899:e9~yx{57;294~"5l80<<6F=c69j5d>=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l64V0c7>7}#=>=1=55+56495==zutw0e6a581!30?3;37);86;3;?x{zu2c:n94?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9k>0Z:0`e?S7f<38p(898:0:8 0112820qpsr;h3`6?6=,;n:666X>a581!30?3;37);86;3;?x{zu2c:o>4?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082g6=Q9h>1>v*:7682<>"2??0:46sr}|9l64g=83.9h<4=1`9U6a5=9rF9h84>{Wg;>7}#:;;1>7}#=>=1h6*:778g?x{zu2wi=5h50;594?6|,;n:6:>4H3a4?l7f03:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?n8:T2e1<5s-?<;7?7;%742?7?3twvq6g>a883>!4c93;j;6X=d282I4c=3;pZh652z&164<6i01]=l:52z&632<602.>;;4>8:~yx=n9k>1<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474d33_;j874><,<==6<64}|~?l7en3:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?mf:T2e1<5s-?<;7?7;%742?7?3twvq6g>c383>!4c93;j;6X=d282I4c=3;pZh652z&164<6k;1]=l:52z&632<602.>;;4>8:~yx=n9j91<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51b18R4g32;q/9:95199'120=911vqps4o33b>5<#:m;1>4}K:m?1=vXj8;0x 7462;;j7[?n4;0x 0102m1/9:85d:~yx=zj83;6=47:183!4c93=;7E0:>6*:77826>{zut1b=l750;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628k27[?n4;0x 0102820(899:0:8yx{z3`;i87>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6j=1]=l:52z&632<602.>;;4>8:~yx=n9kl1<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474da3_;j874><,<==6<64}|~?l7d:3:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?l2:T2e1<5s-?<;7?7;%742?7?3twvq6g>c283>!4c93;j;6X=d282I4c=3;pZh652z&164<6k:1]=l:52z&632<602.>;;4>8:~yx=n9j>1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51b68R4g32;q/9:95199'120=911vqps4o33b>5<#:m;1>4}K:m?1=vXj8;0x 7462;;j7[?n4;0x 0102m1/9:85d:~yx=zj83:6=49:183!4c938:56F=c69j5d>=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=l64V0c7>7}#=>=1h6*:778g?x{zu2c:m44?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082e<=Q9h>1>v*:768g?!30>3n0qpsr;h3a0?6=,;n:66a581!30?3n0(899:e9~yx{:0`e?S7f<38p(898:e9'120=l2wvqp5f1b094?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9j80Z;;4k;|~y>i59h0;6)P5l:0:wA=:r.9><4=1`9U5d2=:r.>;:4k;%742?b9383>7<729q/>i?5d59K6f15$3f2>77f3_8o?7?tL3f6>4}Qm109w)<=1;02e>P6i=09w);87;f8 0112m1vqps4}c3:7?6=13:1N5k>1b=l650;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628k37[?n4;0x 0102880(899:008yx{z3`;j57>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b=>P6i=09w);87;31?!30>3;97psr}:k2f1<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:n95Y1`696~"2?>0:>6*:77826>{zut1b=oh50;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628hm7[?n4;0x 0102880(899:008yx{z3`;h>7>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6k;1]=l:52z&632<602.>;;4>8:~yx=n9j91<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474e43_;j874><,<==6<64}|~?l7d<3:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082g1=Q9h>1>v*:7682<>"2??0:46sr}|9j5f3=83.9h<4>a69K6a6<^;n86:0a6?S7f<38p(898:0:8 0112820qpsr;n02e?6=,;n:6??n;W0g7?7|D;n>6a581!30?3n0(899:e9~yx{59;294~"5l80<<6F=c69j5d>=83.9h<4>a69K6a6<^;n86:0c;?S7f<38p(898:008 0112880qpsr;h3b=?6=,;n:66a581!30?3;97);86;31?x{zu2c:n94?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082f1=Q9h>1>v*:76826>"2??0:>6sr}|9j5g`=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=oh4V0c7>7}#=>=1=55+56495==zutw0e66X>a581!30?3;37);86;3;?x{zu2c:o>4?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9j90Zo6k<0;6)N5l91]>i=51zN1`0<6s_o36?u+23395f3<^8k?6?u+56595==#=><1=55r}|8k77f290/>i?520c8R7b428qG>i;51zTf{zut1vn<7::18:>5<7s-8o=79?;I0`3>o6i10;6)P5l:0:wA=:r.9><4>a99U5d2=:r.>;:4>2:&633<6:2wvqp5f1`;94?"5l80:m:5G2e28R7b428qG>i;51zTf440:46*:7782<>{zut1b=oh50;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628hm7[?n4;0x 0102820(899:0:8yx{z3`;h>7>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6k;1]=l:52z&632<602.>;;4>8:~yx=n9j91<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51b18R4g32;q/9:95199'120=911vqps4i0a7>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628i?7[?n4;0x 0102820(899:0:8yx{z3`;h97>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3`1>P6i=09w);87;3;?!30>3;37psr}:m15d<72-8o=7<>a:T1`6<6sE8o97?tVd:96~"5:809=l5Y1`696~"2?>0o7);86;f8yx{z3th:5;4?:883>5}#:m;1;=5G2b58m4g?290/>i?51`58R7b428qG>i;51zTf44o6j=0;6)N5l91]>i=51zN1`0<6s_o36?u+23395g2<^8k?6?u+56595==#=><1=55r}|8m4da290/>i?51`58L7b73_8o?7?tL3f6>4}Qm109w)<=1;3ab>P6i=09w);87;3;?!30>3;37psr}:k2g7<72-8o=7?n7:J1`5=Q:m91=vB=d482Sc?2;q/>??51b08R4g32;q/9:95199'120=911vqps4i0a0>5<#:m;1=l94V3f0>4}K:m?1=vXj8;0x 74628i87[?n4;0x 0102820(899:0:8yx{z3`;h87>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3`0>P6i=09w);87;3;?!30>3;37psr}:k2g0<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:o85Y1`696~"2?>0:46*:7782<>{zut1d>i=51zN1`0<6s_o36?u+233964g<^8k?6?u+5659`>"2??0o7psr}:a5<1=8331<7>t$3f2>26<@;i<7d?n8;29 7b628k<7E0:>6*:77826>{zut1b=l750;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628k27[?n4;0x 0102820(899:0:8yx{z3`;i87>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6j=1]=l:52z&632<602.>;;4>8:~yx=n9kl1<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474da3_;j874><,<==6<64}|~?l7d:3:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082g7=Q9h>1>v*:7682<>"2??0:46sr}|9j5f5=83.9h<4>a69U6a5=9rF9h84>{Wg;>7}#:;;1=n=4V0c7>7}#=>=1=55+56495==zutw0e4e33_;j874><,<==6<64}|~?l7d=3:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?l5:T2e1<5s-?<;7?7;%742?7?3twvq6a=1`83>!4c938:m6X=d282I4c=3;pZh652z&164<59h1]=l:52z&6326a581!30?3n0(899:e9~yx{:0c:?S7f<38p(898:e9'120=l2wvqp5f1c694?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9k>0Z;;4k;|~y>o6jo0;6)P5l:0:wA=:r.9><4>bg9U5d2=:r.>;:4k;%742?bi?520c8R7b428qG>i;51zTf{zut1vn<76:181>5<7s-8o=7j;;I0`3>o6i>0;6)=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95d:&633:628L7e03`;j47>5$3f2>4g03_8o?7?tL3f6>4}Qm109w)<=1;3b<>P6i=09w);87;35?!30>3;=7psr}:k2e<<72-8o=7?n7:T1`6<6sE8o97?tVd:96~"5:80:m45Y1`696~"2?>0::6*:77822>{zut1d>i=51zN1`0<6s_o36?u+233964g<^8k?6?u+5659`>"2??0o7psr}:a5t$3f2>26<@;i<7d?n8;29 7b628k<7E0:>6*:77826>{zut1b=l750;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628k27[?n4;0x 0102880(899:008yx{z3`;i87>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6j=1]=l:52z&632<6:2.>;;4>2:~yx=n9kl1<7*=d082e2=Q:m91=vB=d482Sc?2;q/>??51cd8R4g32;q/9:95199'120=911vqps4i0a1>5<#:m;1=l94H3f3?S4c;3;p@?j::0yUa=<5s-89=7?l2:T2e1<5s-?<;7?7;%742?7?3twvq6g>c283>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=n=4V0c7>7}#=>=1=55+56495==zutw0e6a581!30?3;37);86;3;?x{zu2e9=l4?:%0g5?46i2\9h>4>{M0g1?7|^l21>v*=20815d=Q9h>1>v*:768g?!30>3n0qpsr;|`2=f<7210;6=u+2e3935=O:j=0e6a581!30?3;97);86;31?x{zu2c:m44?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9h30Z:0`7?S7f<38p(898:0:8 0112820qpsr;h3ab?6=,;n:66a581!30?3;37);86;3;?x{zu2c:o?4?:%0g5?7f?2B9h=5Y2e195~J5l<0:w[k7:3y'677=9j80Zo6k=0;6)N5l91]>i=51zN1`0<6s_o36?u+23395f2<^8k?6?u+56595==#=><1=55r}|8k77f290/>i?520c8R7b428qG>i;51zTf{zut1vn<7k:18;>5<7s-8o=79?;I0`3>o6i10;6)P5l:0:wA=:r.9><4>a99U5d2=:r.>;:4>2:&633<6:2wvqp5f1`;94?"5l80:m:5G2e28R7b428qG>i;51zTf440:>6*:77826>{zut1b=oh50;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628hm7[?n4;0x 0102820(899:0:8yx{z3`;h>7>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6k;1]=l:52z&632<602.>;;4>8:~yx=n9j91<7*=d082e2=O:m:0Z?j<:0yO6a3=9r\n474e43_;j874><,<==6<64}|~?l7d<3:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082g1=Q9h>1>v*:7682<>"2??0:46sr}|9l64g=83.9h<4=1`9U6a5=9rF9h84>{Wg;>7}#:;;1>7}#=>=1h6*:778g?x{zu2wi=4k50;:94?6|,;n:6:>4H3a4?l7f03:1(?j>:0c4?S4c;3;p@?j::0yUa=<5s-89=7?n8:T2e1<5s-?<;7?=;%742?753twvq6g>a883>!4c93;j;6F=d19U6a5=9rF9h84>{Wg;>7}#:;;1=l74V0c7>7}#=>=1=?5+564957=zutw0e4d33_;j874><,<==6<64}|~?l7en3:1(?j>:0c4?M4c82\9h>4>{M0g1?7|^l21>v*=2082fc=Q9h>1>v*:7682<>"2??0:46sr}|9j5f4=83.9h<4>a69K6a6<^;n86:0a1?S7f<38p(898:0:8 0112820qpsr;h3`7?6=,;n:6P5l:0:wA=:r.9><4>c29U5d2=:r.>;:4>8:&633<602wvqp5f1b694?"5l80:m:5G2e28R7b428qG>i;51zTf4>a;29 7b62;;j7[n3:147>50z&1`4<082B9o:5f1`:94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9h20Zo6j=0;6)P5l:0:wA=:r.9><4>b59U5d2=:r.>;:4>8:&633<602wvqp5f1cd94?"5l80:m:5G2e28R7b428qG>i;51zTf4>0:46*:7782<>{zut1b=n=50;&1`4<6i>1C>i>4V3f0>4}K:m?1=vXj8;0x 74628i87[?n4;0x 0102820(899:0:8yx{z3`;h87>5$3f2>4g03A8o<6X=d282I4c=3;pZh652z&164<6k=1]=l:52z&632<602.>;;4>8:~yx=h:8k1<7*=d0815d=Q:m91=vB=d482Sc?2;q/>??520c8R4g32;q/9:95d:&633:33:?M4d?2c:m54?:%0g5?7f?2\9h>4>{M0g1?7|^l21>v*=2082e==Q9h>1>v*:768g?!30>3n0qpsr;h3b=?6=,;n:66a581!30?3n0(899:e9~yx{:0`7?S7f<38p(898:e9'120=l2wvqp5f1cd94?"5l80:m:5Y2e195~J5l<0:w[k7:3y'677=9kl0Z;;4k;|~y>o6k;0;6)P5l:0:wA=:r.9><4>c39U5d2=:r.>;:4k;%742?bi?520c8R7b428qG>i;51zTf{zut1v4h50;1x9d6=:8h0R4h4=b:95d12z?`a99>73g=9h201>8m:0c;?851k3;j463=9382e==:nh0:m552fc82e==:nm0:m552fd82e==:99?1=l64=035>4g?34;:m7?n8:?25g<6i116=;6;10:m55rs8f94?5|50o1><58:<6a99>54b=9h3013382e==:9:91=l64=017>4g?34;857?n8:?27d<6i116=>l51`:8yv1d2908w09k:33a?[1d34k=673?=9h301>8n:0c:?851j3;j563<6b82e<=::?81=l64=gc95d?<5oh1=l74=ga95d?<5on1=o:4=gg95g2<58:>6a89>540=9h3011c82e<=:98i1=l74=03g>4d334;:i7?m4:?274<6i016=><51`;8945428k270?<4;3b=>;6;>0:m45212:95d?<58926a89~w2g=839p1:l520`8Z2g<5h?1=l94}rc6>5<5lr7j97<>a:?025<6i116?;751c68960f28h?70=9b;3a0>;4>j0:n95237g95d><5;?<64>a99>117=9h201k:51`:89c0=9h201ko51c689cd=9k>01km51c689cb=9kl01kk51cd8946628k370??5;3a0>;68?0:n95211595g2<58:m6b59>541=9k>011b82f1=:98n1=oh4=03f>4da34;8=7?m4:?277<6j=16=>=51c68945328h?70?<7;3a0>;6;10:n95212;95g2<589j6a99>516=9h201<:<:0c;?872=3;j463>7c82e==z{>21<7=t=6;964d201l:51`58yvg32909hv3n4;02e>;4>80:m55237;95g`<5:bg9>73c=9h301?;8:0c:?842n3;j463:1282e<=:4g?34???7?n8:?e1?7f027m;7?n8:?ee?7en27mn7?mf:?eg?7en27mh7?l2:?ea?7d:27:a99>553=9kl01<>9:0`e?877?3;ij63>1282e==:98?1=l64=035>4da34;:;7?mf:?25d<6jo16=d;3`6>;69l0:o?5212395g`<58996bg9>561=9kl01<=7:0`e?87413;ij63>3`82fc=:9:h1=oh4=062>4g?34;?>7?n8:?23g<6i01v:850;1x921=:8h0R:84=`195d1ez?b7?46i278:44>c39>73g=9j801>8m:0a1?851k3;h>63=5282e==:nh0:o?52fc82g7=:nj0:o?52fe82g6=:nl0:o>5211795f4<58:=6c39>541=9j80163>1b82g7=:98n1=n=4=03f>4e434;8=7?l2:?277<6k;16=>=51b08945328i970?<7;3`6>;6;10:o?5212;95f4<589j630<59k1U;952a382e2=z{h81<7?j{77f349=57?l3:?02d<6k:16?;l51b18960d28i870<;6;3b<>;ai3;h?63ib;3`7>;ak3;h?63id;3`0>;am3;h863>0482g6=:99<1=n=4=024>4e434;::7?l3:?252<6k:16=c;3`7>;69m0:o95210g95f2<589:64>c29>562=9j901<=8:0a0?87403;h?63>3882g6=:9:k1=n=4=01a>4e43ty<>7>53z?47?46j2T<>63n1;3b3>{ti80;6c59>73e=9j>01?=i:0c;?8`f28i?70hm:0a7?8`d28i?70hk:0a6?8`b28i>70??5;3`0>;68?0:o95211595f2<58;=6c59>54d=9j>011d82g0=:9:;1=n:4=011>4e334;8?7?l4:?271<6k=16=>951b68945?28i?70?<9;3`0>;6;h0:o95212`95f277e3W2m70m?:0c4?xud83:14lu2c1815d=:=191=l64=4:7>4g?34?397?n8:?6<3<6i1168;951`:8910?28k370;58;0:m55221195d><5:8<6a99>6f4=9h201>:=:0c;?852<3;j463<5482e==:;4e2349=m7?l5:?02g<6k<16?;m51b78960b28h?70=8a;3b<>;3;k0:m55242f95d><5ni4>a99>1f3=9h2018m9:0c;?83d?3;j463:c982e==:=ml1=l64=4g2>4g?34?n>7?n8:?6a6<6i1168:k51`:8913e28k370?i5;3b<>;21:0:m55258695d><5a99>601=9k>01?;7:0c;?841j3;j463=6b82e==::?n1=l64=34f>4g?348=j7?n8:?132<6i116>:651`:8971>28k370<8a;3b<>;5?k0:m5524c395d><5=h96a99>145=9k>018?;:0c;?82d93;j463;c382e==:4g?34>m<7?n8:?677<6i1169>=51`:8904228k370;=6;3b<>;2:>0:m55253:95d><5<9n64g?34on64g?34lj64e234lh64e134ln6c49>551=9j?01<>m:0c;?877k3;j463>0d82e==:98<1=n;4=034>4e234;:m7?l5:?25g<6k<16=e;3`2>;6:=0:m55213795d><58826o4>a99>57e=9h201<3382g0=:9:91=n;4=017>4e234;8;7?l5:?27=<6k<16=>751b78945f28i>70?;6<58>36a99>51c=9h201<;>:0c;?872:3;j463>5882e==:9?n1=l64=052>4g?34;<>7?n8:?230<6i116=:651`:8941>28k370?8a;3b<>;6?k0:n95216a95d><582:64>a99>5<2=9h201<7m:0c;?87>k3;j46s|8b83>6}:0m09=o5Q8b9>fc<6i>1voh50;:b8da2;;j70;73;3b=>;20=0:m45259795d?<5<2=6a99>771=9h301><7:0c:?84e83;j463=b082e==::k81=l64=261>4g>349>87?n9:?010<6i016?8h51`:8960728k270=91;3b=>;4>00:o;5237c95f0<5:27>5k4>a99>1d6=9h2018o>:0c;?83d=3;j563:c782e<=:=j=1=l74=4a;>4g>34?n=7?n9:?6a7<6i0169h=51`;890gd28k370;nd;3b<>;2j90:m45224:95d?<5;=<6a89>0g4=9h3019l<:0c:?82e<3;j563:1582e<=:1=l74=5d2>4g?34?8>7?n9:?676<6i016n=4>a99>f4<6i116n?4>a99>173=9h3018<9:0c:?834m3;j563:4082e<=:==81=l74=460>4g>3431=l74=d`95d><5lo1=l74=dd95d><5o91=l74=g695d?<5o?1=l74=g495d?<5o=1=l74=gc95f0<5oh1=n84=ga95f0<5on1=n94=gg95f1<58::6c79>550=9j<01<>8:0a5?877k3;j563>0e82e==:99o1=l74=02e>4g>34;:?7?n9:?251<6i016=<;51`;8947128i=70?>7;3`2>;69h0:o;5210`95f0<58;h6c69>572=9h301<<::0c:?875l3;j563>3082g3=:9:81=n84=010>4e134;887?l6:?272<6k?16=>651b48945>28i=70?;6;k0:o;5212d95d?<58>;6a89>515=9h301<:9:0c:?873?3;j563>5082e<=:9<81=l74=07b>4g?34;>n7?n8:?21f<6i116=8j51`:8940c28k270?81;3b=>;6?;0:m45216195d><58=?6a89>5=7=9h301<6::0c;?87?>3;j463>8682e==:9121=l64=0::>4g?34;3h7?n8:?2=6<6i016=4:51`;894?228k370?66;3b<>;61>0:m55218`95d?<583h6a99>5<`=9h20q~6n:1808>e2;;i7S6n;<`:>4g03tyi57>57`y>f<<59h1695;51c6890>128h?70==7;3a0>;4:10:n9522c295d?<5:>96a89>73?=9j=01>8n:0a4?851j3;h;63<6b82g2=:;?o1=oh4=51g>4g>34?2j7?n9:?6g2<6j=169n651c6890c528h?70;j3;3a0>;2il0:m5525`d95d><5a89>601=9kl01?;7:0`7?841j3;j563=6b82e<=::?n1=l74=34f>4g>348=j7?n9:?132<6j=16>:751`;8971f28k270<8b;3b=>;3j:0:n9524c695g2<5<;86a89>0f4=9h3019m<:0`e?82d<3;i863;f082e<=:=:91=o:4=cf95d><5kn1=l74=406>4d334?9;7?n9:?66=<6i0169>k51c68905a28k270;;1;3a0>;2<;0:n95255195g2<500:n952eb82e==:mo0:m452f282f1=:n?0:n952f682f1=:nh0:o:52fc82g2=:nj0:o:52fe82ed=:nl0:ml5211395g2<58:96c69>551=9j=01<>k:0c:?877m3;i863>1582f1=:98?1=o:4=035>4e034;:;7?l7:?25d<6k>16=d;3be>;69l0:ml5213695g2<58826o4>a89>57e=9h301<3382g2=:9:91=n94=017>4e034;8;7?l7:?27=<6k>16=>751b58945f28i<70?;6<:0:n95215495g2<58>36a89>507=9k>01<;n:0c:?872j3;j563>5b82e<=:94d334;<47?n9:?23<<6i016=:o51`;8941e28hm70?8c;3a0>;6080:n95219795d?<582=6b59>5<3=9h301<7k:0c:?87>m3;j56s|8983>6}:0009=o5Q899>f=<6i>1vo650;648d?2;;j70:98;3b=>;4:>0:nk5233:95g`<5:>96b59>737=9k>01>86:0cb?851i3;jm63<6c82ed=:;?i1=lo4=25a>4g?34>8n7?n9:?73`<6i01688l51`;894`228k270<69;3b<>;3n80:n95252g95g`<5<9m68?4>bg9>115=9kl0144>bg9>ac<6j=16j94>b59>b0<6j=16j;4>bg9>b2<6jo16jl4>a`9>bg<6ih16jn4>a`9>ba<6ik16jh4>ac9>557=9kl01<>=:0`e?877=3;jm63>0782ed=:99=1=lo4=02g>4d334;;j7?m4:?256<6j=16=<:51cd8947228hm70?>6;3be>;69>0:ml5210c95dg<58;i6ac9>54c=9hh01<=>:0cb?874:3;jm63>3282ed=:9:>1=lo4=014>4gf34;847?na:?27<<6ih16=>o51`c8945e28kj70?;6<90:n95215395g2<58>96b59>50d=9k>01<;l:0`7?872l3;i863>8082fc=z{1>1<7=t=97964d01lo51`58yvgf29085v3na;02e>;4<=0:m55235695d?<5:<;6ac9>73g=9hh01>8m:0ca?851k3;jn63=6c82f1=::>31=o:4=3;b>4g?34?9;7?m4:?604<6k;1699<51b08902428i970h;:0`e?8`228hm70h9:0a1?8`028i970hn:0ca?8`e28ki70hl:0ca?8`c28kh70hj:0c`?87793;h>63>0382g7=:99?1=ll4=025>4ge34;;;7?nb:?24c<6jo16=<=51cd8947328i970?>5;3`6>;69?0:mo5210595dd<58;j6ac9>54b=9hi013082eg=:9:81=ll4=010>4ge34;887?nb:?272<6ik16=>651``8945>28ki70?;6;k0:mo5212d95g`<58>;6bg9>515=9j801<:7:0`7?xu?:3:1?v373;02f>X?:27j57?n7:pe<<72:=p1l7520c8960728i970=91;3`6>;4>00:mn5237c95de<5:b59>63e=9k>01?7<:0c;?82d93;i863:4082g6=:==81=n=4=460>4e434l?64e534l=64e434lj64gd34lh64gc34ln6c29>553=9hi01<>9:0c`?877?3;jo63>0g82g7=:9891=n<4=037>4e434;:97?l3:?253<6ij16=<951`a8947f28kh70?>b;3bg>;69j0:mn5210f95db<58;n6ab9>564=9hi01<=<:0c`?874<3;jo63>3682ef=:9:21=lm4=01:>4gd34;8m7?nc:?27g<6ij16=>h51b08942728i970?;1;3`6>;6<;0:o?5215195f5<58=36b:\;4>;f03;j;6s|a983>61|5h21>4e4349==7?l3:?02<<6im16?;o51`f8960e28ko70=9c;3b`>;5=?0:n95227f95g2<5;2h68<4>c59>114=9j>018:<:0a7?8`328i870h::0a0?8`128i?70h8:0a7?8`f28ko70hm:0cg?8`d28ko70hk:0cf?8`b28kn70??1;3`0>;68;0:o95211795db<58:=6c29>545=9j9011782ea=:98=1=lj4=03b>4gc34;:n7?nd:?25f<6im16=;6;80:mi5212095db<58986ae9>56>=9hn01<=6:0cg?874i3;jh63>3c82ea=:9:l1=n=4=063>4e434;?=7?l3:?207<6k:16=9=51b68941>28h?7p}8e;297~;0n38:n6P8e:?b3?7f?2wxm:4?:25x9d1=:8k01>8?:0a7?85193;h863<6882e`=:;?k1=lk4=24a>4gb349=o7?ne:?12`<6j=16>:o51c6897>528k370;;1;3`1>;2<;0:o85255195f3<5o>1=n:4=g795f2<5o<1=n;4=g595f3<5ok1=lk4=g`95dc<5oi1=lk4=gf95d`<5oo1=lh4=022>4e234;;>7?l5:?240<6il16==851`g8946028kn70??f;3`0>;69:0:o95210695f3<58;>6ad9>54g=9ho011e82ec=:98o1=lh4=00`>4d334;8=7?ne:?277<6il16=>=51`g8945328kn70?<7;3ba>;6;10:mh5212;95dc<589j6c59>516=9j>01<:>:0a7?873:3;h863>4282g0=:9=31=o:4=05b>4d33ty?n:4?:2y>0g>=:8h0R9l8;<6a=?7f?2wx8o750;fx91d>2;;j70?kc;3b<>;6lm0:m5521eg95d><58nm6a99>7=7=9h301>68:0c;?85??3;j563;e582e==:4g?3tyo47>54z?g=?46j2To463ka;3b3>;cj3;j;6s|d`83>43|5mk1>a1<5=:<6i94=2dg>a1<5:l96i94=2gb>a1<5:3n6i94=2c;>a1<5:h86i94=2``>a1<5:i<6i94=0f7>a1<58o:6i94=2;6>a1<5:n;6i94=2f`>a1<5=;m6i94=7:9`2=:;131h:524019`2=:a1<5:2m6i94=53:>a1<5:286i94=52g>a1<5=k:6i94=5g:>a1<5<:i6i94=47a>a177e3Wnh70jj:0c4?8ba28k<7p}ke;2974}:ll09=l523269`2=:=121h:5230:9`2=:;58<0o;63<2`8g3>;6mk0o;63=0g8g3>;5j>0o;63=c48g3>;4:80o;63<478g3>;4;4>:0o;63<718g3>;4?m0o;63;338g3>;3;o0o;63;4`8g3>;3=;0o;63:a58g3>;3l?0o;63:bg8g3>;2l10o;63:c`8g3>;2m>0o;63;808g3>;3?=0o;63=dd8g3>;4880o;63<098g3>;3:k0o;63=e48g3>;5mj0o;63=f28g3>;5nh0o;63;5g8g3>;2?m0o;63<0g8g3>;5i>0o;639e;f4?87a03n<70:=5;f4?83>>3n<70;m2;f4?82><3n<7p}kf;297~;cn38:m63<3c8g3>;3k>0o;6s|48794?5|5=3=6??m;_6:1>;31>0:m:5rs5;4>5<2;r7?5:4=1`9>77`=9h20186=:0c;?83?;3;i863:8482fc=:<5jl1=l64=322>4g>348;>7?n9:?062<6k;16>o=51`:897e628k270=>d;3b<>;49l0:m55235295d><5:>i6a99>013=9h2019:8:0c;?83>k3;j463:9e82e==:4g>34?h97?m4:?6g2<6jo169h<51cd890c328k370:8f;3b<>;3=j0:m55256`95d><58l=6a89>1<5=9h3018ol:0c:?83fl3;j563:ad82e<=:=k:1=oh4=36:>4g?348?m7?n8:?1=f<6i116>4j51`:891d628h?70:m3;3ab>;2980:m55255`95d><5<bg9>164=9k>018=<:0`e?8d628k270l<:0c;?8d328k370;>c;3b<>;2::0:m55255095f0<5;0:m55213395d><589;6c49>515=9j<01<;n:0`e?872j3;ij63>6082e==:9?k1=l64=04a>4g?34;=o7?n8:?22a<6j=16=:?51c68941a28k370?8f;3b=>;60<0:n95219595d?<582264>bg9>501<7k:0`7?87>n3;j56s|56094?5|5<=86??m;_746>;2?=0:m:5rs457>5<39r7>;94=1`9>657=9k>01?>=:0`7?847;3;i863<6182g3=:;?;1=n84=24:>4d7349=m7?m0:?02g<6j916?;m51c28915d28k370<9f;3a0>;5?k0:n95250395d?<5<>i6c39>14e=9h3018<<:0c:?83503;i863:4082ed=:==81=lo4=460>4gf34l?64e134l=64e034lj64d734lh6c69>553=9k:01<>9:0`3?877?3;i<63>0g82g3=:9891=n84=037>4e034;:97?l7:?253<6j916=<951c28947f28h;70?>b;3a4>;69j0:n=5213095d><589:64>b19>562=9k:01<=8:0`3?87403;i<63>3882f5=:9:k1=o>4=01a>4d734;8j7?l7:?205<6k>16=9?51b58942528i<70?;3;3be>;6c39>50b=9j80q~;<5;297~;2;?09=o5Q5278905028k<7p}:3683>2}:=:=1>4g?3499n7?n9:?74g<6i1168=l51`;8917628k370:>1;3b=>{t77e3W>mh63;fg82e2=z{=lm6=4:{<6eb?46i27:i54>a89>066=9h2019=?:0c:?836k3;i86s|55f94?5|5<>n6??m;_77`>;25<2s4??j7<>a:?14f<6i01688>51`:8913728k270;=3;3a0>{tm90;69u2e0815g=Ym916i?4>a69>a6<6i>1vh<50;318c52;;j70:?4;3b<>;4n10:m5523g;95d><5:lj6a99>5a7=9h201de82f1=:9mo1=o:4=0fe>4d3349hi7?m4:?7<<<6i1168h:51c6891c228h?70:j6;3a0>{tm:0;6?u2e2815d=:;m<1h:5rs0c2>5<4s4;j>7<>b:\2e4=:9h91=l94}r3b7?6=;r7:m>4=1`9>7a2=9h201>j;:0c:?xu3l10;6>u24e;964d{t77f3493h7?n8:?06}:=>:1>a89>04b=9h2019?k:0c:?xu?>3:1?v377;02f>X?>27i;7?n7:pf2<72;q6n:4=1`9>616=9h30q~7>:1808?52;;i7S7>;4g03tyh=7>52z?`5?46i2798:4>a89~w<5=839p14:520`8Z<5<5j81=l94}ra1>5<5s4i96??n;<0;1?7f12wx584?:2y>=3<59k1U5852c282e2=z{j91<7b:\:3>;d<3;j;6s|c583>7}:k=09=l5214495d?77e3W3270m::0c4?xud=3:1>v3l5;02e>;5>:0:m45rs8`94?5|50i1>{t=77e3W?>o63:5b815d=z{9k4=1`9~w1e?2908w0:l9;02f>X3k1168n6520c8yv2di3:1>v3;c982e2=:>4=1c9]674<5;896??n;|q17g<72;q6>?<51`58975e2;;j7p}=2883>6}::;k1>?l50;1x974d2;;i7S<=b:?16g<59h1v?:j:181845j3;j;63=4d815d=z{;8o6=4<{<01a?46j2T9>i5223f964gn7>52z?16a<6i>16>8l520c8yv45n3:1?v3=31815g=Y:;l01??0;6?u223d95d1<5;<=6??n;|q174<72:q6>><520`8Z7563488=7<>a:p624=838p1?=>:0c4?840:38:m6s|22194?5|5;9?6??m;_007>;5;:09=l5rs35f>5<5s488?7?n7:?13`<59h1v?=::180844>38:n6P=349>663=:8k0q~<77;296~;5;<0:m:52295964g53z?17=<59k1U>>94=314>77f3ty94h4?:3y>661=9h=01?6j:33b?xu5;00;6>u222c964d{t:0?1<74g0348297<>a:p0d?=839p19on:33a?[2f127?m44=1`9~w1ge2909w0:n9;3b3>;3ik09=l5rs42`>5<4s4?;h7<>b:\64f=:=9i1>a69>15c=:8k0q~;;6;297~;2<>09=o5Q554890212;;j7p}:4983>7}:==<1=l94=46;>77f3ty>:54?:2y>13?=:8h0R887;<75{t<181<7=t=5:0>77e3W>3>63;83815d=z{=2?6=4={<6;6?7f?27?494=1`9~w1??2908w0:69;02f>X31116846520c8yv2>i3:1>v3;9982e2=:<0k1>6}:524g1964gm97>52z?7b6<6i>168k;520c8yv3783:1?v3:00815g=Y=9:018>?:33b?xu28;0;6?u251295d1<5<:96??n;|q66g<72:q69?m520`8Z04e34?9n7<>a:p17b=838p18;2=909=l5rs471>5<5s4?><7?n7:?617<59h1v?<8:180845038:n6P=269>671=:8k0q~om:181845?3;j;63nb;02e>{t:;>1<7=t=306>77e3W89863=25815d=z{kk1<74g034hj6??n;|q7e34>3n7<>a:p0=b=838p196m:0c4?82?l38:m6s|50594?5|5<;36??m;_723>;29>09=l5rs43:>5<5s4?:;7?n7:?65<<59h1v8?j:180836n38:n6P:1d9>14c=:8k0q~;=0;296~;29l0:m:52532964g53z?67<<59k1U9>64=41;>77f3ty>?l4?:3y>16>=9h=018=n:33b?xu3i;0;6>u24`1964d{t1<74g034>j87<>a:p133=83>p18;i:0c4?832m3;j;63:6682e<=:=?<1>9h4=1`9>135=9h20188;:0c;?85dk3;j46s|57294?4|5<<<6{t9h<1<7o>{<3b2?46i27>:;4=a99>130=:h;01>=;:3c;?854<38j=63:8981e==:=121>l?4=23;>7g?349:47;4:j0:m45233c96d><58oi6?o7;<3ff?4f927965`=:h;01?l8:3c;?84e?38j=63=c481e==::j?1>l?4=202>7g?3499=7;4=>09m55234596d7<5:<86?o7;<157?4f9278;=4=a99>726=:h;01>9k:3c;?850l38j=63;3381e==:<:81>l?4=51e>7g?34>8j7;2i=09m5525`696d7<5=n=6?o7;<6g2?4f927>nk4=a99>1g`=:h;018j7:3c;?83c038j=63;0681e==:<9=1>l?4=4ab>7g?34?hm7;4n;09m5523g096d7<5:oj6?o7;<1fe?4f927?4<4=a99>0=7=:h;01>7j:3c;?85>m38j=63l?4=2`0>7g?349i?7;4k>09m5523b596d7<5;nn6?o7;<0ga?4f9278<<4=a99>757=:h;01>>7:3c;?857038j=63;2c81e==:<;h1>l?4=3g6>7g?348n97hm52`3897`42;k370;5nh09m5522gc96d7<5=?m6?o7;<66b?4f927>;i4=a99>12b=:h;01e081e==:9l;1>l?4=22e>7g?349;j7;5i>09m5522`596d7<5:2m6?o7;<1;b?4f9278584=a99>7<3=:h;01>j?:3c;?85c838j=63l?4=53:>7g?34>:57=:h201;652`3893c=:h201;k52`3894`?2;k370?i8;0b5>;4;k09m55232`96d7<5:286?o7;<1;7?4f9278444=a99>7=?=:h;019>k:3c;?827l38j=63;1281e==:<891>l?4=506>7g?34>997;3i809m5524`396d7<5=i<6?o7;<6`3?4f927?i44=a99>0`?=:h;019hl:3c;?82ak38j=63:0c81e==:=9h1>l?4=47a>7g?34?>n796t=3a:>77f34?=:7j9;<750?7d?27>:94>a`9>132=9hh0188;:0c`?831<3;jh63:6582e`=:=?>1=lh4=4:;>a0<5<2>6484>ac9>1=3=9hi0186::0cg?83?=3;ji63:8482ec=:=1<1=n94=4:5>4gf34?3:7?nb:?6<3<6ij1695851`f890>128kn70;76;3bb>;4910o:63;6b8g2>;c:3n=704gc349:i7?ne:?05`<6io16?f;3bg>;49o0:mi5230d95dc<5:;m6ab9>736=9hn01>8?:0cf?85183;jj63<6082eg=:;?;1=lm4=242>4gc349==7?ne:?024<6io16?:>5d79>73?=9k901>86:0`6?85113;i:63<6882f2=:;?31=o64=24:>4d>349=57?ma:?02<<6jk16?;751ca8960>28ho70=99;3aa>;4>00:o=5237;95f7<5:b79>73g=9k=01>8n:0`;?851i3;i563<6`82fd=:;?k1=ol4=24b>4dd349=m7?md:?02d<6jl16?;o51b28960f28i:70=9b;3a7>;4>k0:n85237`95g0<5:b89>73d=9kk01>8m:0`a?851j3;io63<6c82fa=:;?h1=ok4=24a>4e7349=n7?l1:?02f<6j:16?;m51c78960d28h=70=9c;3a3>;4>j0:n55237a95g?<5:bb9>73e=9kn01>8l:0`f?851k3;h<63<6b82g4=:;>n1h;5242d9`3=:<=k1h;525`69`3=:=0n1=lo4=4;g>4ge34?2h7?nc:?6=a<6im1694j51`g890?c28km70:k6;f5?83en3n=70;k8;f5?827?3n=70;la;f5?83d?3;h;63:c682ed=:=j=1=ll4=4a4>4gd34?h;7?nd:?6g2<6il169n951`d890e?28i<70;l8;3be>;2k10:mo525b:95de<5o54>ag9>1`1=l?16?kj5d79>7c4=l?16?ho5d79>0=7=l?16?4k5d79>7d>=l?16?o=5d79>022=l?16?om5d79>7f1=l?16>ik5d79>757=l?16?=65d79>07d=l?16>h;5d79>6`e=l?16>k=5d79>6cg=l?1688h5d79>12b=l?16=i:5d79>5`7=l?16?=h5d79>7a0=l?16?h<5d79>6d1=l?16?5h5d79>7<3=l?16?i>5d79>7fc=9j=01>mj:0cb?85dm3;jn634gb349hi7?nf:?0`f27?=44k6:?75c27=47j9;<4f>a0<58l36i84=21a>a0<5:286i84=2::>a0<5=:o6i84=530>a0<5=8>6i84=4;5>a0<54ge348?m7?nc:?10d<6im16>9o51`g8972f28km70<;b;3bf>;5i6c69>6l3;jo63=9e82ea=::0n1=lk4=3;g>4ga3482i7?l7:?1=`<6ih16>4k51``897?b28kh70<6e;3b`>;51l0:mh5228g95d`<5=h;6ab9>0g6=9hn019l?:0cf?82e83;jj63;b082ea=:4ga34>i>7?nd:?7f7<6il168o<51`d891d428kn70:m3;3bb>;3j=0:mh524c695d`<5=k:6i84=5a4>a0<5=o26i84=5g6>4e034>n97?na:?7a0<6ik168h;51`a891c228ko70:j5;3ba>;3m<0:mk524d495f1<5=o=6ab9>0`0=9hn019k9:0cf?82b>3;jj63;fb8g2>;28k0o:63:5c8g2>;31=0o:63i4;3b`>;a<3;ji63i4;3bb>;a=3;jh63i5;3ba>;a=3;jj63i6;3bb>;a?3;jj63ia;3a2>;ai3;i;63ia;3a<>;ai3;i563ia;3ae>;ai3;in63ia;3ag>;ai3;ih63ia;3aa>;ai3;h<63ia;3`5>;aj3;i:63ib;3a3>;aj3;i463ib;3a=>;aj3;im63ib;3af>;aj3;io63ib;3a`>;aj3;ii63ib;3`4>;aj3;h=63ic;3a2>;ak3;i;63ic;3a<>;ak3;i563ic;3ae>;ak3;in63ic;3ag>;ak3;ih63ic;3aa>;ak3;h<63ic;3`5>;al3;i;63id;3a<>;al3;i563id;3ae>;al3;in63id;3ag>;al3;ih63id;3aa>;al3;h<63id;3`5>;am3;i;63ie;3a<>;am3;i563ie;3ae>;am3;in63ie;3ag>;am3;ih63ie;3aa>;am3;h<63ie;3`5>;6880:mi5211395dc<58::6ad9>554=9hl01<>::0`6?877=3;i:63>0482f2=:99?1=o64=026>4d>34;;97?ma:?240<6jk16==;51ca8946228ho70??5;3aa>;68<0:o=5211795f7<58:=627:<;4>b69>550=9k201<>9:0`:?877>3;im63>0782fg=:99<1=om4=025>4dc34;;:7?me:?243<6k916==851b38946028h>70??7;3a2>;68>0:n:5211595g><58:<6bc9>551=9ki01<>8:0`g?877?3;ii63>0682g5=:99=1=n?4=02e>4gd34;;j7?nd:?24c<6il16==h51`d8947428kh70?>3;3b`>;69:0:mh5210195d`<58;?6ad9>543=9hl013;i:63>1782f2=:98<1=o64=035>4d>34;::7?ma:?253<6jk16=<851ca8947128ho70?>6;3aa>;69?0:o=5210495f7<58;<627:=:4>b69>541=9k2011682fg=:98=1=om4=034>4dc34;:;7?me:?252<6k916=<951b38947f28h>70?>a;3a2>;69h0:n:5210c95g><58;j6bc9>54g=9ki011`82g5=:98k1=n?4=03a>4d234;:n7?m6:?25g<6j>16=b;3ae>;69k0:no5210`95ge<58;i6c19>54d=9j;011b82f2=:98i1=o64=03`>4d>34;:o7?ma:?25f<6jk16=c;3aa>;69j0:o=5210a95f7<58;o6b99>54b=9k3011e82ff=:98n1=oj4=03g>4db34;:h7?l0:?25a<6k816=e;3a<>;69l0:n45210g95gg<58;n6be9>54c=9ko013082f6=:9:;1=o;4=012>4d134;8=7?m7:?274<6j116=>?51c;8945628hj70?<1;3af>;6;80:nn5212395gb<589:6c09>564=9k901<==:0`6?874:3;i:63>3382f2=:9:81=o64=011>4d>34;8>7?ma:?277<6jk16=><51ca8945528ho70?<2;3aa>;6;;0:o=5212095f7<589864>b79>565=9k=01<=<:0`;?874;3;i563>3282fd=:9:91=ol4=010>4dd34;8?7?md:?276<6jl16=>=51b28945428i:70?<4;3a7>;6;=0:n85212695g0<589?6b89>562=9kk01<=;:0`a?874<3;io63>3582fa=:9:>1=ok4=017>4e734;887?l1:?272<6j?16=>951c58945028h370?<7;3a=>;6;>0:nl5212595gd<589<6bd9>561=9j:01<=8:0a2?87403;i:63>3982f2=:9:21=o64=01;>4d>34;847?ma:?27=<6jk16=>651ca8945?28ho70?<8;3aa>;6;10:o=5212:95f7<58926b99>56?=9k301<=6:0`b?87413;in63>3882ff=:9:31=oj4=01:>4db34;857?l0:?27<<6k816=>o51c48945f28h<70?;6;h0:n45212c95gg<589j6be9>56g=9ko01<=n:0a3?874i3;h=63>3c82f3=:9:h1=o94=01a>4d?34;8n7?m9:?27g<6jh16=>l51c`8945e28hh70?;6;k0:nh5212`95f6<589i6;<30b?7fn27:8=4>ag9>517=9hl01<:=:0ce?872i3;jm63>5`82eg=:94gc34;>m7?ne:?21d<6io16=8l51`c8943e28ki70?:b;3bg>;6=k0:mi5214`95dc<58?i6ac9>50e=9hi01<;l:0cg?872k3;ji63>5b82ec=:94ge34;>h7?nc:?21a<6im16=8j51`g8943c28km7p}:6083>7}:=?:1=l64=442>77f3ty>:?4?:3y>136=9h30188=:33b?xu41?0;6<>t=440>4g>34?=87?n9:?0=3<59h16?4o51`:896?e28k370=6c;3b<>;4i=0:m5523`795d><5:ko6a99>7gg=9h201>m<:0c;?85d<3;j463:>4>b59>132=9k>01>7m:0c:?85>k3;j5631=l74=2c6>4g>349ji7?n8:?0f5<6i016?o751`;896df28k270=l3;3b=>;4k=0:m4523b795d?<5:ih6;41j0:n9523`;964g<5:ko6b59>7g7=9h201>l6:0`7?85ei3;i8631=o:4=2a6>4d3349ho7?mf:p7g2=83hp188<:0a1?831<3;h>63<9c82fc=:;0i1=oh4=2`2>4g>349i87<>a:?0f=<6i116?n=51cd896e328hm70=l5;3ab>;4kj0:o?5rs2`f>5<>s4?=?7?l3:?621<6k:16?4l51b0896?d28i970=m1;3a0>;4jl09=l523b095d><5:i>6{t9j31<7:t=447>4e334>957?n8:?76<<6i016=n7520c8yv26<3:1>v3:6582g0=:<8>1>:94>c79>04g=:8k0q~;94;296~;2>=09=l5257095d?52z?06`<6i>16?>:520c8yv55m3:1:nu233g964g<5<286484>c39>1=0=9j801?l>:0c:?84e:3;j563<5582f1=:;4e2349==7?l5:?02<<6io16?;o51`d8960e28km70=9c;3bb>;2i90:m4525`395d?<56o:4>c39>1f>=9j8018k>:0`e?83b:3;h>63:e282g7=:=hi1=o:4=4cg>4d334?ji7?m4:?6ec<6j=169o>51b08973?28hm70<88;3a0>;3j80:nk524c095g`<5=h86=94>bg9>0f2=9kl018==:0`e?834;3;h>63m0;3a0>;e93;i863m2;3a0>;2:?0:n95252d95g`<5<>:68>4>c69>a`<6j=16j>4>bg9>b1<6k<16j84>c49>b3<6k?16j:4>c79>bd<6io16jo4>ag9>bf<6io16==?51b48946528i=70??5;3bb>;68?0:mk5211595d`<58:h6c49>545=9j?011782ec=:98=1=lh4=03b>4ga34;:n7?nf:?25f<6io16=?;51c68944c28hm70?<1;3bb>;6;;0:mk5212195d`<589?6ag9>56?=9hl01<=n:0ce?874j3;jj63>3g82g3=:9=:1=n84=062>4e134;?>7?l6:?206<6k>16=9951c68943528h?70?9d;3ab>;6?80:nk5216095g`<58=86c39>52e=9kl01<68:0`7?87?03;i863>8882f1=:91n1=o:4=0;0>4e534;287?l2:?2=3<6i016=4951`;894?e28hm70?6c;3ab>;61o0:n95rs213>5<5s49887?n7:?075<59h1v>{t;:;1<74g?3498=7<>a:p764=838p1>=?:0c:?854:38:m6s|33194?3|5:996>4=1`9>771=9j901><7:0a1?xu4;?0;698t=20e>4g>34?3>7?n9:?6<1<6j=1695851cd8910128k270mk:0c:?8b728k370;4:10:o>522c695d><5;i96a99>716=9h301>:m:0c:?852;3;j563<5e82e<=:<=?1=l74=56;>4g?34?2o7?n9:?7`5<6i0169om51`;890e128h?70;l8;3ab>;2m80:n9525d195g`<5a89>12d=9h30138:m63:9582e<=:=hl1=l74=36:>4g>348?n7?n8:?1=f<6i016>4k51`:891d728k370:m2;3a0>;3j=0:nk52b182e<=:j;0:m452b282e<=:j=0:m45255395f0<5<>86c49>514=9j?01<;6:0c:?872k3;ij63>5e82fc=:9?;1=l74=04b>4g>34;=n7?n9:?22f<6i016=:<51c68941428k270?84;3b=>;6?<0:nk5219495g2<58236a89>5<2=9kl01<7l:0`7?87>m3;i86s|59594?5|5<=n6454=1`9~w01b290:hv3:7d815d=:=181=o:4=4:0>4e534?387?l2:?6<0<6k:1695851b1890?c28k270;l5;3`6>;2k?0:o?525b595f5<5a89>0g7=9j8019l=:0a1?82e;3;h?63;b582g6=:9?81=l64=040>4g?34;=87?n8:?220<6i116=:?51b08941528i970?85;3`7>;60l0:m55218195f5<583?6c39~w01a2909w0;79;3b<>;2?o09=l5rs4::>5<5s4?357<>a:?6<=<6i>1v86?:181830n3;j463:81815d=z{<2:6=4={<74b?7f127>4<4=1`9~w0>52909w0;72;02e>;2080:m55rs4a`>5<4>r7>4>4>c29>1=2=9j90186::0a7?83?>3;h863=b182f1=::k;1=o:4=3`1>4d3348i?7?n9:?1f1<6i016>ok51`:897da28k370;6d;3a0>;2j00:m5525cc95d><5ni4>a89>1fe=:8k018j>:0c;?83d<3;j463:c482g6=:=j<1=n=4=4a4>4e334?h47?l4:?6`c<6i0169h:51c6897g228k370;60;3b<>;21;0:m5524c295g2<5=h:64>c59>0g2=9j>01o>51cd89g7=9kl01o<51cd89g5=9k>01<8>:0`7?871:3;j563>6282e<=:9?>1=l74=046>4g>34;3j7?n8:?2=6<6k=16=4:51b6894?228h?70?66;3a0>;61>0:n95218`95f5<583h6bg9>5<`=9kl0q~;l0;291<}:=191=n:4=4:7>4e334?397?l5:?6<3<6k<16>o>51cd897d628hm70;5j:0:n9522c695g2<5;hm6b59>6f4=9k>0187k:0`e?83>n3;i863:a182f1=:=h;1=o:4=4`b>4g>34?in7?mf:?6ff<6jo169oj51c6890b528k370;k3;3b<>;2l=0:m5525b2964g<56o:4>c49>1f>=9j?018ji:0`7?83b93;h>63:e382g6=:=l91=n=4=4g7>4da348j97?n9:?6=5<6i01694?51`:890?528k270;63;3a0>;21=0:n9525`a95g`<5mk4>bg9>1g6=9j>019l?:0`e?82e93;h863;b382g1=:4e234h;64e534h964da34h?6b59>535=9k>01<8;:0`7?871=3;i863>6882e==:9?k1=o:4=04a>4d334;=o7?m4:?22a<6k;16=:?51b18941528i870?83;3ab>;6?=0:nk5216795f2<582>6bg9>5=>=9kl01<66:0`e?87?k3;j563>8e82fc=:91o1=l74=0;3>4d334;2?7?l5:?2=1<6k<16=4;51cd894?128hm70?67;3ab>;61k0:o95218a95f2<583o6c39~w0bf2909jv3:8282g0=:=1>1=n;4=4:6>4e134?3:7?l6:?1f5<6k;16>o?51b0897d528i970;5j=0:nk522cg95d?<5;i;6n44>a89>1gg=9k>018j>:0c:?83c:3;j563:d282e<=:=m>1=l74=4a7>4g>34?h97?l5:?6g3<6k<169n951b4890e?28i=70;ka;02e>;2lo0:nk525d395f5<55<4>a89>1<4=9k>019l?:0a1?82e93;h963;b382g0=:4e134h?6bg9>535=9kl01<8;:0`e?871=3;ij63>6882e<=:9?k1=oh4=04a>4da34;=o7?mf:?22a<6k:16=5h51`;8yv3?;3:1>v3:82815d=:=1;1=l74}r7;0?6=:r7>494=1`9>1=7=9k>0q~;75;296~;20<09=l5259395g`52z?6<3<59h1695?51b08yv56?3:1>v3<1082e2=:;821>;5j=0:o?522b395g`<5;i965k4>bg9>1d6=9kl018o>:0`e?83ej3;h>63:bb82g7=:=kn1=oh4=4f1>4d334?o:7?n8:?6a1<6k;1694=51cd890?328hm70;nc;3`6>;2im0:o?525`g95f4<5c79>0g4=9j<019l<:0a4?82e<3;h;63m0;3`7>;e93;h?63m2;3`7>;e;3;h>63m4;3`6>;6>;0:o?5216195f4<58=?6c39>5=1=9j801<67:0a1?87?13;h>63>8b82f1=:91n1=n<4=0:f>4d334;3j7?m4:?2=5<6jo16=4;51b0894?128i970?67;3`6>;61m0:o>5218g95f5<583m67}:;881=l64=230>77f3ty8=94?:3y>744=9h301>?;:33b?xu5i;0;69u230795d?<5;k96??n;<705?7f027>?<4>a89~w6722909w0=>5;02e>;49=0:m55rs3f:>5<68r78=;4>a99>74c=9h301>?i:0c:?84c138:m63=fg82e==:;9<1=l64=3g0>4g?348n?7?n9:?1ad<6i116>k?51`:897`?28k370=?d;3b<>;5km50;07856>3;j563=b282g6=::k>1=n=4=23f>4d3349:j7?m4:?6=a<6k=1694h51b0890g728i970;n1;3`6>;2jm0:o?525e495d?<5a89>75b=9h301?:n:0`7?843j3;i863=9e82f1=::0o1=o:4=5`3>4e334>i=7?l7:?7f7<6k>168o=51`c891d328kj70l<:0a0?8d328i870?93;3`6>;6>00:n95217c95f4<582h6bg9>5<6=9j801<7::0a0?87>>3;h?63>9682g6=z{::86=4=3z?053<6j=16>o=51b6897d328i?70=>e;3ab>;49o0:nk5258f95f3<5<3m6m<4>c29>1gb=9j9018j<:0`7?83c>3;i863:e582g1=:;991>4d3348?m7?mf:?10g<6jo16>4j51cd897?b28hm70:m0;3`1>;3j80:ml524c095dg<5=h86c39>53d=9j801<6l:0a1?87?m3;h>63>8g82g7=:90:1=n=4=0;6>4e334;2:7?l4:?2=2<6k=1v?k?:18f856>3;ij63<1d82g7=:;8l1=n<4=3de>4g>349;:7?m4:?1a5<59h16>ho51`;897`628k270;48m0:nk5225c95f4<5;>i6c39~w7c0290ow0=>6;3`6>;49l0:o>5230d95f5<5;lm66c7=9k>01?h7:0`7?857l3;h>63=4`82g6=::=h1=n=4=3;g>4e43482i7?l3:p6`c=83ip1>?9:0a0?856m3;h863<1g82g1=::ol1=oh4=225>4e5348ni7<>a:?1b=<6jo16?=j51b18972f28i?70<;b;3`0>;51m0:o95228g95f25bz?053<6k=16?70;48?0:o>522g7964g<5::o6c49>6t=235>4e2348i?7?l5:?1f1<6k<16?;21o0:o9525`295f2<5h94>b59>1a0=9kl018k;:0a6?857i38:m63=4`82g3=::=h1=n84=5`3>4e134>i=7?nb:?7f7<6ik168o=51`a891d328kh70l<:0a6?8d328i>70?95;3`6>;6>j0:o?5219a95f5<582n6c59>5<3=9j?01<79:0a6?87>?3;h96s|30494?4|5:;=6??n;<120?7f12wx8;l50;0x910728k<70:9c;02e>{ta89>03>=9k>01986:0c:?821i3;j563le;3b<>;dn3;j563k0;3b=>;5880:nk5221095g`<5;:86a89>010=9h2019:8:0c:?82303;j563;7e82e==:<<=1=l64=574>4g>34;m87?n8:?12g<6jo16>;m51cd8970c28hm70<9e;3ab>;5>o0:nk5250195f4<5<;?68?4>ac9>115=9hh01<=i:0cb?87383;jm63>4082ed=:9=81=lo4=060>4ge34;?i7?n9:?20c<6i116=8>51`:8943628hm70?:2;3ab>;6=00:nk5214c95f5<58?i6c29~w1062909w0:9c;3b3>;3>809=l5rs541>5<5s4>==7?n8:?727<59h1v98<:18182193;j563;62815d=z{8>h6=4<{<650?7f027?:94>a89>51e=:8k0q~:94;296~;3>=09=l5247195d>53z?720<6i1168;;51`;8970f2;;j7p};6483>7}:4g>3ty?:;4?:3y>030=:8k0198<:0`7?xu3?<0;6>ht=544>4d334>=57?m4:?`a?7f127hj7?m4:?g4?7e<27:jk4>a99>656=9h201?>>:0a1?847:3;h>63=0282g7=:9l>1=l64=32;>4g?34>?:7?n9:?702<6j=1689651c6891122;;j70:8b;3b<>;3?j0:m55246f95d?<5==n6a99>027=9h3019;k:0c;?80d28k370?i2;3b<>;6n=0:m4521g795g2<58l=6bg9>600=9kl01?;8:0a1?84203;h>63=7682fc=::>21=oh4=35:>4da348;3k=0:o?5255395de<5<>96>4>a99>56`=9hh01<:?:0ca?87393;jn63>4382eg=:9=91=lm4=065>4da34;?;7?mf:?20=<6jo16=9751cd8942f28hm70?;f;3b=>;6=00:o?5214c95f2<58?i6c59~w1002909w0:97;02e>;3>:0:nk5rs54;>5<5s4>=47<>a:?726<6k;1v986:181821138:m63;6282g6=z{=;58;0:o>5221195f5<58o?6a89>02e=9h30199k:0`7?820m3;ij63;7g82fc=:4g?34>>h7?n9:?5g?7f127:j?4>a89>5c5=9h2019<<:0c:?82d93;h>63;c382g7=:4e434??=7?nd:?607<6im1699=51`f8944428k270?;6<90:mn5215395de<58>96c39>511=9j801<:7:0a1?87313;h>63>4`82g7=:9=o1=o:4=073>4g>34;>57?l3:?21d<6k<16=8l51b78943d28i>70?:d;3`1>{t77f34>=?7?l4:p`4<72:q6o44>a69>`6<6i016h?4=1`9~wf?=83op1n7520c89fb=9k>01nk51c689f`=9kl01i>51cd8962d28k370=87;3b<>;3l80:m552fe82f5=:nl0:n=5210f95g6<58;n6c39~wfg=838p1i=51`:89fg=:8k0q~j<:1818b42;;j70j=:0c4?xudj3:1>v3la;3b<>;dj38:m6s|cb83>7}:kh0:m452cb815d=z{jn1<7;3?j0:n95246095d?<5=8=6??n;<3e7?7f12wx=i;50;338eb28i970mi:0a0?8b728i870:;6;3ab>;3<>0:o?5245:95f4<58n>6??n;<3gf?7f027:hn4>a89>5ab=9h3011=l74=5g6>4g>34>n:7?n9:p2c<72=9p1nk51b189f`=9j>01i>51b6894`a28h?70;5880:o95221095f2<5;:86a99>65e=9h201?>k:0c;?82403;j463;3982e<=:<=<1=n<4=564>4e434>?47?l3:?73a<6jo168:k51b08911a28i970::8;3b<>;3=10:m4521g695g2<58l>6>4>b59>603=9j801?;9:0a1?842?3;h?63=5982g6=::>=1=n<4=35;>4e5348<57?l2:?13d<6k;16>:l51b0891e628i870:l2;3`7>;3k:0:o9524b695f2<5?l1>4g?34??=7?ne:?607<6il1699=51`g89c0=9hk01k951`c8946628kj70??2;3be>;69=0:ml5210795dg<589m6ae9>514=9hn01<:<:0cf?873>3;h?63>4682g6=:9=21=n=4=06:>4e434;?m7?l3:?20c<6j=16=8>51c68943>28i?70?:a;3`2>;6=k0:o;5214a95f0<58?o6a:?`g?7f12wxok4?:3y>gc<59h16on4>b59~wa6=838p1i>520c89fe=9kl0q~6??n;|q2b<<728=p14e2348;?7?l5:?7b<<6i1168k751`;8906>28k370;:9;3b<>;2<80:mk5255095d`<5<>86ad9>517=9ho01<:=:0cf?873;3;jj63>5882g0=:94e034;>o7?l7:?21a<6k>1v3;j463>f`815d=z{;:=6=4={<032?46i279<84>a69~w4`e2909w0?ia;3b<>;6nk09=l5rs0d`>5<5s4;mm7?n9:?2bf<59h1v<=k:18087al3;j463>fe82e<=:9:n1>5ce=9h20q~?:8;297~;6nl0:m5521gg95d?<58?36??n;|q2b`<72;q6=kk520c894`d28k27p};3283>3}:9ol1=n<4=323>4e534>8?7<>a:?77f<6i0168>j51c68944528k27p}>fg83>7}:9ol1>4d33ty9<=4?:3y>656=:8k01=<520c894`d28i87p}=0283>7}::991>4e33ty8>44?:2y>775=9h=01>{t;;h1<74g?3499n7<>a:p77e=838p1>{t;;=1<777f3499:7?n8:p77>=838p1><7:33b?855>3;j56s|1dc94?4|58o86;28?0:m45rs0g6>5<5s4;nn7?n7:?2a0<59h1v{t9l<1<74g?34;n:7<>a:p5`1=838p128k270:=c;02e>{t9l31<777f34;n;7?n9:p65c=838p1?>8:0c4?847n38:m6s|21594?5|5;:<6??n;<762?7f027>9;4>a89~w76>2909w0;58009=l5rs32;>5<5s48;j7j9;<03=o50;0x976>28k370{t:9h1<74g>348;n7<>a:p65e=838p1?>l:33b?847j3;j46s|45a94?4|5;:o6=j50;0x976c2;;j70{t:k<1<7=t=3ca>4g0348i47?n9:?1f2<59h1v?om:182g~;5ik09=l5237;95g7<5:;<15f?7e9278:n4>b09>601?7k:0a5?84>m3;h:63ia;3a5>;aj3;i=63ic;3a5>;68<0:n<5211495g7<58:<6;<322?7e927:=:4>b09>54g=9k;013082f4=:9:81=o?4=010>4d634;887?m1:?272<6j816=>651c38945>28h:70?;6;k0:n<5rs3`6>5<5s48jj7?n7:?1f0<59h1v?oi:18084fn38:m63>6182e==:9?:1=l74}r0bg?6=:r79n54>a99>6de=:8k0q~52z?1ef<6i116>lj520c8yv4fm3:1>v3=ab82e<=::ho1>a99>6dc=9h301?7m:33b?xu5j90;6?u22c2964g<5;h>6o?520c897d228k27p}=b383>7}::k81>4d33ty9n>4?:3y>6g5=:8k01?l::0`e?xu5j=0;6?u22c6964g<5;h>6o751`5897e128k270{t:k31<7kt=3`:>77f349?=7?n8:?03d<6i016?:l51`;8972>28h?70<;a;3`3>;5;?28k270km:0c:?8cd28k270hk:0`2?8`b28h:70?70;3b=>{t:j91<74g0348h?7<>a:p6gb=839p1?lk:33b?83fi3;j463:a`82e<=z{;hj6=4={<0`2?7f0279nl4=1`9~w7e12909w0;5k<0:m:5rs3`a>5<5s48im7?n8:?1fg<59h1v?ll:18184ei3;j563=bb815d=z{;>36=4<{<0ag?7f0279nn4>a89>61>=:8k0q~52z?1fc<59h16>n=51`;8yv4d83:1>v3=c1815d=::j91=o:4}r74n?51b0897e528i970;mb;3`7>;2jj0:o>525e195g`<55>4>c39>1<2=9j8018ol:0a0?83fl3;h?63:ad82g6=:=hl1=n=4=c295f2<5k;1=n:4=c095f2<58<26c29>5=3=9j901<69:0a0?87??3;h?63>8982g6=:9131=n=4=0:g>4e434;2h7?l4:?2=`<6k=16=4h51b68yv4d93:1>v3=c0815d=::j91=oh4}r0`6?6=:r79o?4=1`9>6f5=9j80q~==0;297~;4900:m:5233095d?<5:8:6??n;|q05<<72?q6?<7520c8967c28h?70=>e;3`3>;49o0:o:5225c95dg<5;>i67}:;;81>4g03ty8=o4?:3y>74g=9h201>?m:33b?xu49j0;6?u230c95d?<5:;h6??n;|q05a<72;q6?7}:;8o1>4g>3ty8=k4?:3y>74`=:8k01>?l:0`7?xu4<<0;6?u232a95d1<5:>=6??n;|q07f<72kq6?>m520c8962628k270=;2;3`6>;4?10:m5524e095d><5on1=o<4=gg95g4<58;o6;<32a?7e927:4=4>b59>5=7=9j90q~=;4;296~;4<:0:m:52356964g53z?006<59h16mh4>a99>e`<6i01v>=k:181853>3;j;63<3e815d=z{:9n6=4={<10`?7f0278?h4=1`9~w65a2909w0=;4;o09=l5rs263>5<5s49?<7<>a:?07c<6i11v>:>:181853938:m63<3g82e<=z{:>96=4={<176?46i278?k4>b59~w62c2909w0=;7;3b3>;45a:?00f<6i016?:751`:891b428k370hk:0`0?8`b28h870?>d;3a6>;69l0:n?5219295g`<582:67}:;=21=l64=26:>77f3ty88l4?:3y>71>=9h301>:n:33b?xu4j67}:;=l1=l94=274>77f3ty88k4?:6y>71`=:8k01>;;:0`e?852=3;h>63je;3ab>;a;3;h>63>0b82fc=:99o1=n<4}r164?6=:r789:4>a69>706=:8k0q~=:1;296~;4=90:m552343964g>7>52z?015<6i016?8<520c8yv52;3:1>v3<52815d=:;<81=l64}r7b2?6=?r78994>c39>703=9j9018o9:33b?8cb28i970h<:0a0?877k3;h>63>0d82g6=z{:??6=4={<160?46i2789?4>a89~w6322909w0=:5;02e>;4=;0:n95rs241>5<5s49>47?n7:?026<59h1v>;7:1821~;4=109=l5234g95d?<5:?m6c69>aa<6i016ik4>bg9>b1<6k>16j84>c69>b3<6ik16j:4>ac9>ba<6j<16==?51``8946528ki70??b;3b=>;68m0:nk5211d95f1<58;86ac9>54b=9k90q~=:9;296~;4>:0:m:5234;964gm7>52z?01<<6i116?8o520c8yv52j3:1>v3<5882e<=:;

a99>70e=9h301<>?:33b?xu4=j0;6?u234a964g<5:?i64?|5:?n6a`9>737=9hk019:>:33b?823=3;i863;4782g6=:<==1=n:4=56;>4e334oo64e534l?64gf34l=64gd34ln6ab9>55d=9k>01<>k:0a1?877n3;jm63>1282ed=:98>1=lm4=036>4gd34;:i7?m3:p70c=838p1>;j:33b?852j3;i86s|34d94?4|5:?m6??n;<16f?7en2wx?;>50;0x96072;;j70=:b;3`6>{t;?;1<777f349>n7?l3:p73`=838p1>8;:0c4?850838:m6s|37694?7>s49=87<>a:?02<<6j;16?;o51c08960e28h970=9c;3a6>;ai3;i>63ib;3a6>;ak3;i>63>0482f7=:99<1=o<4=024>4d534;::7?m2:?252<6j;16=c;3a6>;6;80:n?5212095g4<58986b39>56>=9k801<=6:0`1?874i3;i>63>3c82f7=z{:;6:10:m55213:95d?52z?035<6i>16?;;520c8yv51>3:1>v3<6482e==:;?<1>a89>731=:8k0q~?=f;297~;4>10:m55237:95d?<588m6??n;|q02=<72;q6?;6520c8960028k37p}<6883>7}:;?31>4g>3ty8:l4?:3y>73g=:8k01>88:0`7?xu4>k0;6?u237`964g<5:<<67}:;>;1=l94=25g>77f3ty8;<4?:0;x96162;;j70=87;3b=>;4?10:m45236;95d?<5:=j6:i4>a89>ag<6j=16in4>b59>aa<6jo16ih4>c29>ac<6k:16j>4>c59>b1<6ik16j84>ac9>b3<6im16j:4>ae9>bd<6j:16jo4>b29>bf<6j:16=>951c18945?28h870?<9;3a7>;6;h0:n>5212`95g57>52z?03a<6i>16?:<520c8yv50;3:1>v3<7382e==:;>91>a89>722=:8k0q~k6:180850=3;j463<7482e<=:m009=l5rs256>5<5s49<97<>a:?031<6i11vk?50;1x961128k370=86;3b=>;a938:m6s|36494?4|5:==6??n;<140?7f12wx87>51`y>721=9k>01>97:0`7?85013;i863<7`82fc=:;>h1=oh4=5815d=:mk0:nk52eb82fc=:mm0:o?52ed82g1=:mo0:o952f282g0=:n=0:mn52f482ef=:n?0:mh52f682e`=:nh0:n852fc82f0=:nj0:n852fe82f3=:nl0:n;5212595g3<58936b49>56d=9k?0q~=87;296~;4?>09=l5236695g252z?03=<59h16?::51cd8yv5013:1>v3<78815d=:;>>1=n<4}r14e?6=:r78;l4=1`9>722=9j90q~=8b;296~;4?k09=l5236695f28=7>52z?76f<6i>168><520c8yv25m3:1>v3;3382e2=:<;o1>;3:m0:m45245f95d><5=>o6a99>0<7=9h30q~:51`:891532;;j7p};4183>7}:<=:1>4g03ty??84?:3y>062=9h2019=::33b?xu3;?0;6?u242695d?<5=9=6??n;|q772<72;q68>9520c8915128k37p};3983>7}:<:21>4g>3ty:>=4?:2y>06?=9h2019=6:0c:?875838:m6s|42;94?4|5=926??n;<602?7e<2wx=?950;1x915f28k370:;6:>09=l5rs51b>5<5s4>8m7<>a:?773<6jo1v9=m:181824j38:m63;3782g7=z{=9h6=4={<60g?46i27??;4>c29~w15c2909w0:;3;?0:o95rs56:>5<4s4>?=7?n7:?70g<6i01689o520c8yv23:3:1>v3;4c82e==:<=81>01g=9h=0q~:;3;296~;3<;0:m552451964g?87>52z?707<6i01689:520c8yv23=3:1>v3;44815d=:<=>1=l64}r672?6=:r7?8;4=1`9>012=9h30q~:;7;296~;3<>09=l5245695g2?47>52z?70=<59h1689:51cd8yv2293:1>v3;4b82e2=:<<81>a69>01c=:8k0q~:;d;296~;3=;0o:63;4e815d=z{=>m6=4={<67a?7f027?8k4=1`9~w1372909w0:;e;3b=>;3=909=l5rs4c0>5<4s4?2;7?n7:?6e0<6i0169l:520c8yv3>?3:1ov3:96815d=:=0i1=o:4=4;g>4e034>i<7?l7:?7f4<6ij168o<51`a891d428ko70:m4;3b`>;2;;0:o?5252195f5<5<;h6{t=0o1<7=t=4;f>77f34;387?n8:?2<1<6i01v877:18183f=3;j463:99815d=z{6=4={<7b1?46i27>m94>a69~w0?>2909w0;68;3b<>;21009=l5rs4;b>5<5s4?247?n9:?6=d<59h1v9oi:18083>j3;j463:9c82e<=:5o4=1`9>152z?6=a<59h1694o51c68yv3>n3:1>v3:9g815d=:=h81=l64}r7b4?6=:r7>m=4=1`9>1d4=9h30q~;n1;296~;2i809=l525`095g2o97>53z?7gd<6i>168i951`5891b12;;j7p};d683>43|5=n<6??n;<6g5?7f127?h?4>a89>0a5=9h3019j;:0c;?877=3;i?63>0782f6=:99=1=o=4=02a>4da34;;o7?l3:?24a<6k:16==k51b68946a28ki70?>3;3bf>;69=0:mi5210795db<58;=6b29>54d=9k9017}:77f3ty:<44?:2y>0fc=9h2019mj:0c:?877138:m6s|4bg94?4|5=in6??n;<6``?7f02wx=;69809=l5rs5ae>5<5s4>hj7<>a:?7ga<6i01v9j?:18182c838:m63;ce82f1=z{=n:6=4={<6g5?46i27?oi4>bg9~w1b52909w0:k2;02e>;3km0:o?5rs5f0>5<5s4>o?7<>a:?7ga<6k:1vo4?:5y>0a2=9h301o4=1`9>54b=9k?0141|5a99>610=9h301?:8:0c;?842;3;j563=5g82e<=::?:1=l64=341>4g>348=?7?n8:?1<7<6i016>5=51`:897>228k370<7b;3b=>;50j0:m45228095d?<5;386a89>0g`=9h2019li:0c:?872=3;j563>5782e==z{n94=1`9~w0d22909w0;m4;3b<>;2j<09=l5rs4`5>5<5s4?i87?n9:?6f3<59h1vlh50;1x90d028k370;m7;3b=>;fn38:m6s|5c594?4|5;61009=l5rs4`;>5<5s4?i47<>a:?6f3<6i01v8l6:18183e138:m63:b782f1=z{n;4>bg9~w0de2909w0;mb;02e>;2j?0:o?5rs4``>5<5s4?io7<>a:?6f3<6k:1v8lk:18183el38:m63:b782g1=z{h44>a89>1a>=:8k0q~;k6;296~;2l<0:m:525e4964g53z?6`0<59h16=:>51`:8941728k27p}:ce83>7}:=m31=l64=4ag>77f3ty>h44?:3y>1a?=:8k018j7:0c4?xu2kl0;6?u25bf95d><56683>6}:=m:1=l64=4f3>4g>34;=;7<>a:p1a6=838p18j?:33b?83dn3;j46s|5e394?4|5{t=m91<777f34?hj7?mf:p1a2=838p18j;:33b?83dn3;h>6s|41494?4|5:ln61=oh4=526>4d3349m;7?n9:?0b=<6i016?k751`;896`f28k270=ib;3b=>;4n90:n9523d595g2<5:o36b59>5a6=9kl01:0`e?87c:3;ij63>dc82g7=:9mi1=n<4=0fg>4e534;oi7?l2:?2`c<6k;16?nk51b68933=9k>01;851c6891>>28hm70:6e;3a0>;31o0:n9524d395d?<5=o96c59>0`0=9j>019hn:0`7?837?3;i863:0982f1=:=931=oh4=474>4d334?>47?m4:?61<<6jo1v>hi:181827?3;j;63;38809=l5rs2d0>5<6mr7?a99>055=9h2019>;:0c:?827=3;j4634g?349m<7?n8:?0a2<6i116=nk51`:894ea28k370?kb;3b=>;6lj0:nk521ef95g`<58nn6bg9>20<6i116:;4>a99>04da34>mm7?n8:?642<6i1169=651`:8903028k370;:8;3b<>{t;lh1<7?7{<636?7f127?<94>b59>053=9h301>km:33b?85bn3;j5634g>34;o<7?n9:?2`4<6i016=i<51`;894be28h?70=le;3`6>;1>3;j563;8882e<=:<0o1=l74=5g7>4e534>n97?l2:?7a3<6k;168ko51`;8906028k270;?9;3b=>;2=>0:m45254;95d?516y>054=9k>019><:0c:?85bn3;i8634g>34;hi7?n9:?2`5<6j=16=i?51c6894b528h?70?kb;3ab>;4kl0:o>526482e<=:<131=o:4=5;e>4g>34>n>7?n8:?7a1<6k:168h;51b1891c128i870;?8;3b=>;2800:n95254:95d?<57}:<991>4g>3ty?<94?:3y>052=:8k019>>:0`7?xu4lm0;69u241795g`<58im6::33b?82793;ij6s|5b;94?5|5ol4=1`9~w0e62909w0;lb;3b<>;2k809=l5rs4aa>5<5s4?hn7<>a:?6gd<6i>1v8m=:18183d93;j463:c3815d=z{o>4=1`9~w0e32909w0;l4;02e>;2k:0:m55rs4a6>5<5s4?h97<>a:?6g6<6i01v8m9:18183d>38:m63:c282f1=z{o>4>bg9~w0e?2909w0;l8;02e>;2k:0:o?5rs4g5>5<4s4?om7?n7:?6a=<6i0169h9520c8yv3b=3:1>v3:e182e2=:=l?1>i=4=1`9>53>=9h201<87:0c:?xu2lk0;6?u25d:95d><57}:=mh1=l64=4f`>77f3ty>hi4?:3y>1ad=9h3018jk:33b?xu6=o0;6>u25eg95d><5{t=ml1<777f34?oh7?n9:p1`7=838p18k>:33b?83b=3;j46s|5d094?4|5{t=l>1<777f34?n97?mf:p7ce=838p1>h<:0c4?85al38:m6s|3g694?4|5:lo6{t;o<1<74g>349m:7<>a:p7c1=838p1>h8:33b?85a>3;j46s|3b;94?3|5:l36c29>7f?=:8k019k;:0a6?xu4n10;6?u23g:964g<5:l=6;4?l09=l524d795f355z?0b<<6jo16=i?51b1894bc28i?70=74;02e>;3m<0:o;5rs2d:>5<5s49m57<>a:?0b3<6j=1v>6n:18685ai3;i863>d382g7=:9mo1=n=4=2:b>77f34>n:7?l5:p7<6=83?p1>hn:0`e?87c:3;h?63>dd82g1=:;0:1>4e13ty8jl4?:3y>7cg=:8k01>h9:0`e?xu3810;68u23g`95g2<58nm60=?=9j80q~:?e;291~;4nk0:nk521ed95f2<5:in6c29~w6`e2909w0=ib;02e>;4n?0:o?5rs2d2>5<5s49nn7?n7:?0b7<59h1v>kl:18185a:3;j;63;4ml09=l5rs2ge>5<5s49nj7<>a:?0a`<6i11v>h?:18185a838:m63;4m=09=l5rs2g6>5<5s49n87?n8:?0a0<59h1v>k9:18185b<3;j563a99~w6c?2909w0=j8;02e>;4m?0:m45rs5:3>5<5s4><97?n7:?7<4<59h1v999:18182?93;j;63;77815d=z{==<6=4={<642?7f027?;:4=1`9~w11?2909w0:86;3b=>;3?109=l5rs066>5<4s4><57?n8:?73<<6i016=9;520c8yv2013:1>v3;78815d=:<>21=l64}r6`4?6=;r7?;l4>a99>02g=9h3019m?:33b?xu3?h0;6?u246c964g<5==367}:<>i1>4da3ty?;i4?:3y>02b=:8k01997:0a1?xu3?l0;6?u246g964g<5==366}:;0<1=l94=2;e>4g>3492i7<>a:p7<1=838p1>7i:0c;?85>?38:m6s|38d94?4|5:3m6??n;<1:a?7f?2wx?4650;0x96?028k370=68;02e>{t;031<74g>349257<>a:p7a7=83hp1>7n:0c:?85>j3;h?634g>349i57?mf:?0g7<6i016?n=51b0896b62;;j70=ka;3b<>;4lh0:m45rs2f4>5<>s492m7?m4:?0=f<6k:16?l851`;896ga28k270=m8;3a0>;4jh0:nk523b095g2<5:i?6{t;0h1<777f349257?n9:p77l:33b?85>13;i86s|3`594?4|5:k;6{t;h81<74g?349j>7<>a:p7d5=838p1>o>:0c:?85f;38:m6s|3`694?4|5:k?6??n;<1b7?7f02wx?l;50;0x96g22;;j70=n3;3b=>{t;h<1<777f349j?7?m4:p7g4=838p1>o6:0c4?85e;38:m6s|3`c94?4|5:h86{t;hi1<74g>349jo7<>a:p7db=838p1>ok:33b?85fk3;j46s|3`g94?4|5:kn6??n;<1bg?7f12wx?lh50;0x96ga2;;j70=nc;3a0>{t;k:1<777f349jo7?mf:p7g7=838p1>l>:33b?85fk3;h>6s|46194?4|5={t4g?34>=j7<>a:p026=838p198j:0c:?820838:m6s|46394?4|5==:6??n;<644?7f02wx8:<50;0x91152;;j70:80;3b=>{t;kh1<7=t=2`7>4g0349ih7?n9:?0ff<59h1v>l::18185el3;j463a69~w6d12909w0=m5;3b<>;4j?09=l5rs2`4>5<5s49i97?n9:?0f2<59h1v>l7:18185e038:m63a89~w6df2909w0=ma;02e>;4j>0:n95rs2a5>5<4s49ii7?n7:?0g=<6i016?n9520c8yv5en3:1>v37f1=9h=0q~=l0;296~;4jo0:m5523b2964g52z?0fc<6i016?n?520c8yv5d:3:1>v34=1`9>7f7=9h30q~=l4;296~;4k=09=l523b395g252z?0g0<59h16?n?51cd8yv4cl3:1?v3=d882e2=::ml1=l74=3ff>77f3ty9hl4?:3y>6a`=9h201?jn:33b?xu5lo0;6?u22ed964g<5;nn6io51`:897be2;;j7p}=db83>7}::mk1=l74=3f`>77f3ty8<=4?:2y>6ce=9h=01>>=:0c:?857938:m6s|2gf94?4|5::96{t:oo1<74g?348mi7<>a:p6c`=838p1?hk:0c:?84an38:m6s|31594?5|5::86;48=09=l5rs22:>5<5s49;57<>a:?04=<6i>1v>>::181857<3;j463<04815d=z{::=6=4={<130?7f1278<;4=1`9~w14f2909w0:=6;3b3>;3:k09=l5rs504>5<5s4>9n7?n7:?762<59h1v9<7:181825?3;j463;29815d=z{=826=4={<613?7f127?>44=1`9~w7c32908w0;5m?0:m4522d7964g52z?1a3<6i116>h?520c8yv4b>3:1>v3=e7815d=::l?1=l94}r0f6?6=:r79i<4>a99>6`4=:8k0q~53z?1a2<6i>16>hj51`;897cd2;;j7p}=e983>7}::ln1=l64=3g;>77f3ty9ii4?:3y>6`b=:8k01?kl:0c4?xu5m00;6?u22d:95d><5;o26??n;|q1ad<72;q6>h651`;897cf2;;j7p}=f383>6}::lo1=l94=3d7>4g>348m?7<>a:p6``=838p1?h;:0c;?84bn38:m6s|2g694?4|5;l?6??n;<0e7?7f?2wx>k>50;0x97ca28k370{t:o;1<74g>348m=7<>a:p6c?=839p1?h::0c4?84aj3;j563=f`815d=z{;l=6=4={<0ef?7f0279j;4=1`9~w7`e2909w0;5nh0:m:5rs3d4>5<5s48m:7?n8:?1b2<59h1v?h7:18184a>3;j563=f9815d=z{=?n6=4={<667?7f?27?9k4=1`9~w1332909w0::f;3b3>;3==09=l5rs576>5<5s4>>87?n8:?710<59h1v9;9:181822<3;j563;57815d=z{=?<6=4={<663?46i27?9;4>a99~w13?2909w0::8;02e>;3=?0:m45rs407>5<4s4>>57?n8:?71<<6i0169?:520c8yv2213:1>v3;58815d=:<<<1=o:4}r343?6=;r7?9l4>a99>00g=9h301<98:33b?xu3=h0;6?u244c964g<5=?=67}:<4e43ty?9i4?:3y>00b=:8k019;9:0a7?xu2?j0;6?u256:95d1<5<=o6??n;|q63<<72;q69:j51`58901>2;;j7p}:7`83>7}:=>31=l64=45b>77f3ty>;o4?:3y>12?=9h30189m:33b?xu6l:0;6?u21b;95d1<58n?6??n;|q2gd<72;q6=i:51`5894ef2;;j7p}>cc83>7}:9jk1=l64=0aa>77f3ty:on4?:3y>5fg=9h301u21bf95d><58io6{t9jo1<777f34;ho7?n9:p5f`=838p1{t9m81<777f34;ho7?l3:p5`6=839p1e0815d=z{8n=6=4={<3f6?7f027:h;4=1`9~w4c52909w0?j2;02e>;6m80:m:5rs0f4>5<5s4;o:7?n8:?2`2<59h1v3;j563>d9815d=z{:io6=4<{<3g=?7f027:h44>a89>7fb=:8k0q~?k9;296~;6l009=l521e:95d>n?7>53z?2`d<6i116=io51`;891c42;;j7p}>d`83>7}:9mk1>4g>3ty:ho4?:3y>5ad=:8k01dd83>7}:9mo1>4e43ty:hk4?:3y>5a`=:8k01u231c95d1<5:;;6{t;8:1<777f349;j7?n7:p75e=838p1>>m:0c;?857k38:m6s|31f94?4|5::i6{t;m81<74g0349o>7<>a:p7a5=838p1>j=:0c;?85c;38:m6s|3e694?4|5:n96{t;mo1<74g0349oi7<>a:p7a`=838p1>jj:0c;?85cn38:m6s|3d294?4|5:nn6;6mj09=l5rs3c5>5<5s48j>7?n7:?1e2<59h1v?o<:18184f?3;j;63=a2815d=z{;k?6=4={<0b7?7f0279m94=1`9~w7g22909w0;5i<09=l5rs2:f>5<5s493m7?n7:?06m:18185?n3;j;63<8c815d=z{:2h6=4={<1;f?7f02784n4=1`9~w6>c2909w0=7b;3b=>;40m09=l5rs2;7>5<5s492<7?n7:?0=0<59h1v>7>:18185>=3;j;63<90815d=z{:396=4={<1:5?7f02785?4=1`9~w6?42909w0=61;3b=>;41:09=l5rs2ae>5<5s49h57?n7:?0`5<59h1v>mj:18185dl3;j;63;4kk09=l5rs2a`>5<5s49hm7?n9:?0gf<59h1v>jm:18185c?3;j;632909w0=k8;3b<>;4l009=l5rs2fb>5<5s49o47?n9:?0`d<59h1v9?7:181826<3;j;63;18815d=z{=;>6=4={<62=?7f?27?=84=1`9~w1712909w0:>5;3b<>;39?09=l5rs534>5<5s4>:97?n9:?752<59h1v9?j:181826i3;j;63;1g815d=z{=;i6=4={<62b?7f?27?=o4=1`9~w17d2909w0:>b;3b<>;39j09=l5rs53g>5<5s4>:n7?n9:?75a<59h1v;950;0x937=9h=01;6520c8yv062909w08>:33b?82??3;j46s|6383>7}:>10:m:5263815d=z{?91<7<5?91>5<5s4<9677f3ty=97>52z?51?46i27=87?n8:p23<72;q6:;4=1`9>21<6i01v;j50;0x93?=9h=01;k520c8yv0>2909w086:33b?82??3;j56s|6`83>7}:>l0:m:526`815d=z{?h1<7<5?h1>5<5s477f3ty:j:4?:3y>5`e=9h=01eg83>7}:9ln1=l74=0ge>77f3ty9;;4?:2y>5c6=9h20138:m6s|1g294?4|58l;6??n;<3fb?7f02wx>8:50;1x94`628k370?i1;3b=>;5==09=l5rs0d2>5<5s4;m=7<>a:?2ac<6i01veg82f1=z{8l86=4={<3e7?46i27:ik4>bg9~w4`32909w0?i4;02e>;6mo0:o?5rs0d6>5<5s4;m97<>a:?2ac<6k:1v38:m63>eg82g1=z{:9j6=4={<102?7f?278?o4=1`9~w6502909w0=;4;>09=l5rs21;>5<5s498;7?n8:?07=<59h1v>=6:181854?3;j563<38815d=z{:296=4={<14a?7f?2784>4=1`9~w61a2909w0=73;3b3>;4?o09=l5rs2:3>5<5s496>:181850n3;j563<80815d=z{:236=4={<1;0?7f?278444=1`9~w6>22909w0=79;3b3>;40<09=l5rs2:5>5<5s49397?n8:?0<3<59h1v>68:18185?=3;j563<86815d=z{=:h6=4={<632909w0:?d;3b3>;38009=l5rs52b>5<5s4>;57?n8:?74d<59h1v9>m:18182713;j563;0c815d=z{=;96=4={<63a?7f?27?=>4=1`9~w16a2909w0:>3;3b3>;38o09=l5rs533>5<5s4>;j7?n8:?755<59h1v9?>:181827n3;j563;10815d=z{=8?6=4={<614?7f?27?>84=1`9~w1472909w0:=0;02e>;31;0:m45rs502>5<5s4>997?n7:?764<59h1v9<=:18182593;j463;23815d=z{=886=4={<615?7f127?>>4=1`9~w0?22909w0;7a;3b3>;21?09=l5rs4:b>5<2s4?3m7<>a:?677<6k:169>=51b68907d28i970;=3;3`6>{t=1h1<74g034?3n7<>a:p1=e=838p186m:0c;?83?k38:m6s|59f94?4|5<2i6b28k370;7e;3b=>;60:09=l5rs4:f>5<5s4?3i7<>a:?64k4=1`9>1=b=9h30q~;60;296~;21909=l5259f95g252z?6=4<59h1695j51cd8yv3>:3:1>v3:93815d=:=1n1=n<4}r7:7?6=:r7>5>4=1`9>1=b=9j90q~;64;296~;21=09=l5259f95f252z?6e3<6i>169o<520c8yv3f?3:1>v3:b382e2=:=h=1>m:4>a99>1d>=:8k0q~;n9;296~;2i>0:m4525`;964g52z?6ed<59h169l751`:8yv71n3:1?v3:ac82e==:=hh1=l74=04e>77f3ty>mo4?:3y>1dd=:8k018o6:0c:?xu2ij0;6?u25`a964g<528hm7p}:ad83>7}:=ho1>4e53ty>mk4?:3y>1d`=:8k018o6:0a0?xu2j90;6?u25c2964g<5>l51`5897262;;j7p}=3b83>7}::=;1=l94=31`>77f3ty9?i4?:3y>66e=9h201?=k:33b?xu5;l0;6?u222a95d?<5;9n6??n;|q17c<72;q6>>h520c8975b28k37p}=4183>7}::=:1>4g>3ty98i4?:3y>614=9h=01?:k:33b?xu5h6??n;|q106<72;q6>9j51`5897242;;j7p}=4583>7}::=91=l64=367>77f3ty9884?:3y>615=9h301?:::33b?xu5>699520c8972228k27p}=4883>7}::=31>4g?3ty98l4?:3y>61g=:8k01?:l:0c:?xu5h69k51`58973f2;;j7p}=5883>7}::<>1=l94=37:>77f3ty98k4?:3y>60g=9h=01?:i:33b?xu5=90;6?u225d95d><5;?;6??n;|q114<72;q6>9h51`;897362;;j7p}=8583>6}::<81=l64=371>4g>348387<>a:p604=838p1?;=:33b?84293;j46s|24194?4|5;?86??n;<065?7f12wx>8;50;0x97322;;j70<:9;3b<>{t:<<1<777f348>57?n9:p601=838p1?;8:33b?84213;i86s|24:94?4|5;?36??n;<06=?7en2wx>;;50;0x973e28k<70<95;02e>{t:?>1<74g0348=87<>a:p637=839p1?8>:33b?840=3;j463=7482e<=z{;?h6=4={<051?7f?2799n4=1`9~w73c2909w0<:c;3b<>;5=m09=l5rs37f>5<5s48>o7?n9:?11`<59h1v?;i:181842n38:m63=5d82e==z{;<;6=4={<054?46i2799h4>a89~w7052909w0<92;02e>;5>=0:m55rs340>5<5s48=?7<>a:?121<6i01v?9>:181841>3;j;63=70815d=z{;=;6=4={<05e?7f?279;=4=1`9~w7002909w0<81;3b3>;5>>09=l5rs34;>5<5s48=;7?n8:?12=<59h1v?86:181841?3;j563=68815d=z{8??6=4<{<05=?7f0279:44>a89>502=:8k0q~<9b;296~;5>k09=l5226295d>52z?12f<59h16>:>51`;8yv41l3:1>v3=6e815d=::>:1=o:4}r05a?6=:r79:h4=1`9>626=9kl0q~<9f;296~;5>o09=l5226295f452z?137<6i>16>:j520c8yv40k3:1>v3=7782e2=::>i1>a69>625=:8k0q~<84;296~;5?:0:m552266964g52z?136<6i016>:;520c8yv40?3:1>v3=76815d=::>i1=l64}r0462e=9h30q~<89;296~;5?009=l5226a95g252z?13d<59h16>:m51cd8yv40j3:1>v3=7c815d=::>i1=n<4}r0;2?6=:r79;h4>a69>6=0=:8k0q~<75;296~;50=0:m:52297964g52z?1<3<6i>16>:h520c8yv4?83:1>v3=7g82e==::1:1>a89>6=7=:8k0q~<72;296~;50;09=l5229395d>52z?1<6<59h16>5?51`;8yv4?l3:1>v3=8682e2=::1n1>a69>6=>=:8k0q~<79;296~;5010:m55229;964g52z?1<=<6i016>5o520c8yv4?j3:1>v3=8c815d=::1k1=l64}r0;g?6=:r794n4=1`9>6=g=9h30q~<64;296~;50l0:m:52286964g52z?1=1<6i>16>5h520c8yv4>83:1>v3=8g82e==::0:1>a89>6<7=:8k0q~<62;296~;51;09=l5228395d>52z?1=6<59h16>4?51`;8yv4f83:1>v3=9482e2=::h:1>a69>6<`=:8k0q~<66;296~;5i90:m:52284964g52z?1=3<6i116>49520c8yv4>03:1>v3=9782e<=::021>6<>=9h20q~<6a;296~;51h09=l5228:95d?52z?1=f<59h16>4h51`:8yv4>l3:1>v3=9e815d=::0l1=l74}r0:a?6=:r795h4=1`9>6<`=9k>0q~:m6;296~;3ik0:m:524c4964gi97>52z?7ec<6i>168o;520c8yv2fk3:1>v3;b782e2=:a99>0db=:8k0q~:ne;296~;3ij0:m4524`g964g53z?7e`<6i1168lk51`;8941b2;;j7p};b183>7}:4g?3ty?n<4?:3y>0g7=:8k019l::0c:?xu3j;0;6?u24c0964g<5=h>67}:1>4e53ty>=;4?:3y>15c=9h=018?9:33b?xu29<0;6?u250095d1<5<;>6??n;|q657<72:q69<<520c8942c28k370?;d;3b=>{t=9l1<74g034?;j7<>a:p146=838p18>i:0c;?836838:m6s|50394?4|5<:m65;3b<>{t=8>1<777f34?:97?n9:p11e=838p18:7:0c4?833k38:m6s|55;94?4|5<>h628k370;;a;02e>{t==h1<74g>34??n7<>a:p13c=838p188n:0c4?831m38:m6s|57`94?4|5<{t=?n1<74g>34?=h7<>a:p0=g=838p196;:0c4?82?i38:m6s|49;94?4|5=236f28k<70:75;02e>{t<1<1<74g?34>3:7<>a:p0=1=838p196::0c:?82??38:m6s|4`294?4|5=3j6{t<0i1<74g?34>2o7<>a:p0l38:m6s|48g94?4|5=3n6??n;<6:`?7f02wx84h50;0x91?a2;;j70:6d;3b=>{t4g034>h;7<>a:p0f3=838p19m?:0c4?82d=38:m6s|4cf94?4|5=i<6{t4g>34>ij7<>a:p0f7=838p19m>:33b?82d=3;j46s|4b094?4|5=i96??n;<6`1?7f12wx8n=50;0x91e42;;j70:l5;3a0>{t1<777f34>h97?mf:p0`>=838p19jk:0c4?82b138:m6s|4d594?4|5=o8628k<70:ke;02e>{t4g?34>oj7<>a:p0`6=838p19jj:0c:?82b838:m6s|4d394?4|5=o:6??n;<6f4?7f02wx8h<50;0x91c52;;j70:j0;3b=>{t1<777f34>n;7?n8:p0`3=838p19k::33b?82b?3;j56s|4d494?4|5=o=6??n;<6f3?7e<2wx8k<50;0x91cd28k<70:i2;02e>{t4g034>nh7<>a:p0`c=838p19kk:0c;?82bm38:m6s|4dd94?4|5=oo650;0x91`72;;j70:jf;3b<>{t77f34>nj7?n9:p0cd=838p19h::0c4?82ak38:m6s|4g494?4|5=lh6{t4g>34>m47<>a:p0c?=838p19h6:33b?82a03;j46s|4gc94?4|5=lj6??n;<6e{t=991<74g034?;?7<>a:p152=838p18><:0c;?837<38:m6s|51794?4|5<:86{t=9=1<777f34?;97?n9:p15>=838p18>7:33b?837=3;i86s|51;94?4|5<:26??n;<731?7en2wx9>:50;0x904c28k<70;<4;02e>{t=;o1<74g034?9i7<>a:p17`=838p18?50;0x90562;;j70;<0;3b<>{t=:81<777f34?8<7?n9:p165=838p18=<:33b?83483;i86s|54c94?4|5{t=<>1<74g?34?>87<>a:p103=838p18;<:0c:?832=38:m6s|54494?4|5{t=<21<777f34?>97?m4:p10?=838p18;6:33b?832=3;ij6s|b783>7}:ik0:m:52b7815d=z{k?1<75<5s4h=677f3tyjh7>52z?bg?7f027jh7<>a:pe`<72;q6mn4>a89>e`<59h1vo>50;0x9g6=:8k01o;51`:8yvd62909w0l>:33b?8d228k27p}m2;296~;e:38:m63m5;3a0>{tj:0;6?u2b2815d=:j<0:nk5rsc694?4|5k>1>4g034hn6??n;|qaf?6=:r7ii7?n7:?af?46i2wxnn4?:3y>fg<6i116nn4=1`9~wgb=838p1ol51`;89gb=:8k0q~:63;297~;30m0:m:526g82e2=:<0>1>a69>0=c=:8k0q~:7f;296~;30l0:m55249d964g2<7>52z?7<`<6i01684>520c8yv2>93:1>v3;90815d=:<0:1=l64}r6:6?6=:r7?5?4=1`9>0<6=9h30q~;>d;296~;2900:m:5250f964g52z?65a<6i>169v3:1`82e==:=8h1>=l4>a89>14e=:8k0q~;=a;296~;2:90:m:5253c964g52z?661<6i>169?7520c8yv3593:1>v3:2`82e2=:=;;1>><4>a99>174=:8k0q~;=3;296~;2:80:m452531964g52z?660<59h169?751`:8yv35>3:1>v3:27815d=:=;31=l74}r713?6=:r7>>:4=1`9>17?=9k>0q~;=8;296~;2:109=l5253;95g`52z?67d<6i>1699;520c8yv33<3:1>v3:4182e2=:==>1>8=4=1`9>56c=9h201<=j:0c:?xu2;k0;6?u255795d1<5<9i6??n;|q67f<72;q69>l51`:8905d2;;j7p}:3e83>7}:=:h1=l74=41g>77f3ty>?h4?:3y>16c=:8k018=k:0c;?xu2;o0;6?u252d964g<5<9o67}:==81>4g>3ty>8>4?:3y>115=:8k018:;:0`7?xu3i10;6?u24`695d1<5=k36??n;|q7e0<72;q68l651`5891g22;;j7p};a783>7}:77f3ty?m:4?:3y>0d3=9h3019o8:33b?xu42909w0:51`5896<59h1v=4?:3y>7?7f?27;6??n;|q2>5<5s4:1=l64=0815d=z{;0;6?u20;3b=>;52;;j7p}n:1818d=9h=01l4=1`9~w0<72;q6m7?n7:?6>77f3ty=6=4={<795d><5?09=l5rs683>7}:=3;j5638:33b?xu?2909w06520c892<6i11v44?:3y>=?46i27<6850;1x9`g=9h201ho51`;894512;;j7p}ja;296~;bi38:m63i0;3b<>{tmk0;6?u2ec815d=:n90:m45rsda94?4|5li1>77f34l;6a:?e4?7d:2wxik4?:3y>ac<59h16j=4>c29~wc>=838p1k?51`589c>=:8k0q~h6:1808`528k370h=:0c:?8`>2;;j7p}i2;296~;a:38:m63i8;3b<>{tn:0;6?u2f2815d=:n10:m45rsg694?4|5o>1>6=4={77f34l36a:?eb2<59h16j54>c29~wc`=838p1k751`589c`=:8k0q~hn:1818`f2;;j70hi:0c;?xuaj3:1>v3ib;02e>;an3;j56s|fb83>7}:nj09=l52fg82f1=z{on1<75<5s4ln6??n;4e53ty:<>4?:3y>556=9h=01<><:33b?xu6880;6?u2113964g<58:860983>7}:99>1=l94=02;>77f3ty:<94?:2y>552=:8k01<>n:0c;?877i3;j56s|11794?4|58:>6??n;<33{t99=1<777f34;;47?m4:p546=838p1<>6:0c4?876838:m6s|11c94?4|58:j6??n;<324?7f02wx==l50;0x946e2;;j70?>0;3b=>{t99i1<777f34;:<7?m4:p55b=838p1<>k:33b?87683;ij6s|11g94?4|58:n6??n;<324?7d:2wx==h50;0x946a2;;j70?>0;3`7>{t9821<74g034;:47<>a:p54?=839p118815d=z{8;96=4={<326?46i27:=54>a99~w4742909w0?>3;02e>;6910:m45rs037>5<5s4;:87<>a:?25=<6j=1v1982fc=z{8;=6=4={<322?46i27:=54>c39~w4702909w0?>7;02e>;6910:o>5rs03e>5<5s4;:57?n7:?25c<59h1v1g82e==z{8;i6=4={<32f?46i27:=k4>a89~w47d2909w0?>c;02e>;69o0:n95rs03g>5<5s4;:h7<>a:?25c<6jo1v1g82g7=z{88=6=4={<314?7f?27:>;4=1`9~w4462909w0?=1;02e>;6:?0:m55rs001>5<5s4;9>7<>a:?263<6i01v<<<:181875;38:m63>2782f1=z{88?6=4={<310?46i27:>;4>bg9~w4422909w0?=5;02e>;6:?0:o?5rs00f>5<5s4;9;7?n7:?26`<59h1v<<7:181875038:m63>2d82e==z{8826=4={<31=?46i27:>h4>a89~w44f2909w0?=a;02e>;6:l0:n95rs00a>5<5s4;9n7<>a:?26`<6jo1v<2d82g7=z{88o6=4={<31`?46i27:>h4>c29~w4522909w0?=f;3b3>;6;<09=l5rs013>5<5s4;8<7<>a:?270<6i11v<=>:181874938:m63>3482e<=z{8996=4={<306?46i27:?84>b59~w4542909w0?<3;02e>;6;<0:nk5rs017>5<5s4;887<>a:?270<6k;1v<=l:181874>3;j;63>3b815d=z{89<6=4={<303?46i27:?n4>a99~w45?2909w0?<8;02e>;6;j0:m45rs01:>5<5s4;857<>a:?27f<6j=1v<=n:181874i38:m63>3b82fc=z{89i6=4={<30f?46i27:?n4>c39~w4232909w0?;6<=09=l5rs01f>5<5s4;8i7<>a:?201<6i11v<=i:181874n38:m63>4582e<=z{8>;6=4={<374?46i27:894>b59~w4262909w0?;1;02e>;6<=0:nk5rs061>5<5s4;?>7<>a:?201<6k;1v<:<:181873;38:m63>4582g6=z{8>i6=4={<371?7f?27:8o4=1`9~w4212909w0?;6;02e>;65<5s4;?;7<>a:?20g<6i01v<:7:181873038:m63>4c82f1=z{8>26=4={<37=?46i27:8o4>bg9~w42f2909w0?;a;02e>;65<5s4;?o7?n7:?216<59h1v<:k:181873l38:m63>5282e==z{8>n6=4={<37a?46i27:9>4>a89~w42a2909w0?;f;02e>;6=:0:n95rs073>5<5s4;><7<>a:?216<6jo1v<;>:181872938:m63>5282g7=z{8?96=4={<366?46i27:9>4>c29~w4302909w0?:4;3b3>;6=>09=l5rs076>5<5s4;>97<>a:?212<6i11v<;9:181872>38:m63>5682e<=z{8?n6=4={<362909w0?:9;02e>;6=l0:m55rs07b>5<5s4;>m7<>a:?21`<6i01v<;m:181872j38:m63>5d82f1=z{8?h6=4={<36g?46i27:9h4>bg9~w43c2909w0?:d;02e>;6=l0:o?5rs045>5<5s4;>j7?n7:?223<59h1v<8?:181871838:m63>6782e==z{8<:6=4={<355?46i27::;4>a89~w4052909w0?92;02e>;6>?0:n95rs040>5<5s4;=?7<>a:?223<6jo1v<8;:181871<38:m63>6782g7=z{8<>6=4={<351?46i27::;4>c29~w40b2909w0?97;3b3>;6>l09=l5rs04;>5<5s4;=47<>a:?22`<6i11v<86:181871138:m63>6d82e<=z{8b59~w40e2909w0?9b;02e>;6>l0:nk5rs04`>5<5s4;=o7<>a:?22`<6k;1v<8k:181871l38:m63>6d82g6=z{8==6=4={<35b?7f?27:;;4=1`9~w4172909w0?80;02e>;6??0:m55rs052>5<5s4;<=7<>a:?233<6i01v<9=:181870:38:m63>7782f1=z{8=86=4={<347?46i27:;;4>bg9~w4132909w0?84;02e>;6??0:o?5rs056>5<5s4;<97<>a:?233<6k:1v<9k:181870?3;j;63>7e815d=z{8=36=4={<34a99~w41>2909w0?89;02e>;6?m0:m45rs05b>5<5s4;a:?23a<6j=1v<9m:181870j38:m63>7e82fc=z{8=h6=4={<34g?46i27:;i4>c39~w4>52909w0?8e;3b3>;60;09=l5rs05e>5<5s4;a:?2<7<6i11v<6?:18187?838:m63>8382e<=z{82:6=4={<3;5?46i27:4?4>b59~w4>f2909w0?73;3b3>;60h09=l5rs0:7>5<5s4;387<>a:?28`82e<=z{82=6=4={<3;2?46i27:4l4>b59~w4>02909w0?77;02e>;60h0:nk5rs0:;>5<5s4;347<>a:?28`82g6=z{83:6=4={<3;f?7f?27:5<4=1`9~w4>d2909w0?7c;02e>;6180:m55rs0:g>5<5s4;3h7<>a:?2=4<6i01v<6j:18187?m38:m63>9082f1=z{82m6=4={<3;b?46i27:5<4>bg9~w4?72909w0?60;02e>;6180:o?5rs0;;>5<5s4;2>7?n7:?2==<59h1v<7=:18087>:38:m63>9`82e==:90k1=l74}r3:7?6=:r7:5>4=1`9>5<>=9h20q~?64;296~;61=09=l5218:95d?52z?2=0<59h16=4651c68yv7>>3:1>v3>97815d=:9021=oh4}r3:3?6=:r7:5:4=1`9>5<>=9j80q~?n0;296~;6100:m:521`2964g52z?2=d<59h16=l>51`:8yv7>j3:1>v3>9c815d=:9h:1=l74}r3:g?6=:r7:5n4=1`9>5d6=9k>0q~?6d;296~;61m09=l521`295g`52z?2=`<59h16=l>51b08yv7>n3:1>v3>9g815d=:9h:1=n=4}|l461e=83;pqc9=4e83>4}zf>8?i7>51zm372a290:wp`824294?7|ug=99<4?:0y~j242:3:1=vsa7370>5<6std<>8:50;3xyk15=<0;6{|l460e=83;pqc9=5e83>4}zf>8>i7>51zm373a290:wp`827294?7|ug=9:<4?:0y~j241:3:1=vsa7340>5<6std<>;:50;3xyk15><0;6{|l463e=83;pqc9=6e83>4}zf>8=i7>51zm370a290:wp`826294?7|ug=9;<4?:0y~j240:3:1=vsa7350>5<6std<>::50;3xyk15?<0;621<7?t}o513<<728qvb:<8a;295~{i?;=i6=4>{|l462e=83;pqc9=7e83>4}zf>851zm371a290:wp`829294?7|ug=94<4?:0y~j24?:3:1=vsa73:0>5<6std<>5:50;3xyk150<0;6{|l46=e=83;pqc9=8e83>4}zf>83i7>51zm37>a290:wp`828294?7|ug=95<4?:0y~j24>:3:1=vsa73;0>5<6std<>4:50;3xyk151<0;6{|l464}zf>82i7>51zm37?a290:wp`82`294?7|ug=9m<4?:0y~j24f:3:1=vsa73c0>5<6std<>l:50;3xyk15i<0;6{|l46de=83;pqc9=ae83>4}zf>8ji7>51zm37ga290:wp`82c294?7|ug=9n<4?:0y~j24e:3:1=vsa73`0>5<6std<>o:50;3xyk15j<0;6{|l46ge=83;pqc9=be83>4}zf>8ii7>51zm37da290:wp`82b294?7|ug=9o<4?:0y~j24d:3:1=vsa73a0>5<6std<>n:50;3xyk15k<0;6{|l46fe=83;pqc9=ce83>4}zf>8hi7>51zm37ea290:wp`82e294?7|ug=9h<4?:0y~j24c:3:1=vsa73f0>5<6std<>i:50;3xyk15l<0;6{|l46ae=83;pqc9=de83>4}zf>8oi7>51zm37ba290:wp`82d294?7|ug=9i<4?:0y~j24b:3:1=vsa73g0>5<6std<>h:50;3xyk15m<0;6{|l46`e=83;pqc9=ee83>4}zf>8ni7>51zm37ca290:wp`82g294?7|ug=9j<4?:0y~j24a:3:1=vsa73d0>5<6std<>k:50;3xyk15n<0;6{|l46ce=83;pqc9=fe83>4}zf>8mi7>51zm37`a290:wp`831294?7|ug=8<<4?:0y~j257:3:1=vsa7220>5<6std>8:182xh0;921<7?t}o504<<728qvb:=?a;295~{i?::i6=4>{|l475e=83;pqc9<0e83>4}zf>9;i7>51zm366a290:wp`830294?7|ug=8=<4?:0y~j256:3:1=vsa7230>5<6std?8:182xh0;821<7?t}o505<<728qvb:=>a;295~{i?:;i6=4>{|l474e=83;pqc9<1e83>4}zf>9:i7>51zm367a290:wp`833294?7|ug=8><4?:0y~j255:3:1=vsa7200>5<6std<8:182xh0;;21<7?t}o506<<728qvb:==a;295~{i?:8i6=4>{|l477e=83;pqc9<2e83>4}zf>99i7>51zm364a290:wp`832294?7|ug=8?<4?:0y~j254:3:1=vsa7210>5<6std:50;3xyk14;<0;6=8:182xh0;:21<7?t}o507<<728qvb:={|l476e=83;pqc9<3e83>4}zf>98i7>51zm365a290:wp`835294?7|ug=88<4?:0y~j253:3:1=vsa7260>5<6std:8:182xh0;=21<7?t}o500<<728qvb:=;a;295~{i?:>i6=4>{|l471e=83;pqc9<4e83>4}zf>9?i7>51zm362a290:wp`834294?7|ug=89<4?:0y~j252:3:1=vsa7270>5<6std;8:182xh0;<21<7?t}o501<<728qvb:=:a;295~{i?:?i6=4>{|l470e=83;pqc9<5e83>4}zf>9>i7>51zm363a290:wp`837294?7|ug=8:<4?:0y~j251:3:1=vsa7240>5<6std<0;688:182xh0;?21<7?t}o502<<728qvb:=9a;295~{i?:{|l473e=83;pqc9<6e83>4}zf>9=i7>51zm360a290:wp`836294?7|ug=8;<4?:0y~j250:3:1=vsa7250>5<6std98:182xh0;>21<7?t}o503<<728qvb:=8a;295~{i?:=i6=4>{|l472e=83;pqc9<7e83>4}zf>951zm361a290:wp`839294?7|ug=84<4?:0y~j25?:3:1=vsa72:0>5<6std68:182xh0;121<7?t}o50<<<728qvb:=7a;295~{i?:2i6=4>{|l47=e=83;pqc9<8e83>4}zf>93i7>51zm36>a290:wp`838294?7|ug=85<4?:0y~j25>:3:1=vsa72;0>5<6std78:182xh0;021<7?t}o50=<<728qvb:=6a;295~{i?:3i6=4>{|l474}zf>92i7>51zm36?a290:wp`83`294?7|ug=8m<4?:0y~j25f:3:1=vsa72c0>5<6stdo8:182xh0;h21<7?t}o50e<<728qvb:=na;295~{i?:ki6=4>{|l47de=83;pqc94}zf>9ji7>51zm36ga290:wp`83c294?7|ug=8n<4?:0y~j25e:3:1=vsa72`0>5<6stdl8:182xh0;k21<7?t}o50f<<728qvb:=ma;295~{i?:hi6=4>{|l47ge=83;pqc94}zf>9ii7>51zm36da290:wp`83b294?7|ug=8o<4?:0y~j25d:3:1=vsa72a0>5<6stdm8:182xh0;j21<7?t}o50g<<728qvb:=la;295~{i?:ii6=4>{|l47fe=83;pqc94}zf>9hi7>51zm36ea290:wp`83e294?7|ug=8h<4?:0y~j25c:3:1=vsa72f0>5<6stdj8:182xh0;m21<7?t}o50`<<728qvb:=ka;295~{i?:ni6=4>{|l47ae=83;pqc94}zf>9oi7>51zm36ba290:wp`83d294?7|ug=8i<4?:0y~j25b:3:1=vsa72g0>5<6stdk8:182xh0;l21<7?t}o50a<<728qvb:=ja;295~{i?:oi6=4>{|l47`e=83;pqc94}zf>9ni7>51zm36ca290:wp`83g294?7|ug=8j<4?:0y~j25a:3:1=vsa72d0>5<6stdh8:182xh0;o21<7?t}o50b<<728qvb:=ia;295~{i?:li6=4>{|l47ce=83;pqc94}zf>9mi7>51zm36`a290:wp`841294?7|ug=?<<4?:0y~j227:3:1=vsa7520>5<6std<8=:50;3xyk138<0;68:182xh0<921<7?t}o574<<728qvb::?a;295~{i?=:i6=4>{|l405e=83;pqc9;0e83>4}zf>>;i7>51zm316a290:wp`840294?7|ug=?=<4?:0y~j226:3:1=vsa7530>5<6std<8<:50;3xyk139<0;6a;295~{i?=;i6=4>{|l404e=83;pqc9;1e83>4}zf>>:i7>51zm317a290:wp`843294?7|ug=?><4?:0y~j225:3:1=vsa7500>5<6std<8?:50;3xyk13:<0;6{|l407e=83;pqc9;2e83>4}zf>>9i7>51zm314a290:wp`842294?7|ug=??<4?:0y~j224:3:1=vsa7510>5<6std<8>:50;3xyk13;<0;6{|l406e=83;pqc9;3e83>4}zf>>8i7>51zm315a290:wp`845294?7|ug=?8<4?:0y~j223:3:1=vsa7560>5<6std<89:50;3xyk13<<0;6i6=4>{|l401e=83;pqc9;4e83>4}zf>>?i7>51zm312a290:wp`844294?7|ug=?9<4?:0y~j222:3:1=vsa7570>5<6std<88:50;3xyk13=<0;6{|l400e=83;pqc9;5e83>4}zf>>>i7>51zm313a290:wp`847294?7|ug=?:<4?:0y~j221:3:1=vsa7540>5<6std<8;:50;3xyk13><0;6{|l403e=83;pqc9;6e83>4}zf>>=i7>51zm310a290:wp`846294?7|ug=?;<4?:0y~j220:3:1=vsa7550>5<6std<8::50;3xyk13?<0;621<7?t}o573<<728qvb::8a;295~{i?==i6=4>{|l402e=83;pqc9;7e83>4}zf>>51zm311a290:wp`849294?7|ug=?4<4?:0y~j22?:3:1=vsa75:0>5<6std<85:50;3xyk130<0;6{|l40=e=83;pqc9;8e83>4}zf>>3i7>51zm31>a290:wp`848294?7|ug=?5<4?:0y~j22>:3:1=vsa75;0>5<6std<84:50;3xyk131<0;6{|l404}zf>>2i7>51zm31?a290:wp`84`294?7|ug=?m<4?:0y~j22f:3:1=vsa75c0>5<6std<8l:50;3xyk13i<0;6{|l40de=83;pqc9;ae83>4}zf>>ji7>51zm31ga290:wp`84c294?7|ug=?n<4?:0y~j22e:3:1=vsa75`0>5<6std<8o:50;3xyk13j<0;6{|l40ge=83;pqc9;be83>4}zf>>ii7>51zm31da290:wp`84b294?7|ug=?o<4?:0y~j22d:3:1=vsa75a0>5<6std<8n:50;3xyk13k<0;6{|l40fe=83;pqc9;ce83>4}zf>>hi7>51zm31ea290:wp`84e294?7|ug=?h<4?:0y~j22c:3:1=vsa75f0>5<6std<8i:50;3xyk13l<0;6{|l40ae=83;pqc9;de83>4}zf>>oi7>51zm31ba290:wp`84d294?7|ug=?i<4?:0y~j22b:3:1=vsa75g0>5<6std<8h:50;3xyk13m<0;6{|l40`e=83;pqc9;ee83>4}zf>>ni7>51zm31ca290:wp`84g294?7|ug=?j<4?:0y~j22a:3:1=vsa75d0>5<6std<8k:50;3xyk13n<0;6{|l40ce=83;pqc9;fe83>4}zf>>mi7>51zm31`a290:wp`851294?7|ug=><<4?:0y~j237:3:1=vsa7420>5<6std<9=:50;3xyk128<0;68:182xh0=921<7?t}o564<<728qvb:;?a;295~{i?<:i6=4>{|l415e=83;pqc9:0e83>4}zf>?;i7>51zm306a290:wp`850294?7|ug=>=<4?:0y~j236:3:1=vsa7430>5<6std<9<:50;3xyk129<0;6a;295~{i?<;i6=4>{|l414e=83;pqc9:1e83>4}zf>?:i7>51zm307a290:wp`853294?7|ug=>><4?:0y~j235:3:1=vsa7400>5<6std<9?:50;3xyk12:<0;6{|l417e=83;pqc9:2e83>4}zf>?9i7>51zm304a290:wp`852294?7|ug=>?<4?:0y~j234:3:1=vsa7410>5<6std<9>:50;3xyk12;<0;6{|l416e=83;pqc9:3e83>4}zf>?8i7>51zm305a290:wp`855294?7|ug=>8<4?:0y~j233:3:1=vsa7460>5<6std<99:50;3xyk12<<0;6i6=4>{|l411e=83;pqc9:4e83>4}zf>??i7>51zm302a290:wp`854294?7|ug=>9<4?:0y~j232:3:1=vsa7470>5<6std<98:50;3xyk12=<0;6{|l410e=83;pqc9:5e83>4}zf>?>i7>51zm303a290:wp`857294?7|ug=>:<4?:0y~j231:3:1=vsa7440>5<6std<9;:50;3xyk12><0;6{|l413e=83;pqc9:6e83>4}zf>?=i7>51zm300a290:wp`856294?7|ug=>;<4?:0y~j230:3:1=vsa7450>5<6std<9::50;3xyk12?<0;621<7?t}o563<<728qvb:;8a;295~{i?<=i6=4>{|l412e=83;pqc9:7e83>4}zf>?51zm301a290:wp`859294?7|ug=>4<4?:0y~j23?:3:1=vsa74:0>5<6std<95:50;3xyk120<0;6{|l41=e=83;pqc9:8e83>4}zf>?3i7>51zm30>a290:wp`858294?7|ug=>5<4?:0y~j23>:3:1=vsa74;0>5<6std<94:50;3xyk121<0;6{|l414}zf>?2i7>51zm30?a290:wp`85`294?7|ug=>m<4?:0y~j23f:3:1=vsa74c0>5<6std<9l:50;3xyk12i<0;6{|l41de=83;pqc9:ae83>4}zf>?ji7>51zm30ga290:wp`85c294?7|ug=>n<4?:0y~j23e:3:1=vsa74`0>5<6std<9o:50;3xyk12j<0;6{|l41ge=83;pqc9:be83>4}zf>?ii7>51zm30da290:wp`85b294?7|ug=>o<4?:0y~j23d:3:1=vsa74a0>5<6std<9n:50;3xyk12k<0;6{|l41fe=83;pqc9:ce83>4}zf>?hi7>51zm30ea290:wp`85e294?7|ug=>h<4?:0y~j23c:3:1=vsa74f0>5<6std<9i:50;3xyk12l<0;6{|l41ae=83;pqc9:de83>4}zf>?oi7>51zm30ba290:wp`85d294?7|ug=>i<4?:0y~j23b:3:1=vsa74g0>5<6std<9h:50;3xyk12m<0;6{|l41`e=83;pqc9:ee83>4}zf>?ni7>51zm30ca290:wp`85g294?7|ug=>j<4?:0y~j23a:3:1=vsa74d0>5<6std<9k:50;3xyk12n<0;6{|l41ce=83;pqc9:fe83>4}zf>?mi7>51zm30`a290:wp`861294?7|ug==<<4?:0y~j207:3:1=vsa7720>5<6std<:=:50;3xyk118<0;68:182xh0>921<7?t}o554<<728qvb:8?a;295~{i??:i6=4>{|l425e=83;pqc990e83>4}zf><;i7>51zm336a290:wp`860294?7|ug===<4?:0y~j206:3:1=vsa7730>5<6std<:<:50;3xyk119<0;6821<7?t}o555<<728qvb:8>a;295~{i??;i6=4>{|l424e=83;pqc991e83>4}zf><:i7>51zm337a290:wp`863294?7|ug==><4?:0y~j205:3:1=vsa7700>5<6std<:?:50;3xyk11:<0;6;21<7?t}o556<<728qvb:8=a;295~{i??8i6=4>{|l427e=83;pqc992e83>4}zf><9i7>51zm334a290:wp`862294?7|ug==?<4?:0y~j204:3:1=vsa7710>5<6std<:>:50;3xyk11;<0;6:21<7?t}o557<<728qvb:8{|l426e=83;pqc993e83>4}zf><8i7>51zm335a290:wp`865294?7|ug==8<4?:0y~j203:3:1=vsa7760>5<6std<:9:50;3xyk11<<0;6=21<7?t}o550<<728qvb:8;a;295~{i??>i6=4>{|l421e=83;pqc994e83>4}zf>51zm332a290:wp`864294?7|ug==9<4?:0y~j202:3:1=vsa7770>5<6std<:8:50;3xyk11=<0;6<21<7?t}o551<<728qvb:8:a;295~{i???i6=4>{|l420e=83;pqc995e83>4}zf><>i7>51zm333a290:wp`867294?7|ug==:<4?:0y~j201:3:1=vsa7740>5<6std<:;:50;3xyk11><0;6?21<7?t}o552<<728qvb:89a;295~{i??{|l423e=83;pqc996e83>4}zf><=i7>51zm330a290:wp`866294?7|ug==;<4?:0y~j200:3:1=vsa7750>5<6std<:::50;3xyk11?<0;6>21<7?t}o553<<728qvb:88a;295~{i??=i6=4>{|l422e=83;pqc997e83>4}zf><51zm331a290:wp`869294?7|ug==4<4?:0y~j20?:3:1=vsa77:0>5<6std<:5:50;3xyk110<0;6121<7?t}o55<<<728qvb:87a;295~{i??2i6=4>{|l42=e=83;pqc998e83>4}zf><3i7>51zm33>a290:wp`868294?7|ug==5<4?:0y~j20>:3:1=vsa77;0>5<6std<:4:50;3xyk111<0;6021<7?t}o55=<<728qvb:86a;295~{i??3i6=4>{|l424}zf><2i7>51zm33?a290:wp`86`294?7|ug==m<4?:0y~j20f:3:1=vsa77c0>5<6std<:l:50;3xyk11i<0;6h21<7?t}o55e<<728qvb:8na;295~{i??ki6=4>{|l42de=83;pqc99ae83>4}zf>51zm33ga290:wp`86c294?7|ug==n<4?:0y~j20e:3:1=vsa77`0>5<6std<:o:50;3xyk11j<0;6k21<7?t}o55f<<728qvb:8ma;295~{i??hi6=4>{|l42ge=83;pqc99be83>4}zf>51zm33da290:wp`86b294?7|ug==o<4?:0y~j20d:3:1=vsa77a0>5<6std<:n:50;3xyk11k<0;6j21<7?t}o55g<<728qvb:8la;295~{i??ii6=4>{|l42fe=83;pqc99ce83>4}zf>51zm33ea290:wp`86e294?7|ug==h<4?:0y~j20c:3:1=vsa77f0>5<6std<:i:50;3xyk11l<0;6m21<7?t}o55`<<728qvb:8ka;295~{i??ni6=4>{|l42ae=83;pqc99de83>4}zf>51zm33ba290:wp`86d294?7|ug==i<4?:0y~j20b:3:1=vsa77g0>5<6std<:h:50;3xyk11m<0;6l21<7?t}o55a<<728qvb:8ja;295~{i??oi6=4>{|l42`e=83;pqc99ee83>4}zf>51zm33ca290:wp`86g294?7|utwvLMMt7c;5>16>>l8>ipNOBz2~DEV|uIJ \ No newline at end of file diff --git a/cpld/XC95144XL/MXSE.ngc b/cpld/XC95144XL/MXSE.ngc new file mode 100644 index 0000000..1ca4291 --- /dev/null +++ b/cpld/XC95144XL/MXSE.ngc @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$5164=79;1:>7AZTQWW>WG;980;2<>4178JJUSS2H69?76111915?OIX\^1M1<>:0<20>442@D[YY4N_GQA875=97?0M1??>49B8479=2K7=?0:;@>27;3G;9?4>7L2>7?78E97?6<1J0<714:C?5;3G;::03285N<31=0>G;:7>0M1=14:C?0;2GKM9?0MAK?M49BH@6Tk2KGI=Qbuy2345bGKM9Ufyu>?011g?DJB8Vg~t=>?05f8EIC7Wds<=>?559BH@7d3HFN=RQ`r1235a=FDL;TSb|?01320>GIL;>0MCJ<4:CM@12GTzoUecy>?003:?DYA[K6:<374A^DPF976601JSK]M<00==>GXNZH7=>06;@]EWG:6<730MRH\B=36:<=FWOYI0<819:C\BVD;9>427LQISC>2<;?99B[CUE48427LQISC>14;?>89B[CUE4;85n6OPFR@?66<6601JSK]M<31=<>GXNZH7>364A^DPF95902KTJ^L34?:8EZ@TJ5?546OPFR@?2;>GXNZHT==QFBTDg?DYA[KU:=RGMUGf8EZ@TJV;9SDLZFe9B[CUEW89TEO[Id:C\BVDX9=UBNXHk;@]EWGY6=VCIYKj4A^DPFZ71W@H^Ji5N_GQA[41XAK_Mh6OPFR@\5=YNJ\Lo7LQISC]2=ZOE]Oi0MRH\B^3\MGSAl2KTJ^LP21]JFP@c3HUM_OQ=1^KAQCbGXNZHT>RGMUGa8EZ@TJV9TEO[Ic:C\BVDXDFK]?0NLM[149AEFR5=2HJOY|;;CGQV0=EM[X;:6LJRS2qa>DBZ[:ySRa}0123b>DBZ[:ySRa}01235c=EM[X;~RQ`r123473DBZ[xyi6LJRSpq[kis89::j6LJRSpq[kis89::=55MUR]JJCI63J>0O<8B3:A;I1=DIJ^97NK<;BNH=>EKC;RTEBLj;BNH6]YNGKUBNXH8;BNH[CUEk2IGGRH\B^KAQC15LLS18GKR>3JEFADZ[EE58GWCF\LN97N]9;Bnfew7a3Jfnm?P_`lg4567n2Igil|>_`lg4567981O;6J[ABIqb2=C\HI@~h4DUC@OwtXff~;<=?>0:FWEFMuzVddx=>?1058@drf494<7Io{a=3=5>C23LY7<3;4ER>2:0=B[58596K\<2<6?@U;<7>0I^Q?d:GP[5YXign;<=>j;DQ\4ZYffm:;<=?j;DQ\4ZYffm:;<=CTW8UTmcj?0122a>CTW8UTmcj?01210>CTW;n0I^Q=_^cm`5678l1N_RCTW=UTmcj?012f?@UX?013f?@UX?0102b>CTWDkohRQnde2345YJpfxT^h}zlu>2:4`>1`9FWZKflmUTmij?012\[dhc89::=<74ER]NeabXWhno<=>?_^cm`567:8k0I^QBaef\[dbc89:;SRoad12364b_np34565m2OXS\Q>_np34564m2OXS\Q>_np34563m2OXS\Q>_np34562m2OXS\Q>_np34561m2OXS\Q>_np34560m2OXS\Q>_np3456?m2OXS\Q>_np3456>m2OXSRokd1234979m2OXSRokd1234949m2OXSRokd1234959m2OXSRokd1234929=2O_MNEk;DVBGNYffm:;<=k4EUC@OZgil9:;<k4EUC@OZgil9:;<9k4EUC@OZgil9:;<8k4EUC@OZgil9:;<;;4E^KLFd=BW@EISDLZF39Fv6=Bz;h0IQLlj]JJS723LxTB=:4FBNH6>@C<2LOOH=4FR@2?B5<4I508M04OFKZ;>7DOLS378MDET;=1BNXH6;HLJPVRF\L90ECX:;HMBGQb0:KLF@TUWds<=>?1233?LIEM[XTaxv?0122046>8g9JKGCUZVg~t=>?03d8MJDBZ[Ufyu>?011e?LIEM[XTaxv?0127b>OHJLXYS`{w01231c=NGKOY^Rczx12343`7DALS048MJET99<0EBM\1048MJET9;<0EBM\1248MJET9=<0EBM\1448MJET9??0EBM\249JKFU4=2CDO^::;HM@W03OHKZ2>7DALS868MJJ7k2CD@=Qbuy2345bOHD9Ufyu>?011g?LIK8Vg~t=>?05f8MJJ7Wds<=>?559JKI7d3@EG=R``t1235a=NGE;Tbbz?01321>OHDMY=7DA_WBQ4?LIW_JY:;6G@PVAP62=NGY]H_>94INRTGV223@EYI\j4INPFUZkrp9:;k4INPFUZkrp9:;<9k4INPFUZkrp9:;<8k4INPFUZkrp9:;<;k4INPFUZkrp9:;<:k4INPFUZkrp9:;<5k4INPFUZkrp9:;<484INPFUw3n7DA]W1]mkq6788?n7DA]W1]mkq67887DA]W0f8MJTP9Vkeh=>?0d9JKWQ6Whdo<=>?1d9JKWQ6Whdo<=>?2d9JKWQ6Whdo<=>?3d9JKWQ6Whdo<=>?4d9JKWQ6Whdo<=>?5d9JKWQ6Whdo<=>?669JKWcflp;;7DA]e`fz[Zgil9:;<?1038MJTbimsTSl`k01236f=NGZUM_@QIFe3e?LITWOYFSKHk1,Km55=NGZUM_@QIFe3.Mk7682CD_RH\M^DE`4+Nf;;;7DA\_GQN[C@c9$Ce?<>4INQ\BVKXNOn:!D`;119JKVYA[DUMJi?"Io7`?LITWOYFSKHk2g9JKVYA[DUMJi<"Io33?LITWOYFSKHk2,Km546 Ga5b9JKVYA[DUMJi=i;HMP[CUJWOLo? Ga119JKVYA[DUMJi="Io325>OH[VLXARHId2/Jj46692CD_RH\M^DE`6+Nf8;:=6G@S^DPIZ@Al:'Bb<<>0:KLWZ@TEVLMh>#Fn333?LITWOYFSKHk3,Km7461028MJUXNZGTJKj;-Hl155=NGZUM_@QIFe6.Mk5682CD_RH\M^DE`1+Nf=;;7DA\_GQN[C@c<$Ce9<>4INQ\BVKXNOn?!D`9119JKVYA[DUMJi:"Io524>OH[VLXARHId5/Jj=773@EXSK]B_GDg0(Oi1=1BCX>l;HMV4Zkrp9:;Pmtz34562<2CDY7DAZDR68MJQBm2ANI]QGIDPBVFN^?2FDKDMNL`9OTHY_G[8T=85Co`f2a>Jhim;TSl`k01230>K_[L80B=<4N058J@RPG[A:7B:4OCWE7>IU::1D^>=4OS67?Jhdmj1DbnkP_np3456c3FdhiRQ`r12344bIiklUTc>?016g?JhdmVUd~=>?04f8KkebWVey<=>?6e9LjfcXWfx;<=>8d:Mmg`YXg{:;<=6k;Nl`aZYhz9:;<4?4Pb9S*766;8::?RB;;QCQPd=WAGUIY^GKXc9SMKYE]ZDJAHl4PR]EWHYANm;n7]]PFRO\BCb6%@dm7]]PFRO\BCb6%@d:j6^\_GQN[C@c9$Ce>o5_S^DPIZ@Al;o0\^QISL]EBa4*Agl0\^QISL]EBa4*Ag;:<6^\_GQN[C@c:$Ce==??;QQ\BVKXNOn9!D`>1028TVYA[DUMJi<"Io3155=W[VLXARHId3/Jj45a3YYTJ^CPFGf1)Lh5n2ZXSK]B_GDg6(Oi;o1[_RH\M^DE`7+Nf=l0\^QISL]EBa4*Ag?m7]]PFRO\BCb5%@d=j6^\_GQN[C@c:$Ce;k5_S^DPIZ@Al;'Bb5h4PR]EWHYANm8&Ec7m;QQ\BVKXG|~;o6^\_GQN[Jss88i0\^QISL]Lqq65l2ZXSnc_ds345663X?0^L2?>79QE977611YM1?>:1<5?WG;984>7_O31?78VD:56<1YM1=15:PB81823[K793;4R@>5:0=UI5=596\N<9<6?WG;1790^LC:;SCNGV084R@O@W10_lw{4567991YM@JFS0]nq}6789;:=6\NMEKP5Zkrp9:;<<>>1:PBIAOT9Vg~t=>?00325>TFEMCX=Rczx123444692XJAIG\1^ov|567889:=6\NMEKP5Zkrp9:;<<:>1:PBIAOT9Vg~t=>?00725>TFEMCX=Rczx123440692XJAIG\1^ov|56788=:=6\NMEKP5Zkrp9:;<<6>1:PBIAOT9Vg~t=>?00;24>TFEMCX=Rczx12347773[KFHD]>_lw{4567;8:0^LCKIR3\ip~789:?==5]ALFJW4Yj}q:;<=;>0:PBIAOT9Vg~t=>?0733?WGJL@Y:S`{w0123346TFEMCX>RQ`r1234460:PBIAOT:VUd~=>?0233?WGJL@Y9SRa}0123046?8028VDKCAZ8TSb|?012:3>TFEMCX?:5]ALFJW11>139QEHTbimsTaxv?01224442:PBIWcflpUfyu>?013057=UIDXnmiwPmtz34566<880^LC]e`fz[hs89:;=8?=;SCNV`gcqVg~t=>?00425>TFE[ojhtQbuy23454692XJA_kndx]nq}67899:=6\NMSgb`|Yj}q:;<=:>1:PBIWcflpUfyu>?01725>TFE[ojhtQbuy23450692XJA_kndx]nq}6789=:=6\NMSgb`|Yj}q:;<=6>1:PBIWcflpUfyu>?01;6?WGTMEn0^L]JL^ov|5678l1YM^KC_lw{45679o1YM^KC_lw{456799l0^L]JL^ov|56788;m7_O\EM]nq}6789;9j6\NSDN\ip~789::?k5]ARGO[hs89:;=9h4R@QFHZkrp9:;<<;i;SCPAIYj}q:;<=?9f:PBW@JXe|r;<=>>7g9QEVCKWds<=>?19d8VDUBDVg~t=>?00;f?WGTMEUfyu>?010e?WGTMEUfyu>?0103b>TF[LFTaxv?01215c=UIZOGS`{w012367`o0^L]JL^ov|56781o0^L]JL^ov|5678030^LQ?_N@VBf=UIV:Taxv?012g?WGX8Vg~t=>?00f8VDY7Wds<=>?2e9QEZ6Xe|r;<=>3[KT=RAMUGa8VDY6Wds<=>?d:PB[4Yj}q:;<=?k;SC\5Zkrp9:;Rczx1234a=UIV8Taxv?0122`>TFW;Ufyu>?010g?WGX:Vg~t=>?02f8VDY5Wds<=>?489QEZ5XGK_Mo6\N_2]nq}6789n0^LQ<_lw{45679m1YMR=Pmtz34565l2XJS>Qbuy23455c3[KT?Rczx12341?k;SC\0Zkrp9:;<i5]A^6\ip~789:8h6\N_5]nq}6789>27_OP5^MAQCeS`{w0123`>TFW?013g?WGX=Vg~t=>?03f8VDY2Wds<=>?3e9QEZ3Xe|r;<=>;9:PB[3YHJ\Lh7_OP6^ov|5678m1YMR8Pmtz34566l2XJS;Qbuy23454c3[KT:Rczx12346b?00f8VDY?Wds<=>?2e9QEZ>Xe|r;<=>TB[=1YI^?:;SGPvc30:PP[CUJWOLo= Ga1333?WUXNZGTJKj>-Hl2746m7_]PFRO\BCb6%@d>j6\\_GQN[C@c9$Ce:k5]S^DPIZ@Al8'Bb:h4RR]EWHYANm;&Ec6i;SQ\BVKXNOn:!D`6b:PP[CUJWOLo>h5]S^DPIZ@Al;'Bbk5]S^DPIZ@Al;'Bb0028VVYA[DUMJi<"Io3255=U[VLXARHId3/Jj44682XXSK]B_GDg6(Oi9:;;7_]PFRO\BCb5%@d:8<>4RR]EWHYANm8&Ec?:119QWZ@TEVLMh?#Fn0424>TTWOYFSKHk2,Km52773[YTJ^CPFGf1)Lh608:0^^QISL]EBa4*Ag;2j6\\_GQN[C@c:$Ce>k5]S^DPIZ@Al;'Bb>h4RR]EWHYANm8&Ec:i;SQ\BVKXNOn9!D`:f:PP[CUJWOLo> Ga6g9QWZ@TEVLMh?#Fn6d8VVYA[DUMJi<"Io:e?WUXNZGTJKj=-Hl:f>TTWOYFSKHk3d9QWZ@TEVLMh>#Fng9QWZ@TEVLMh>#Fn033?WUXNZGTJKj<-Hl24c=U[VLXARHId2/Jj7`6k2XXSK]B_Nww<73>0:Pfea7zVUd~=>?0033?Wcflp:ySRa}012363=Umhnr=:5]e`fz5w`r^]lv56788;;7_kndx3q[Ziu89:;>;5]e`fz62=Umhnr>h4Rdcg}7tXWfx;<=>>0:Pfea5zVUd~=>?0033?Wcflp8ySRa}012363=Umhnr?;5]e`fz0d=UmhnrSDAMS89Qadb~W[KFo6\jae{\kw6788n0^hoky^mq45669m1Yiljv_np34575l2XnmiwPos2344513[omMne6;SgeGkr;8730^hhLnu>2:<=UmoIex1<19:PfbFhs4:427_kiCov?0;?89QacEi|5<556\jfBlw828?3[omOczP099QacEi|V;37_kiCov\6==UmoIexR=7;SgeGkrX<11YikMat^7;?WcaKg~T:55]egAmpZ15;2XnjN`{_Lcg`ZYflm:;<=QBxnp\V`urd}6:2?=4Rdd@jqYJimnTSljk0123[H~hzVXnxb{<3<17>TbnJdS@okd^]b`a6789UFtb|PRdqvhq:46;90^hhLnu]NeabXWhno<=>?_LzlvZTb{|f090=3:PfbFhsWDkohRQnde2345YJpfxT^h}zlu>6:75?01]N|jtXZly~`y28>348V``Df}UFmijP_`fg4567W[oxyazP0^llp56788;o7_kiCov\IdbcWVkoh=>?0^]bja6789;n7_kiCov\IdbcWVkoh=>?0^]bja6789;:h6\jfBlw[HgclVUjhi>?01]\ekb789;:i6\jfBlw[HgclVUjhi>?01]\ekb789;:=i5]egAmpZKflmUTmij?012\[dhc89:9=h5]egAmpZKflmUTmij?012\[dhc89:9=d:PfbFhsWDkohRQnde2345YXign;<=;>e:PfbFhsWDkohRQnde2345YXign;<=;>139QacEi|VUjhi>?01>3:442:PfbFhsWVkoh=>?0=1=57=UmoIexRQnde2345:36880^hhLnu]\eab789:793?=;SgeGkrXWhno<=>?<7<26>TbnJdSRokd1234919?2XnjIaae028V``CggoTSl`k012354=UmoNdbhQPaof34566n2XnjIaae^]lv56788:0^hhKoog\[jt789::=?5]egFlj`YdeyUn}=>?0018V``CggoTo`~Pep234576;2XnjIaae^antZcv89:;><=4RddGkkcXkdzTi|>?01127>TbnMeeiRmbp^gr4567<890^hhKoog\ghvXmx:;<=;>3:PfbAiimVif|Rk~0123245?8018V``CggoTo`~Pep2345?13[om^L]9;SgeV`w03[om^h>9:PfbPt`mg~j7_kiUsefjq7f3[omYijnu0b?Wca]{mnby=n;SgeQwabf}>=7_k|umv5?VETAJY<7^M\IBQ23>UD[@IX>:5\CRK@W61<[JYBO^:8;RAPMFU2>2YDY_MJa:Qm`WGJKZ]Yn6]adSCNGVQU9j1Xbi\NMBQTV46d3Zdo^LCLSVP25f=TfmXJAN]XR00a?VhcZHGH_Z\=b:Qm`WGJKZ]Y?o5\nePBIFUPZ=h0_cj]ALAPSW3e3Zdo^LCLSVP5f>Uil[KFO^Y]7c9PjaTFEJY\^5l4SofQEHET_[3?7Y\ZE59W]UC?3]cfib{{A028Plkbg|~JSRa}012354=SadodyyOP_np345669;1_e`k`uuC\[jt789::<?2038Plkbg|~JSRa}0123747<\`gncxzN_^mq4567<8;0XdcjotvB[Ziu89:;9?6038Plkbg|~JSRa}0123347<\`gncxzN_^mq456708;0XdcjotvB[Ziu89:;555[ilglqqDe3]cfib{{BQpf56=SadodyyL_rd]\kw6789;?7YgbenwwFUtbWVey<=>?1068Plkbg|~I\kP_np34565991_e`k`uu@\[jt789::=6ZfmdmvpGYXg{:;<=?>1:Vji`ir|KUTc>?01025>Rnele~xOQPos23455d3\YN^RXFSH@OA6=QKJ30ZDKX_U[SA6=QXHi0Z]OP_`lg4567l2\[MRQnne23457e3_ZJSRa}0123g>PWIVUd~=>?00a8RUGXWfx;<=>=c:TSEZYhz9:;<>m4VQC\[jt789:?o6X_A^]lv5678Pnl[KFO^Y]65i2a>Pnl[KFO^Y]65i1a>Pnl[KFO^Y]65i0a>Pnl[KFO^Y]65i7a>Pnl[KFO^Y]65i6a>Pnl[KFO^Y]65i5a>Pnl[KFO^Y]65i4a>Pnl[KFO^Y]65i;5a=_AECET VKB!2-5%US]K*;"<.NSBKJ0>^HZ;<0T^ZCIC58\VRXOGN<7U][_WA@2>^cjVCo==5Wdl]Neoiu^lxxeb`>0:ZgiZKnffx]i}foo48\j:76890T~z7;^cm`567801Tmcj?0122=>Yffm:;<=<7;^ov|5678:1hby<4cr18bvde3oyiSca{0123g>`tjVddx=>?00f8bvdXff~;<=>>1e9ewgYig}:;<=?=4:klfh2b:lB@jssDL::>o5aAEmvpIC79:o0bLJ`uuNF4ZIE]O30bLJ`uuNF5d=iIMe~xAK>1d9mEAir|EO:SBLZF29mEV1hF[Vddx=>?1b9mEVYig}:;<n5aAR]mkq67889h7cO\_omw4566;5aDhlOAg=iL`dGIRAMUGf8jAoiDLUd~=>?0d9m@lhKMVey<=>?1d9m@lhKMVey<=>?2d9m@lhKMVey<=>?3d9m@lhKMVey<=>?479m@lhHM>1eHd`@E058jAoiGL8<7cJfnNG0f>hCagENSBLZF69m@jssGLi0bIaztNG\KGSAn2dOcxz@E^llp56798:0bIaztNG\jjr789;:==5aDnwwK@Yig}:;<<<>0:lGkprHMVddx=>?1233?kBh}}ENSca{0122046hKLZUBCOQ@BTD`?kJC[Vkeh=>?1e9mHAUXign;<=?>d:lO@VYffm:;<<?000`?kJC[Vey<=>>3b9mHAUXg{:;<<:l;oNGWZiu89::9n5aLEQ\kw6788d3gFO_Ra}0122=1=iD^O>7cBXE0a8jIQBWhdo<=>?d:lOS@Yffm:;<=?k;oNTAZgil9:;55aOD]LFP@>3gE}ibny130?kIqm{fju=QNcmp\MKP6k2dDzh|cax2\J5763gE}ibny1]bja6789;97cAyesnb}5Yffm:;<=?>2:lLr`tkip:Tmcj?012157=iGoy`lw?_`lg4567;880bBxjrmcz4Zgil9:;<9?=;oMuawjfq9Ujbi>?01726>hH~lxgmt>Paof3456112dDzh|cax320>hH~lxgmt?PCmiFjZOI^8i0bBxjrmcz5ZH7?2dYM@BXEb9mVDKK_LUDNXH8;oPBIPQBk2dYM@[XE^MAQC20b_K\9:lQAVYNJ\L=7c\@MBQ4?kTHEJY:n6`]OLAP[JDRN?1e^BCXE69mVJKPM8h0b_ABWD]LFP@33g_O_55aUEQ\BVDc3g_O_RH\B^KAQC>?00f8jPQBWhdo<=>?2e9mQRCXign;<=>hQEHUTc>?01:g?kPJIVUd~=>?08a8jSKFWhdo<=>?d:lUIDYffm:;<=?k;oTNEZgil9:;55aVQC\BVDc3g\[MRH\B^MAQC>hPMVLXNn5aWD]EWGYNJ\L87ob8:z`=067pewKL}?=d`9CD}7fl3L1>7?tS859725=9j81=>:793d95141nrd8;=4>;o145?0<,:8n;|Q:2?50;3;h>7?<49;1b?73:hi0h4}T1>08;>4>c38271>>:o0:8?8i;wV06g<7280:6>?<{R;4>61428i96<=;880e>425>o1/?8<51`;8 4e42=9?7o?nd;2906<293k>wE=:0:&07d<6im1Q4:4={087>x"6i108?45f9383>>i4i=0;66g<8c83>>i3:j0;66g;0383>>i4nl0;66a>o41k0;66a>i41m0;66g>o4i?0;66a<8e83>>i41<0;66a>o3:l0;66a;0583>>i4lm0;66a<8083>>i40>0;66g>o?m3:17d7?:188k6`e2900eh:50;9l7dd=831d?n=50;9l045=831d?o<50;9l7g1=831b8>?50;9l7e19m5f2=921d=ho50;&2g0<6m91e=n:52:9l5`?=83.:o84>e19m5f2=;21d=h650;&2g0<6m91e=n:54:9l5`1=83.:o84>e19m5f2==21d=h850;&2g0<6m91e=n:56:9l5`3=83.:o84>e19m5f2=?21d=h:50;&2g0<6m91e=n:58:9l5`5=83.:o84>e19m5f2=121d=h<50;&2g0<6m91e=n:5a:9l5ac=83.:o84>e19m5f2=j21b894?:%3`1?243g;h87>4;h61>5<#9j?18>5a1b695>=n<80;6)?l5;60?k7d<3807d:?:18'5f3=<:1e=n:53:9j7`<72-;h97:<;o3`0?2<3`9o6=4+1b7906=i9j>1965f3b83>!7d=3>87c?l4;48?l5e290/=n;5429m5f2=?21b?l4?:%3`1?243g;h8764;h1:>5<#9j?18>5a1b69=>=n;10;6)?l5;60?k7d<3k07d=8:18'5f3=<:1e=n:5b:9j73<72-;h97:<;o3`0?e<3`9>6=4+1b7906=i9j>1h65f4b83>!7d=3>87c?l4;g8?l2e290/=n;5429m5f2=n21b8l4?:%3`1?243g;h87??;:k7=?6=,8i>69=4n0a7>47<3`>36=4+1b7906=i9j>1=?54i5594?"6k<0??6`>c5827>=n96:182f?2e2;?;%10e???3S2<684?::m06f<722c=87>5;h1e=?6=3f;9n7>5;h604?6=3f;no7>5;n0;g?6=3fl26=44i0794?"6k<0:86`>c583?>o6;3:1(6<:4n0a7>6=07dj50;&2g0<6<2d:o94:;:k`>5<#9j?1=95a1b692>=nj3:1(10el4?:%3`1?733g;h8764;h;94?"6k<0:86`>c58:?>o?290/=n;5159m5f2=i21b;7>5$0a6>42!7d=3;?7c?l4;a8?l3=83.:o84>4:l2g15$0a6>42c58e?>d4>m0;6<4?:1y'76g=mo1C?;m4H273?jcb2900qo=9e;295?6=8r.8?l4<509K73e<@:?;7b?l1;29?xd4m80;684?:1y'76g=mj1C?;m4H273?!`b291b4n4?::k:0?6=3`236=44i8a94?=h9mn1<75rb2ga>5<2290;w)=d2900e4:50;9j<=<722c2o7>5;n3g`?6=3th8i?4?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<52;294~"4;h0mj6F<6b9K7068l;I164>oa93:17dh=:188mc5=831bj94?::ke1?6=3`l=6=44ig594?=nn10;66a>b083>>{enk0;644?:1y'76g=:o1C?;m4H273?l`62900ek<50;9jb6<722cm87>5;hd6>5<>oa03:17b?m1;29?xdak3:1?7>50z&07d<5i2B8:n5G3428mc7=831bj?4?::m2f4<722wi??850;194?6|,:9j6?o4H24`?M5282cm=7>5;hd1>5<N4>j1C?8>4ig294?=h9k;1<75rb20;>5<5290;w)=>i6j80;66sm33;94?5=83:p(>=n:3c8L60d3A9><6gi1;29?l`52900c:188yg55i3:1?7>50z&07d<5i2B8:n5G3428mc7=831bj?4?::m2f4<722wi=9650;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th:844?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<52;294~"4;h0mj6F<6b9K706i6=4;:183!54i38i7E=9c:J015=nn80;66gi2;29?l`42900c:188yg5b=3:1?7>50z&07d<5i2B8:n5G3428mc7=831bj?4?::m2f4<722wi?h850;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th8i:4?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<52;294~"4;h0mj6F<6b9K7061<729q/?>o52c9K73e<@:?;7dh>:188mc4=831bj>4?::m2f4<722wi=hj50;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th:ih4?:283>5}#;:k1>l5G37a8L6373`l:6=44ig094?=h9k;1<75rb0ge>5<5290;w)=>i6j80;66sm1g294?4=83:p(>=n:gd8L60d3A9><6gi0;29?j7e93:17pl>f083>6<729q/?>o52`9K73e<@:?;7dh>:188mc4=831d=o?50;9~f4`529086=4?{%10e?4f3A9=o6F<519jb4<722cm>7>5;n3a5?6=3th:j>4?:283>5}#;:k1=o=4H24`?M5282cm=7>5;hd1>5<N4>j1C?8>4ig394?=nn;0;66a>b083>>{e:1n1<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd50l0;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg4?n3:1>7>50z&07dt$21b>4d43A9=o6F<519jb4<722cm>7>5;n3a5?6=3th95?4?:283>5}#;:k1>l5G37a8L6373`l:6=44ig094?=h9k;1<75rb3;0>5<3290;w)=>oa:3:17dh<:188k4d62900qo=92;291?6=8r.8?l4=c:J02f=O;<:0ek?50;9jb7<722cm?7>5;hd7>5<N4>j1C?8>4ig394?=nn;0;66a>b083>>{e;?>1<7=50;2x 65f2:7<729q/?>o5fg9K73e<@:?;7dh?:188k4d62900qo=96;296?6=8r.8?l4if:J02f=O;<:0ek>50;9l5g7=831vn>88:180>5<7s-98m7N4=91bj<4?::ke6?6=3f;i=7>5;|`02=<72:0;6=u+32c96d=O;?i0D>;?;hd2>5<:188yg55l3:1?7>50z&07d<5i2B8:n5G3428mc7=831bj?4?::m2f4<722wi?>?50;194?6|,:9j6N4=91bj<4?::ke6?6=3f;i=7>5;|`077<72<0;6=u+32c96f=O;?i0D>;?;hd2>5<>oa<3:17b?m1;29?xd4;:0;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg54<3:1>7>50z&07dt$21b>c`<@:5;|`072<72:0;6=u+32c96d=O;?i0D>;?;hd2>5<5<7s-98m7N4=91bj<4?::ke6?6=3f;i=7>5;|`06c<72:0;6=u+32c95g5<@:5<53;294~"4;h09m6F<6b9K706>i6j80;66sm13a94?4=83:p(>=n:gd8L60d3A9><6gi0;29?j7e93:17pl>3583>0<729q/?>o52b9K73e<@:?;7dh>:188mc4=831bj>4?::ke0?6=3f;i=7>5;|`270<72:0;6=u+32c95g5<@:5<52;294~"4;h0mj6F<6b9K7063983>7<729q/?>o5fg9K73e<@:?;7dh?:188k4d62900qo?<9;296?6=8r.8?l4if:J02f=O;<:0ek>50;9l5g7=831vn<=n:180>5<7s-98m7N4=91bj<4?::ke6?6=3f;i=7>5;|`27g<72:0;6=u+32c96d=O;?i0D>;?;hd2>5<2d83>6<729q/?>o51c18L60d3A9><6gi1;29?l`52900c:188yg75n3:187>50z&07d<5j2B8:n5G3428mc7=831bj?4?::ke7?6=3f;i=7>5;|`275<72:0;6=u+32c96d=O;?i0D>;?;hd2>5<>i6j80;66sm12094?5=83:p(>=n:3c8L60d3A9><6gi1;29?l`52900c:188yg74;3:1?7>50z&07d<6j:1C?;m4H273?l`62900ek<50;9l5g7=831v84?:5y]1>;ai3l870=<2;d2?854;3l;7p}9:187[0<5ok1j9523209b7=:;:>1j=5rs683>1}Y?27mm7h:;<106?`4349897h?;|q;>5<3sW201ko5f79>77b=n;16=>;5f09~w<<727h=;<300?`63tyh6=4;{_a89cd=n;16?;<5f09>562=n;1vi4?:5y]`>;aj3l870=92;d0?874<3l87p}j:187[c<5oh1j9523709b1=:9:>1j95rs0294?1|V8:01kl5f49>770=n816?h85f19>6=c=n916?;=5f09>564=n81v<<50;;xZ44<5oh1j;523359b5=:;l=1j=521dg9b7=::1l1j=523719b7=:9;i1j=521259b7=z{891<7mt^0189cd=n>16??85f39>51>=n916?h;5f39>5`b=n916=hk5f09>6<7=n816?;:5f39>56>=n916=>o5f09>56d=n81v<;50;;xZ43<5oh1j55233:9b5=:9=k1j=523d:9b5=:9o:1j=522829b5=:;??1j=521219b4=z{8i1<7=t^0a89cg=n816?>?5f09~w4b=839pR01>kl:g2896c22o;0q~6k:180[>c349n=767;<1ff?>?3tym57>52z\e=>;ak3;i=6s|f`83>7}:nh0:n<52fb8e5>{tnk0;6?u2fc82f4=:nj0m>6s|13`94?4|V88i70?<3;3a5>{t9;i1<74d634;8m7h=;|q26a<72;q6=?j51c38944b2o80q~?=e;296~;6:l0:n<5213d9b4=z{88m6=4={<31b?7e927:?=4i2:p566=838p1<=?:0`2?87493l97p}>3083>7}:9:;1=o?4=011>c47>52z?277<6j816=>=5f39~w4532909w0?<4;3a5>;6;90m=6s|12794?4|589>6;<31b?`53ty:?;4?:3y>560=9k;01<=m:g08yv74?3:1>v3>3682f4=:9;n1j<5rs01;>5<5s4;847?m1:?26a750;0x945>28h:70?=f;d0?xu6;h0;6?u212c95g7<589:6k?4}r30f?6=:r7:?o4>b09>57c=n81v<:8:181[73?27:8o4>b09~w42?2909w0?;8;3a5>;66s|15;94?4|58>26;<37f?`63ty:8l4?:3y>51g=9k;01<:m:g18yv7fm3:1>v3<6e8fa>;4mk0286s|1`d94?4|5:;<1f5??d3ty:in4?:3y]5`e<58l?6;|q2aa<72;q6=hj51c3894`62o80q~?je;296~;6ml0:n<521g09b4=z{8om6=4={<3fb?7e927:j?4i2:p5c6=838p1f083>7}:9o;1=o?4=0d0>c77>52z?2b7<6j816=k=5f39~w4`42909w0?i3;3a5>;6n=0m=6s|29a94?4|V;2h70<63;3a5>{t:1n1<74d63482=7h=;|q1<`<72;q6>5k51c3897?42o;0q~<7f;296~;50o0:n<522809b4=z{;3;6=4={<0:4?7e92795?4i2:p6<7=838p1?7>:0`2?84>;3l97p}=9383>7}::081=o?4=3;0>c552z\060=:;;k1=o?4}r112?6=:r78>;4>b09>77g=n81v><8:181855?3;i=63<288e5>{t;;21<74d6349957h=;|q06<<72;q6??751c38964f2o80q~==c;296~X4:j16?>>51c38yv55l3:1>v3<2e82f4=:;;o1j<5rs20f>5<5s499i7?m1:?075b09>77`=n81v>=<:181854;3;i=63<398e5>{t;:>1<74d6349847h=;|q070<72;q6?>;51c38965?2o90q~=<6;296~;4;?0:n<5232:9b1=z{:9<6=4={<103?7e9278>h4i2:p76>=838p1>=7:0`2?855n3l97p}<6083>7}:;?31=o?4=214>c77>52z?027<6j816?;75f39~w6042909w0=93;3a5>;4>10m=6s|37694?4|5:;<153?`63ty8:84?:3y>733=9k;01>88:g08yv51>3:1>v3<6782f4=:;?31j>5rs244>5<5s49=;7?m1:?02=k;:18185b932h70=ja;3a5>{t;l?1<74d6349nm7h=;|q0a3<72;q6?h851c3896c>2o;0q~=j7;296~;4m>0:n<523d;9b7=z{:o36=4={<1fk6:0`2?85bi3l:7p}2}:;lh1=ij4=0ge>c6<58l:6k?4=3:g>c6<5:c6<589<6k?4}r1f`?6=:r78io47c:?0af<6j81v>h6:181[5a1278i?4i0:p066=83>pR9=?;<37=?`7349=:7h?;<30=?`73twi8>=50;17>f>=m>qC?8>4$21b>4ce3S2<6?u>:28~ 4g?2:927d6k:188m67a2900c?k?:188k16e2900e>6n:188k6`b2900c>h=:188k6?c2900e>?k:188m32=831d?ij50;9j7f6=831b8>>50;9j057=831b=hm50;9l7cd=831b>5m50;9l6f>=831d?i850;9l5`7=83.:o84>e19m5f2=821d=ih50;&2g0<6m91e=n:51:9l5`g=83.:o84>e19m5f2=:21d=h750;&2g0<6m91e=n:53:9l5`>=83.:o84>e19m5f2=<21d=h950;&2g0<6m91e=n:55:9l5`0=83.:o84>e19m5f2=>21d=h;50;&2g0<6m91e=n:57:9l5`2=83.:o84>e19m5f2=021d=h=50;&2g0<6m91e=n:59:9l5`4=83.:o84>e19m5f2=i21d=ik50;&2g0<6m91e=n:5b:9j57<72-;h97?>;o3`0?6<3`;;6=4+1b7954=i9j>1=65fe;29 4e228;0b;o3`0?5<3`i1<7*>c4825>h6k=0?76gm:18'5f3=981e=n:55:9je?6=,8i>63=5<#9j?1=<5a1b69=>=n>3:1(5<#9j?1=<5a1b69g>=n9j0;6)?l5;32?k7d<3n07d?m:18'5f3=981e=n:5e:9j5d<72-;h97?>;o3`0?`<3`;26=4+1b7954=i9j>1==54i0:94?"6k<0:=6`>c5825>=n9>0;6)?l5;32?k7d<3;976g>6;29 4e228;0b1:l2g1<6<21i?;j50;394?6|,:9j6hh4H24`?M5282eni7>5;|`14g<72<0;6=u+32c9af=O;?i0D>;?;%df>5=n0j0;66g64;29?l>?2900e4m50;9l5ab=831vn5<7s-98m7kl;I15g>N4=91/jh4?;h:`>5<1<75f8983>>o>k3:17b?kd;29?xd1?3:1?7>50z&07d=831b5n4?::m2`a<722wi>4i9:94?=n1j0;66a>de83>>{e:;i1<7=50;2x 65f2lh0D>8l;I164>"am3:0e5650;9j=f<722e:hi4?::a5c0=8391<7>t$21b>`d<@:>i6lm0;66sm30a94?5=83:p(>=n:d`8L60d3A9><6*ie;28m=>=831b5n4?::m2`a<722wi?4j50;194?6|,:9j6hl4H24`?M5282c347>5;h;`>5<N4>j1C?8>4$gg94>o?03:17d7l:188k4bc2900qo5<53;294~"4;h0nn6F<6b9K706<,oo1<6g78;29?l?d2900c7>50z&07d5;n3a5?6=3th8h:4?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<52;294~"4;h0mj6F<6b9K706b083>>{e:821<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd5900;6>4?:1y'76g=:h1C?;m4H273?l`62900ek<50;9l5g7=831vn>o=:181>5<7s-98m7hi;I15g>N4=91bj=4?::m2f4<722wi>n850;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th9o:4?:283>5}#;:k1>l5G37a8L6373`l:6=44ig094?=h9k;1<75rb2;f>5<5290;w)=>i6j80;66sm3gd94?5=83:p(>=n:0`0?M51k2B89=5ff083>>oa:3:17b?m1;29?xd4ll0;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg5cn3:1?7>50z&07d<6j:1C?;m4H273?l`62900ek<50;9l5g7=831vn9>j:181>5<7s-98m7hi;I15g>N4=91bj=4?::m2f4<722wi8=h50;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th?==4?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<:=7>55;294~"4;h09o6F<6b9K706>oa;3:17dh;:188k4d62900qo=k9;296?6=8r.8?l4if:J02f=O;<:0ek>50;9l5g7=831vn>jn:181>5<7s-98m7hi;I15g>N4=91bj=4?::m2f4<722wi?il50;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th8hn4?:483>5}#;:k1>n5G37a8L6373`l:6=44ig094?=nn:0;66gi4;29?j7e93:17pl=4`83>6<729q/?>o52`9K73e<@:?;7dh>:188mc4=831d=o?50;9~f72e29096=4?{%10e?`a3A9=o6F<519jb5<722e:n<4?::a61e=8391<7>t$21b>7g<@:5<53;294~"4;h0:n>5G37a8L6373`l:6=44ig094?=h9k;1<75rb371>5<4290;w)=>oa:3:17b?m1;29?xd5=:0;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg42<3:1?7>50z&07d<5i2B8:n5G3428mc7=831bj?4?::m2f4<722wi>8;50;194?6|,:9j6N4=91bj<4?::ke6?6=3f;i=7>5;|`11=<72:0;6=u+32c96d=O;?i0D>;?;hd2>5<b083>>{e:8l;I164>oa93:17dh=:188k4d62900qo<:b;297?6=8r.8?l4>b29K73e<@:?;7dh>:188mc4=831d=o?50;9~f73b29086=4?{%10e?4f3A9=o6F<519jb4<722cm>7>5;n3a5?6=3th99k4?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<53;294~"4;h09m6F<6b9K706>i6j80;66sm27394?5=83:p(>=n:0`0?M51k2B89=5ff083>>oa:3:17b?m1;29?xd5>=0;6>4?:1y'76g=:h1C?;m4H273?l`62900ek<50;9l5g7=831vn?8::181>5<7s-98m7hi;I15g>N4=91bj=4?::m2f4<722wi>;850;194?6|,:9j6?o4H24`?M5282cm=7>5;hd1>5<;?;hd2>5<7<729q/?>o5fg9K73e<@:?;7dh?:188k4d62900qo<9c;297?6=8r.8?l4=a:J02f=O;<:0ek?50;9jb7<722e:n<4?::a63b=8391<7>t$21b>4d43A9=o6F<519jb4<722cm>7>5;n3a5?6=3th9;=4?:283>5}#;:k1>l5G37a8L6373`l:6=44ig094?=h9k;1<75rb352>5<5290;w)=>i6j80;66sm26094?5=83:p(>=n:3c8L60d3A9><6gi1;29?l`52900c:188yg40;3:1?7>50z&07d<6j:1C?;m4H273?l`62900ek<50;9l5g7=831vn?99:180>5<7s-98m7N4=91bj<4?::ke6?6=3f;i=7>5;|`132<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<N4>j1C?8>4ig394?=nn;0;66a>b083>>{e:>31<7=50;2x 65f28h87E=9c:J015=nn80;66gi2;29?j7e93:17pl=7b83>6<729q/?>o52`9K73e<@:?;7dh>:188mc4=831d=o?50;9~f71c29096=4?{%10e?`a3A9=o6F<519jb5<722e:n<4?::a62c=8391<7>t$21b>7g<@:5<53;294~"4;h0:n>5G37a8L6373`l:6=44ig094?=h9k;1<75rb3:1>5<4290;w)=>oa:3:17b?m1;29?xd50:0;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg4?<3:1?7>50z&07d<5i2B8:n5G3428mc7=831bj?4?::m2f4<722wi>5;50;194?6|,:9j6N4=91bj<4?::ke6?6=3f;i=7>5;|`1fg<72:0;6=u+32c95g5<@:5<53;294~"4;h09m6F<6b9K706>i6j80;66sm2cg94?4=83:p(>=n:gd8L60d3A9><6gi0;29?j7e93:17pl=bg83>7<729q/?>o5fg9K73e<@:?;7dh?:188k4d62900qo5;hd7>5<;?;hd2>5<6<729q/?>o51c18L60d3A9><6gi1;29?l`52900c:188yg4d<3:1?7>50z&07d<5i2B8:n5G3428mc7=831bj?4?::m2f4<722wi>om50;194?6|,:9j6N4=91bj<4?::ke6?6=3f;i=7>5;|`1e3<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<;?;hd2>5<b083>>{e:k91<7=50;2x 65f2;k0D>8l;I164>oa93:17dh=:188k4d62900qo50;9l5g7=831vn?l::181>5<7s-98m7hi;I15g>N4=91bj=4?::m2f4<722wi>o850;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th9n:4?:283>5}#;:k1>l5G37a8L6373`l:6=44ig094?=h9k;1<75rb3`;>5<5290;w)=>i6j80;66sm2`594?5=83:p(>=n:3c8L60d3A9><6gi1;29?l`52900c:188yg4f03:1?7>50z&07d<5i2B8:n5G3428mc7=831bj?4?::m2f4<722wi>l750;194?6|,:9j6?o4H24`?M5282cm=7>5;hd1>5<N4>j1C?8>4ig394?=nn;0;66a>b083>>{e:hh1<7=50;2x 65f28h87E=9c:J015=nn80;66gi2;29?j7e93:17pl=ab83>6<729q/?>o52`9K73e<@:?;7dh>:188mc4=831d=o?50;9~f7gc29086=4?{%10e?7e;2B8:n5G3428mc7=831bj?4?::m2f4<722wi>lk50;194?6|,:9j6N4=91bj<4?::ke6?6=3f;i=7>5;|`1ec<72:0;6=u+32c96d=O;?i0D>;?;hd2>5<>oa;3:17b?m1;29?xd51?0;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg4>k3:1>7>50z&07d7>5;n3a5?6=3th95h4?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<53;294~"4;h09m6F<6b9K706>i6j80;66sm2`294?4=83:p(>=n:gd8L60d3A9><6gi0;29?j7e93:17pl=a083>7<729q/?>o5fg9K73e<@:?;7dh?:188k4d62900qo50;9l5g7=831vn?o<:180>5<7s-98m7?m3:J02f=O;<:0ek?50;9jb7<722e:n<4?::a6<1=8391<7>t$21b>7g<@:5<53;294~"4;h09m6F<6b9K706>i6j80;66sm28;94?5=83:p(>=n:3c8L60d3A9><6gi1;29?l`52900c:188yg4>i3:187>50z&07d<5j2B8:n5G3428mc7=831bj?4?::ke7?6=3f;i=7>5;|`1=g<72=0;6=u+32c95g2<@:5<5290;w)=>i6j80;66sm1c;94?4=83:p(>=n:gd8L60d3A9><6gi0;29?j7e93:17pl>b`83>7<729q/?>o5fg9K73e<@:?;7dh?:188k4d62900qo?mb;296?6=8r.8?l4if:J02f=O;<:0ek>50;9l5g7=831vn5<7s-98m7N4=91bj<4?::ke6?6=3`l86=44ig694?=h9k;1<75rb0`g>5<4290;w)=N4>j1C?8>4ig394?=nn;0;66a>b083>>{e:;o1<7=50;2x 65f2;k0D>8l;I164>oa93:17dh=:188k4d62900qo<<9;296?6=8r.8?l4if:J02f=O;<:0ek>50;9l5g7=831vn?:>:181>5<7s-98m7hi;I15g>N4=91bj=4?::m2f4<722wi>9<50;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th98>4?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<52;294~"4;h0mj6F<6b9K706>6=4=:183!54i3lm7E=9c:J015=nn90;66a>b083>>{e:=<1<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd5<>0;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg45n3:1>7>50z&07dt$21b>7g<@:5<7>53;294~"4;h09m6F<6b9K706>i6j80;66sm22194?5=83:p(>=n:3c8L60d3A9><6gi1;29?l`52900c:188yg44<3:187>50z&07d<5j2B8:n5G3428mc7=831bj?4?::ke7?6=3f;i=7>5;|`170<72:0;6=u+32c95g5<@:5<53;294~"4;h0:n>5G37a8L6373`l:6=44ig094?=h9k;1<75rb314>5<4290;w)=N4>j1C?8>4ig394?=nn;0;66a>b083>>{e::21<7=50;2x 65f2;k0D>8l;I164>oa93:17dh=:188k4d62900qo<t$21b>7g<@:5<53;294~"4;h0:n>5G37a8L6373`l:6=44ig094?=h9k;1<75rb31g>5<4290;w)=>oa:3:17b?m1;29?xd5;l0;6>4?:1y'76g=9k90D>8l;I164>oa93:17dh=:188k4d62900qo<t$21b>4d43A9=o6F<519jb4<722cm>7>5;n3a5?6=3th:j54?:283>5}#;:k1=o=4H24`?M5282cm=7>5;hd1>5<N4>j1C?8>4ig294?=h9k;1<75rb327>5<5290;w)=>i6j80;66sm21794?5=83:p(>=n:3c8L60d3A9><6gi1;29?l`52900c:188yg47>3:1>7>50z&07d=8381<7>t$21b>c`<@:5;|`14<<72=0;6=u+32c96g=O;?i0D>;?;hd2>5<>i6j80;66sm21c94?4=83:p(>=n:gd8L60d3A9><6gi0;29?j7e93:17pl>f883>7<729q/?>o5fg9K73e<@:?;7dh?:188k4d62900qo?ia;290?6=8r.8?l4>b59K73e<@:?;7dh>:188mc4=831bj>4?::m2f4<722wi=kl50;194?6|,:9j6?o4H24`?M5282cm=7>5;hd1>5<N4>j1C?8>4ig394?=nn;0;66gi3;29?j7e93:17pl>fe83>6<729q/?>o52`9K73e<@:?;7dh>:188mc4=831d=o?50;9~f4`b29086=4?{%10e?4f3A9=o6F<519jb4<722cm>7>5;n3a5?6=3th:jk4?:283>5}#;:k1=o=4H24`?M5282cm=7>5;hd1>5<;?;hd2>5<1<729q/?>o51c68L60d3A9><6gi1;29?l`52900ek=50;9l5g7=831vn>h<:181>5<7s-98m7hi;I15g>N4=91bj=4?::m2f4<722wi?k:50;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th8j84?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<54;294~"4;h0:n95G37a8L6373`l:6=44ig094?=nn:0;66a>b083>>{e;o=1<7=50;2x 65f2;k0D>8l;I164>oa93:17dh=:188k4d62900qo1<7>t$21b>7d<@:5<5290;w)=>i6j80;66sm20394?4=83:p(>=n:gd8L60d3A9><6gi0;29?j7e93:17pl=1383>7<729q/?>o5fg9K73e<@:?;7dh?:188k4d62900qo<>3;296?6=8r.8?l4if:J02f=O;<:0ek>50;9l5g7=831vn??;:186>5<7s-98m7N4=91bj<4?::ke6?6=3`l86=44ig694?=h9k;1<75rb336>5<4290;w)=N4>j1C?8>4ig394?=nn;0;66a>b083>>{e:8<1<7=50;2x 65f2;k0D>8l;I164>oa93:17dh=:188k4d62900qob29K73e<@:?;7dh>:188mc4=831d=o?50;9~f77d290?6=4?{%10e?4e3A9=o6F<519jb4<722cm>7>5;hd0>5<N4>j1C?8>4ig394?=nn;0;66a>b083>>{e:;?1<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd5:?0;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg45?3:1>7>50z&07dt$21b>c`<@:5;|`16d<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<N4>j1C?8>4ig294?=h9k;1<75rb33g>5<4290;w)=N4>j1C?8>4ig394?=nn;0;66a>b083>>{e:8o1<7=50;2x 65f2;k0D>8l;I164>oa93:17dh=:188k4d62900qo<>f;297?6=8r.8?l4=a:J02f=O;<:0ek?50;9jb7<722e:n<4?::a676=83>1<7>t$21b>4d33A9=o6F<519jb4<722cm>7>5;hd0>5<N4>j1C?8>4ig394?=nn;0;66gi3;29?j7e93:17pl=2383>6<729q/?>o51c18L60d3A9><6gi1;29?l`52900c:188yg45;3:1?7>50z&07d<5i2B8:n5G3428mc7=831bj?4?::m2f4<722wx97>52z\6?843k3l:7p}9:181[0<5;??6k?4}r594?4|V>16>8o5f09~w=<72;qU463=618e5>{t13:1>vP6;<052?`63tyj6=4={_c8970d2o;0q~l50;0xZg=::>81j<5rsb83>7}Yk279;54i1:p`?6=:rTo70<8e;d2?xua2909wSh4=36b>c46;296~X6>2799?4i2:p52<72;qU=:5224:9b7=z{821<7348=87h=;|q2e?6=:rT:m63=6`8e6>{t9k0;6?uQ1c9>626=n;1v5<5sW;o70<8c;d1?xu1<3:1=?uQ659>22<>k279k279ni4i2:?1g54i0:?1404i0:?16222<6lm16>o:5f19>613=n916>=85f19>64b=n81v5j50;axZ=b<5;:i6564=0`5>=><5?=1455220c9<==::;i145521g49<==:;8i145522869<==::h>145522c;9<==:;0o1j=5rs0cf>5<4s49=h7kj;<03f??334;i:77;;|q2f3<72>q6=o851ef897db2o:01?o?:g2897242o:01v3>b78;g>;6jm0:n<5rs0`;>5<5s4;i47?m1:?2ff28h:70?mc;d0?xu6jh0;6?u21cc95g7<58hh6k:4}r3af?6=:r7:no4>b09>5gb=n;1vbe8e5>{t9mo1<7e09>6=2=n81vb09~w4c42909wS?j3:?11g<6j81vb09~w4c22909wS?j5:?122<6j81v279:i4>b09~w4c02909wS?j7:?136<6j81vb09~w4c>2909wS?j9:?13c<6j81vb09~w4cd290mwS?jc:?1g`4i1:?141;4i0:p5c3=83>p1??6:0`2?82693l:70=kc;d2?85a<3l;7p}>f783>7}:9o<1=ij4=33;>c652z?2b3<>k279b09~w4`?2909w0?i8;3a5>;5890m=6s|1g;94?4|58l26;<3eg?`43ty:jl4?:3y>5cg=9k;01v3>fc82f4=:9oo1j?5rs0d`>5<5s4;mo7?m1:?147b09>656=n;1v?>?:18184783;i=63=008e5>{t:9;1<74d6348;>7h=;|q146<72;q6>==51c3894`f2o;0q~6=4={<031?7e927:jh4i1:p650=838p1?>9:0`2?87aj3l:7p}=0683>7}::9=1=o?4=0da>c452z?14=<6j816>=?5f39~w76>2909w0;58;0m=6s|21c94?4|5;:j6;<3eg?`63ty965d=9mn01??8:g28yv47k3:1>v3=0c8;g>;58l0:n<5rs32g>5<5s48;h7?m1:?153=h50;0x976a28h:70<>5;d2?xu5990;6?u220295g7<5;;?6k<4}r025?6=:r79=<4>b09>642=n:1v??=:181846:3;i=63=158e0>{t:891<74d6348;i7h=;|q151<72;q6><:51c3897722o80q~<>5;296~;59<0:n<522049b7=z{;;=6=4={<022?7e92797}::821=o?4=33:>c452z?15d<6lm16>i=5f09~w77e2909w0<>a;;`?845;3;i=6s|20a94?4|5;;h6;<016?`63ty9=i4?:3y>64b=9k;01??i:g38yv46m3:1>v3=1d82f4=::;;1j>5rs33e>5<5s48:j7?m1:?165?>50;0x974728h:70<=1;d1?xu5:80;6?u223395g7<5;896k<4}r016?6=:r79>?4>b09>675=n81v?<;:181845<3;i=63=218e6>{t:;?1<74d6348:h7h=;|q163<72;q6>?851c38977a2o80q~<=7;296~;5:>0:n<522329b6=z{;836=4={<01<4i1:p67?=838p1?<6:0`2?846m3l:7p}=2`83>7}::;k1=o?4=33f>c452z?16g<6j816>?=5f39~w74d290::v3=2b82`a=:;h81j=5225c9b4=::=h1j=522409b4=::<91j=5224:9b4=::<31j=5224g9b4=::;1j=522649b4=::>=1j=5226a9b4=::>n1j=522909b4=::191j=5rs30g>5<5s489o77l;<074?7e92wx>?k50;0x974b28h:70<<1;d2?xu5:o0;6?u223d95g7<5;9o6k<4}r004?6=:r79?=4>b09>66`=n;1v?=>:18184493;i=63=378e5>{t::81<74d63488i7h>;|q176<72;q6>>=51c3897502o;0q~<<4;296~;5;=0:n<522259b7=z{;9>6=4={<001?7e9279?54i2:p660=838p1?=9:0`2?844i3l97p}=3683>7}:::=1=o?4=31a>c452z?17=<6j816>>m5f39~w75>2909w0<<9;3a5>;5;80m>6s|22c94?4|5;9j6;<074?`63ty9?o4?:3y>66d=9k;01?=l:g38yv44k3:1>v3=3b82f4=:::n1j<5rs31g>5<5s488h7?m1:?17`>k50;0x975b28h:70<;6k<4}r075?6=:r798<4>b09>660=n;1v?:=:181843:3;i=63=338e6>{t:=91<74d63488?7h=;|q101<72;q6>9:51c3897532o;0q~<;5;296~;5<<0:n<522269b6=z{;>=6=4={<072?7e9279?84i1:p611=838p1?:8:0`2?844=3l97p}=4883>7}::=k1=o?4=36g>c752z?10g<6j816>9m5f39~w72e2909w0<;c;3a5>;56s|24394?4|5;?96;<061?`63ty99?4?:3y>605=9k;01?;;:g08yv42;3:1>v3=5582f4=::5<5s48>47?m1:?11g8650;0x973>28h:70<:a;d1?xu5=00;6?u224c95g7<5;?i6k<4}r06`?6=:r799h4>b09>637=n81v?;j:181842n3;i=63=618e6>{t:4d6348==7h=;|q126<72;q6>;:51c3897002o;0q~<94;296~;5><0:n<522749b7=z{;<>6=4={<052?7e9279::4i2:p63?=838p1?8n:0`2?841l3l:7p}=6`83>7}::?h1=o?4=34`>c452z?12f<6j816>;j5f39~w70a2909w0<80;3a5>;5?:0m=6s|26294?4|5;=:6;<046?`53ty9;<4?:3y>624=9k;01?9<:g08yv40=3:1>v3=7782f4=::>31j<5rs355>5<5s48<;7?m1:?13=:950;0x971?28h:70<89;d1?xu5?k0;6?u226a95g7<5;=m6k?4}r04g?6=:r79;i4>b09>62c=n;1v?9k:181840m3;i=63=7g8e6>{t:1;1<74d6348397h>;|q1<7<72;q6>5=51c3897>32o80q~<73;296~;50=0:n<522979b7=z{;2h6=4={_0;g>;4nj0m<6s|28694?76s48287?kd:?1g354i0:p6<3=838p1?7;:8a897?e28h:7p}=9783>7}::0<1=o?4=3c0>c752z?1=2<6j816>4l5f09~w7??2909w0<68;3a5>;51h0m=6s|28;94?4|5;326;<0:f?`53ty95l4?:3y>6k3:1>v3=9b82f4=::h91j?5rs3;g>5<5s482h7?m1:?1=24k50;0x97?b28h:70<67;d1?xu51o0;6?u228d95g7<5;3j6k<4}r0b4?6=:r79m=4>b09>6<>=n81v?o>:18184f93;i=63=998e6>{t:h81<74d63482m7h<;|q1e6<72;q6>l=51c3897?>2o80q~1=ij4=3a4>c7<5;hi6k?4=3a3>c2<5;h=6k>4=3c4>c7<5;3=6k>4=3;g>c7<5;k:6k>4=0`:>c6<5;>:6k>4=30e>c6<5;996k?4=0d;>c7<5;:26k<4=32b>c6<5;:m6k<4=332>c6<5;;h6k<4=30:>c652z?1e1<>k279n=4>b09~w7g12909w0;5i>0m>6s|2`594?4|5;k<6;<0a4?`53ty9m54?:3y>6d>=9k;01?ok:g38yv4f13:1>v3=a882f4=::hh1j?5rs3cb>5<5s48jm7?m1:?1f5ll50;0x97ge28h:70b09>6dc=n;1v?oj:18184fm3;i=63=ag8e5>{t:hl1<74d6348i<7h>;|q1f4<72;q6>o?51c3897gb2o;0q~7}::k?1=o?4=3c`>c452z?1f3<6j816>lh5f39~w7d02909w0;5ih0m=6s|2c:94?4|5;h36;<0be?`53ty9n44?:03x97d>28no703l;70k3l;70<6d;d1?84f:3l;70?m8;d3?843:3l;70<c;d0?845i3l;7p}=b`83>7}::k315n522ca95g752z?1fg<6j816>n=5f09~w7dc2909w0;5k;0m=6s|2cg94?4|5;hn6;<0`5?`53ty9nk4?:3y>6g`=9k;01?m;:g08yv4d83:1>v3=c182f4=::ki1j?5rs3a2>5<5s48h=7?m1:?1g7n<50;0x97e528h:70b09>6ge=n81v?m::18184d>3;i=63=c68e6>{t:j21<7;<0g7?`53ty8=n4?:2y]6`6<5:;h6c4<5;:>6k<4=306>c65cz\05c=::j:1j?522b39b4=::k=1j<522`:9b4=::hh1j<5223g9b4=:::21j<5221;9b4=:9on1j<5221f9b4=::;h1j=5rs254>5<5s49:o77l;<0`3?7e92wx?5o50;4xZ6>f349mj7h=;<1gb?`634>;i7h?;<1g=?`7349m:7h=;|q0=a<72;qU?4j4=2;g>4bc3ty85k4?:3y>7v3<9e8:g>;4i;0:n<5rs2a3>5<5sW9h<63{t;m<1<74=2f`>4d63ty8h44?:3y>7a?=9k;01>jl:g08yv5ci3:1>v35rs2fa>5<5s49on7?m1:?0`fjj:0`2?85cn3l97p}7}Y;o801>h8:0`2?xu4n:0;6?u23g195g7<5:l=6k?4}r1e0?6=:r78j94>b09>7c0=n:1v>h::18185a=3;i=63{t;o<1<74d6349m;7h>;|q0bg<72;qU?kl4=2d`>4d63ty8jh4?:3y]7cc<5:lm6;|q744<72;qU8=?4=533>c6;n7>52z\74g=:<9i1=o?4}r63`?6=:r7?j:181827m3;i=63;108e6>{t<9l1<74d634>:=7h<;|q755<72;q68<>51c3891762o>0q~:<0;291~X3;916?kh5f09>7ac=n9168=h5f19>7ag=n91vqo=70;2956<1=350;9j7=g=831d:54?::k50?6=3f;?o7>5;h1`4?6=3`;9n7>5;n36=?6=3`>8<7>5;h635?6=3f;8o7>5;n0`7>5;n3:g?6=3f;n6=44o3594?=e;?n1<7?50;2x 65f2ll0D>8l;I164>ibm3:17pl>6g83>0<729q/?>o5eb9K73e<@:?;7d6l:188m<2=831b454?::k:g?6=3f;oh7>5;|`5N4>j1C?8>4i9a94?=n1=0;66g78;29?l?d2900c3:197>50z&07d5;h;7>5<>i6lm0;66sm2683>0<729q/?>o5eb9K73e<@:?;7d6l:188m<2=831b454?::k:g?6=3f;oh7>5;|`:=?6=;3:1N4>j1C?8>4i9:94?=n1j0;66a>de83>>{e9hh1<7=50;2x 65f2lh0D>8l;I164>o?03:17d7l:188k4bc2900qo?j:180>5<7s-98m7km;I15g>N4=91b454?::k:g?6=3f;oh7>5;|`20f<72:0;6=u+32c9ag=O;?i0D>;?;h:;>5<6=4?{%10e?cd3A9=o6F<519j5;h:;>5<?2900e4m50;9l5ab=831vn<;6:186>5<7s-98m7kl;I15g>N4=91b4n4?::k:0?6=3`236=44i8a94?=h9mn1<75rb0c0>5<2290;w)=>o><3:17d67:188m6=4?{%10e?cd3A9=o6F<519j5;h:;>5<?2900e4m50;9l5ab=831vn5<7s-98m7km;I15g>N4=91/jh4?;h:;>5<t$21b>7g<@:5<52;294~"4;h0mj6F<6b9K7066=4=:183!54i3lm7E=9c:J015=nn90;66a>b083>>{e?00;6>4?:1y'76g=:h1C?;m4H273?l`62900ek<50;9l5g7=831vn<9>:181>5<7s-98m7hi;I15g>N4=91bj=4?::m2f4<722wi=:<50;194?6|,:9j6?o4H24`?M5282cm=7>5;hd1>5<5<4290;w)=N4>j1C?8>4ig394?=nn;0;66a>b083>>{e9mk1<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd6lk0;6>4?:1y'76g=:h1C?;m4H273?l`62900ek<50;9l5g7=831vn>l<:180>5<7s-98m7N4=91bj<4?::ke6?6=3f;i=7>5;|`0f1<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<N4>j1C?8>4ig394?=nn;0;66a>b083>>{e98l;I164>oa93:17dh=:188k4d62900qo?:c;296?6=8r.8?l4if:J02f=O;<:0ek>50;9l5g7=831vn<;k:180>5<7s-98m7N4=91bj<4?::ke6?6=3f;i=7>5;|`21`<72:0;6=u+32c95g5<@:5<53;294~"4;h09m6F<6b9K706>i6j80;66sm12d94?4=83:p(>=n:gd8L60d3A9><6gi0;29?j7e93:17pl>4183>7<729q/?>o5fg9K73e<@:?;7dh?:188k4d62900qo?;1;297?6=8r.8?l4=a:J02f=O;<:0ek?50;9jb7<722e:n<4?::a514=8391<7>t$21b>4d43A9=o6F<519jb4<722cm>7>5;n3a5?6=3th:5h4?:283>5}#;:k1>l5G37a8L6373`l:6=44ig094?=h9k;1<75rb0;e>5<5290;w)=>i6j80;66sm1`294?4=83:p(>=n:gd8L60d3A9><6gi0;29?j7e93:17pl>a083>6<729q/?>o52`9K73e<@:?;7dh>:188mc4=831d=o?50;9~f4g529086=4?{%10e?7e;2B8:n5G3428mc7=831bj?4?::m2f4<722wi=nm50;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th:h<4?:283>5}#;:k1=o=4H24`?M5282cm=7>5;hd1>5<N4>j1C?8>4ig394?=nn;0;66a>b083>>{e9m91<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd6l=0;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg7c=3:1?7>50z&07d<5i2B8:n5G3428mc7=831bj?4?::m2f4<722wi=i850;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th:h:4?:283>5}#;:k1>l5G37a8L6373`l:6=44ig094?=h9k;1<75rb0f;>5<4290;w)=>oa:3:17b?m1;29?xd6km0;6>4?:1y'76g=:h1C?;m4H273?l`62900ek<50;9l5g7=831vn5<7s-98m7?m3:J02f=O;<:0ek?50;9jb7<722e:n<4?::a5f`=8391<7>t$21b>7g<@:5<54;294~"4;h0:n95G37a8L6373`l:6=44ig094?=nn:0;66a>b083>>{e9j21<7=50;2x 65f2;k0D>8l;I164>oa93:17dh=:188k4d62900qo?l9;297?6=8r.8?l4>b29K73e<@:?;7dh>:188mc4=831d=o?50;9~f7eb29096=4?{%10e?`a3A9=o6F<519jb5<722e:n<4?::a6a5=8381<7>t$21b>c`<@:5;|`1`=<72:0;6=u+32c96d=O;?i0D>;?;hd2>5<>oa;3:17b?m1;29?xd6:188yg7283:1?7>50z&07d<6j:1C?;m4H273?l`62900ek<50;9l5g7=831vn<;>:180>5<7s-98m7N4=91bj<4?::ke6?6=3f;i=7>5;|`217<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<N4>j1C?8>4ig294?=h9k;1<75rb077>5<4290;w)=>oa:3:17b?m1;29?xd6=<0;6>4?:1y'76g=:h1C?;m4H273?l`62900ek<50;9l5g7=831vn<;9:180>5<7s-98m7?m3:J02f=O;<:0ek?50;9jb7<722e:n<4?::a501=8391<7>t$21b>7g<@:5<53;294~"4;h0:n>5G37a8L6373`l:6=44ig094?=h9k;1<75rb04:>5<4290;w)=>oa:3:17b?m1;29?xd6>h0;6>4?:1y'76g=9k90D>8l;I164>oa93:17dh=:188k4d62900qo?9b;296?6=8r.8?l4if:J02f=O;<:0ek>50;9l5g7=831vn<8l:181>5<7s-98m7hi;I15g>N4=91bj=4?::m2f4<722wi=;j50;194?6|,:9j6?o4H24`?M5282cm=7>5;hd1>5<N4>j1C?8>4ig394?=nn;0;66gi3;29?j7e93:17pl>6183>6<729q/?>o52`9K73e<@:?;7dh>:188mc4=831d=o?50;9~f40629096=4?{%10e?`a3A9=o6F<519jb5<722e:n<4?::a534=8381<7>t$21b>c`<@:5;|`226<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<N4>j1C?8>4ig394?=nn;0;66a>b083>>{e9??1<7=50;2x 65f28h87E=9c:J015=nn80;66gi2;29?j7e93:17pl>6783>1<729q/?>o52c9K73e<@:?;7dh>:188mc4=831bj>4?::m2f4<722wi;o4?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<b083>>{e?m0;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg1b29096=4?{%10e?`a3A9=o6F<519jb5<722e:n<4?::a3c<72<0;6=u+32c96f=O;?i0D>;?;hd2>5<>oa<3:17b?m1;29?xd?83:1?7>50z&07d<6j:1C?;m4H273?l`62900ek<50;9l5g7=831vn5<7s-98m7hi;I15g>N4=91bj=4?::m2f4<722wi=o750;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th:nl4?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<52;294~"4;h0mj6F<6b9K706be83>6<729q/?>o52`9K73e<@:?;7dh>:188mc4=831d=o?50;9~f4db29086=4?{%10e?7e;2B8:n5G3428mc7=831bj?4?::m2f4<722wi=oh50;194?6|,:9j6?o4H24`?M5282cm=7>5;hd1>5<;?;hd2>5<n7h>;<36g?`734;8i7h>;<30b?`734;2i7h>;<3:b?`734;o=7h=;<0`a?`734;><7h=;<35f?`734;=97h>;<5g>c6<58h36k>4}r0;>5<5s48<65m4=3;95g733h7096:g3894152o;015gd=n91v;650;0xZ3><5?21=ij4}r5;>5<5s4<364m4=6;95g7=e<584d634=m6k<4}r5`>5<5s4=h6;<5e>c54d634=m6k:4}r5f>5<5s4=n6;<:3>c44d6342;6k?4}r:g>5<6:rT3h63>6g8;<>;1032370?m6;:;?84021201475899>5dd=0116=h478:?20f478:?206de9>6<=<<>k272n7?m1:p556=838pR<>?;<320??d3ty:=94?:7y>542=9mn015:?4=?`534;<=7h?;|q26g<721qU=?l4=2`0>c7<58n96k?4=3f0>c6<58?:6k?4=04:>c4<58<;6k?4=0`e>c752z\27f=:9:i1=ij4}r30`?6=:r7:?n46c:?207<6j81v<=j:181874m3;i=63>438e5>{t9:l1<74d634;?=7h>;|q205<72;q6=9>51c3894262o80q~?;1;296~;6<80:n<521509b7=z{8>86=4={<377?7cl27:?h4i2:p512=838p1<:<:8a8942228h:7p}>4683>6}Y9==01?j7:g3894dd2o;0q~?;c;296~X6v3>4b8:g>;65<5s4;?i7?m1:?21150;0x943728h:70?:6;d2?xu6=80;6?u214395g7<58?>6k?4}r366?6=:r7:9?4>b09>503=n;1v<;<:181872;3;i=63>568e6>{t9<>1<74d634;?j7h>;|q210<72;q6=8;51c3894312o80q~?:6;296~;6=?0:n<521459b4=z{8?<6=4={<363?7e927:8k4i2:p50?=838pR<;6;<36=?7cl2wx=8o50;0x943>20i01<;j:0`2?xu6=k0;6?u214`95g7<58?n6k?4}r36g?6=:r7:9n4>b09>50b=n;1v<;k:181872l3;i=63>5d8e6>{t9=e<58<=6;|q225<72;q6=;>51c3894032o;0q~?91;296~;6>80:n<521769b7=z{8<96=4={<356?7e927::;4i1:p535=838p1<8<:0`2?871>3l87p}>6583>7}:9?>1=o?4=046>c452z?220<6j816=;85f39~w4002909w0?97;3g`>;6=k0m>6s|17:94?4|58<26;<35a?`53ty::44?:3y>53g=9k;01<8k:g38yv71i3:1>v3>6c82f4=:9?n1j?5rs04a>5<5s4;=o7?m1:?22`o0;6?u217d95ab<5;n26k<4}r344?6=:r7::k46c:?237<6j81v<9>:18187093;i=63>738e6>{t90i1<7k3;oh6s|18f94?4|583h64m4=0c1>4d63ty:5h4?:3y>5n3:1>v3>9g82f4=:9h;1j<5rs0c3>5<5s4;j<7?m1:?2e446c:?2e0<6j81v2o80q~?nc;297~;6ik02o63>668;g>;6>l0:n<5rs0cf>5<2<5;=1595218a9=1=:9:i1595214;9=1=:9h9159521519=1=:9?=1595rs0`5>5<1s4;i:7?kd:?2`65g0=0j16=n>51c38yv7e03:1>v3>b982f4=:9ki1j?5rs0`:>5<5s4;i57?m1:?2fab09>5gc=n81vbd8e6>{t9ko1<74d634;ij7h=;|q2fc<72;q6=oh51c3894e72o;0q~?l6;29=~;6k?0:hi521ec9b5=:9m>1j=521e79b4=:9j21j<521419b5=:9?k1j<521719b5=:9k31j=5rs0a4>5<5s4;h:77l;<3`=?7e92wx=n650;0x94e?28h:70?l9;d1?xu6kh0;6nu21bc95ab<58ni6k?4=0f2>c7<58n=6k>4=0f4>c7<58i26k?4=073>c7<58??6k?4=04b>c4<58<96k>4=6`9b5=:9kk1j=5rs0aa>5<5s4;hm77l;<3g4?7e92wx=nm50;0x94ed28h:70?k7;d1?xu6km0;6?u21bf95g7<58n;6k=4}r3`a?6=:r7:oh4>b09>5f`=n81vd18e5>{t9m;1<74d634;hi7h>;|q2`7<72;q6=i<51c3894b?2o;0q~?k3;296~;6l:0:n<521e:9b7=z{8n?6=4={<3g0?7e927:ok4i2:p5a3=838p1d783>7}:9m<1=o?4=0ag>c452z?2`2<6j816=i>5f39~w4b?2909w0?k8;3a5>;6kl0m>6s|1e;94?4|58nj6;<3gf?`53ty:hn4?:4y>5`<>k27:5n47c:?27f;<3gf?7e92wx>n650;0xZ7e?348o57?m1:p6fc=838p1?mj:0`2?84c03l97p}=d283>7}::m91=o?4=3f:>c552z?1`=<6j816>i75f09~w6>f2909wS=7a:?0f1l<:0`2?85e=3l:7p}7}:;k>1=o?4=2`6>c453z\0g5=:9=?1j=521529b5=z{=::6=4<{_635>;6i<0m<63>a18e4>{t<::1<7:t^513?871?33h70=m3;d1?872l3l:7psm36c94?e=:k08?vF<519'76g=mm1/=l6532;8k14>2900c>o<:188m6>f2900c;:50;9jad<722c=57>5;h4f>5<5<5<=n:dd8L60d3A9><6aje;29?xd4i:0;684?:1y'76g=mj1C?;m4H273?l>d2900e4:50;9j<=<722c2o7>5;n3g`?6=3th9ol4?:483>5}#;:k1in5G37a8L6373`2h6=44i8694?=n010;66g6c;29?j7cl3:17pl=cg83>0<729q/?>o5eb9K73e<@:?;7d6l:188m<2=831b454?::k:g?6=3f;oh7>5;|`1`1<72<0;6=u+32c9af=O;?i0D>;?;h:`>5<1<75f8983>>o>k3:17b?kd;29?xd1i3:197>50z&07d5<?2900e4m50;9l5ab=831vn>;<:186>5<7s-98m7kl;I15g>N4=91b4n4?::k:0?6=3`236=44i8a94?=h9mn1<75rb2294?5=83:p(>=n:d`8L60d3A9><6*ie;28m=>=831b5n4?::m2`a<722wi:84?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<>i6j80;66sm2ef94?4=83:p(>=n:gd8L60d3A9><6gi0;29?j7e93:17pl=dd83>7<729q/?>o5fg9K73e<@:?;7dh?:188k4d62900qo;?;hd3>5<N4>j1C?8>4ig294?=h9k;1<75rb2cb>5<4290;w)=N4>j1C?8>4ig394?=nn;0;66a>b083>>{e;8l;I164>oa93:17dh=:188k4d62900qo9>:181>5<7s-98m7hi;I15g>N4=91bj=4?::m2f4<722wi;?4?:283>5}#;:k1=o=4H24`?M5282cm=7>5;hd1>5<5<5290;w)=>i6j80;66sm6e83>6<729q/?>o51c18L60d3A9><6gi1;29?l`52900c:188yg4c>3:1>7>50z&07di?50;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th9h?4?:283>5}#;:k1=o=4H24`?M5282cm=7>5;hd1>5<N4>j1C?8>4ig294?=h9k;1<75rb3ag>5<4290;w)=N4>j1C?8>4ig394?=nn;0;66a>b083>>{e;80;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg25i3:1>7>50z&07dN4=91bj<4?::ke6?6=3f;i=7>5;|`5a?6=:3:1N4>j1C?8>4ig294?=h9k;1<75rb6194?4=83:p(>=n:gd8L60d3A9><6gi0;29?j7e93:17pl84;296?6=8r.8?l4if:J02f=O;<:0ek>50;9l5g7=831vn:;50;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th<:7>55;294~"4;h09o6F<6b9K706>oa;3:17dh;:188k4d62900qo=:7;297?6=8r.8?l4>b29K73e<@:?;7dh>:188mc4=831d=o?50;9~f63?29086=4?{%10e?7e;2B8:n5G3428mc7=831bj?4?::m2f4<722wi?8750;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th89l4?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<n7>55;294~"4;h09o6F<6b9K706>oa;3:17dh;:188k4d62900qo=:c;297?6=8r.8?l4>b29K73e<@:?;7dh>:188mc4=831d=o?50;9~w66=838p1>>51ef8930=n81v><50;0x966=0116?<4>b09~w65=838p1>>59b9>71<6j81v;:50;dxZ32<5?l15n526`8:g>;5l=02o63=cg8:g>;5kh02o6396;3a5>;4i00m<63<548e5>;093l;708l:g2897b12o:01?j>:g2897ed2o:01>;n:g28yv022909w08::0`2?8012o80q~87:18085>03l:7099:0`2?852j3l:7p}99;297~X1127=h7h>;<56>c64bc344}r4a>5<5s44d6345<4sW37<6j81v:?50;0x927=9k;01:<5f39~w25=838p1;k51c38920=n81v::50;0x925=9k;01:85f39~w23=838p1::51c38920=n:1v:850;0x923=9k;01:85f59~w`g=833pRho4=2c0>=><5;ij6564=3ae>=><5;n?6564=7c9<==:>o03463<528;<>;493l;7p}i9;297~Xa1278ml4i1:?010o<:86897ef20>01?mi:86897b320>01;o5959>2c<><2789>464:p6f?=839pR?m6;<0``?`6349>47h>;|q1gd<72;q6>no51ef8963?2o80q~7h>;|q1gc<72;q6>nh51ef897bc2o:0q~;7h>;|q1`1<72;q6>i:51ef896302o80q~7}::mn1=o?4=3fe>c752z?1``<6j816>ih5f39~w6342909w0=:3;3g`>;3:h0m<6s|34694?4|5:?864m4=276>4d63ty89;4?:2y>705=0j16?l=58b9>70e=9k;0q~=:7;296~;4=>0:n<5234`9b7=z{:?36=4={<16;6:0`2?852j3l?7p}<5`83>7}:;c4n7>52z?01g<6j816?8m5f09~w6>f290>wS=7a:?51?`7349?6k>4=50a>c7<5:336k<4}r1:3?6=:rT85:5238:95g752z\0e6=:;h91=ij4}r1b46c:?0ed<6j81v>o6:18185f13;i=63{t<;31<7;<61f?`53twi?:h50;36>f>=l:qC?8>4$21b>44>3-;j47=<9:m250<722c?>44?::k0e6<722c:m:4?::m245<722e84l4?::kb7?6=3`9m57>5;h37g?6=3f9297>5;h:b>5<>d4>m0;6<4?:1y'76g=mo1C?;m4H273?jcb2900qo:>2;291?6=8r.8?l4jc:J02f=O;<:0e5m50;9j=1<722c347>5;h;`>5<5<4290;w)=>o>k3:17b?kd;29?xdel3:1?7>50z&07d=831b5n4?::m2`a<722win44?:283>5}#;:k1io5G37a8L6373`236=44i8a94?=h9mn1<75rbc794?5=83:p(>=n:d`8L60d3A9><6g78;29?l?d2900c5;n3g`?6=3th8n:4?:283>5}#;:k1io5G37a8L6373`236=44i8a94?=h9mn1<75rb036>5<4290;w)=>o>k3:17b?kd;29?xd6m3:1?7>50z&07d=831b5n4?::m2`a<722wi==>50;194?6|,:9j6hl4H24`?M5282c347>5;h;`>5<5<4290;w)=>o>k3:17b?kd;29?xd5000;6>4?:1y'76g=mk1C?;m4H273?l>?2900e4m50;9l5ab=831vn5:50;194?6|,:9j6hl4H24`?M5282c347>5;h;`>5<N4>j1C?8>4i9:94?=n1j0;66a>de83>>{e1l0;6>4?:1y'76g=mk1C?;m4H273?l>?2900e4m50;9l5ab=831vn9>>:180>5<7s-98m7km;I15g>N4=91b454?::k:g?6=3f;oh7>5;|`0g5<72:0;6=u+32c9ag=O;?i0D>;?;h:;>5<6<729q/?>o5ec9K73e<@:?;7d67:188mt$21b>`d<@:5<53;294~"4;h0nn6F<6b9K706>i6lm0;66sm8083>6<729q/?>o5ec9K73e<@:?;7d67:188m5;n3g`?6=3th2h7>53;294~"4;h0nn6F<6b9K706>i6lm0;66sm14:94?5=83:p(>=n:d`8L60d3A9><6*ie;28m=>=831b5n4?::m2`a<722wihn4?:283>5}#;:k1io5G37a8L6373-ln6=5f8983>>o>k3:17b?kd;29?xd6?:0;6>4?:1y'76g=mk1C?;m4H273?!`b291b454?::k:g?6=3f;oh7>5;|`23d<72:0;6=u+32c9ag=O;?i0D>;?;%df>5=n010;66g6c;29?j7cl3:17pl>8083>6<729q/?>o5ec9K73e<@:?;7)hj:19j<=<722c2o7>5;n3g`?6=3th:4k4?:283>5}#;:k1io5G37a8L6373-ln6=5f8983>>o>k3:17b?kd;29?xdd<3:1?7?53zJ015=#;:k1=lo4i`394?=ni;0;66a<2583>>d4?;0;6>4?:1y'76g=;?h0D>8l;I164>oa93:17dh=:188k4d62900q~o>:181[g6349<>7h=;|qb6?6=:rTj>63<738e5>{t;;>1<7;?;%10e?7fi2cj=7>5;hc1>5<5<4290;w)=N4>j1C?8>4ig394?=nn;0;66a>b083>>{ti80;6?uQa09>724=n;1vl<50;0xZd4<5:=96k?4}r110?6=:rT8>95236095g77>53;397~N4=91/?>o51`c8md7=831bm?4?::m061<722h8;?4?:283>5}#;:k1?;l4H24`?M5282cm=7>5;hd1>5<5<5sWk:70=82;d1?xuf:3:1>vPn2:?0377?m1:~ff7=8391=7=tH273?!54i3;jm6gn1;29?lg52900c><;:188f61529086=4?{%10e?51j2B8:n5G3428mc7=831bj?4?::m2f4<722wxm<4?:3y]e4=:;>81j?5rs`094?4|Vh801>9=:g38yv55<3:1>vP<259>724=9k;0qpl=8683>6<729q/?>o52`9K73e<@:?;7dh>:188mc4=831d=o?50;9~f3c=8391<7>t$21b>7g<@:5<52;294~"4;h0mj6F<6b9K7067<729q/?>o5fg9K73e<@:?;7dh?:188k4d62900qo:?8;297?6=8r.8?l4=a:J02f=O;<:0ek?50;9jb7<722e:n<4?::ae5<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<N4>j1C?8>4ig294?=h9k;1<75rb9494?4=83:p(>=n:gd8L60d3A9><6gi0;29?j7e93:17pl=8c83>7<729q/?>o5fg9K73e<@:?;7dh?:188k4d62900qo=l9;296?6=8r.8?l4if:J02f=O;<:0ek>50;9l5g7=831vn9>n:181>5<7s-98m7hi;I15g>N4=91bj=4?::m2f4<722wi8<850;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3thij7>52;294~"4;h0mj6F<6b9K7068l;I164>oa93:17dh=:188k4d62900qolm:181>5<7s-98m7hi;I15g>N4=91bj=4?::m2f4<722winn4?:283>5}#;:k1>l5G37a8L6373`l:6=44ig094?=h9k;1<75rbc594?4=83:p(>=n:gd8L60d3A9><6gi0;29?j7e93:17plm8;297?6=8r.8?l4=a:J02f=O;<:0ek?50;9jb7<722e:n<4?::af6<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<5<4290;w)=>oa:3:17b?m1;29?xdd>3:1?7>50z&07d<5i2B8:n5G3428mc7=831bj?4?::m2f4<722wio54?:283>5}#;:k1>l5G37a8L6373`l:6=44ig094?=h9k;1<75rbbc94?5=83:p(>=n:3c8L60d3A9><6gi1;29?l`52900c:188ygc?29096=4?{%10e?`a3A9=o6F<519jb5<722e:n<4?::a<6<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<N4>j1C?8>4ig294?=h9k;1<75rb0;7>5<5290;w)=>i6j80;66sm18794?4=83:p(>=n:gd8L60d3A9><6gi0;29?j7e93:17pl>9783>7<729q/?>o5fg9K73e<@:?;7dh?:188k4d62900qo?67;297?6=8r.8?l4=a:J02f=O;<:0ek?50;9jb7<722e:n<4?::a5<>=8391<7>t$21b>7g<@:5<53;294~"4;h09m6F<6b9K706>i6j80;66sm18c94?5=83:p(>=n:0`0?M51k2B89=5ff083>>oa:3:17b?m1;29?xd61k0;6>4?:1y'76g=9k90D>8l;I164>oa93:17dh=:188k4d62900qo?62;297?6=8r.8?l4=a:J02f=O;<:0ek?50;9jb7<722e:n<4?::a5<5=8391<7>t$21b>4d43A9=o6F<519jb4<722cm>7>5;n3a5?6=3th:;n4?:283>5}#;:k1>l5G37a8L6373`l:6=44ig094?=h9k;1<75rb05g>5<5290;w)=>i6j80;66sm16g94?5=83:p(>=n:0`0?M51k2B89=5ff083>>oa:3:17b?m1;29?xd6?o0;6>4?:1y'76g=:h1C?;m4H273?l`62900ek<50;9l5g7=831vn<6?:180>5<7s-98m7?m3:J02f=O;<:0ek?50;9jb7<722e:n<4?::a523=8391<7>t$21b>7g<@:5<52;294~"4;h0mj6F<6b9K706>i6j80;66sm16:94?5=83:p(>=n:3c8L60d3A9><6gi1;29?l`52900c:188yg7013:1?7>50z&07d<6j:1C?;m4H273?l`62900ek<50;9l5g7=831vnik50;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3thoj7>52;294~"4;h0mj6F<6b9K7068l;I164>oa83:17b?m1;29?xdb93:1>7>50z&07dt$21b>c`<@:5;|`f7?6=?3:1N4>j1C?8>4ig394?=nn;0;66gi3;29?l`32900ek;50;9jb3<722e:n<4?::a7dc=8391<7>t$21b>7g<@:5<52;294~"4;h0mj6F<6b9K7066<729q/?>o51c18L60d3A9><6gi1;29?l`52900c:188yg25:3:197>50z&07d<5k2B8:n5G3428mc7=831bj?4?::ke7?6=3`l?6=44o0`2>5<9?7>53;294~"4;h09m6F<6b9K706>i6j80;66sm43694?4=83:p(>=n:gd8L60d3A9><6gi0;29?j7e93:17pl;2483>7<729q/?>o5fg9K73e<@:?;7dh?:188k4d62900qo:=6;297?6=8r.8?l4=a:J02f=O;<:0ek?50;9jb7<722e:n<4?::a071=8391<7>t$21b>7g<@:5<947>53;294~"4;h09m6F<6b9K706>i6j80;66sm19194?4=83:p(>=n:gd8L60d3A9><6gi0;29?j7e93:17pl>8683>7<729q/?>o5fg9K73e<@:?;7dh?:188k4d62900qo?78;296?6=8r.8?l4if:J02f=O;<:0ek>50;9l5g7=831vn<66:181>5<7s-98m7hi;I15g>N4=91bj=4?::m2f4<722wi=5o50;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th:4o4?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<53;294~"4;h0:n>5G37a8L6373`l:6=44ig094?=h9k;1<75rb0:g>5<4290;w)=>oa:3:17b?m1;29?xd60l0;694?:1y'76g=:k1C?;m4H273?l`62900ek<50;9jb6<722e:n<4?::a5=2=8391<7>t$21b>4d43A9=o6F<519jb4<722cm>7>5;n3a5?6=3th:484?:283>5}#;:k1>l5G37a8L6373`l:6=44ig094?=h9k;1<75rb0:5>5<4290;w)=N4>j1C?8>4ig394?=nn;0;66a>b083>>{e:;0;6>4?:1y'76g=9k90D>8l;I164>oa93:17dh=:188k4d62900qo<<:180>5<7s-98m7?m3:J02f=O;<:0ek?50;9jb7<722e:n<4?::a61<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<5<4290;w)=>oa:3:17b?m1;29?xd5>3:1?7>50z&07d<6j:1C?;m4H273?l`62900ek<50;9l5g7=831vn>7?:181>5<7s-98m7hi;I15g>N4=91bj=4?::m2f4<722wi?4?50;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th85?4?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<53;294~"4;h0:n>5G37a8L6373`l:6=44ig094?=h9k;1<75rb2;7>5<3290;w)=>oa:3:17dh<:188k4d62900qo??2;296?6=8r.8?l4if:J02f=O;<:0ek>50;9l5g7=831vn<>;:181>5<7s-98m7hi;I15g>N4=91bj=4?::m2f4<722wi==;50;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th:<;4?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<52;294~"4;h0mj6F<6b9K706b083>>{e9931<7=50;2x 65f28h87E=9c:J015=nn80;66gi2;29?j7e93:17pl>0`83>6<729q/?>o52`9K73e<@:?;7dh>:188mc4=831d=o?50;9~f46e290?6=4?{%10e?4e3A9=o6F<519jb4<722cm>7>5;hd0>5<;?;hd2>5<5;n3a5?6=3th?=44?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<:m7>52;294~"4;h0mj6F<6b9K706b083>>{e<8i1<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd39m0;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg26m3:187>50z&07d<5j2B8:n5G3428mc7=831bj?4?::ke7?6=3f;i=7>5;|`75c<72:0;6=u+32c95g5<@:5<9<7>54;294~"4;h09n6F<6b9K706>oa;3:17b?m1;29?xd4jh0;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg5ej3:1>7>50z&07dt$21b>c`<@:5;|`0f`<72=0;6=u+32c96g=O;?i0D>;?;hd2>5<>i6j80;66sm3cd94?5=83:p(>=n:0`0?M51k2B89=5ff083>>oa:3:17b?m1;29?xddk3:1>7>50z&07d1<7>t$21b>7d<@:=n:gd8L60d3A9><6gi0;29?j7e93:17plk2;296?6=8r.8?l4if:J02f=O;<:0ek>50;9l5g7=831vni=50;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3tho87>52;294~"4;h0mj6F<6b9K7068l;I164>oa83:17b?m1;29?xdc>3:1?7>50z&07d<5i2B8:n5G3428mc7=831bj?4?::m2f4<722wih:4?:583>5}#;:k1>o5G37a8L6373`l:6=44ig094?=nn:0;66a>b083>>{ekm0;6>4?:1y'76g=9k90D>8l;I164>oa93:17dh=:188k4d62900qomj:187>5<7s-98m7N4=91bj<4?::ke6?6=3`l86=44o0`2>5<>i6j80;66smac83>7<729q/?>o5fg9K73e<@:?;7dh?:188k4d62900qool:186>5<7s-98m7?m5:J02f=O;<:0ek?50;9jb7<722cm?7>5;hd7>5<5<5290;w)=>i6j80;66smad83>6<729q/?>o52`9K73e<@:?;7dh>:188mc4=831d=o?50;9~fd`=8391<7>t$21b>7g<@:5<>i6j80;66sm3b`94?5=83:p(>=n:3c8L60d3A9><6gi1;29?l`52900c:188yg5dm3:1>7>50z&07dt$21b>c`<@:5;|`0`4<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<N4>j1C?8>4ig294?=h9k;1<75rb2f0>5<4290;w)=>oa:3:17b?m1;29?xd4l=0;6>4?:1y'76g=9k90D>8l;I164>oa93:17dh=:188k4d62900qo=k5;297?6=8r.8?l4=a:J02f=O;<:0ek?50;9jb7<722e:n<4?::a7fe=83>1<7>t$21b>7d<@:5<4290;w)=N4>j1C?8>4ig394?=nn;0;66a>b083>>{e99n1<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd68l0;6>4?:1y'76g=9k90D>8l;I164>oa93:17dh=:188k4d62900qo??f;296?6=8r.8?l4if:J02f=O;<:0ek>50;9l5g7=831vn5<7s-98m7?m3:J02f=O;<:0ek?50;9jb7<722e:n<4?::a547=8391<7>t$21b>7g<@:5<7>53;294~"4;h09m6F<6b9K706>i6j80;66sm10194?2=83:p(>=n:0`7?M51k2B89=5ff083>>oa:3:17dh<:188k4d62900qo?>7;297?6=8r.8?l4=a:J02f=O;<:0ek?50;9jb7<722e:n<4?::a577=8381<7>t$21b>c`<@:5;|`267<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<N4>j1C?8>4ig294?=h9k;1<75rb007>5<5290;w)=>i6j80;66sm13794?4=83:p(>=n:gd8L60d3A9><6gi0;29?j7e93:17pl>2783>7<729q/?>o5fg9K73e<@:?;7dh?:188k4d62900qo?=7;296?6=8r.8?l4if:J02f=O;<:0ek>50;9l5g7=831vn<<7:180>5<7s-98m7N4=91bj<4?::ke6?6=3f;i=7>5;|`25=<72:0;6=u+32c96d=O;?i0D>;?;hd2>5<>i6j80;66sm10c94?3=83:p(>=n:3a8L60d3A9><6gi1;29?l`52900ek=50;9jb1<722e:n<4?::a54d=8391<7>t$21b>4d43A9=o6F<519jb4<722cm>7>5;n3a5?6=3th:=n4?:283>5}#;:k1>l5G37a8L6373`l:6=44ig094?=h9k;1<75rb03g>5<4290;w)=N4>j1C?8>4ig394?=nn;0;66a>b083>>{e98o1<7=50;2x 65f28h87E=9c:J015=nn80;66gi2;29?j7e93:17pl>1g83>6<729q/?>o52`9K73e<@:?;7dh>:188mc4=831d=o?50;9~f44729086=4?{%10e?7e;2B8:n5G3428mc7=831bj?4?::m2f4<722wx=h4?:3y]5`=:9l0:hi5rs3394?4|58o15n522782f4=z{;81<71<74=026>c64bc345=><5:i;6564=2ca>=><5:2j6564=27g>=><5;236564=07;>=><50n145528282f4=z{1>1<715n52808:g>;?>3;i=6s|8883>40|V13019?=:9:89d?=0116ni478:?a=?>?34h>6564=c39<==:;k=145521079<==:9l03463>018;<>;b?32370<79;:;?8>321201>;j:9:8955z\;e>;b03l;70?65;d3?87?j3l;70??8;d3?xu>l3:1?v36d;3g`>;60:0m<63>038e4>{t1l0;6>u29d82`a=:91=1j=521169b5=z{0l1<7=t=8g9=f=:1m02o63n0;3a5>{ti:0;6?uQa29>a3<>k2wxm94?:`y>e<<6lm16o<4n1:?`2?`534nn6k>4=501>c2<5=;36k?4=53b>c6<5m:1j<52d38e4>;fl3l;7p}na;296~;f133h70l?:0`2?xufj3:1>v3nb;3a5>;fm3l97p}nc;296~;fk3;i=63nf;d2?xufl3:1>v3nd;3a5>;fn3l97p}ne;296~;fm3;i=63m0;d2?xufn3:1>v3nf;3a5>;e83l97p}n5;29e~;e93;oh63l1;c1?8e12o;01h>5f19>075=n8168<65f39>04?=n916h=4i2:?g5?`734kh6k?4}r`1>5<5s4h:64m4=c695g74d634h?6k<4}rc5>5<>s4h>6d4<5j21j<52e38e4>;3::0m>63;198e7>;39k0m<63k5;d3?8gd2o80q~l9:1818d220i01o651c38yvd02909w0l8:0`2?8d?2o80q~o8:18;8d>28no70m<:`089fg=n816i<4i0:?7615<5s4h264m4=ca95g74d634hh6k<4}rc;>5d4<5l91j?524379b5=:<8i1j=52d18e7>;c;3l;70ol:g68yvdb2909w0lk:8a89f6=9k;0q~li:1818da28h:70m?:g08yve22908w0m=:`389f0=9k;01n65f39~wf1=839p1n=5a09>g=<6j816ol4i2:pg<<72;q6o94n1:?`e?7e92wxoo4?:4y>fcc6<5k91j=52cg82f4=z{ji1<75rse694?4|5m>1=o?4=bg9b4=z{m?1<75rse494?4|5m<1=o?4=bd9b4=z{m=1<7c6<58:=6k>4}rfg>5<5s4nh64m4=d195g74d634o86k?4}rfe>5<5s4nm6;c54d634o86k;4}rg2>5<5s4o:6;c24d634o86k84}rg5>5<3s4o<64m4=d495ab<5ji1j=52ac8e4>{tm>0;6>u2e682`a=:l?0m=63ne;d2?xub13:1>v3j6;:;?8c?28h:7p}>0183>1}Y99:01<>?:0fg?825:3l:70:>8;d7?xu6880;69u211195g7<58;;6k?4=006>c6<58;26k?4}r336?6=:r7:b09>55?=n81v<>;:181877<3;i=63>088e6>{t99?1<74d634;;n7h>;|q243<72;q6==851c38946f2o;0q~??7;296~;68>0:n<5211c9b7=z{8:36=4={<334i2:p55?=838p1<>6:0`2?877j3l97p}>0`83>7}:99k1=o?4=02a>c552z?24g<6j816===5f09~w46d2909w0??0;;`?876;3;i=6s|11f94?4|58:o6;<324?`53ty:55c=9k;01:g38yv77n3:1>v3>0g82f4=:98;1j?5rs033>5<5s4;:<7?m1:?2573;d0?xu69;0;6?u210095g7<58;86k?4}r321?6=;rT:=85210795ab<58;m6k?4}r322?6=:r7:=846c:?265<6j81v1c8e5>{t9821<74d634;:h7h>;|q25<<72;q6=<751c38947d2o;0q~?>a;296~;69h0:n<521329b7=z{8;i6=4={<32f?7e927:=h4i1:p54e=838p11e83>7}:98n1=o?4=03f>c452z?25`<6j816=f;3a5>;6:90m=6s|13394?4|588:6;<31?4?:3y>574=9k;01v3>2282f4=:9831j?5rs007>5<5s4;987?m1:?25fa;d1?xu6:?0;6?u213495g7<58;j6k=4}r313?6=:r7:>:4>b09>54g=n=1v<<7:18187503;i=63>1c8e6>{t9=<1<74b83>7}Y9=i01<;7:8a8yv7203:18v3>5982`a=:9031j<52228e5>;68l0m=6s|16194?b|58=86;<34`?`734;<97h=;<1ba?`5348?6k>4=2;0>c7<5:in6k>4=2f7>c7<58:m6k>4=034>c7<588:6k>4}r340?6=:r7:;>46c:?23<<6j81v<9::181870=3;i=63>768e6>{t9><1<74d634;<47h=;|q232<72;q6=:951c38941>2o;0q~?88;296~;6?10:n<5216;9b7=z{8=j6=4j{<34e?7cl27:594i0:?2=g;|q23g<72;q6=:o59b9>5=6=9k;0q~?8c;296~;6?j0:n<5216g9b7=z{8=o6=4={<34`?7e927:;k4i2:p52c=838p1<9j:0`2?87?83l:7p}>7g83>7}:9>l1=o?4=0:3>c45fz?2<4<6lm16=4?5f19>5<>=n816=:k5f09>52>=n816?o>5f09>5=3=n816>?4i2:?0=7620i01<69:0`2?xu60:0;6?u219195g7<582h6k?4}r3;0?6=:r7:494>b09>5=3=n;1v<6::18187?=3;i=63>878e6>{t91=1<74d634;3o7h=;|q2<=<72;q6=5651c3894>b2o;0q~?79;296~;6000:n<5219f9b4=z{82j6=4={<3;e?7e927:4i4i2:p5=d=838p1<6m:0`2?87?<3l97p}>8b83>7}:91i1=o?4=0:f>c452z?2b2909w0?7e;3a5>;60=0m=6s|19d94?76s4;3j7?kd:?2=27h>;<1:5?`7349in7h?;<1`f?`6349o=7h?;<327?`534;:;7h=;<310?`734;9:7h?;|q2=5<72;q6=5h59b9>5<5=9k;0q~?61;296~;6180:n<521859b7=z{8396=4={<3:6?7e927:5>4i2:p5<2=838p1<7;:0`2?87>03l97p}>9483>7}:90?1=o?4=0;:>c452z?2=3<6j816=4<5f39~w4?02909w0?67;3a5>;61h0m=6s|18:94?4|58336;<3:e?`53ty:544?:3y>5i3:1>v3>9`82f4=:9091j<5rs0;a>5<5s4;2n7?m1:?2=7;47h>;|q2e2<72:qU=l94=2`g>c6<5:ii6k<4}r0;2?6=;r794:4>b09>5=g=n916==95f19~w7>?2909w0<78;3g`>;50>0m>6s|29;94?4|5;2266=?=1j16>5659b9>6=d=9k;0q~=:d;297~;4=m0:hi524309b6=:<821j85rs27f>5<4s49>i7?kd:?767;j:8a8963c20i01>8?:0`2?xu40h0;6?uQ39c896>f28no7p}<8g83>7}:;1k15n5238695g752z?0=5<6j816?4=5f39~w6?62909w0=61;3a5>;41=0m=6s|38094?4|5:396;<1:0?`43ty85>4?:3y>7<5=9k;01>7;:g08yv5>=3:1?vP<949>73b=ml168<<5959~w6?02908wS=67:?;2?`734;9;7h?;|q0e6<72;qU?l=4=`29b5=z{:ki6=4={_1bf>;4ik0:hi5rs2cg>5<5s49jn77l;<1a5?7e92wx?lk50;0x96gb28h:70=m1;d2?xu4io0;6?u23`d95g7<5:h;6k<4}r1a4?6=:r78n=4>b09>7g7=n;1v>l8:181[5e?278n:4>de9~w6d>2909w0=m7;;`?85en3;i=6s|3cc94?4|5:hj6;<1aa?`53ty8no4?:3y>7gd=9k;01>lj:g38yv5ek3:1>v35rs2`g>5<5s49ih7?m1:?0fc7}:;j=1=o?4=2a:>c652z?0g3<6j816?n95f39~w6e?2909w0=l0;;`?85d13;i=6s|3bc94?5|5:i=6k>4=524>c6<5:io6;|q0gg<72;q6?nl51c3896b22o;0q~=lc;296~;4kj0:n<523bf9b7=z{:in6=4={<1`a?7e9278h>4i1:p7f`=838p1>mi:0`2?85c;3l97p}7}:;m:1=o?4=2f7>c452z?0`4<6j816?nm5f09~w6b52909w0=k2;3a5>;4kj0m?6s|3e194?4|5:n86;<1g1?`53ty8h94?:3y>7a2=9k;01>ml:g08yv5c=3:1>v35<5sW9m563=8c8e4>{t<9;1<7;<63e?`73ty?<:4?:3y>051=9k;019>7:g08yv2713:1>v3;008:g>;38h0:n<5rs531>5<4sW>:>63;1382`a=:lo0m<6s|40794?4|5=;964m4=535>4d63ty?=:4?:3y>044=0j168?>51c38yv2603:1>v3;1982f4=:<8l1j<5rs53:>5<5s4>:57?m1:?75`e;d2?xu39k0;6?u240`95g7<5=;n6k=4}r62g?6=:r7?=n4>b09>076=n81v9?k:181826l3;i=63;218e7>{t<8o1<74d634>:j7h=;|q75c<72;q6854i1:p075=838p19<<:0`2?825?3l:7p};2583>7}:<;>1=o?4=505>c7997>52z?760<6j8168?85f39~w1412909w0:=6;3a5>;3:>0m>6s|43594?4|5=8<6;<6144?:3y]07?<5:<;6k>4}|`03=<72>08m7=k{I164>"4;h0296*>a9807<=h;8l1<75f2d294?=h;8n1<75fe`83>>i4;k0;66a<4683>>o?j3:17o=9d;295?6=8r.8?l4jf:J02f=O;<:0chk50;9~f65e290>6=4?{%10e?cd3A9=o6F<519j5;h:;>5<36=4::183!54i3oh7E=9c:J015=n0j0;66g64;29?l>?2900e4m50;9l5ab=831vn>>k:186>5<7s-98m7kl;I15g>N4=91/jh4?;h:`>5<1<75f8983>>o>k3:17b?kd;29?xd4<>0;684?:1y'76g=mj1C?;m4H273?l>d2900e4:50;9j<=<722c2o7>5;n3g`?6=3th9i44?:283>5}#;:k1io5G37a8L6373-ln6=5f8983>>o>k3:17b?kd;29?xd5mh0;6>4?:1y'76g=mk1C?;m4H273?!`b291b454?::k:g?6=3f;oh7>5;|`1ag<72:0;6=u+32c9ag=O;?i0D>;?;%df>5=n010;66g6c;29?j7cl3:17pl=eb83>6<729q/?>o5ec9K73e<@:?;7)hj:19j<=<722c2o7>5;n3g`?6=3th9ii4?:283>5}#;:k1io5G37a8L6373-ln6=5f8983>>o>k3:17b?kd;29?xd5ml0;6>4?:1y'76g=mk1C?;m4H273?!`b291b454?::k:g?6=3f;oh7>5;|`1ac<72:0;6=u+32c9ag=O;?i0D>;?;%df>5=n010;66g6c;29?j7cl3:17pl=f183>6<729q/?>o5ec9K73e<@:?;7)hj:19j<=<722c2o7>5;n3g`?6=3th9j:4?:282>6}O;<:0(>=n:0cb?lg62900el<50;9l772=831i?:<50;194?6|,:9j6>8m;I15g>N4=91bj<4?::ke6?6=3f;i=7>5;|qb5?6=:rTj=63<738e6>{ti;0;6?uQa39>724=n81v><;:181[55<278;?4>b09~yg4a>3:1?7?53zJ015=#;:k1=lo4i`394?=ni;0;66a<2583>>d4?;0;6>4?:1y'76g=;?h0D>8l;I164>oa93:17dh=:188k4d62900q~o>:181[g6349<>7h=;|qb6?6=:rTj>63<738e5>{t;;>1<75<42808wE=:0:&07d<6ih1bm<4?::kb6?6=3f9987>5;c146?6=;3:1;?;hd2>5<7h>;|q061<72;qU??:4=251>4d63twi>k:50;195?5|@:?;7)=of93:17do=:188k6432900n>9=:180>5<7s-98m7=9b:J02f=O;<:0ek?50;9jb7<722e:n<4?::pe4<72;qUm<523609b7=z{h81<74>:2yK706<,:9j65<81<7=50;2x 65f2:;4?;0:n<5r}c0e6?6=;3;1?vF<519'76g=9hk0el?50;9je7<722e8>94?::`037<72:0;6=u+32c973d<@:5<<;;<146?7e92wvn?h>:180>4<4sA9><6*<3`82ed=ni80;66gn2;29?j55<3:17o=82;297?6=8r.8?l4<6c9K73e<@:?;7dh>:188mc4=831d=o?50;9~wd7=838pRl?4=251>c47}Y;;>01>9=:0`2?x{e;8o1<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd4:188yg53j3:1?7>50z&07d<6j:1C?;m4H273?l`62900ek<50;9l5g7=831vn>?>:180>5<7s-98m7?m3:J02f=O;<:0ek?50;9jb7<722e:n<4?::a75`=8381<7>t$21b>c`<@:5;|`1bd<72:0;6=u+32c96d=O;?i0D>;?;hd2>5<6<729q/?>o52`9K73e<@:?;7dh>:188mc4=831d=o?50;9~f66729086=4?{%10e?4f3A9=o6F<519jb4<722cm>7>5;n3a5?6=3th85}#;:k1>l5G37a8L6373`l:6=44ig094?=h9k;1<75rb227>5<4290;w)=>oa:3:17b?m1;29?xd5n10;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg5583:1?7>50z&07d<5i2B8:n5G3428mc7=831bj?4?::m2f4<722wi???50;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th8>?4?:283>5}#;:k1>l5G37a8L6373`l:6=44ig094?=h9k;1<75rb200>5<4290;w)=>oa:3:17b?m1;29?xd49:0;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg56<3:1>7>50z&07dt$21b>c`<@:5;|`052<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<N4>j1C?8>4ig294?=h9k;1<75rb23:>5<5290;w)=>i6j80;66sm30c94?4=83:p(>=n:gd8L60d3A9><6gi0;29?j7e93:17pl<1c83><<729q/?>o52g9K73e<@:?;7dh>:188mc4=831bj>4?::ke0?6=3`l>6=44ig494?=nn>0;66gi8;29?j7e93:17pl<3e83>7<729q/?>o5fg9K73e<@:?;7dh?:188k4d62900qo=50;9l5g7=831vn>:?:181>5<7s-98m7hi;I15g>N4=91bj=4?::m2f4<722wi?9?50;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th88?4?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<52;294~"4;h0mj6F<6b9K706?6=4=:183!54i3lm7E=9c:J015=nn90;66a>b083>>{e;=?1<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd45;hd6>5<>i6j80;66sm32g94?5=83:p(>=n:0`0?M51k2B89=5ff083>>oa:3:17b?m1;29?xd44?:1y'76g=:h1C?;m4H273?l`62900ek<50;9l5g7=831vn>:j:181>5<7s-98m7hi;I15g>N4=91bj=4?::m2f4<722wi?9h50;194?6|,:9j6N4=91bj<4?::ke6?6=3f;i=7>5;|q;f?6=?rT3n63<498:g>;4;k02o63<468:g>;4;4a}Ymh16?>l5899>71>=0116?=j5899>711=0116>h75899>6`g=0116>hl5899>6`e=0116>hj5899>6`c=0116>hh5899>6c6=011v7}Y:l:01>?>:g38yv4b93:1:v3=e882`a=::o;1m<522gc9b7=::o21j=523019b5=:;:n1j=5rs3g1>5<2s48nm7?kd:?1b4c7<5:;=6k>4=262>c655z?1aa<6lm16>k:5a39>756=n816?<95f19>715=n91v?k9:18584bm3;oh63=f48b6>;48;0m=63<238e5>;4910m<63<438e4>{t:l=1<78t=3ge>4bc348m:7o=;<130?`63499<7h=;<12=?`7349?87h?;|q1a=<72=q6>k>51ef897`02h801>5<4s48m?7o>;<0eg?7e9279jh4i2:p6cb=839p1?h;:`3897`b28h:70=?0;d1?xu5no0;6>u22g79e4=:;9:1=o?4=221>c453z?1b3b09>752=n;1v>><:18184a?3k:70=?4;3a5>{t;9?1<7;|q043<72;q6>ho59b9>6c7=;;>0q~=?7;296~;5mk02o63=f38061=z{::36=4={<0fg??d348m?7==4:p75?=838p1?kk:8a897`32:8?7p}<0`83>7}::lo15n522g7977252z?1ac<>k279j;4<259~w66d2909w075`=9k;0q~=>0;296~;48m03o63<1082f4=z{:;96=4:{<17f?`6349:=7h=;<13b?`7349:n7?m1:?00ab;d2?xu49=0;6?u230695g7<5:;i6k<4}r121?6=:r78=84>b09>74d=n:1v>?9:181856>3;i=63<1c8e0>{t;8=1<74d6349:n7h:;|q05=<72;q6?<651c38967e2o<0q~=>9;296~;4900:n<5230`9b2=z{:;j6=4={<12e?7e9278=o4i8:p74b=838pR>?k;<12a?7e92wx?7}:;;;1=o?4=201>c47>52z?067<6j816??=5f39~w65e2909wS==l:181854j32h70={t;:n1<74d6349?:7h>;|q07c<72;q6?>h51c3896212o80q~=;0;296~;4<90:n<523549b6=z{:>:6=4={<175?7e92788;4i4:p714=838p1>:=:0`2?853>3l=7p}<4283>7}:;=91=o?4=265>c352z?001<6j816?985f69~w6222909w0=;5;3a5>;4;l0m>6s|35494?4|5:>=6;<10a?`63ty88:4?:3y]711<5:><6j6=4={<17e?7e92788o4i2:p71e=838p1>:8:9a8962a28h:7p}<4e83>7}:;=n1=o?4=26e>c752z?00`<6j816?9h5f39~yg5?03:1>7>50z&07dt$21b>7g<@:5<52;294~"4;h0mj6F<6b9K706b083>>{e;1?1<7=50;2x 65f2;k0D>8l;I164>oa93:17dh=:188k4d62900qo=8d;296?6=8r.8?l4if:J02f=O;<:0ek>50;9l5g7=831vn>9j:180>5<7s-98m7?m3:J02f=O;<:0ek?50;9jb7<722e:n<4?::a=6<72;0;6=u+32c9ba=O;?i0D>;?;hd3>5<N4>j1C?8>4ig294?=h9k;1<75rb520>5<5290;w)=>i6j80;66sm38a94?4=83:p(>=n:gf8L60d3A9><6gi0;29?j7e93:17pl7<729q/?>o5fe9K73e<@:?;7dh?:188k4d62900qo=n7;296?6=8r.8?l4id:J02f=O;<:0ek>50;9l5g7=831vn95<7s-98m7hk;I15g>N4=91bj=4?::m2f4<722wi?n<50;094?6|,:9j6kj4H24`?M5282cm<7>5;n3a5?6=3th3j7>52;294~"4;h0mh6F<6b9K7068l;I164>oa83:17b?m1;29?xdb=3:1>7>50z&07d;?;hd3>5<5<5290;w)=>i6j80;66sm5883>7<729q/?>o5fe9K73e<@:?;7dh?:188k4d62900qo;7:181>5<7s-98m7hk;I15g>N4=91bj=4?::m2f4<722wi9;4?:383>5}#;:k1ji5G37a8L6373`l;6=44o0`2>5<6=4=:183!54i3lo7E=9c:J015=nn90;66a>b083>>{e==0;6?4?:1y'76g=nm1C?;m4H273?l`72900c:188yg3429096=4?{%10e?`c3A9=o6F<519jb5<722e:n<4?::a17<72;0;6=u+32c9ba=O;?i0D>;?;hd3>5<5<5290;w)=>i6j80;66sm5183>7<729q/?>o5fe9K73e<@:?;7dh?:188k4d62900qo:i:181>5<7s-98m7hk;I15g>N4=91bj=4?::m2f4<722wi8h4?:383>5}#;:k1ji5G37a8L6373`l;6=44o0`2>5<o6=4=:183!54i3lo7E=9c:J015=nn90;66a>b083>>{e>:0;6?4?:1y'76g=nm1C?;m4H273?l`72900c:188yg0529096=4?{%10e?`c3A9=o6F<519jb5<722e:n<4?::a24<72;0;6=u+32c9ba=O;?i0D>;?;hd3>5<5<5290;w)=>i6j80;66sm5g83>7<729q/?>o5fe9K73e<@:?;7dh?:188k4d62900qo;j:181>5<7s-98m7hk;I15g>N4=91bj=4?::m2f4<722wi9i4?:383>5}#;:k1ji5G37a8L6373`l;6=44o0`2>5<b083>>{e=>0;6?4?:1y'76g=nm1C?;m4H273?l`72900c:188yg5f=3:1>7>50z&07d<6j;1C?;m4H273?l`72900c:188yg25l3:1>7>50z&07d<6j;1C?;m4H273?l`72900c:188yg2783:1>7>50z&07d<6j;1C?;m4H273?l`72900c:188yg5a03:1>7>50z&07d<6j;1C?;m4H273?l`72900c:188yg5bn3:1>7>50z&07d<6j;1C?;m4H273?l`72900c:188yg5f83:1>7>50z&07d<6j;1C?;m4H273?l`72900c:188yg5?m3:1>7>50z&07d<6j;1C?;m4H273?l`72900c:188yg5>>3:1>7>50z&07d<6j;1C?;m4H273?l`72900c:188yg5a93:1>7>50z&07d<6j;1C?;m4H273?l`72900c:188yg27=3:1>7>50z&07d<6j;1C?;m4H273?l`72900c:188yg5b83:1>7>50z&07d<6j;1C?;m4H273?l`72900c:188yg5?>3:1>7>50z&07d<6j;1C?;m4H273?l`72900c:188yg5?13:1>7>50z&07d<6j;1C?;m4H273?l`72900c:188yg5al3:1>7>50z&07d<6j;1C?;m4H273?l`72900c:188yg5fk3:1>7>50z&07d<6j;1C?;m4H273?l`72900c:188yg5d<3:1>7>50z&07d<6j;1C?;m4H273?l`72900c:188yg26<3:1>7>50z&07d<6j;1C?;m4H273?l`72900c:188yg5e>3:1>7>50z&07d<6j;1C?;m4H273?l`72900c:188yg5e03:1>7>50z&07d<6j;1C?;m4H273?l`72900c:188yg5>i3:1>7>50z&07d<6j;1C?;m4H273?l`72900c:188yg43n3:1>7>50z&07d<6j;1C?;m4H273?l`72900c:188yg43m3:1>7>50z&07d<6j;1C?;m4H273?l`72900c:188yg4?83:1>7>50z&07d<6j;1C?;m4H273?l`72900c:188yg40i3:1>7>50z&07d<6j;1C?;m4H273?l`72900c:188yg40<3:1>7>50z&07d<6j;1C?;m4H273?l`72900c:188yg41m3:1>7>50z&07d<6j;1C?;m4H273?l`72900c:188yg4103:1>7>50z&07d<6j;1C?;m4H273?l`72900c:188yg41:3:1>7>50z&07d<6j;1C?;m4H273?l`72900c:188yg42k3:1>7>50z&07d<6j;1C?;m4H273?l`72900c:188yg42>3:1>7>50z&07d<6j;1C?;m4H273?l`72900c:188yg4283:1>7>50z&07d<6j;1C?;m4H273?l`72900c:188yg4303:1>7>50z&07d<6j;1C?;m4H273?l`72900c:188yv7a2909w0=8f;3f?85?;3l;7p}=0;296~;4090:i63<858e4>{t:>0;6?u2392962=:;121j=5rs2794?4|V:?019j5f19~w60=838pR>84=5g9b5=z{:=1<7650;0xZ6><5<:1j=5rs2;94?4|V:3018?5f19~w6g=838pR>o4=409b5=z{:h1<7m50;0xZ6e<5<>1j=5rs2f94?4|V:n018;5f19~w6c=838pR>k4=449b5=z{:l1<750;0xZ16<5<21j=5rs5394?4|V=;01875f19~w14=838pR9<4=4c9b5=z{=>1<72<168>=55:?7`?7e92wx8h4?:2y>72?=>27??>49;<6f>4d63ty?j7>53z?03<<034>8?794=5d95g7<5=9865525182f4=z{<;1<7=t=25:><=:<:91563:1;3a5>{t=;0;6>u236;9e>;3;:0j70;=:0`2?xu2;3:1?v3<788a?824;3h018=51c38yv332908w0=89;a891542j16994>b09~w03=839p1>96:e9>065=l27>97?m1:p13<72:q6?:75e:?776;|q63?6=:r7??>4i;<74>4d63ty>47>53z?03<<6827??>4>0:?672?=9;168>=5139>1<<6j81v8o50;0x961>289018o51c38yv3e2909w0=89;36?83e28h:7p}:c;296~;3;:0::63:c;3a5>{t=m0;6?u2421952=:=m0:n<5rs4g94?4|5=986<64=4g95g734?m6;|q54?6=:r7??>4>a:?54?7e92wx:<4?:3y>065=9k16:<4>b09~w34=839p1>96:0a8915428i01;<51c38yv042908w0=89;3g?824;3;o708<:0`2?xu1<3:19v3<78850>;3;:0=863<81850>;4?h0=863<798;f>{t?>0;6?u239292==:;>k1:h5rs9g94?4|V1o015h5f19~w=`=838p1>9i:9;89=`=9k;0q~7?:181[?7343:6k>4}r;2>5<1s49<576k;<607?>c3493<76k;<14e?cf349<47kn;<;2>4d63ty2>7>52z\:6>;>;3l;7p}63;296~;4?o03m6363;3a5>{tm=0;6?uQe59>a072`=i:16i84>b09~wc?=838p1>96:g;8961f2o30q~??0;296~;4090:<=5236d955652z?0<5<69<16?:h51078yv75j3:1>v3<78826g=:;1:1=?l4}r30g?6=:r784=4>3b9>72`=9=<0q~?;7;296~;4?00:8:52392951152z?0<5<6v3<81821<=:;>l1=l94}r3:g?6=:r784=4>9b9>72`=9h<0q~?ke;296~X6ll16>9651c38yv7cn3:1>vP>dg9>61c=9k;0q~?j1;296~X6m816>9h51c38yv7b:3:1>vP>e39>606=9k;0q~?j3;296~X6m:16>8851c38yv7b<3:1>vP>e59>60e=9k;0q~?j5;296~X6m<16>;<51c38yv7b>3:1>vP>e79>63>=9k;0q~?j7;296~X6m>16>;k51c38yv7b03:1>vP>e99>622=9k;0q~?j9;296~X6m016>:o51c38yv7bi3:1>vP>e`9>6=6=9k;0q~?jc;296~;4?00:in5242195`e52z?776<6ll16>965f19~w72b2909w0:<3;3gb>;5;<07b?`73ty99=4?:3y>065=9l801?;?:g28yv42>3:1>v3;3282a6=::<<1j=5rs37`>5<5s4>8?7?j4:?11f;<50;0x915428o>70<92;d3?xu5>10;6?u242195`0<5;<36k>4}r05a?6=:r7??>4>e69>63c=n91v?9;:181824;3;n463=758e4>{t:>k1<74c>348=51dc897>72o:0q~<7c;296~;4?0094n5242196=e52z?0<5<5k116?:o52bg8yv4cj3:1>v3;3281g==:;>k1>n74}r0f4?6=:r7??>4=e19>72>=:l:0q~=>d;296~;3;:08=i5236:974b52z?776<49o16?:6530d8yv55=3:1>v3<788060=:;>?1j=5rs20`>5<5s49<57==c:?03al50;0x961?2:9i70=8e;d2?xu4<>0;6?u236:9711<5:==6k?4}r140?6=:r78;l499:?033<6j81v>9::181850=3;i=63<778e6>{t;>h1<77b43499k:0`2?850m3l97p}<8083>7}Y;1;01>69:0`2?xu40;0;6?u239195g7<5:2>6k?4}r1;7?6=:r78494>b09>7=3=n;1v>69:18185?=3;i=63<878e4>{t;1=1<7;<1;=?`73ty84o4?:3y]7=d<5:2h6k>4}r1;g?6=4<8`9>7=6=;1k01>9n:2:b?85?k3;i=6s|39f94?4|V:2o70=7e;3a5>{t;1o1<76>f3493i7h?;|q0=0<72;qU?4;4=2;5>4d63ty85;4?:3y>72`=;0?01>79:g28yv5>13:1>vP<989>7;41j0m<6s|38a94?4|5:=m6>78;<1:g?7e92wx?4j50;0xZ6?c349j<7?m1:p7d6=838p19=<:2;g?85f83l;7p}7}Y;h>01>o::0`2?xu4i<0;6?u236c97d5<5:k>6k>4}r1b2?6=:rT8m;523`59b5=z{:k<6=4={<14b?5f;278m:4>b09~w6ge2909wS=nb:?0ef<6j81v>ol:181850n39jn63{t;k81<73;i=6s|3c494?4|5:2;6>l=;<1a2?`73ty8n:4?:3y]7g1<5:h36;|q0f=<72;q6?:h53c5896d?2o:0q~=l1;296~X4k816?n<5f19~w6e52908w0:<3;1`4>;40908o=523b095g752z\0g6=:;j>1=o?4}r1`0?6=:r78;k47f2=n91v>jk:181[5cl278i=4>b09~w6c72909w0:<3;1g`>;4m90m<6s|3dg94?4|V:on70=jf;3a5>{t;ll1<76b1349nj7h?;|q0b5<72;qU?k>4=2d2>4d63ty8j<4?:3y>065=<9h01>h>:g28yv5a:3:1>vP7c>=9k;0q~=i8;296~;3;:08j?523g:9b5=z{:l26=4={_1e=>;4nh0m<6s|3gc94?5|5:=26>h6;<14b?5a1278jl4>b09~w6`e2909wS=ib:?0ba<6j81v>hk:181824;39mn63{t;oo1<7hj;<634?`73ty?4}r637?6=;r7??>4;009>7=6=<9;019><:0`2?xu38=0;6?uQ4168916228h:7p};0483>7}:;>l18=?4=526>c6:?7>52z\756=:<8>1=o?4}r620?6=:r78;k4;139>042=n91v9i4>b09~w14c2909w0=8a;61=>;3:m0m<6s|43g94?4|V=8n70:=f;d3?xu3:o0;6?u236d907?<5=8m6;|q774<72;qU8>?4=511>c68>7>54z?03<<3;9168>=5422896>72=9;70:<2;3a5>{zfo896=4={I164>{in;91<7;?;|le6=<728qC?8>4}od1=?6=9rB89=5rng0b>5<6sA9><6saf3`94?7|@:?;7p`i2b83>4}O;<:0qch=d;295~N4=91vbk51zJ015=zfo996=4>{I164>{in:91<7?tH273?xha;=0;6;?;|le7=<728qC?8>4}od0=?6=9rB89=5rng1b>5<6sA9><6saf2`94?7|@:?;7p`i3b83>6}O;<:0qchh50;1xL6373tdm8=4?:2yK70653zJ015=zfo>96=4<{I164>{in=91<7=tH273?xha<=0;6>uG3428yk`3=3:18vF<519~jc212909wE=:0:mb11=839pD>;?;|le0=<72=qC?8>4}od7=?6=:rB89=5rng6b>5<5sA9><6saf5`94?4|@:?;7p`i4b83>7}O;<:0qch;d;296~N4=91vbk:j:181M5282wej9h50;0xL6373tdm9=4?:3yK706=7>53zJ015=zfo?96=4<{I164>{in<91<78tH273?xha==0;6>uG3428yk`2=3:1=vF<519~jc312909wE=:0:mb01=83;pD>;?;|le1=<72?qC?8>4}od6=?6=9rB89=5rng7b>5<5sA9><6saf4`94?7|@:?;7p`i5b83>7}O;<:0qch:d;297~N4=91vbk;j:180M5282wej8h50;1xL6373tdm:=4?:2yK70653zJ015=zfo<96=4<{I164>{in?91<7=tH273?xha>=0;6>uG3428yk`1=3:1?vF<519~jc01290:wE=:0:mb31=83;pD>;?;|le2=<728qC?8>4}od5=?6=9rB89=5rng4b>5<6sA9><6saf7`94?7|@:?;7p`i6b83>4}O;<:0qch9d;295~N4=91vbk8j:182M5282wej;h50;3xL6373tdm;=4?:0yK70651zJ015=zfo=96=4<{I164>{in>91<7vF<519~jc1?2909wE=:0:mb2?=838pD>;?;|le3d<72;qC?8>4}od4f?6=:rB89=5rng5`>5<5sA9><6saf6f94?4|@:?;7p`i7d83>7}O;<:0qch8f;297~N4=91vbk6?:180M5282wej5?50;1xL6373tdm4?4?:2yK70653zJ015=zfo2?6=4<{I164>{in1?1<7vF<519~jc>?2909wE=:0:mb=?=838pD>;?;|le4}od;f?6=:rB89=5rng:`>5<6sA9><6saf9f94?7|@:?;7p`i8d83>4}O;<:0qch7f;295~N4=91vbk7?:182M5282wej4?50;3xL6373tdm5?4?:0yK70651zJ015=zfo3?6=4;{I164>{in0?1<7?tH273?xha1?0;6?uG3428yk`>?3:1=vF<519~jc??2909wE=:0:mb;?;|le=d<72;qC?8>4}od:f?6=9rB89=5rng;`>5<5sA9><6saf8f94?7|@:?;7p`i9d83>7}O;<:0qch6f;295~N4=91vbko?:181M5282wejl?50;3xL6373tdmm?4?:3yK70651zJ015=zfok?6=4={I164>{inh?1<7?tH273?xhai?0;6?uG3428yk`f?3:1=vF<519~jcg?2909wE=:0:mbd?=83;pD>;?;|leed<72:qC?8>4}odbf?6=9rB89=5rngc`>5<5sA9><6saf`f94?7|@:?;7p`iad83>7}O;<:0qchnf;295~N4=91vbkl?:181M5282wejo?50;3xL6373tdmn?4?:3yK70651zJ015=zfoh?6=4={I164>{ink?1<7?tH273?xhaj?0;6>uG3428yk`e?3:1=vF<519~jcd?2909wE=:0:mbg?=83;pD>;?;|lefd<72;qC?8>4}odaf?6=9rB89=5rng``>5<4sA9><6safcf94?7|@:?;7p`ibd83>7}O;<:0qchmf;295~N4=91vbkm?:181M5282wejn?50;3xL6373tdmo?4?:3yK70651zJ015=zfoi?6=4={I164>{inj?1<7?tH273?xhak?0;69uG3428yk7f<3:1=vF<519~j4g2290:wE=:0:m5d1=83;pD>;?;|l2e=<728qC?8>4}o3b=?6=9rB89=5rn0cb>5<6sA9><6sa1``94?7|@:?;7p`>ab83>4}O;<:0qc?nd;295~N4=91vb51zJ015=zf8h96=4>{I164>{i9k91<7?tH273?xh6j=0;6=83;pD>;?;|l2f<<728qC?8>4}o3ae?6=9rB89=5rn0`a>5<6sA9><6sa1cf94?7|@:?;7p`>bd83>4}O;<:0qc?mf;295~N4=91vb51zJ015=zf8i>6=4>{I164>{i9j<1<7?tH273?xh6k>0;6290:wE=:0:m5fg=83;pD>;?;|l2gf<728qC?8>4}o3``?6=9rB89=5rn0af>5<6sA9><6sa1bd94?7|@:?;7p`>d083>4}O;<:0qc?k2;295~N4=91vb51zJ015=zf8n<6=4>{I164>{i9m21<7?tH273?xh6l00;6;?;|l2`a<728qC?8>4}o3ga?6=9rB89=5rn0fe>5<6sA9><6sa1d294?7|@:?;7p`>e083>4}O;<:0qc?j2;295~N4=91vb51zJ015=zf8o<6=4>{I164>{i9l21<7?tH273?xh6m00;6;?;|l2aa<728qC?8>4}o713?6=9rB89=5rn40:>5<6sA9><6sa53c94?7|@:?;7p`:2c83>4}O;<:0qc;=c;295~N4=91vb8>k4?:0yK70651zJ015=zf<9n6=4>{I164>{i=:l1<7?tH273?xh2<90;6;?;|l601<728qC?8>4}o771?6=9rB89=5rn465>5<6sA9><6sa55594?7|@:?;7p`:4983>4}O;<:0qc;;9;295~N4=91vb8:n:182M5282we99l50;3xL6373td>8n4?:0yK70651zJ015=zf<>n6=4>{I164>{i==l1<7?tH273?xh2=90;6;?;|l611<728qC?8>4}o761?6=9rB89=5rn475>5<6sA9><6sa54594?7|@:?;7p`:5983>4}O;<:0qc;:9;295~N4=91vb8;n:182M5282we98l50;3xL6373td>9n4?:0yK706h7>51zJ015=zf{I164>{i=90;6;?;|l621<728qC?8>4}o751?6=9rB89=5rn445>5<6sA9><6sa57594?7|@:?;7p`:6983>4}O;<:0qc;99;295~N4=91vb88n:182M5282we9;l50;3xL6373td>:n4?:0yK70651zJ015=zf<{I164>{i=?l1<7?tH273?xh2?90;6;?;|l631<728qC?8>4}o741?6=9rB89=5rn455>5<6sA9><6sa56594?7|@:?;7p`:7983>4}O;<:0qc;89;295~N4=91vb89n:182M5282we9:l50;3xL6373td>;n4?:0yK70651zJ015=zf<=n6=4>{I164>{i=>l1<7?tH273?xh2090;65290:wE=:0:m1=5=83;pD>;?;|l6<1<728qC?8>4}o7;1?6=9rB89=5rn4:5>5<6sA9><6sa59594?7|@:?;7p`:8983>4}O;<:0qc;79;295~N4=91vb86n:182M5282we95l50;3xL6373td>4n4?:0yK70651zJ015=zf<2n6=4>{I164>{i=1l1<7?tH273?xh2190;693:1=vF<519~j0?5290:wE=:0:m1<5=83;pD>;?;|l6=1<728qC?8>4}o7:1?6=9rB89=5rn4;5>5<6sA9><6sa58594?7|@:?;7p`:9983>4}O;<:0qc;69;295~N4=91vb87n:182M5282we94l50;3xL6373td>5n4?:0yK70651zJ015=zf<3n6=4>{I164>{i=0l1<7?tH273?xh2i90;6;?;|l6e1<728qC?8>4}o7b1?6=9rB89=5rn4c5>5<6sA9><6sa5`594?7|@:?;7p`:a983>4}O;<:0qc;n9;295~N4=91vb8om:182M5282we9lm50;3xL6373td>mi4?:0yK70651zJ015=zf{I164>{i=k:1<7?tH273?xh2j80;6;?;|l6f0<728qC?8>4}o7a2?6=9rB89=5rn4`4>5<6sA9><6sa5c:94?7|@:?;7p`:b883>4}O;<:0qc;ma;295~N4=91vb8lm:182M5282we9om50;3xL6373td>ni4?:0yK70651zJ015=zf{I164>{i=j:1<7?tH273?xh2k80;6;?;|l6g0<728qC?8>4}o7`2?6=9rB89=5rn4a4>5<6sA9><6sa5b:94?7|@:?;7p`:c883>4}O;<:0qc;la;295~N4=91vb8mm:182M5282we9nm50;3xL6373td>oi4?:0yK70651zJ015=zf{I164>{i=m:1<7?tH273?xh2l80;6;?;|l6`0<728qC?8>4}o7g2?6=9rB89=5rn4f4>5<6sA9><6sa5e:94?7|@:?;7p`:d883>4}O;<:0qc;kb;295~N4=91vb8ji:182M5282we9h<50;3xL6373td>i>4?:0yK70651zJ015=zf{I164>{i=l21<7?tH273?xh2m00;6;?;|l6ac<728qC?8>4}o7e4?6=9rB89=5rn4d6>5<6sA9><6sa5g494?7|@:?;7p`:f683>4}O;<:0qc;i8;295~N4=91vb:7l:182M5282we;4h50;3xL6373td51zJ015=zf>k96=4>{I164>{i?h91<7?tH273?xh0i=0;6=83;pD>;?;|l4ed<728qC?8>4}o5ba?6=9rB89=5rn6ce>5<6sA9><6sa7c294?7|@:?;7p`8b083>4}O;<:0qc9m2;295~N4=91vb:l<:182M5282we;o:50;3xL6373td51zJ015=zf>h26=4>{I164>{i?kk1<7?tH273?xh0jk0;6;?;|l4fc<728qC?8>4}o5`4?6=9rB89=5rn6a1>5<6sA9><6sa7b194?7|@:?;7p`8c583>4}O;<:0qc9l5;295~N4=91vb:m9:182M5282we;n950;3xL6373td51zJ015=zf>ij6=4>{I164>{i?jh1<7?tH273?xh0kj0;6;?;|l4`5<728qC?8>4}o5g5?6=9rB89=5rn6f1>5<6sA9><6sa7e194?7|@:?;7p`8d583>4}O;<:0qc9k5;295~N4=91vb:j8:182M5282we;i650;3xL6373td51zJ015=zf>ni6=4>{I164>{i?mi1<7?tH273?xh0lm0;6;?;|l4a4<728qC?8>4}o5f6?6=9rB89=5rn6g0>5<6sA9><6sa7d694?7|@:?;7p`8e483>4}O;<:0qc9j6;295~N4=91vb:k8:182M5282we;h650;3xL6373td51zJ015=zf>oi6=4>{I164>{i?li1<7?tH273?xh0mm0;6;?;|l4b4<728qC?8>4}o5e6?6=9rB89=5rn6d0>5<6sA9><6sa7g694?7|@:?;7p`8f483>4}O;<:0qc9i6;295~N4=91vb:h8:182M5282we;k650;3xL6373td51zJ015=zf>li6=4>{I164>{i?oi1<7?tH273?xh0nm0;6;?;|l;41<728qC?8>4}o:31?6=9rB89=5rn9a3>5<6sA9><6sa8b394?7|@:?;7p`7c383>4}O;<:0qc6l4;295~N4=91vb5m::182M5282we4n950;3xL6373td3o54?:0yK70651zJ015=zf1ii6=4>{I164>{i0ji1<7?tH273?xh?km0;6dm3:1=vF<519~j=ea290:wE=:0:m;?;|l;`4<728qC?8>4}o:g0?6=9rB89=5rn9f5>5<6sA9><6sa8e594?7|@:?;7p`7d983>4}O;<:0qc6ka;295~N4=91vb5jm:182M5282we4im50;3xL6373td3hh4?:0yK70651zJ015=zf1o;6=4>{I164>{i0l;1<7?tH273?xh?m;0;6b;3:1=vF<519~j=c3290:wE=:0:m<`3=83;pD>;?;|l;a3<728qC?8>4}o:f3?6=9rB89=5rn9g;>5<6sA9><6sa8d;94?7|@:?;7p`7e`83>4}O;<:0qc6jb;295~N4=91vb5ki:182M5282we4k>50;3xL6373td3j?4?:0yK70651zJ015=zfj;?6=4>{I164>{ik8?1<7?tH273?xhd9?0;6;?;|l`5d<728qC?8>4}oa2f?6=9rB89=5rnb3`>5<6sA9><6sac0d94?7|@:?;7p`l2183>4}O;<:0qcm=1;295~N4=91vbn<<:181M5282weo?:50;3xL6373tdh>84?:0yK70651zJ015=zfj8<6=4>{I164>{ik;21<7?tH273?xhd:00;6vF<519~jf4e290:wE=:0:mg7e=83;pD>;?;|l`6a<72;qC?8>4}oa1a?6=9rB89=5rnb0e>5<6sA9><6sac2294?4|@:?;7p`l3083>4}O;<:0qcm<2;295~N4=91vbn=<:181M5282weo>:50;3xL6373tdh?84?:0yK70652zJ015=zfj9<6=4={I164>{ik:21<7;?;|l`7a<728qC?8>4}oa0a?6=9rB89=5rnb1e>5<6sA9><6sac5294?7|@:?;7p`l4083>4}O;<:0qcm;2;295~N4=91vbn:<:182M5282weo9:50;3xL6373tdh884?:3yK70652zJ015=zfj><6=4={I164>{ik=21<7;?;|l`0a<728qC?8>4}oa7a?6=9rB89=5rnb6e>5<6sA9><6sac4294?7|@:?;7p`l5083>4}O;<:0qcm:2;295~N4=91vbn;;:182M5282weo8;50;3xL6373tdh9;4?:0yK706;7>51zJ015=zfj?36=4>{I164>{ik<31<7?tH273?xhd=h0;6;?;|l`1`<728qC?8>4}oa6b?6=9rB89=5rnb43>5<6sA9><6sac7394?7|@:?;7p`l6383>4}O;<:0qcm93;295~N4=91vbn8;:182M5282weo;850;3xL6373tdh::4?:0yK70651zJ015=zfj<26=4>{I164>{ik?k1<7?tH273?xhd>k0;6;?;|l`2c<728qC?8>4}oa44?6=9rB89=5rnb52>5<6sA9><6sac6094?7|@:?;7p`l7283>4}O;<:0qcm84;295~N4=91vbn9::182M5282weo:850;3xL6373tdh;:4?:0yK70651zJ015=zfj=i6=4>{I164>{ik>i1<7?tH273?xhd?m0;6;?;|l`<4<728qC?8>4}oa;6?6=9rB89=5rnb:0>5<6sA9><6sac9694?7|@:?;7p`l8483>4}O;<:0qcm76;295~N4=91vbn68:182M5282weo5650;3xL6373tdh444?:0yK70651zJ015=zfj2i6=4>{I164>{ik1i1<7?tH273?xhd0m0;6a290:wE=:0:mg<6=83;pD>;?;|l`=4<728qC?8>4}oa:6?6=9rB89=5rnb;0>5<6sA9><6sac8694?7|@:?;7p`l9483>4}O;<:0qcm66;295~N4=91vbn78:182M5282weo4650;3xL6373tdh544?:0yK70651zJ015=zfj3i6=4>{I164>{ik0i1<7?tH273?xhd1m0;6m3:1=vF<519~jf?a290:wE=:0:mgd4=83;pD>;?;|l`e6<728qC?8>4}oab0?6=9rB89=5rnbc6>5<6sA9><6sac`494?7|@:?;7p`la683>4}O;<:0qcmn8;295~N4=91vbnon:182M5282weoll50;3xL6373tdhmn4?:0yK70651zJ015=zfjkn6=4>{I164>{ikk91<7?tH273?xhdj=0;6=83;pD>;?;|l`f<<728qC?8>4}oaae?6=9rB89=5rnb`a>5<6sA9><6sacca94?7|@:?;7p`lbe83>4}O;<:0qcmmf;295~N4=91vbnm?:182M5282weon?50;3xL6373tdho?4?:0yK70651zJ015=zfji?6=4>{I164>{ikj?1<7?tH273?xhdk?0;6;?;|l`gd<728qC?8>4}oa`f?6=9rB89=5rnba`>5<6sA9><6sacbd94?7|@:?;7p`ld183>4}O;<:0qcmk1;295~N4=91vbnj<:182M5282weoi:50;3xL6373tdhh84?:0yK70651zJ015=zfjn<6=4>{I164>{ikm21<7?tH273?xhdl00;6;?;|l``a<728qC?8>4}oaga?6=9rB89=5rnbfe>5<6sA9><6sacd294?7|@:?;7p`le083>4}O;<:0qcmj2;295~N4=91vbnk<:182M5282wei==50;3xL6373tdn<84?:3yK70652zJ015=zfl:<6=4={I164>{im921<7vF<519~j`6e2909wE=:0:ma5e=838pD>;?;|lf4a<72;qC?8>4}og3a?6=:rB89=5rnd2e>5<5sA9><6sae0294?4|@:?;7p`j1083>7}O;<:0qck>2;296~N4=91vbh?<:182M5282wei<:50;0xL6373tdn=84?:3yK70652zJ015=zfl;<6=4={I164>{im821<7vF<519~j`7e290:wE=:0:ma4e=83;pD>;?;|lf5a<728qC?8>4}og2a?6=9rB89=5rnd3e>5<6sA9><6sae3294?7|@:?;7p`j2083>4}O;<:0qck=2;295~N4=91vbh<<:182M5282wei?:50;3xL6373tdn>84?:0yK70651zJ015=zfl826=4>{I164>{im;k1<7?tH273?xhb:k0;6;?;|lf75<728qC?8>4}og05?6=9rB89=5rnd11>5<6sA9><6sae2194?7|@:?;7p`j3583>4}O;<:0qck<5;295~N4=91vbh=9:182M5282wei>650;3xL6373tdn?44?:0yK70651zJ015=zfl9i6=4>{I164>{im:i1<7?tH273?xhb;m0;6tJKNv>r@ARxyEF \ No newline at end of file diff --git a/cpld/XC95144XL/MXSE.ngd b/cpld/XC95144XL/MXSE.ngd new file mode 100644 index 0000000..11aa43c --- /dev/null +++ b/cpld/XC95144XL/MXSE.ngd @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$5`55=23-;B8>;4$0L7044<,[o}e~g`n;"2*73>(-20*R?F42]0<> X9G>?S9?4000857<4FNQWW>D:593;5=95=3;KMTPR=IVLXN1<<:0<6?D:687?0M1?>>49B8449=2K7=>0:;@>20;3285N<04=1>G;9>4>7L2>8?78E97>6=1J0<0:;@>14;115;36:1=F4?4?7L28>59B8=833H62295NLD26?DJB8D?0MAK?Sb9BH@6Xe|r;<=>k;@NF4Zkrp9:;<i5NLD2\ip~789:8h6OCE1]nq}6789>o7LBJ0^ov|5678<>0MAK>c:COA4YXg{:;<5NNE68EKB5<2KEH>:4AOF70>GIL?>0MCJ84:CM@=2GTzoUecy>?00f8EVtaWge<=>>189B[CUE48:556OPFR@?548>3HUM_O2>2?;8EZ@TJ5;8245N_GQA842912KTJ^L314<:?DYA[K6::374A^DPF970601JSK]M<0:==>GXNZH7=407;@]EWG:6601JSK]M<32==>GXNZH7><06;@]EWG:5:7h0MRH\B=00>48>3HUM_O2=3?:8EZ@TJ58546OPFR@?7;>GXNZH7;364A^DPF9>902KTJ^L39?f8EZ@TJV;;SDLZFe9B[CUEW8;TEO[Id:C\BVDX9;UBNXHk;@]EWGY6;VCIYKj4A^DPFZ73W@H^Ji5N_GQA[43XAK_Mh6OPFR@\53YNJ\Lo7LQISC]23ZOE]On0MRH\B^3;[LDRNm1JSK]M_0;\MGSAk2KTJ^LP1^KAQCbGXNZHT>?QFBTDg?DYA[KU9?RGMUGa8EZ@TJV8TEO[Ic:C\BVDX;VCIYKm4A^DPFZ2XAK_Mo6OPFR@\1ZOE]Oi0MRH\B^4\MGSAk2KTJ^LP7^KAQCeGXNZHT5RGMUG68FDES=2HJOY?:;CC@P73?01d8F@TU8{UTc>?013e?GCUZ9xTSb|?01211>DBZ[;=7OK]R0pf?GCUZ8xTSb|?012e?GCUZ8xTSb|?0122b>DBZ[;ySRa}012360=EM[X996LJRS16?GCUZ=?0NH\]589AAWTXAFHXh6LJRS]\ekb789:n7OK]R^]bja6789;h7OK]R^]lv5678m1II_\P_np34566l2HN^_QPos23454c3KOY^RQ`r12346bDBZ[UTc>?0145?GCUZ{l27OK]Rsd\J5g;Eg8@WEX[\IHI^]IUM58@QGDC{l<7IZNCJpqb>BSIJAy~R``t12354669Geqg;97;0I85JS=2=1>CT484>7H]32?78AV:46<1N_1:14:GP[5b_^cm`56788o0I^Q>_^cm`5678;>0I^Q=d:GP[7YXign;<=>j;DQ\6ZYffm:;<=?j;DQ\6ZYffm:;<=<;;DQ\7a=B[V9TSl`k0123a>CTW:UTmcj?0122a>CTW:UTmcj?01210>CTW=n0I^Q;_^cm`5678l1N_R:P_`lg45679l1N_R:P_`lg4567:8l0I^QBaef\[dbc89:;S@v`r^Pfwpjs484:j6K\_Lcg`ZYflm:;<=QBxnp\V`urd}692?_LzlvZTb{|f0>0>f:GP[HgclVUjhi>?01]N|jtXZly~`y2;>0;8AVYJimnTSljk0123[Zgil9:;<?_^cm`56788;27H]PM`fg[Zgcl9:;?0032=>CTWDkohRQnde2345YXign;<=<>a:GP[HgclVUjhi>?01]\ekb7898:h6K\_P]2[jt789:n7H]PQ^3\kw6789;m7H]PQ^3\kw6789;;j6K\_P]2[jt789::=k5JS^S\5Ziu89:;=?k4ER]R[4Yhz9:;k4ER]R[4Yhz9:;<9k4ER]R[4Yhz9:;<8k4ER]R[4Yhz9:;<;k4ER]R[4Yhz9:;<:k4ER]R[4Yhz9:;<5k4ER]R[4Yhz9:;<4k4ER]\eab789:7=3k4ER]\eab789:7>3k4ER]\eab789:7?3k4ER]\eab789:783;4EUC@Oa=B\HI@Sl`k0123a>CSIJATmcj?0122a>CSIJATmcj?0121a>CSIJATmcj?0120a>CSIJATmcj?0127a>CSIJATmcj?0126a>CSIJATmcj?0125<>C_XHDOII?>;DZSEKBBLVHHHRHFLD37?@^WIGNNHRM@NRVQELHS[8;0IU^NNEGG[LUBWOCGI85J_HMAe>CXAFHTEO[I2:Gq7>Cu:k1N~RMck^KMR43?5F339J07=N=;1B:?5F759JEFU23@KH_<;4I@AP60=NIJY886GMUG08MK>b3@EJOYQnne2345?c3@EJOYQbuy2345c4IN@FVWYj}q:;<=?=119JKGCUZVg~t=>?00124>OHJLXYS`{w012351773@EII_\Pmtz34566=8:0EBLJRS]nq}6789;===5FOCGQVZkrp9:;<<9>0:KLF@TUWds<=>?19d8MJDBZ[Ufyu>?010e?LIEM[XTaxv?0120b>OHJLXYS`{w01230c=NGKOY^Rczx12340`0EBLB4:KLFV0OHKZ;=7DALS025?LID[8;=7DALS005?LID[89=7DALS065?LID[8?=7DALS046?LID[;?0EBM\349JKFU3=2CDO^;:;HM@W33OHKZ3?7DAC0b9JKI6Xe|r;<=>k;HMO4Zkrp9:;<i5FOM2\ip~789:8h6G@L1]nq}6789>o7DAC0^ov|5678<>0EBB>c:KLH4Yig}:;<OHX^IX;6G@PVAP52=NGY]H_?94INRTGV503@E[[N];5:KLV@Wc3@EYI\Qbuy2345cb3@EYI\Qbuy2345?13@EYI\|:;HMQS5bOHZ^:Tbbz?0132a>OHZ^:Tbbz?0131a>OHZ^:Tbbz?0130a>OHZ^:Tbbz?0137a>OHZ^:Tbbz?0136a>OHZ^:Tbbz?0135a>OHZ^:Tbbz?01341>OHZ^;o7DA]W0]bja6789o0EB\X1^cm`56788o0EB\X1^cm`5678;o0EB\X1^cm`5678:o0EB\X1^cm`5678=o0EB\X1^cm`5678OHZlkouRQnne2345763@EYiljv_^cm`56788;:7DA]e`fz[Zgil9:;4INQ\BVKXNOn:!D`>119JKVYA[DUMJi?"Io024>OH[VLXARHId0/Jj6773@EXSK]B_GDg5(Oi<8:0EB]PFRO\BCb6%@d>o6G@S^DPIZ@Al;l0EB]PFRO\BCb5%@d:<6G@S^DPIZ@Al;'Bb Ga2028MJUXNZGTJKj=-Hl055=NGZUM_@QIFe0.Mk2682CD_RH\M^DE`7+Nf??;HMP[CUJWOLo? Ga4028MJUXNZGTJKj<-Hl655=NGZUM_@QIFe1.Mk0682CD_RH\M^DE`6+Nf>;;7DA\_GQN[C@c;$Ce4<>4INQ\BVKXNOn8!D`6c:KLWZ@TEVLMh9h4INQ\BVKXNOn?!D`>0:KLWZ@TEVLMh9#Fn032?LITWOYFSKHk4,Km55763@EXSK]B_GDg0(Oi98;;7DA\_GQN[C@c<$Ce><>4INQ\BVKXNOn?!D`<119JKVYA[DUMJi:"Io624>OH[VLXARHId5/Jj0773@EXSK]B_GDg0(Oi>8:0EB]PFRO\BCb3%@d<==5FOR]EWHYANm>&Ec6>0:KLWZ@TEVLMh9#Fn848MJR^XL>0EB[?c:KLQ5Yj}q:;<=j4INW3[hs89:;=i5FOT2\ip~789:9h6G@U1]nq}67899o7DAZ0^ov|5678=n0EB[?_lw{4567==1BCX?l;HMV5Zhh|9:;=i5FOT3\jjr789;:96G@UEQ7?LIPMl1@IH^PHHGQEWEOQ>1GEO\NRX48HJGCMM90@BM8;MMDMFGKi2F[ARV@R3]21>Jhim;n7Aand0]\ekb789:?7@V\E038I]UBW]CFI^^JC^W@Bf=JiceyZh||inl`?Hoig{\n~~g`n39M47=I9>1EIYY@RJ38K1=HJ\L87B@J2:MQ7>IU::1D^>=4OS60?JSS<2Eeohm4Ooaf[Ziu89:;h6Aacd]\kw6789;o7B`le^]lv5678;n0Ccmj_^mq4567;m1DbnkP_np34563l2EeohQPos23453c3FdhiRQ`r12343bIiklUTc>?01;2?Ue??12]O7>V68:1[=<=4P000?U74;2Z:8>5_1418T4043Y;829S5<4<;Q017>V5;:1[>9=4P370?U40;2Z94>5_2808T65;<;Q157>V4?;1[8>5_4118T1743Y>9?6^;329S035V1;2Z=?>5_6518T3343Y<=>6^83:R446=W?=90\:8<;Q547>V00:1[;4<4P918T=643Y2:?6^7229S<055_9418T<043Y3/%278T@TNGM*)OAEPFR@!$73)89:;<=>?0!OK~%NNOA*><#>?0123456'-:>0\H\FOE"!GIMXAFH),: 732434567(DBq,EGHH!73*56789:;<=.*249SAWOHL3IGG?VPIN@950(1>>81AEt5HHEK>06"9l1[I_G@D;AOOZ@TJ38>6@Fu:IKDL?37-;80\H\FOE8@HNYNGK0<"5=<6;OK~?NNOA0><(o4PHL\FPUNLQh0\D@PBTQMEHC43YXNn6^\_GQN[C@c9l1[_RH\M^DE`4+Nfo1[_RH\M^DE`4+Nf8l0\^QISL]EBa7*Ag8i7]]PFRO\BCb5m2ZXSK]B_GDg6(Oin2ZXSK]B_GDg6(Oi98:0\^QISL]EBa4*Ag;;==5_S^DPIZ@Al;'Bb0:RP[CUJWOLo> Ga1333?UUXNZGTJKj=-Hl27c=W[VLXARHId3/Jj7`79QE9766<1YM1?15:PB87823[K7?3;4R@>7:0=UI5?596\N<7<6?WG;?7?0^L27>49QE9?9;2XJA85]ALAP2>TFEJY::6\NMBQ12>TFEJY8:6\NMBQ72>TFEJY>:6\NMBQ52>TFEJY<:6\NMBQ;2>TFEMCX;6\NMEKP5c=UIDNB__lw{456798;0^LCKIR3\ip~789::<>1038VDKCAZ;Taxv?0122647>5038VDKCAZ;Taxv?0122247>9028VDKCAZ;Taxv?012155=UIDNB_4R@OGMV7Xe|r;<=>9119QEHBN[8Ufyu>?01524>TFEMCX=Rczx1234=773[KFHD]>_lw{45671>1YM@JFS3d8VDKCAZ8TSb|?01224>TFEMCX>RQ`r12344763[KFHD]=_^mq456799;;7_OBDHQ1[Ziu89:;><>4R@OGMV4XWfx;<=><119QEHBN[;UTc>?01624>TFEMCX>RQ`r12340773[KFHD]=_^mq4567>8:0^LCKIR0\[jt789:<==5]ALFJW7YXg{:;<=6>0:PBIAOT:VUd~=>?0858VDKCAZ9<7_OBDHQ73>TFEMCX955]ALPfea682XJA_kndx]nq}6789;:7_OBRdcg}Zkrp9:;<?00226>TFE[ojhtQbuy2345769;1YM@\jae{\ip~789::><<4R@OQadb~Wds<=>?1231?WGJZlkouRczx1234426:2XJA_kndx]nq}6789;>=?5]ALPfeaXe|r;<=>>6038VDKUmhnrS`{w0123647?5038VDKUmhnrS`{w0123247?949QEVCKl2XJ_HBPmtz3456b3[KXIAQbuy23457a3[KXIAQbuy234577n2XJ_HBPmtz345669o1YM^KC_lw{45679;l0^L]JL^ov|567889m7_O\EM]nq}6789;?j6\NSDN\ip~789::9k5]ARGO[hs89:;=;h4R@QFHZkrp9:;<<9i;SCPAIYj}q:;<=?7f:PBW@JXe|r;<=>>9d9QEVCKWds<=>?2g9QEVCKWds<=>?21d8VDUBDVg~t=>?033e?WGTMEUfyu>?0101b>TF[LFTaxv?01217c=UIZOGS`{w012361`12XJS=Q@BTD`?WGX8Vg~t=>?0e9QEZ6Xe|r;<=>>d:PB[5Yj}q:;<=j4R@]3[hs89:;8l5]A^33[JDRNh1YMR?>_N@VB<=UIV;TCO[Ic:PB[4Yj}q:;<=j4R@]2[hs89:;=i5]A^3\ip~789:9h6\N_0]nq}67899o7_OP1^ov|5678=30^LQ=_N@VBf=UIV8Taxv?012g?WGX:Vg~t=>?00f8VDY5Wds<=>?2e9QEZ4Xe|r;<=>Qbuy23457c3[KT?Rczx12347bTFW=UDNXHl;SC\0Zkrp9:;TFW?01f8VDY2Wds<=>?1e9QEZ3Xe|r;<=>=d:PB[0Yj}q:;<==k;SC\1Zkrp9:;<974R@]5[JDRNj1YMR8Pmtz3456c3[KT:Rczx12344bTFW?Ufyu>?016:?WGX?VEIYKm4R@]4[hs89:;h6\N_6]nq}6789;o7_OP7^ov|5678;n0^LQ8_lw{4567;m1YMR9Pmtz3456312XJS5Q@BTD`?WGX0Vg~t=>?0e9QEZ>Xe|r;<=>>d:PB[=Yj}q:;<=j4R@];[hs89:;845]A^;\KGSAk2XJS4Qbuy2345bTFW0Ufyu>?011g?WGX1Vg~t=>?0518V@U33[OX=85]ERpe1>TB[{xo7_K\rs]mkq6788o0^H]}r^llp56798?0^BCLS79QKHET9?1YC@M\279QKHET;?1YC@M\479QKHET=?1YC@M\679QKHET?:1Y_Yl4RR]EWHYANm;n7_]PFRO\BCb6%@dm7_]PFRO\BCb6%@d:==5]S^DPIZ@Al8'Bb<>>0:PP[CUJWOLo= Ga1033?WUXNZGTJKj>-Hl2646m7_]PFRO\BCb6%@d9j6\\_GQN[C@c9$Ce?k5]S^DPIZ@Al8'Bb9h4RR]EWHYANm;&Ec;i;SQ\BVKXNOn:!D`9f:PP[CUJWOLo= Ga7g9QWZ@TEVLMh<#Fn9d8VVYA[DUMJi?"Io;a?WUXNZGTJKj=e:PP[CUJWOLo> Gaf:PP[CUJWOLo> Ga1028VVYA[DUMJi<"Io3355=U[VLXARHId3/Jj47682XXSK]B_GDg6(Oi9;;;7_]PFRO\BCb5%@d:?<>4RR]EWHYANm8&Ec?;119QWZ@TEVLMh?#Fn0724>TTWOYFSKHk2,Km53773[YTJ^CPFGf1)Lh6?8:0^^QISL]EBa4*Ag;3==5]S^DPIZ@Al;'Bb<7i;SQ\BVKXNOn9!D`=f:PP[CUJWOLo> Ga3g9QWZ@TEVLMh?#Fn5d8VVYA[DUMJi<"Io7e?WUXNZGTJKj=-Hl5b>TTWOYFSKHk2,Km3c=U[VLXARHId3/Jj=`TTWOYFSKHk3,Kmb>TTWOYFSKHk3,Km546m7_]PFRO\BCb4%@d>j6\\_GQN[C@c;$Ce:k5]S^DPIZ@Al:'Bb:h4RR]EWHYANm9&Ec6i;SQ\BVKXNOn8!D`6b:PP[CUJWF4n5]S^DPIZIr|1;h7_]PFRO\Kpr?:<1Yiljv6:Pfea7?2Xnmiw?rg9Qadb~8{UTc>?0133?Wcflp:ySRa}01235462Xnmiw>7:Pfea6zo1Yiljv1s]\kw6789;;7_kndx3q[Ziu89:;=<>4Rdcg}4tXWfx;<=>=6:Pfea5?2Xnmiw=rg9Qadb~:{UTc>?0133?Wcflp8ySRa}01235462Xnmiw<6:Pfea3i2XnmiwPIN@P=>TbimsT^LCl;Sgb`|Yhz9:;=i5]e`fz[jt789;:h6\jae{\kw67888o7_kndx]lv5679:<0^hhNcj;8V``Df}6;245]egAmp97912XnjN`{<3<:?WcaKg~7?374Rdd@jq:3601YikMat=7==>TbnJd0;06;SgeGkr;?720^hhLnu]3<>TbnJdS<64Rdd@jqY502XnjN`{_2:8V``Df}U?46\jfBlw[0>887_kiCov\IdbcWVkoh=>?0^O{kwYUmzgx1?1229QacEi|VGjhiQPaef3456XEqeyS_k|umv?6;443[omOczPM`fg[Zgcl9:;>5]egAmpZKflmUTmij?012\I}iuW[oxyaz34?00?WcaKg~TAljk_^cg`5678VGscQ]erwop939::1YikMat^Ob`aYXimn;<=>PMymq[Wct}e~7:3<<;SgeGkrXEhnoSRokd1234ZKg{UYi~{ct=5=63=UmoIexRCnde]\eab789:T^h}zlu]3[kis89:;=d:PfbFhsWDkohRQnde2345YXign;<=<>e:PfbFhsWDkohRQnde2345YXign;<=<>1e9QacEi|VGjhiQPaef3456XWhdo<=><1d9QacEi|VGjhiQPaef3456XWhdo<=><10f8V``Df}UFmijP_`fg4567WVkeh=>?40g8V``Df}UFmijP_`fg4567WVkeh=>?403g?WcaKg~TAljk_^cg`5678VUjbi>?043f?WcaKg~TAljk_^cg`5678VUjbi>?04326>TbnJdSRokd12349699;1YikMat^]b`a67896:2<<4Rdd@jqYXimn;<=>32?31?WcaKg~TSljk01238686:2XnjN`{_^cg`56785>5=?5]egAmpZYflm:;<=2:>008V``Df}UTmij?012?2;753[omOczP_`fg45674>4<7_kiDnlf55=UmoNdbhQPaof3456692XnjIaae^]bja6789;m7_kiDnlf[Ziu89:;==5]egFlj`YXg{:;<=?>2:PfbAiimVif|Rk~012356=UmoNdbhQlmq]fu56788;87_kiDnlf[fkwWl{;<=>=129QacBhflUha}Qjq12346743[omHb`j_bos[`w789:?=>5]egFlj`YdeyUn}=>?0430?WcaLfdnSnc_ds345619:1YikJ`nd]`iuYby9:;<:?<;Sge@jhbWjg{Sh?012;56=UmoNdbhQlmq]fu56780<0^hh]AR48V``Umx=0^hh]ep3:?Wca]{mnbyo4RddVvbci|8k0^hhZrfgmp7g1XO^GLS558WFUNKZ?87^K[a:Qm`WGJKZ]Yn6]adSCNGVQU9j1Xbi\NMBQTV46d3Zdo^LCLSVP25f=TfmXJAN]XR00a?VhcZHGH_Z\=b:Qm`WGJKZ]Y?o5\nePBIFUPZ=h0_cj]ALAPSW3e3Zdo^LCLSVP5f>Uil[KFO^Y]7c9PjaTFEJY\^5l4SofQEHET_[337YK]MHLBH==SADOX\HM8;ULN[KCS<2^YYHm4TR]@HN4_W@EIm6Z\_BNH[CUEi2^XSNBD_HMAf>RTW]CFI^^JC79WWZRIE=1_U]K7;UknajssI8:0XdcjotvB[Ziu89:;=<5[ilglqqGXWfx;<=>>139Wmhch}}KTSb|?0122447<\`gncxzN_^mq4567:8;0XdcjotvB[Ziu89:;??4038Plkbg|~JSRa}0123147<\`gncxzN_^mq4567>8;0XdcjotvB[Ziu89:;;?8038Plkbg|~JSRa}0123===SadodyyLm;UknajssJYxn=>5[ilglqqDWzlUTc>?0137?QojmfN]|j_^mq456798>0XdcjotvATwcXWfx;<=>=119Wmhch}}HTSb|?01225>Rnele~xOQPos23457692^bahaztC]\kw67898:=6ZfmdmvpGYXg{:;<==<;TAEe>STM[UJ@DO\c:WPAWYQAZCI@H=4VBA:?SOB_V^R\H=4VQC`?SVFWVkeh=>?0e9UTDYXign;<=>>b:TSEZYhz9:;?017`?SVFWVey<=>?649UTDta=2\[M|k;WRBvwYig}:;<`=h5YiePBIFUPZ?>`>h5YiePBIFUPZ?>`?h5YiePBIFUPZ?>`8h5YiePBIFUPZ?>`9h5YiePBIFUPZ?>`:h5YiePBIFUPZ?>`;h5YiePBIFUPZ?>`45WOS68\JT5>2RXXAGM7:ZPPZAIL>1S_YQYCB78\ZIIM?1SSWK]O79[`gYNlk1SheQ_rhoJjse^c`VZye`Xjrrkljc=_laU_iZfmdQsaf773QnfS@oeosTfvvohf8:0TicPMhllvScu{`ee:6V`<1<27>^t|=1QI_A7;^cm`567801Tmcj?0122=>Yffm:;<=<7;^ov|5678:1hby<4cr18bvde3oyiSca{0123g>`tjVddx=>?00f8bvdXff~;<=>>1e9ewgYig}:;<=?=4:klfh2b:lB@jssDL::>o5aAEmvpIC79:o0bLJ`uuNF4ZIE]O30bLJ`uuNF5d=iIMe~xAK>1d9mEAir|EO:SBLZF29mEV1hF[Vddx=>?1b9mEVYig}:;<n5aAR]mkq67889h7cO\_omw4566;5aDhlOAg=iL`dGIRAMUGf8jAoiDLUd~=>?0d9m@lhKMVey<=>?1d9m@lhKMVey<=>?2d9m@lhKMVey<=>?3d9m@lhKMVey<=>?479m@lhHM>1eHd`@E058jAoiGL8<7cJfnNG0f>hCagENSBLZF69m@jssGLi0bIaztNG\KGSAn2dOcxz@E^llp56798:0bIaztNG\jjr789;:==5aDnwwK@Yig}:;<<<>0:lGkprHMVddx=>?1233?kBh}}ENSca{0122046hKLZUBCOQ@BTD`?kJC[Vkeh=>?1e9mHAUXign;<=?>d:lO@VYffm:;<<?000`?kJC[Vey<=>>3b9mHAUXg{:;<<:l;oNGWZiu89::9n5aLEQ\kw6788d3gFO_Ra}0122=1=iD^O>7cBXE0a8jIQBWhdo<=>?d:lOS@Yffm:;<=?k;oNTAZgil9:;55aOD]LFP@>3gE}ibny130?kIqm{fju=QNcmp\MKP6k2dDzh|cax2\J5763gE}ibny1]bja6789;97cAyesnb}5Yffm:;<=?>2:lLr`tkip:Tmcj?012157=iGoy`lw?_`lg4567;880bBxjrmcz4Zgil9:;<9?=;oMuawjfq9Ujbi>?01726>hH~lxgmt>Paof3456112dDzh|cax320>hH~lxgmt?PCmiFjZOI^8i0bBxjrmcz5ZH7?2dYM@BXEb9mVDKK_LUDNXH8;oPBIPQBk2dYM@[XE^MAQC20b_K\9:lQAVYNJ\L=7c\@MBQ4?kTHEJY:n6`]OLAP[JDRN?1e^BCXE69mVJKPM8h0b_ABWD]LFP@33g_O_55aUEQ\BVDc3g_O_RH\B^KAQC>?00f8jPQBWhdo<=>?2e9mQRCXign;<=>hQEHUTc>?01:g?kPJIVUd~=>?08a8jSKFWhdo<=>?d:lUIDYffm:;<=?k;oTNEZgil9:;55aVQC\BVDc3g\[MRH\B^MAQC>hPMVLXNn5aWD]EWGYNJ\L37cikbtko`6=uid20tn7:01zo54=k0?:89vctp334(7702rb`d`wuo3;?}jipVk~xbQ?_yfa+fus&qhhhpNOp0`11>FGp8i=6K4;:0yPe5<4mo0:i<4>35::6c<6<;:017<<4a28>9mn5\1b290g6=;3;8857=f;354a><[0l18o>53;300=?5n3;=5<628qXm=4k4>434e?!>a2=h97Eo9;wVfa?6=93;1?47tS`297``=9l;1=>:793d95141n2.8o:4>c39U7`g=:r:o54>;t3`=?6d3|@:i>7)=nd;3`2>\>838p;7o5}%3aa?5f?2c2n7>5;n615?6=3`>;47>5;n6b=?6=3`>5;n64f?6=3f>=j7>5;h62=n7>5;n62e?6=3`><:7>5;h617?6=3f>;m7>5;n626?6=3f>=h7>5;h6bf?6=3f>3=7>5;n66e?6=3f9mi7>5;n630?6=3`>8i7>5;h;4>5<>i3<90;66a;9183>>i3:o0;66a;3583>>o3il0;66a;1783>>i5h5:m0;76a=4883>!45m38?m6`=2e82?>i5==0;6)<=e;07e>h5:m0976a=5283>!45m38?m6`=2e80?>i5=;0;6)<=e;07e>h5:m0?76a=5083>!45m38?m6`=2e86?>i5=90;6)<=e;07e>h5:m0=76a=4g83>!45m38?m6`=2e84?>i5h5:m0376a=4e83>!45m38?m6`=2e8:?>i5h5:m0j76a=4983>!45m38?m6`=2e8a?>o3j3:1(?i4>;:k77=h5:m0876g;5;29 74b2=k0b?h4;a:l16a<232c??7>5$30f>1go393:1(?50;&16`<3i2d9>i46;:k0b?6=,;8n69o4n30g>d=h5:m0i76gh4;a:l16a?7>5$30f>1go293:1(??j51098m1`=83.9>h4;a:l16a<6:21b8h4?:%01a?2f3g89h7?<;:k7`?6=,;8n69o4n30g>42<3`>h6=4+23g90d=i:;n1=854i5494?"5:l0?m6`=2e822>=e;o<1<7?m:5`91c}O;j?0(>ok:`38^<6=9r21q)?me;1b3>\4ij0:w475}h;5>5<5<<1<75`15294?=n?j51:9j5=<72-89i7?n;o01`?4<3`;=6=4+23g95d=i:;n1?65f1583>!45m3;j7c<=d;68?l74290/>?k51`9m67b==21b=?4?:%01a?7f3g89h784;h32>5<#:;o1=l5a23f93>=n990;6)<=e;3b?k45l3207dh50;&16`<6i2d9>i46;:kf>5<#:;o1=l5a23f9e>=nl3:1(?o5;3:1(?i4i;:`0a6<7280;6=u+3`f9556<@:o97E=l5:&0a<<4n91/=oh54c68kc`=83.8i44>d598yg5b<3:1=7>50z&0ea<4k?1C?h<4H2a6?!5b13;hj6*>bg87f1=h9l:1<7*>i7>55;092~"4im0mm6F5;h;2>5<2oi0V>ol:0y:=?{n99l1<7*=n1?0;6)=j9;;5?>o41?0;6)=j9;1:2>=n:oo1<7*5}#;l31?k>4o0f7>5<#;l31=i:4;|q102<72;qU>994=364>4b33tyj97>52z\b1>;5<>0:=3:1>vP65:?102<>=2wx5<4?:3y]=4=::==15;5rs023>5<5s48?;7=66:?0a3<6l=1vqo:98;291?4=>r.8mi4ia:J0a7=O;j?0(:79'5g`=0V>ol:0y:=?{n1<0;66g6d;29?l?62900el;50;9l611=831i>9950;494?6|,:o26km4Z2c`>4}>13wb==h50;&0a<<68o10e4;50;&0a<<>=21b5;4?:%1f=??132c85;4?:%1f=?5>>21b>kk50;&0a<<5nl10c07pl4<729q/?h753g28k4b3290/?h751e68?xu5<>0;6?uQ2558972028n?7p}n5;296~Xf=2798:4>0g9~w7`b3ty297>52z\:1>;5<>0296s|9083>7}Y1816>995979~w4672909w0<;7;1:2>;4m?0:h95r}c66b?6=:3:1m:;%1f=?>b3-;ij7:m4:k244<72-9n57??f:9l5fb=83.8i44>d59K7`><3th?:44?:383>5}#;hn1=<<4H2g1?M5d=2.8i447e:&2fc<3j=1b==?50;&0a<<68o10c0D>k7;:a55d=8331<7>t$2cg>60<@:o97E=l5:&0a<<482.:nk4;b59j554=83.8i44>0g98m464290/?h7511d8?l77<3:1(>k6:02e?>o68<0;6)=j9;33b>=n99<1<7*5$2g:>46a32c:<44?:%1f=?77n21d=nj50;&0a<<6l=10qo??c;29=?6=8r.8mi4<6:J0a7=O;j?0(>k6:228 4da2=h?7d??2;29 6c>28:m76g>0283>!5b13;;j65f11694?"4m00:5<#;l31==h4;h332?6=,:o26<>i;:k242<72-9n57??f:9j55>=83.8i44>0g98m46>290/?h7511d8?j7dl3:1(>k6:0f7?>{e99n1<7=50;2x 6gc2:;0D>k=;I1`1>"4m008<6*>bg87f1=n9981<7*5$2g:>4b332wi?4?50;194?6|,:ko6>?4H2g1?M5d=2.8i44<0:&2fc<3j=1b==<50;&0a<<68o10e<><:18'7`?=99l07b?ld;29 6c>28n?76sm38094?4=83:p(>ok:031?M5b:2B8o85+3d;9<`=#9kl18o:4i022>5<#;l31==h4;n3``?6=,:o26=zj:386=4=:183!5fl3;:>6F1d33`;;=7>5$2g:>46a32e:oi4?:%1f=?7c<2B8i554}c1:0?6=;3:1N4m;1C?n;4$2g:>66<,8hm69l;;h336?6=,:o26<>i;:k246<72-9n57??f:9l5fb=83.8i44>d598yg5>=3:1?7>50z&0ea<492B8i?5G3b78 6c>2::0(k6:02e?>o68:0;6)=j9;33b>=h9jn1<7*h7>52;294~"4im0:=?5G3d08L6e23-9n576j;%3ab?2e<2c:<<4?:%1f=?77n21d=nj50;&0a<<6l=1C?h64;|`21`<72;0;6=u+3`f9544<@:o97E=l5:&0a<0g98k4ec290/?h751e68L6c?32wi=8h50;094?6|,:ko6N4k<1/?h758d9'5g`=0e<>>:18'7`?=99l07b?ld;29 6c>28n?7E=j8:9~f407290?6=4?{%1b`?553A9n>6Fk6:02e?>o68=0;6)=j9;33b>=h9jn1<7*=>7>53;294~"4im08=6F>4$0`e>1d33`;;>7>5$2g:>46a32c:<>4?:%1f=?77n21d=nj50;&0a<<6l=10qo:93;296?6=8r.8mi4>139K7`4<@:i>7)=j9;:f?!7en3>i86g>0083>!5b13;;j65`1bf94?"4m00:h95G3d:8?xd3>=0;6?4?:1y'7db=9880D>k=;I1`1>"4m003i6*>bg87f1=n99;1<7*ok:031?M5b:2B8o85+3d;9<`=#9kl18o:4i022>5<#;l31==h4;n3``?6=,:o26=zj=<=6=4<:183!5fl39:7E=j2:J0g0=#;l31?=5+1cd90g25$2g:>46a32e:oi4?:%1f=?7c<21vn988:187>5<7s-9jh7==;I1f6>N4k<1/?h75319'5g`=0e<>=:18'7`?=99l07d??3;29 6c>28:m76g>0583>!5b13;;j65`1bf94?"4m00:h954}c063?6=:3:1m:;%1f=?>b3-;ij7:m4:k244<72-9n57??f:9l5fb=83.8i44>d59K7`><3th9954?:283>5}#;hn1?<5G3d08L6e23-9n57=?;%3ab?2e<2c:07pl=5883>7<729q/?lj51008L6c53A9h96*"6jo0?n95f11394?"4m00:5<#;l31=i:4H2g;?>{e:5$2g:>4b33A9n465rb37a>5<4290;w)=nd;12?M5b:2B8o85+3d;975=#9kl18o:4i021>5<#;l31==h4;h337?6=,:o26<>i;:m2ga<72-9n57?k4:9~f73d29086=4?{%1b`?563A9n>6Fk6:02e?>i6km0;6)=j9;3g0>=zj;?o6=4<:183!5fl3;o=6F07pl=5d83>6<729q/?lj5309K7`4<@:i>7)=j9;13?!7en3>i86g>0383>!5b13;;j65f11194?"4m00:5<#;l31=i:4;|`1b2<72;0;6=u+3`f9544<@:o97E=l5:&0a<0g98k4ec290/?h751e68L6c?32wi>k650;094?6|,:ko6N4k<1/?h758d9'5g`=0e<>>:18'7`?=99l07b?ld;29 6c>28n?7E=j8:9~f7`>29096=4?{%1b`?76:2B8i?5G3b78 6c>21o0(k6:02e?>i6km0;6)=j9;3g0>N4m110qo139K7`4<@:i>7)=j9;:f?!7en3>i86g>0083>!5b13;;j65`1bf94?"4m00:h95G3d:8?xd5nk0;6>4?:1y'7db=9m;0D>k=;I1`1>"4m00:h=5+1cd90g25$2g:>46a32e:oi4?:%1f=?7c<21vn?hl:180>5<7s-9jh7=>;I1f6>N4k<1/?h75319'5g`=0e<>=:18'7`?=99l07d??3;29 6c>28:m76a>ce83>!5b13;o865rb3dg>5<3290;w)=nd;11?M5b:2B8o85+3d;975=#9kl18o:4i021>5<#;l31==h4;h337?6=,:o26<>i;:k241<72-9n57??f:9l5fb=83.8i44>d598yg5c?3:197>50z&0ea<4;2B8i?5G3b78 6c>2::0(k6:02e?>o68:0;6)=j9;33b>=n99>1<7*6=4+3d;955`<3f;hh7>5$2g:>4b332wi?i650;194?6|,:ko6>?4H2g1?M5d=2.8i44<0:&2fc<3j=1b==<50;&0a<<68o10e<><:18'7`?=99l07b?ld;29 6c>28n?76sm3e;94?5=83:p(>ok:2g2?M5b:2B8o85+3d;97`6<,8hm69l;;h336?6=,:o26<>i;:k246<72-9n57??f:9l5fb=83.8i44>d598yg5ci3:1>7>50z&0ea<69;1C?h<4H2a6?!5b132n7)?mf;6a0>o6880;6)=j9;33b>=h9jn1<7*7<729q/?lj51008L6c53A9h96*"6jo0?n95f11394?"4m00:5<#;l31=i:4H2g;?>{e;mi1<7=50;2x 6gc2:;0D>k=;I1`1>"4m008<6*>bg87f1=n9981<7*5$2g:>4b332wi?ij50;194?6|,:ko6>?4H2g1?M5d=2.8i44<0:&2fc<3j=1b==<50;&0a<<68o10e<><:18'7`?=99l07b?ld;29 6c>28n?76sm3eg94?2=83:p(>ok:208L6c53A9h96*"6jo0?n95f11094?"4m00:5<#;l31==h4;h330?6=,:o26<>i;:m2ga<72-9n57?k4:9~f6??29086=4?{%1b`?563A9n>6Fk6:02e?>i6km0;6)=j9;3g0>=zj:3h6=4<:183!5fl3;o=6F07pl<9e83>0<729q/?lj5329K7`4<@:i>7)=j9;13?!7en3>i86g>0383>!5b13;;j65f11194?"4m00:5<#;l31==h4;h331?6=,:o26<>i;:m2ga<72-9n57?k4:9~f6?b29096=4?{%1b`?76:2B8i?5G3b78 6c>21o0(k6:02e?>i6km0;6)=j9;3g0>N4m110qo=6f;296?6=8r.8mi4>139K7`4<@:i>7)=j9;:f?!7en3>i86g>0083>!5b13;;j65`1bf94?"4m00:h95G3d:8?xd4i90;6?4?:1y'7db=9880D>k=;I1`1>"4m003i6*>bg87f1=n99;1<7*ok:031?M5b:2B8o85+3d;9<`=#9kl18o:4i022>5<#;l31==h4;n3``?6=,:o26=zj:k96=4<:183!5fl39:7E=j2:J0g0=#;l31?=5+1cd90g25$2g:>46a32e:oi4?:%1f=?7c<21vn>o<:186>5<7s-9jh7=<;I1f6>N4k<1/?h75319'5g`=0e<>=:18'7`?=99l07d??3;29 6c>28:m76g>0583>!5b13;;j65f11794?"4m00:5<#;l31=i:4;|`0=<<72:0;6=u+3`f974=O;l80D>m:;%1f=?573-;ij7:m4:k247<72-9n57??f:9j555=83.8i44>0g98k4ec290/?h751e68?xd41h0;6>4?:1y'7db=9m;0D>k=;I1`1>"4m00:h=5+1cd90g25$2g:>46a32e:oi4?:%1f=?7c<21vn>7m:180>5<7s-9jh7=>;I1f6>N4k<1/?h75319'5g`=0e<>=:18'7`?=99l07d??3;29 6c>28:m76a>ce83>!5b13;o865rb062>5<5290;w)=nd;326>N4m;1C?n;4$2g:>=c<,8hm69l;;h335?6=,:o26<>i;:m2ga<72-9n57?k4:J0a==55;294~"4im08?6F>4$0`e>1d33`;;>7>5$2g:>46a32c:<>4?:%1f=?77n21b==:50;&0a<<68o10e<>::18'7`?=99l07b?ld;29 6c>28n?76sm15c94?5=83:p(>ok:0f2?M5b:2B8o85+3d;95a6<,8hm69l;;h336?6=,:o26<>i;:k246<72-9n57??f:9l5fb=83.8i44>d598yg73j3:1>7>50z&0ea<69;1C?h<4H2a6?!5b132n7)?mf;6a0>o6880;6)=j9;33b>=h9jn1<7*4b83>6<729q/?lj5309K7`4<@:i>7)=j9;13?!7en3>i86g>0383>!5b13;;j65f11194?"4m00:5<#;l31=i:4;|`20a<72;0;6=u+3`f9544<@:o97E=l5:&0a<0g98k4ec290/?h751e68L6c?32wi=9k50;094?6|,:ko6N4k<1/?h758d9'5g`=0e<>>:18'7`?=99l07b?ld;29 6c>28n?7E=j8:9~f42a29086=4?{%1b`?563A9n>6Fk6:02e?>i6km0;6)=j9;3g0>=zj8?;6=4<:183!5fl39:7E=j2:J0g0=#;l31?=5+1cd90g25$2g:>46a32e:oi4?:%1f=?7c<21vn<:=:180>5<7s-9jh7=>;I1f6>N4k<1/?h75319'5g`=0e<>=:18'7`?=99l07d??3;29 6c>28:m76a>ce83>!5b13;o865rb060>5<4290;w)=nd;3g5>N4m;1C?n;4$2g:>4b73-;ij7:m4:k247<72-9n57??f:9j555=83.8i44>0g98k4ec290/?h751e68?xd6<=0;694?:1y'7db=;;1C?h<4H2a6?!5b139;7)?mf;6a0>o68;0;6)=j9;33b>=n9991<7*5$2g:>4b332wi=9;50;194?6|,:ko6>?4H2g1?M5d=2.8i44<0:&2fc<3j=1b==<50;&0a<<68o10e<><:18'7`?=99l07b?ld;29 6c>28n?76sm15494?5=83:p(>ok:0f2?M5b:2B8o85+3d;95a6<,8hm69l;;h336?6=,:o26<>i;:k246<72-9n57??f:9l5fb=83.8i44>d598yg73?3:1?7>50z&0ea<492B8i?5G3b78 6c>2::0(k6:02e?>o68:0;6)=j9;33b>=h9jn1<7*53;294~"4im0:h<5G3d08L6e23-9n57?k0:&2fc<3j=1b==<50;&0a<<68o10e<><:18'7`?=99l07b?ld;29 6c>28n?76s|b;290~Xe34;;n7??4:?0=a<68;16?4k51138yve=83>pRn5211`9553<5:3o6<><;<1:b?7792wxh7>54z\g?877j3;;:63<9e8241=:;h:1==?4}rg94?2|Vl16==l5115896??28:870?;a;336>{tn3:19vPi;<33f?7702785i4>049>7d7=99;01<:n:020?xu683:1?vP>0:?24g<68016?4651108yv76290?wS?>;<33g?77:278h:4>029>51?=9980q~?=:187[7534;;o7??3:?0`2<68;16=9751118yv74290?wS?<;<33g?77<278h:4>059>51?=99>0q~?;:187[7334;;o7??5:?0`2<68<16=9751178yv712902785<4>039>035=99;01?h7:022?85c03;;>63>468247=z{821<77t^0:8946d28:<70=62;335>;3>=0:<<5224:9555<5;l26<>>;<1g009>51e=9990q~?6:18`[7>34;;o7??8:?0=4<68:16=8j51138910528:870<:7;335>;5=10:<;<37`?77927:8k4>039>506=9980q~?m:18:[7e34;;o7??9:?0=6<68816=8h51138910228::70<:a;335>;5nh0:<<523ec9557<58>36<>=;|q16?6=;rT9>63>0c8247=:;0i1==<4}r00>5<4sW8870??b;337>;41j0:<>5rs7`94?5|V?h01986:022?821:3;;>6s|9783>6}Y1?1688k5909>03>=181v<>n:181[77i27:ce9~w46e2909w0??b;3``>;68m0:5<5s4;;o7?ld:?24a<68:1v<:?:181[73827:854>ce9~w4262909w0?;1;3``>;65rs061>5<5s4;?>7?ld:?206<68:1v<:<:181873;3;hh63>458247=z{8>?6=4={<370?7dl27:884>029~w4222909w0?;5;3``>;65rs065>5<5s4;?:7?ld:?202<68:1v<:8:181873?3;hh63>498246=z{8>26=4={<37=?7dl27:884>039~w42f2909w0?;a;3``>;6<=0:<>5rs06a>5<5s4;?n7?ld:?215<68:1v<:l:181873k3;hh63>438247=z{8>o6=4={<37`?7dl27:8?4>029~w42b2909w0?;e;3``>;6<=0:<95rs06e>5<5s4;?j7?ld:?203<68;1v<;?:18187283;hh63>428247=z{8?h6=4={_36g>;6>90:oi5rs07g>5<5s4;>h7?ld:?225<68:1v<;j:181872m3;hh63>618247=z{8?m6=4={<36b?7dl27::=4>059~w4ef2909w0=j3;de?821033o7p}>cc83>7}:;l>1=h>4=57f>d3:7>52z\113=::ce9>60d=9990q~<:8;296~;5=10:oi5224a955457>52z?11<<6km16>8m51118yv42i3:1>v3=5`82ga=::ce9>60b=9980q~<:c;296~;5=j0:oi5224f9555h7>52z?11a<6km16>8k51108yv4a>3:1>vP=f79>6cb=9jn0q~0:oi522g`955552z?1b=<6km16>kj51108yv4a13:1>v3=f882ga=::oi1==<4}r0ee?6=:r79jl4>ce9>6ce=9990q~52z?1bf<6km16>kj51168yv5>83:1>vP<919>7<3=9jn0q~=61;296~;4180:oi5238795547>52z?0=7<6km16?4:51108yv5>;3:1>v3<9282ga=:;0>1===4}r1:0?6=:r78594>ce9>7<3=9990q~=67;296~X41>16?4l51bf8yv5>03:1>v3<9982ga=:;031==<4}r1:=?6=:r78544>ce9>752z?0=f<6km16?l<51118yv5>l3:1>v3<9e82ga=:;0k1==<4}r1:a?6=:r785h4>ce9>7d5=9980q~=6f;296~;41o0:oi523`1955552z?0e5<6km16?l=51168yv5f93:1>v3ce9>752z?0``<6km16?l<51108yv5c?3:1>v3ce9>7ab=9980q~=k9;296~;4l00:oi523ea955452z?0`d<6km16?im51118yv5cj3:1>v3ce9>7ab=9990q~=kd;296~;4lm0:oi523eg9554>i7>52z?71`<5<>168;65a49~w1072909w0::e;;g?822n3;hh6s|47394?4|5=?n64;4=544>4ec3ty?:?4?:3y>034=9jn01988:020?xu3>:0;6?u247195fb<5=<=6<>=;|q721<72;q68;:51bf8910128:87p};6483>7}:4633ty?:;4?:3y>030=9jn01988:021?xu3>10;6:u247:9611<5;?26<>>;<06f?77:279j:4>009>7a?=99801<:m:022?873k3;;>6s|47c94?4|5=<364;4=54:>4ec3ty?;;4?:3y]020<5=?m6<>>;|q7ea<72=qU8lj4=07f>466349on7??1:?20`<6881vqo:m1;2971x"6jl08m:5U3`a95~?>2tc2:7>5;h1;e?6=3f9?n7>5;n6;;;7>5;n64f?6=3f>=j7>5;n62e?6=3`9347>5;h4a>5<5<5<5<5<5<#:;o1>9o4n30g>5=26=4+23g961g5<#:;o1>9o4n30g>7=54o371>5<#:;o1>9o4n30g>1=5<#:;o1>9o4n30g>3=m6=4+23g961g5<#:;o1>9o4n30g>==o6=4+23g961g5<#:;o1>9o4n30g>d=36=4+23g961go6>3:1(?i4=;:k27?6=,;8n6<94n30g>6=h5:m0?76g>1;29 74b28=0b?h4>7:l16a<132cm6=4+23g952=i:;n1;65fe;29 74b28=0b?h5:m0j76gm:18'67c=9>1e>?j5b:9j66<72-89i7?8;o01`?e<3`896=4+23g952=i:;n1h65f2083>!45m3;<7c<=d;g8?l47290/>?k5169m67b=n21b=k4?:%01a?703g89h7??;:k2a?6=,;8n6<94n30g>47<3`;o6=4+23g952=i:;n1=?54i0a94?"5:l0:;6`=2e827>=n9<0;6)<=e;34?k45l3;?76l4<729q/?lj51128L6c53A9h96*N4m;1C?n;4$032>3=#9kl18o:4Z2c`>4}>13wb584?::k:`?6=3`3:6=44i`794?=h:==1<75m25594?0=83:p(>k6:ga8^6gd28q257sf11d94?"4m00:!5b133=76g<9783>!5b1392:65f2gg94?"4m009jh54o0f7>5<#;l31=i:4;|`0a3<7280;6=u+3d;97c6<6=2798:465:p=4<72;qU5<522559=3=z{8:;6=4={<073?5>>278i;4>d59~yg7c=3:197<56z&0ea>o>l3:17d7>:188md3=831d>9950;9a611=83<1<7>t$2g:>ce0g98m<3=83.8i4465:9j=3<72-9n5779;:k0=3<72-9n57=66:9j6cc=83.8i44=fd98k4b3290/?h751e68?xd4m?0;6<4?:1y'7`?=;o:0c07p}=4683>7}Y:==01?:8:0f7?xuf=3:1>vPn5:?102<68o1v4j50;0xZ<6?hj;|q:1?6=:rT2963=468:1>{t180;6?uQ909>611=1?1v<>?:181843?392:634}>13wb5<4?::kb1?6=3f8?;7>5;c073?6==381:v*"6jo0?n95U3`a95~?>2te98:4?::k16c<722cj97>5;h;g>5<3<729q/?h75fb9Y7de=9r326pg>0g83>!5b13;;j65f9483>!5b133>76g66;29 6c>20<07d=66;29 6c>2:3=76g=fd83>!5b138mi65`1e694?"4m00:h954}c1f1?6=93:16=4={_c6?8`e28:m7p}61;296~X>927mn779;|q:`?6=:rT2h63ib;0ea>{t:;l1<77}Y:==01kl51e68yv5d>3:1>v3ib;;6?85b=3;n<6srb083>4<729q/?n:54:&0ea<6891/?h753g28 4da2=h?7bhi:18'7`?=9m>07p}=4683>7}Y:==01?:8:364?xuf=3:1>vPn5:?1026}::==1>?h4=364>1<7=52;6x 6gc2o30D>k=;I1`1>"6980=7)?mf;6a0>\4ij0:w475}h;2>5<5<k6:0f7?>{e;l?1<7?50;2x 6c>28im7b?j0;29 6c>28n?76s|a483>7}Yi<16jo4>0g9~w<7=838pR4?4=g`9=3=z{0n1<7?;%1f=?5a82.:nk4;b59lbc<72-9n57?k4:9~w7202909wS<;7:?102<5<>1vl;50;0xZd3<5;><6l;4}r;2>5<5sW3:70<;7;;2?xu52908w0<;7;01b>;5<>02h63>:gd8 6e32<1vqo<66;297?4=:79'5g`=0V>ol:0y:=?{n180;66gn5;29?j43?3:17o<;7;291?4=>r.8mi4ib:&2fc<3j=1Q?lm51z;:>xi5<>0;66g=2g83>>of=3:17d7k:188m<7=831ijo4?:783>5}#;l31jn5U3`a95~?>2tc:5$2g:><0<3`92:7>5$2g:>6?132c9jh4?:%1f=?4am21d=i:50;&0a<<6l=10qo=j5;295?6=8r.8i44>cg9l5`6=83.8i44>d598yvg22909wSo:;46a3ty2=7>52z\:5>;aj33=7p}6d;296~X>l27mn76?13ty98:4?:3y]611<5oh1=i:4}r1`2?6=:r7mn77:;<1f1?7b82wvn<4?:083>5}#;j>186*4$0`e>1d33flm6=4+3d;95a2<3ty98:4?:3y]611<5;><6?:8;|qb1?6=:rTj963=468b1>{t180;6?uQ909>611=181v?4?:2y>611=:;l01?:8:8f8947:t$2cg>c?<@:o97E=l5:&254<13-;ij7:m4:X0ef<6s031qd7>:188md3=831d>9950;9a611=83?1>78t$2cg>cd<,8hm69l;;[1bg?7|100vc?:8:188m74a2900el;50;9j=a<722c2=7>5;cda>5<1290;w)=j9;d`?_5fk3;p544ri02e>5<#;l31==h4;h;6>5<#;l315854i8494?"4m002:65f38494?"4m0085;54i3df>5<#;l31>kk4;n3g0?6=,:o26t$2g:>4ea3f;n<7>5$2g:>4b332wxm84?:3y]e0=:nk0:52z\16c=:nk085;5rs364>5<5sW8?;63ib;3g0>{t;j<1<74}|`2>5<6290;w)=l4;68 6gc28:;7)=j9;1e4>"6jo0?n95`fg83>!5b13;o865rs364>5<5sW8?;63=468102=z{h?1<7:181[?6348?;77>;|q1>5<4s48?;7<=f:?102<>l27:6kh4$2a7>0=zuk93;7>53;090~"4im0m56F5;n073?6=3k8?;7>55;092~"4im0mn6*>bg87f1=];hi1=v76:|m102<722c9>k4?::kb1?6=3`3o6=44i8394?=enk0;6;4?:1y'7`?=nj1Q?lm51z;:>xo68o0;6)=j9;33b>=n1<0;6)=j9;;6?>o>>3:1(>k6:848?l5>>3:1(>k6:2;5?>o5nl0;6)=j9;0ea>=h9m>1<7*51;294~"4m00:ok5`1d294?"4m00:h954}rc6>5<5sWk>70hm:02e?xu>93:1>vP61:?ef??13ty2h7>52z\:`>;aj38mi6s|23d94?4|V;8m70hm:2;5?xu5<>0;6?uQ25589cd=9m>0q~=l6;296~;aj33>70=j5;3f4>{zj80;6<4?:1y'7f2=<2.8mi4>019'7`?=;o:0(0;6?uQ255897202;><7p}n5;296~Xf=2798:4n5:p=4<72;qU5<522559=4=z{;0;6>u2255967`<5;><64j4=08eb>"4k=0>7psm40c94?5=:3>p(>ok:g;8L6c53A9h96*>bg87f1=];hi1=v76:|k:5?6=3`k>6=44o364>5<<6=4::385!5fl3li7)?mf;6a0>\4ij0:w475}n073?6=3`89j7>5;hc6>5<>daj3:1:7>50z&0a<{8;9yl77n3:1(>k6:02e?>o>=3:1(>k6:878?l?1290/?h759798m6?1290/?h753848?l4am3:1(>k6:3df?>i6l=0;6)=j9;3g0>=zj:o>6=4>:183!5b13;hj6a>e183>!5b13;o865rs`794?4|Vh?01kl511d8yv?62909wS7>;<07}Y:;l01kl53848yv43?3:1>vP=469>bg<6l=1v>m9:1818`e20?01>k::0g3?x{e93:1=7>50z&0g1<33-9jh7??0:&0a<<4n91/=oh54c68kc`=83.8i44>d598yv43?3:1>vP=469>611=:==0q~o::181[g2348?;7o:;|q:5?6=:rT2=63=468:5>{t:3:1?v3=46816c=::==15i521;de?!5d<3?0qpl=fg83>6<52=q/?lj5f89K7`4<@:i>7)?>1;48 4da2=h?7W=nc;3x=<>i5<>0;66l=4683>0<52?q/?lj5fc9'5g`=0V>ol:0y:=?{h:==1<75f23d94?=ni<0;66g6d;29?l?62900nkl50;494?6|,:o26km4Z2c`>4}>13wb==h50;&0a<<68o10e4;50;&0a<<>=21b5;4?:%1f=??132c85;4?:%1f=?5>>21b>kk50;&0a<<5nl10c07pl4<729q/?h751bd8k4c7290/?h751e68?xuf=3:1>vPn5:?ef?77n2wx5<4?:3y]=4=:nk02:6s|9e83>7}Y1m16jo4=fd9~w74a2909wS<=f:?ef?5>>2wx>9950;0xZ72034li6h?;%3ab?2e<2emj7>5$2g:>4b332wx>9950;0xZ720348?;7<;7:pe0<72;qUm8522559e0=z{0;1<73A9n>6F2.:nk4;b59Y7de=9r326pg61;29?lg22900c?:8:188f720290>6?49{%1b`?`e3-;ij7:m4:X0ef<6s031qb<;7;29?l45n3:17do::188m3:1\4ij0:w475}h33b?6=,:o26<>i;:k:1?6=,:o264;4;h;5>5<#;l315;54i2;5>5<#;l31?484;h0ea?6=,:o26?hj;:m2`1<72-9n57?k4:9~f6c2290:6=4?{%1f=?7dn2e:i=4?:%1f=?7c<21vl;50;0xZd3<5oh1==h4}r;2>5<5sW3:70hm:848yv?c2909wS7k;7`b3ty9>k4?:3y]67`<5oh1?484}r073?6=:rT98:52fc82`1=z{:i=6=4={<3<5:o>66=4={_c6?843?3k>7p}61;296~X>92798:461:p6?6=;r798:4=2g9>611=1m16=7hi;%1`0?394?:281>1}#;hn1j45G3d08L6e23-;:=784$0`e>1d33S9jo7?t988~m<7=831bm84?::m102<722h98:4?:481>3}#;hn1jo5+1cd90g2?h50;9je0<722c2h7>5;h;2>5<2oi0V>ol:0y:=?{n99l1<7*=n1?0;6)=j9;;5?>o41?0;6)=j9;1:2>=n:oo1<7*5}#;l31=nh4o0g3>5<#;l31=i:4;|qb1?6=:rTj963ib;33b>{t180;6?uQ909>bg<>>2wx5i4?:3y]=a=:nk09jh5rs30e>5<5sW89j63ib;1:2>{t:==1<77}:nk029632:l;7)?mf;6a0>ian3:1(>k6:0f7?>{t:==1<77}Yi<16>995a49~w<7=838pR4?4=364><774a348?;77k;<39bc=#;j>196srb21:>5<5290;w)=nd;326>N4m;1C?n;4$2g:>=c<,8hm69l;;h335?6=,:o26<>i;:m2ga<72-9n57?k4:J0a==53;294~"4im0:h<5G3d08L6e23-9n57?k0:&2fc<3j=1b==<50;&0a<<68o10e<><:18'7`?=99l07b?ld;29 6c>28n?76sm49;94?4=83:p(>ok:031?M5b:2B8o85+3d;9<`=#9kl18o:4i022>5<#;l31==h4;n3``?6=,:o26=zj=??6=4=:183!5fl3;:>6F1d33`;;=7>5$2g:>46a32e:oi4?:%1f=?7c<2B8i554}c64=?6=:3:1m:;%1f=?>b3-;ij7:m4:k244<72-9n57??f:9l5fb=83.8i44>d59K7`><3th94<4?:383>5}#;hn1=<<4H2g1?M5d=2.8i447e:&2fc<3j=1b==?50;&0a<<68o10c0D>k7;:a6=4=8381<7>t$2cg>4753A9n>6Fk6:0f7?M5b021vn?6<:180>5<7s-9jh7=>;I1f6>N4k<1/?h75319'5g`=0e<>=:18'7`?=99l07d??3;29 6c>28:m76a>ce83>!5b13;o865rb53e>5<5290;w)=nd;326>N4m;1C?n;4$2g:>=c<,8hm69l;;h335?6=,:o26<>i;:m2ga<72-9n57?k4:J0a==52;294~"4im0:=?5G3d08L6e23-9n576j;%3ab?2e<2c:<<4?:%1f=?77n21d=nj50;&0a<<6l=1C?h64;|`077<72:0;6=u+3`f974=O;l80D>m:;%1f=?573-;ij7:m4:k247<72-9n57??f:9j555=83.8i44>0g98k4ec290/?h751e68?xd39k0;6?4?:1y'7db=9880D>k=;I1`1>"4m003i6*>bg87f1=n99;1<7*ok:0f2?M5b:2B8o85+3d;95a6<,8hm69l;;h336?6=,:o26<>i;:k246<72-9n57??f:9l5fb=83.8i44>d598yg22j3:1>7>50z&0ea<69;1C?h<4H2a6?!5b132n7)?mf;6a0>o6880;6)=j9;33b>=h9jn1<7*6<729q/?lj51e38L6c53A9h96*5<#;l31==h4;h337?6=,:o26<>i;:m2ga<72-9n57?k4:9~f1>e29096=4?{%1b`?76:2B8i?5G3b78 6c>21o0(k6:02e?>i6km0;6)=j9;3g0>N4m110qo:7c;296?6=8r.8mi4>139K7`4<@:i>7)=j9;:f?!7en3>i86g>0083>!5b13;;j65`1bf94?"4m00:h95G3d:8?xd30m0;6?4?:1y'7db=9880D>k=;I1`1>"4m003i6*>bg87f1=n99;1<7*ok:218L6c53A9h96*"6jo0?n95f11094?"4m00:5<#;l31==h4;h330?6=,:o26<>i;:k240<72-9n57??f:9l5fb=83.8i44>d598yg22>3:1>7>50z&0ea<69;1C?h<4H2a6?!5b132n7)?mf;6a0>o6880;6)=j9;33b>=h9jn1<7*7<729q/?lj51008L6c53A9h96*"6jo0?n95f11394?"4m00:5<#;l31=i:4H2g;?>{e<<21<7<50;2x 6gc28;97E=j2:J0g0=#;l314h5+1cd90g25$2g:>4b33A9n465rb57:>5<2290;w)=nd;10?M5b:2B8o85+3d;975=#9kl18o:4i021>5<#;l31==h4;h337?6=,:o26<>i;:k241<72-9n57??f:9j553=83.8i44>0g98k4ec290/?h751e68?xd5j=0;6>4?:1y'7db=;81C?h<4H2a6?!5b139;7)?mf;6a0>o68;0;6)=j9;33b>=n9991<7*5}#;hn1=<<4H2g1?M5d=2.8i447e:&2fc<3j=1b==?50;&0a<<68o10c0D>k7;:a6g0=8391<7>t$2cg>67<@:o97E=l5:&0a<<482.:nk4;b59j554=83.8i44>0g98m464290/?h7511d8?j7dl3:1(>k6:0f7?>{e:k=1<7=50;2x 6gc28n:7E=j2:J0g0=#;l31=i>4$0`e>1d33`;;>7>5$2g:>46a32c:<>4?:%1f=?77n21d=nj50;&0a<<6l=10qok6:228 4da2=h?7d??2;29 6c>28:m76g>0283>!5b13;;j65`1bf94?"4m00:h954}c0a`?6=:3:1m:;%1f=?>b3-;ij7:m4:k244<72-9n57??f:9l5fb=83.8i44>d59K7`><3th9nh4?:283>5}#;hn1?<5G3d08L6e23-9n57=?;%3ab?2e<2c:07pl=bg83>6<729q/?lj51e38L6c53A9h96*5<#;l31==h4;h337?6=,:o26<>i;:m2ga<72-9n57?k4:9~f7e529086=4?{%1b`?563A9n>6Fk6:02e?>i6km0;6)=j9;3g0>=zj;i86=4=:183!5fl3;:>6F1d33`;;=7>5$2g:>46a32e:oi4?:%1f=?7c<2B8i554}c0`0?6=;3:1N4m;1C?n;4$2g:>66<,8hm69l;;h336?6=,:o26<>i;:k246<72-9n57??f:9l5fb=83.8i44>d598yg4d=3:1?7>50z&0ea<6l81C?h<4H2a6?!5b13;o<6*>bg87f1=n9981<7*5$2g:>4b332wi>n650;194?6|,:ko6>?4H2g1?M5d=2.8i44<0:&2fc<3j=1b==<50;&0a<<68o10e<><:18'7`?=99l07b?ld;29 6c>28n?76sm2b;94?4=83:p(>ok:031?M5b:2B8o85+3d;9<`=#9kl18o:4i022>5<#;l31==h4;n3``?6=,:o26=zj;ij6=4<:183!5fl39:7E=j2:J0g0=#;l31?=5+1cd90g25$2g:>46a32e:oi4?:%1f=?7c<21vn?mm:180>5<7s-9jh7?k1:J0a7=O;j?0(>k6:0f3?!7en3>i86g>0383>!5b13;;j65f11194?"4m00:5<#;l31=i:4;|`1g`<72:0;6=u+3`f974=O;l80D>m:;%1f=?573-;ij7:m4:k247<72-9n57??f:9j555=83.8i44>0g98k4ec290/?h751e68?xd5ko0;6?4?:1y'7db=9880D>k=;I1`1>"4m003i6*>bg87f1=n99;1<7*ok:238L6c53A9h96*"6jo0?n95f11094?"4m00:5<#;l31==h4;n3``?6=,:o26t$2cg>4b63A9n>6Fk6:02e?>o68:0;6)=j9;33b>=h9jn1<7*53;294~"4im08=6F>4$0`e>1d33`;;>7>5$2g:>46a32c:<>4?:%1f=?77n21d=nj50;&0a<<6l=10qo139K7`4<@:i>7)=j9;:f?!7en3>i86g>0083>!5b13;;j65`1bf94?"4m00:h95G3d:8?xd5l?0;6>4?:1y'7db=;81C?h<4H2a6?!5b139;7)?mf;6a0>o68;0;6)=j9;33b>=n9991<7*5}#;hn1=i?4H2g1?M5d=2.8i44>d19'5g`=0e<>=:18'7`?=99l07d??3;29 6c>28:m76a>ce83>!5b13;o865rb3fb>5<4290;w)=nd;12?M5b:2B8o85+3d;975=#9kl18o:4i021>5<#;l31==h4;h337?6=,:o26<>i;:m2ga<72-9n57?k4:9~f7be29096=4?{%1b`?76:2B8i?5G3b78 6c>21o0(k6:02e?>i6km0;6)=j9;3g0>N4m110qok6:228 4da2=h?7d??2;29 6c>28:m76g>0283>!5b13;;j65`1bf94?"4m00:h954}c0g`?6=;3:1m:;%1f=?7c82.:nk4;b59j554=83.8i44>0g98m464290/?h7511d8?j7dl3:1(>k6:0f7?>{e:l:1<7=50;2x 6gc2:;0D>k=;I1`1>"4m008<6*>bg87f1=n9981<7*5$2g:>4b332wi>h?50;094?6|,:ko6N4k<1/?h758d9'5g`=0e<>>:18'7`?=99l07b?ld;29 6c>28n?7E=j8:9~f7c529086=4?{%1b`?563A9n>6Fk6:02e?>i6km0;6)=j9;3g0>=zj;o86=4<:183!5fl3;o=6F07pl=e783>6<729q/?lj5309K7`4<@:i>7)=j9;13?!7en3>i86g>0383>!5b13;;j65f11194?"4m00:5<#;l31=i:4;|`1a2<72;0;6=u+3`f9544<@:o97E=l5:&0a<0g98k4ec290/?h751e68L6c?32wi>h650;194?6|,:ko6>?4H2g1?M5d=2.8i44<0:&2fc<3j=1b==<50;&0a<<68o10e<><:18'7`?=99l07b?ld;29 6c>28n?76sm2d;94?5=83:p(>ok:0f2?M5b:2B8o85+3d;95a6<,8hm69l;;h336?6=,:o26<>i;:k246<72-9n57??f:9l5fb=83.8i44>d598yg4bk3:1?7>50z&0ea<492B8i?5G3b78 6c>2::0(k6:02e?>o68:0;6)=j9;33b>=h9jn1<7*52;294~"4im0:=?5G3d08L6e23-9n576j;%3ab?2e<2c:<<4?:%1f=?77n21d=nj50;&0a<<6l=1C?h64;|`1a`<72:0;6=u+3`f974=O;l80D>m:;%1f=?573-;ij7:m4:k247<72-9n57??f:9j555=83.8i44>0g98k4ec290/?h751e68?xd5mo0;6>4?:1y'7db=9m;0D>k=;I1`1>"4m00:h=5+1cd90g25$2g:>46a32e:oi4?:%1f=?7c<21vn><9:180>5<7s-9jh7?k1:J0a7=O;j?0(>k6:0f3?!7en3>i86g>0383>!5b13;;j65f11194?"4m00:5<#;l31=i:4;|`06=<72:0;6=u+3`f974=O;l80D>m:;%1f=?573-;ij7:m4:k247<72-9n57??f:9j555=83.8i44>0g98k4ec290/?h751e68?xd4:00;6?4?:1y'7db=9880D>k=;I1`1>"4m003i6*>bg87f1=n99;1<7*ok:031?M5b:2B8o85+3d;9<`=#9kl18o:4i022>5<#;l31==h4;n3``?6=,:o26=zj:8i6=4::183!5fl3987E=j2:J0g0=#;l31?=5+1cd90g25$2g:>46a32c:<94?:%1f=?77n21b==;50;&0a<<68o10c07pl<2b83>6<729q/?lj51e38L6c53A9h96*5<#;l31==h4;h337?6=,:o26<>i;:m2ga<72-9n57?k4:9~f64c29086=4?{%1b`?563A9n>6Fk6:02e?>i6km0;6)=j9;3g0>=zj:8n6=4<:183!5fl3;o=6F07pl<2g83>6<729q/?lj5309K7`4<@:i>7)=j9;13?!7en3>i86g>0383>!5b13;;j65f11194?"4m00:5<#;l31=i:4;|`062<72:0;6=u+3`f95a7<@:o97E=l5:&0a<<6l91/=oh54c68m465290/?h7511d8?l77;3:1(>k6:02e?>i6km0;6)=j9;3g0>=zj:;:6=4=:183!5fl3;:>6F1d33`;;=7>5$2g:>46a32e:oi4?:%1f=?7c<2B8i554}c12g?6=;3:1m:;%1f=?7c82.:nk4;b59j554=83.8i44>0g98m464290/?h7511d8?j7dl3:1(>k6:0f7?>{e;8n1<7<50;2x 6gc28;97E=j2:J0g0=#;l314h5+1cd90g25$2g:>4b33A9n465rb23f>5<4290;w)=nd;12?M5b:2B8o85+3d;975=#9kl18o:4i021>5<#;l31==h4;h337?6=,:o26<>i;:m2ga<72-9n57?k4:9~f67a29096=4?{%1b`?76:2B8i?5G3b78 6c>21o0(k6:02e?>i6km0;6)=j9;3g0>N4m110qo==0;296?6=8r.8mi4>139K7`4<@:i>7)=j9;:f?!7en3>i86g>0083>!5b13;;j65`1bf94?"4m00:h95G3d:8?xd4:80;6?4?:1y'7db=9880D>k=;I1`1>"4m003i6*>bg87f1=n99;1<7*ok:238L6c53A9h96*"6jo0?n95f11094?"4m00:5<#;l31==h4;n3``?6=,:o26t$2cg>4753A9n>6Fk6:0f7?M5b021vn>?=:180>5<7s-9jh7=>;I1f6>N4k<1/?h75319'5g`=0e<>=:18'7`?=99l07d??3;29 6c>28:m76a>ce83>!5b13;o865rb230>5<4290;w)=nd;12?M5b:2B8o85+3d;975=#9kl18o:4i021>5<#;l31==h4;h337?6=,:o26<>i;:m2ga<72-9n57?k4:9~f67329086=4?{%1b`?563A9n>6Fk6:02e?>i6km0;6)=j9;3g0>=zj:;>6=4<:183!5fl39:7E=j2:J0g0=#;l31?=5+1cd90g25$2g:>46a32e:oi4?:%1f=?7c<21vn>?9:180>5<7s-9jh7?k1:J0a7=O;j?0(>k6:0f3?!7en3>i86g>0383>!5b13;;j65f11194?"4m00:5<#;l31=i:4;|`052<72:0;6=u+3`f974=O;l80D>m:;%1f=?573-;ij7:m4:k247<72-9n57??f:9j555=83.8i44>0g98k4ec290/?h751e68?xd4910;6>4?:1y'7db=9m;0D>k=;I1`1>"4m00:h=5+1cd90g25$2g:>46a32e:oi4?:%1f=?7c<21vn>?6:180>5<7s-9jh7?k1:J0a7=O;j?0(>k6:0f3?!7en3>i86g>0383>!5b13;;j65f11194?"4m00:5<#;l31=i:4;|`05d<72:0;6=u+3`f974=O;l80D>m:;%1f=?573-;ij7:m4:k247<72-9n57??f:9j555=83.8i44>0g98k4ec290/?h751e68?xd49k0;694?:1y'7db=9m80D>k=;I1`1>"4m00:h=5+1cd90g25$2g:>46a32c:<94?:%1f=?77n21d=nj50;&0a<<6l=10qo=?1;296?6=8r.8mi4>139K7`4<@:i>7)=j9;:f?!7en3>i86g>0083>!5b13;;j65`1bf94?"4m00:h95G3d:8?xd48>0;6?4?:1y'7db=9880D>k=;I1`1>"4m003i6*>bg87f1=n99;1<7*ok:238L6c53A9h96*"6jo0?n95f11094?"4m00:5<#;l31==h4;n3``?6=,:o26t$2cg>4753A9n>6Fk6:0f7?M5b021vn>>n:180>5<7s-9jh7=>;I1f6>N4k<1/?h75319'5g`=0e<>=:18'7`?=99l07d??3;29 6c>28:m76a>ce83>!5b13;o865rb22a>5<5290;w)=nd;326>N4m;1C?n;4$2g:>=c<,8hm69l;;h335?6=,:o26<>i;:m2ga<72-9n57?k4:J0a==52;294~"4im0:=?5G3d08L6e23-9n576j;%3ab?2e<2c:<<4?:%1f=?77n21d=nj50;&0a<<6l=1C?h64;|`04a<72;0;6=u+3`f9544<@:o97E=l5:&0a<0g98k4ec290/?h751e68L6c?32wi?=k50;194?6|,:ko6;I1f6>N4k<1/?h751e28 4da2=h?7d??2;29 6c>28:m76g>0283>!5b13;;j65`1bf94?"4m00:h954}c136?6=;3:1N4m;1C?n;4$2g:>66<,8hm69l;;h336?6=,:o26<>i;:k246<72-9n57??f:9l5fb=83.8i44>d598yg57;3:1?7>50z&0ea<492B8i?5G3b78 6c>2::0(k6:02e?>o68:0;6)=j9;33b>=h9jn1<7*53;294~"4im08=6F>4$0`e>1d33`;;>7>5$2g:>46a32c:<>4?:%1f=?77n21d=nj50;&0a<<6l=10qo=?5;290?6=8r.8mi4<2:J0a7=O;j?0(>k6:228 4da2=h?7d??2;29 6c>28:m76g>0283>!5b13;;j65f11694?"4m00:5<#;l31=i:4;|`043<72=0;6=u+3`f95a4<@:o97E=l5:&0a<<6l91/=oh54c68m465290/?h7511d8?l77;3:1(>k6:02e?>o68=0;6)=j9;33b>=h9jn1<7*52;294~"4im0:=?5G3d08L6e23-9n576j;%3ab?2e<2c:<<4?:%1f=?77n21d=nj50;&0a<<6l=1C?h64;|`2`=<72;0;6=u+3`f9544<@:o97E=l5:&0a<0g98k4ec290/?h751e68L6c?32wi=i750;094?6|,:ko6N4k<1/?h758d9'5g`=0e<>>:18'7`?=99l07b?ld;29 6c>28n?7E=j8:9~f4bf29096=4?{%1b`?76:2B8i?5G3b78 6c>21o0(k6:02e?>i6km0;6)=j9;3g0>N4m110qo?kb;291?6=8r.8mi4<3:J0a7=O;j?0(>k6:228 4da2=h?7d??2;29 6c>28:m76g>0283>!5b13;;j65f11694?"4m00:5<#;l31==h4;n3``?6=,:o26t$2cg>4b63A9n>6Fk6:02e?>o68:0;6)=j9;33b>=h9jn1<7*53;294~"4im08=6F>4$0`e>1d33`;;>7>5$2g:>46a32c:<>4?:%1f=?77n21d=nj50;&0a<<6l=10qo139K7`4<@:i>7)=j9;:f?!7en3>i86g>0083>!5b13;;j65`1bf94?"4m00:h95G3d:8?xd5ik0;6?4?:1y'7db=9880D>k=;I1`1>"4m003i6*>bg87f1=n99;1<7*ok:031?M5b:2B8o85+3d;9<`=#9kl18o:4i022>5<#;l31==h4;n3``?6=,:o26=zj;ko6=4=:183!5fl3;:>6F1d33`;;=7>5$2g:>46a32e:oi4?:%1f=?7c<2B8i554}c0ba?6=:3:1m:;%1f=?>b3-;ij7:m4:k244<72-9n57??f:9l5fb=83.8i44>d59K7`><3th9mk4?:383>5}#;hn1=<<4H2g1?M5d=2.8i447e:&2fc<3j=1b==?50;&0a<<68o10c0D>k7;:a6g6=8381<7>t$2cg>4753A9n>6Fk6:0f7?M5b021vn?l>:181>5<7s-9jh7?>2:J0a7=O;j?0(>k6:9g8 4da2=h?7d??1;29 6c>28:m76a>ce83>!5b13;o86F13:1>7>50z&0ea<69;1C?h<4H2a6?!5b132n7)?mf;6a0>o6880;6)=j9;33b>=h9jn1<7*7<729q/?lj51008L6c53A9h96*"6jo0?n95f11394?"4m00:5<#;l31=i:4H2g;?>{e:0h1<7=50;2x 6gc2:;0D>k=;I1`1>"4m008<6*>bg87f1=n9981<7*5$2g:>4b332wi>4m50;194?6|,:ko6>?4H2g1?M5d=2.8i44<0:&2fc<3j=1b==<50;&0a<<68o10e<><:18'7`?=99l07b?ld;29 6c>28n?76sm28f94?5=83:p(>ok:238L6c53A9h96*"6jo0?n95f11094?"4m00:5<#;l31==h4;n3``?6=,:o261<7>t$2cg>64<@:o97E=l5:&0a<<482.:nk4;b59j554=83.8i44>0g98m464290/?h7511d8?l77<3:1(>k6:02e?>i6km0;6)=j9;3g0>=zj;3m6=4<:183!5fl3;o=6F07pl=a183>6<729q/?lj51e38L6c53A9h96*5<#;l31==h4;h337?6=,:o26<>i;:m2ga<72-9n57?k4:9~f7g629086=4?{%1b`?7c92B8i?5G3b78 6c>28n;7)?mf;6a0>o68;0;6)=j9;33b>=n9991<7*5}#;hn1?<5G3d08L6e23-9n57=?;%3ab?2e<2c:07pl=a583>6<729q/?lj5309K7`4<@:i>7)=j9;13?!7en3>i86g>0383>!5b13;;j65f11194?"4m00:5<#;l31=i:4;|`1e0<72:0;6=u+3`f974=O;l80D>m:;%1f=?573-;ij7:m4:k247<72-9n57??f:9j555=83.8i44>0g98k4ec290/?h751e68?xd5i?0;6>4?:1y'7db=9m;0D>k=;I1`1>"4m00:h=5+1cd90g25$2g:>46a32e:oi4?:%1f=?7c<21vn?o8:180>5<7s-9jh7=>;I1f6>N4k<1/?h75319'5g`=0e<>=:18'7`?=99l07d??3;29 6c>28:m76a>ce83>!5b13;o865rb3c;>5<4290;w)=nd;3g5>N4m;1C?n;4$2g:>4b73-;ij7:m4:k247<72-9n57??f:9j555=83.8i44>0g98k4ec290/?h751e68?xd5i00;6>4?:1y'7db=;81C?h<4H2a6?!5b139;7)?mf;6a0>o68;0;6)=j9;33b>=n9991<7*5}#;hn1=i?4H2g1?M5d=2.8i44>d19'5g`=0e<>=:18'7`?=99l07d??3;29 6c>28:m76a>ce83>!5b13;o865rb341>5<4290;w)=nd;3g5>N4m;1C?n;4$2g:>4b73-;ij7:m4:k247<72-9n57??f:9j555=83.8i44>0g98k4ec290/?h751e68?xd5>m0;6?4?:1y'7db=9880D>k=;I1`1>"4m003i6*>bg87f1=n99;1<7*ok:031?M5b:2B8o85+3d;9<`=#9kl18o:4i022>5<#;l31==h4;n3``?6=,:o26=zj;5$2g:>46a32e:oi4?:%1f=?7c<21vn?9?:181>5<7s-9jh7?>2:J0a7=O;j?0(>k6:9g8 4da2=h?7d??1;29 6c>28:m76a>ce83>!5b13;o86F7>50z&0ea<69;1C?h<4H2a6?!5b132n7)?mf;6a0>o6880;6)=j9;33b>=h9jn1<7*7<729q/?lj51008L6c53A9h96*"6jo0?n95f11394?"4m00:5<#;l31=i:4H2g;?>{e:>91<7:50;2x 6gc2:80D>k=;I1`1>"4m008<6*>bg87f1=n9981<7*5$2g:>46a32e:oi4?:%1f=?7c<21vn?9;:181>5<7s-9jh7?>2:J0a7=O;j?0(>k6:9g8 4da2=h?7d??1;29 6c>28:m76a>ce83>!5b13;o86F7>50z&0ea<69;1C?h<4H2a6?!5b132n7)?mf;6a0>o6880;6)=j9;33b>=h9jn1<7*1<729q/?lj51e08L6c53A9h96*5<#;l31==h4;h337?6=,:o26<>i;:k241<72-9n57??f:9l5fb=83.8i44>d598yg41=3:1?7>50z&0ea<492B8i?5G3b78 6c>2::0(k6:02e?>o68:0;6)=j9;33b>=h9jn1<7*54;294~"4im08>6F>4$0`e>1d33`;;>7>5$2g:>46a32c:<>4?:%1f=?77n21b==:50;&0a<<68o10c07pl=6683>6<729q/?lj5309K7`4<@:i>7)=j9;13?!7en3>i86g>0383>!5b13;;j65f11194?"4m00:5<#;l31=i:4;|`12=<72:0;6=u+3`f974=O;l80D>m:;%1f=?573-;ij7:m4:k247<72-9n57??f:9j555=83.8i44>0g98k4ec290/?h751e68?xd5>00;6>4?:1y'7db=9m;0D>k=;I1`1>"4m00:h=5+1cd90g25$2g:>46a32e:oi4?:%1f=?7c<21vn?8n:180>5<7s-9jh7?k1:J0a7=O;j?0(>k6:0f3?!7en3>i86g>0383>!5b13;;j65f11194?"4m00:5<#;l31=i:4;|`12g<72:0;6=u+3`f974=O;l80D>m:;%1f=?573-;ij7:m4:k247<72-9n57??f:9j555=83.8i44>0g98k4ec290/?h751e68?xd5>j0;694?:1y'7db=9m80D>k=;I1`1>"4m00:h=5+1cd90g25$2g:>46a32c:<94?:%1f=?77n21d=nj50;&0a<<6l=10qo:80;296?6=8r.8mi4>139K7`4<@:i>7)=j9;:f?!7en3>i86g>0083>!5b13;;j65`1bf94?"4m00:h95G3d:8?xd3?80;6?4?:1y'7db=9880D>k=;I1`1>"4m003i6*>bg87f1=n99;1<7*ok:031?M5b:2B8o85+3d;9<`=#9kl18o:4i022>5<#;l31==h4;n3``?6=,:o26=zj==86=4;:183!5fl3;o>6F;:18'7`?=99l07b?ld;29 6c>28n?76sm46694?5=83:p(>ok:238L6c53A9h96*"6jo0?n95f11094?"4m00:5<#;l31==h4;n3``?6=,:o26t$2cg>67<@:o97E=l5:&0a<<482.:nk4;b59j554=83.8i44>0g98m464290/?h7511d8?j7dl3:1(>k6:0f7?>{e:>31<7:50;2x 6gc2:80D>k=;I1`1>"4m008<6*>bg87f1=n9981<7*5$2g:>46a32e:oi4?:%1f=?7c<21vn?9n:181>5<7s-9jh7?>2:J0a7=O;j?0(>k6:9g8 4da2=h?7d??1;29 6c>28:m76a>ce83>!5b13;o86F7>50z&0ea<69;1C?h<4H2a6?!5b132n7)?mf;6a0>o6880;6)=j9;33b>=h9jn1<7*7<729q/?lj51008L6c53A9h96*"6jo0?n95f11394?"4m00:5<#;l31=i:4H2g;?>{e:>n1<7<50;2x 6gc28;97E=j2:J0g0=#;l314h5+1cd90g25$2g:>4b33A9n465rb35f>5<2290;w)=nd;10?M5b:2B8o85+3d;975=#9kl18o:4i021>5<#;l31==h4;h337?6=,:o26<>i;:k241<72-9n57??f:9j553=83.8i44>0g98k4ec290/?h751e68?xd5?o0;6>4?:1y'7db=9m;0D>k=;I1`1>"4m00:h=5+1cd90g25$2g:>46a32e:oi4?:%1f=?7c<21vn?6?:180>5<7s-9jh7=>;I1f6>N4k<1/?h75319'5g`=0e<>=:18'7`?=99l07d??3;29 6c>28:m76a>ce83>!5b13;o865rb35;>5<4290;w)=nd;3g5>N4m;1C?n;4$2g:>4b73-;ij7:m4:k247<72-9n57??f:9j555=83.8i44>0g98k4ec290/?h751e68?xd50?0;694?:1y'7db=;;1C?h<4H2a6?!5b139;7)?mf;6a0>o68;0;6)=j9;33b>=n9991<7*5$2g:>4b332wi>5k50;194?6|,:ko6>?4H2g1?M5d=2.8i44<0:&2fc<3j=1b==<50;&0a<<68o10e<><:18'7`?=99l07b?ld;29 6c>28n?76sm29d94?4=83:p(>ok:031?M5b:2B8o85+3d;9<`=#9kl18o:4i022>5<#;l31==h4;n3``?6=,:o26=zj;3;6=4=:183!5fl3;:>6F1d33`;;=7>5$2g:>46a32e:oi4?:%1f=?7c<2B8i554}c0:5?6=:3:1m:;%1f=?>b3-;ij7:m4:k244<72-9n57??f:9l5fb=83.8i44>d59K7`><3th95?4?:383>5}#;hn1=<<4H2g1?M5d=2.8i447e:&2fc<3j=1b==?50;&0a<<68o10c0D>k7;:a6<5=8381<7>t$2cg>4753A9n>6Fk6:0f7?M5b021vn?7;:181>5<7s-9jh7?>2:J0a7=O;j?0(>k6:9g8 4da2=h?7d??1;29 6c>28:m76a>ce83>!5b13;o86F=3:1>7>50z&0ea<69;1C?h<4H2a6?!5b132n7)?mf;6a0>o6880;6)=j9;33b>=h9jn1<7*6<729q/?lj51e38L6c53A9h96*5<#;l31==h4;h337?6=,:o26<>i;:m2ga<72-9n57?k4:9~f7>?29086=4?{%1b`?563A9n>6Fk6:02e?>i6km0;6)=j9;3g0>=zj;226=4<:183!5fl39:7E=j2:J0g0=#;l31?=5+1cd90g25$2g:>46a32e:oi4?:%1f=?7c<21vn?6n:187>5<7s-9jh7?k2:J0a7=O;j?0(>k6:0f3?!7en3>i86g>0383>!5b13;;j65f11194?"4m00:5<#;l31==h4;n3``?6=,:o261<7>t$2cg>64<@:o97E=l5:&0a<<482.:nk4;b59j554=83.8i44>0g98m464290/?h7511d8?l77<3:1(>k6:02e?>i6km0;6)=j9;3g0>=zj;2h6=4<:183!5fl3;o=6F07pl=8e83>6<729q/?lj5309K7`4<@:i>7)=j9;13?!7en3>i86g>0383>!5b13;;j65f11194?"4m00:5<#;l31=i:4;|qa>5<5sWh01?l9:021?xud2909wSm4=3`f>4653tyo6=4={_f897e328:97p}j:181[c<5;ij6<>=;|qe>5<5sWl01?j?:021?xu683:1>vP>0:?1`3<68;1v=;|q26?6=:rT:>63=e38247=z{891<75;296~X6=279n94>029~w40=838pR<84=3g`>4643ty:o7>52z\2g>;5jj0:<>5rs0f94?4|V8n01?m=:020?xu6m3:1>vP>e:?1g=<68:1v<;|q14?6=:rT9<63=d58246=z{;;1<7029~w75=838pR?=4=3g5>4643ty=n7>513y]2g=:>l0j963=748b1>;6l<0j963<298246=:;;h1==<4=23g>466349:i7??3:?066<68816?=o5111894bf28::70;5j90:<<522`79554<5;>;<05b?77:279;i4>009>6<7=99;0q~8j:18680b2;><70=>f;335>;5io0:<<522629557<5;2<6<>=;|q:2?6=krT2:63=748:5>;6l<02=639e;;2?84?<33:70<66;;2?841833:70=77;;2?84an33:70=?f;;2?855<33:70:>b;335>{t9jk1<7=t=2g0>c`<5;=>64j4=0f6>57z?2`0<5<>16??751138966e28::70;5>=0:<>522659555<5;2n6<>=;|q2`3<72;q6=i;5949>5ae=9jn0q~?k7;296~;6l>0:oi521e`955552z?2`=<6km16=il51168yv7c13:1>v3>d882ga=:9mh1==;4}r3ge?6=:r7:hl4>ce9>5ae=9990q~?kb;296~;6lk0:oi521ea955452z\10==::k=1=nj4}r07=?6=:rT:46P=489~w72e2908wS?;;_07f>;5ml0:5<5sW8?o63=bg82ga=z{;>o6=4={_07`>;5k<0:oi5rs36f>5<5sW8?i63=cc82ga=z{;>m6=4={_07b>;5l80:oi5rs373>5<5sW8><63=d682ga=z{;?:6=4={_065>;5lm0:oi5rs371>5<5sW8>>63=e282ga=z{;?86=4={_067>;5m00:oi5rs377>5<5sW8>863=eg82ga=z{;?=6=4i{_062>;4;00:<<5233:9554<5:8;6<>>;<13e?77:27:ho4>039>6dc=99;01?l>:022?84>l3;;>63=6d8244=::>;1==?4=553>4663484>51138yv42n3:18v3=8282ga=:<1o1==<4=57:>46534><=7??1:p636=838p1?8?:364?84?:3;;=6s|27394?4|5;<;6l;4=34`>4ec3ty9:?4?:3y>634=9jn01?8n:021?xu5>:0;6?u227195fb<5;<=6<>;;|q121<72;q6>;:51bf8970028:87p}=6483>7}::??1=nj4=34;>4643ty9:;4?:3y>630=9jn01?8l:027?xu5>>0;6?u227595fb<5;<26<>=;|q12=<72;q6>;651bf8970>28:87p}=6883>7}::?31=nj4=34b>4643ty9:l4?:3y>63g=9jn01?8m:021?xu5>k0;6?u227`95fb<5;<;|q12a<72;q6>;j51bf8970328:97p}=6d83>7}::?o1=nj4=347>4633ty9:k4?:3y>63`=9jn01?87:021?xu5?90;6?u226295fb<5;<>6<>=;|q134<72;q6>:?51bf8970228:87p}=7383>7}::>81=nj4=34a>4643ty9;>4?:3y>625=9jn01?8l:021?xu5?=0;6?u226695fb<5;<=6<>=;|q130<72;q6>:;5255897>628::7p}=7783>7}::>?1585226:95fb52z?132<6km16>5>51108yv4013:1>v3=7882ga=::>l1==<4}r04e?6=:r79;l4>ce9>62c=9990q~<8b;296~;5?k0:oi5226g955252z?13f<6km16>:k51178yv40l3:1>v3=7e82ga=::>21===4}r04a?6=:r79;h4>ce9>62`=9990q~<8f;296~;5?o0:oi52292955552z?1<5<6km16>:651108yv4?93:1>v3=8082ga=::191==<4}r0;6?6=:r794?4>ce9>6=5=9990q~<74;296~;50=098:5232g955452z?1<1ce9~w7>12909w0<76;3``>;50j0:5<5s483;7?ld:?1<<<68;1v?67:18184?03;hh63=8c8241=z{;226=4={<0;=?7dl2794l4>039~w7>f2909w0<7a;3``>;50k0:<>5rs3:a>5<5s483n7?ld:?1029~w7>a2909w0<7f;3``>;50>0:<>5rs3;3>5<5s482<7?ld:?1<<<68:1v?7>:18184>93;hh63=8`8241=z{;396=4={<0:6?7dl2794o4>039~w7?42909w0<63;3``>;5010:5<5s48287?ld:?1<=<68:1v?7::18184>=3;hh63=8e8246=z{;3=6=4>6z?1=3<5<>168;5jj0:=;<0`7?779279o54>039>6f?=99;01?mj:021?84dn3;;=63=d58247=::m?1==?4=3fb>465348on7??1:?1a5<68;16>h?5113897c128:970;5mj0:52z?1=3ce9~w7??2909w0<68;3``>;51k0:5<5s48257?ld:?1e2<68:1v?7n:18184>i3;hh63=a88246=z{;3i6=4={<0:f?7dl279m=4>039~w7?d2909w0<6c;3``>;5i10:5<5s482h7?ld:?1e4<68;1v?7j:18184>m3;hh63=a08246=z{;3m6=4={<0:b?7dl279m?4>029~w7g72909w0;5i=0:<>5rs3c2>5<5s48j=7?ld:?1e0<68:1v?o=:18184f:3;hh63=a78246=z{;k86=4={<0b7?7dl2795o4>029~w7g32909w0;5ih0:5<5s48j97?ld:?1e3<68;1v?o9:18184f>3;hh63=a68247=z{;k<6=4={<0b3?7dl279m54>029~w7g?2909w0;5i00:5<5s48j57?ld:?1ed<68:1v?om:18184fj3;hh63=a18246=z{;kh6=4={<0bg?7dl2795n4>029~w7gc2909w0;51m0:<>5rs3cf>5<5s48ji7?ld:?1=`<68;1v?oi:18184fn3;hh63=9d8241=z{;h;6=4={<0a4?7dl2795k4>039~w7d62909w0;51o0:<>5rs3`0>5<5s48i87?ld:?1f2<68;1v?l;:18184e=3;hh63=b78246=z{;h>6=4={<0a2?7dl279n:4>029~w7de2909w0;5jo0:5<5s48ih7?ld:?1f`<68:1v?lk:18184em3;hh63=bg8246=z{;i:6=4={<0`6?7dl279o84>039~w7e52909w0;5k=0:<>5rs3a0>5<5s48h87?ld:?1g0<68:1v?m8:18184d03;hh63=cc8247=z{;i36=4={<0`=?7dl279ol4>029~w7e>2909w0;5kk0:<>5rs3ag>5<5s48hi7?ld:?1`4<68;1v?mj:18184dn3;hh63=d18246=z{;im6=4={<0g4?7dl279h<4>029~w7b42909w0;5l>0:5<5s48o97?ld:?1`3<68:1v?j::18184c>3;hh63=d68246=z{;n26=4={<0ge?7dl279hi4>039~w7bf2909w0;5lj0:<>5rs3fa>5<5s48oo7?ld:?1`a<68:1v?ji:18184b83;hh63=e28247=z{;o;6=4={<0f5?7dl279i?4>029~w7c62909w0;5m:0:<>5rs3g6>5<5s48n:7?ld:?1a<<68;1v?k9:18184b?3;hh63=e98246=z{;o<6=4={<0f029~w7ce2909w0;5mo0:5<5s48nh7?ld:?1a`<68:1v?kk:18184bm3;hh63=eg8246=z{;l=6=4={_0e2>;3?00:<<5rs3de>5<69r79jk4=469>767=99;01><9:020?855j3;;863<1b8247=:;;81===4=22:>466349;87??2:?2`<<68816>465111897?f28::70<82;335>;5>?0:<>5226;9554<5;=j6<>>;<0;2?77:2795?4>009~w6672909w03;hh6s|31394?4|5:::6{t;991<74ec349;97??2:p752=838p1>>;:0ag?857>3;;?6s|31794?4|5::>6{t;921<74ec349;>7??2:p75?=838p1>>6:0ag?857:3;;?6s|31c94?4|5::j6{t;9i1<74ec349;?7??3:p75b=838p1>>k:0ag?857=3;;86s|31g94?4|5::n64623499=7??1:?057<68;16?=?51138966?28:970=?c;335>;6l10:<<522``9557<5;326<>>;<0:g?77:279:?4>039>625=99901?9;:022?84013;;?63=7c8244=::1<1===4=3;0>4663ty8==4?:3y>75`=i<16?v3<1082ga=:;881===4}r126?6=:r78=?4>ce9>74d=9990q~=>3;296~;49:0:oi5230:955452z?051<6km16?<851118yv56=3:1>v3<1482ga=:;8h1==:4}r122?6=:r78=;4>ce9>741=9980q~=>7;296~;49>0:oi5230:955552z?05=<6km16?<751118yv5613:1>v3<1882ga=:;8k1==<4}r12e?6=:r78=l4>ce9>74d=9980q~=>c;296~;49j0:oi5230;955452z?05a<6km16?<=51118yv56m3:1>v3<1d82ga=:;8>1==<4}r12b?6=:r78=k4>ce9>742=9990q~==0;296~;4:90:oi52305955552z?064<6km16?v3<2382ga=:;8?1==<4}r117?6=:r78>>4>ce9>743=9990q~==4;2954}:;;>1>994=20b>466349:=7??1:?05f<68:16?=951138966?28:870=?d;335>;6l>0:<<522`a9557<5;k?6<>=;<056?77;279;>4>059>635=99;01?96:027?840k3;;=63=878241=::0>1==?4}r111?6=:r78>94n5:?062<6km1v><9:181855>3;hh63<2d8247=z{:836=4={<11i4>039~w64>2909w0==9;3``>;4:j0:<>5rs20b>5<5s499m7?ld:?06c<68:1v>i4>029~w64c2909w0==d;3``>;4:l0:<>5rs20f>5<5s499i7?ld:?06c<68;1v>029~w6542909wS=<3:?07`<6km1v>=6:18185413;hh63<3d8246=z{:2<6=4<{_17f>;40>098:52460955755z\0<==:;8o1==<4=3;f>464348=j7??3:?16n:18`[5?i278>o4>029>77e=99801><=:021?856;3;;>63<178247=::021==<4=3c1>465348:95110897?228::7p}7}:;1=1m85232095fb;;7>56z\742=:<>i1===4=57`>46534>3n7??1:?713<688168:=51118yv26i3:1>vP;1`9>04g=:==0q~:>c;296~;39h02=63;1c82ga=z{=;n6=4={<62e?g234>:j7?ld:p06b=838pR9=k;<66>87?ld:p003=838p19;;:022?82213;hh6s|44494?4|5=?=6{t<<21<74ec34>>57??5:p00g=838pR9;n;<66g?7dl2wx88l50;0x913e28io70::c;337>{t{t<>81<74ec34><87??3:p025=838p199<:0ag?820<3;;>6s|46:94?4|V==370:89;3``>{t<>h1<7{t<121<7>;<6;a?7dl2wx85l50;0x91>e28io70:7e;337>{t<1i1<74ec34>3i7??4:p0=b=838p196k:0ag?82?m3;;96s|4`f94?3|V=ko70:8c;336>;3=k0:<<5249a9557<5=?<6<>>;|a7cb=83;86;;56gyK7f3<,:ko6<=j;%3aa?5f?2P8mn4>{8;9yl?12900e<<6:188m43d2900ek4?::m2f7<722e987>5;n0g>5<:183!5fl3;;<6Fh?;%3ab?2e<2emj7>5$2g:>4b332wi=5:50;796?0|,:ko6ko4H2g1?M5d=2.:nk4;b59Y7de=9r326pg65;29?l?c2900e4?50;9je0<722e98:4?::`102<72?0;6=u+3d;9bf=];hi1=v76:|k24c<72-9n57??f:9j=0<72-9n577:;:k:2?6=,:o26484;h1:2?6=,:o26>79;:k1b`<72-9n57d598yg5b>3:1=7>50z&0a<<4n91d=i:50;&0a<<6l=10q~<;7;296~X5<>16>9951e68yvg22909wSo:;<073?77n2wx5i4?:3y]=a=::==1>kk4}r;6>5<5sW3>70<;7;;6?xu>93:1>vP61:?102<>>2wx==>50;0x97202:3=70=j6;3g0>{zj?l1<7;52;4x 6gc2ok0D>k=;I1`1>"6jo0?n95U3`a95~?>2tc297>5;h;g>5<>i5<>0;66l=4683>3<729q/?h75fb9Y7de=9r326pg>0g83>!5b13;;j65f9483>!5b133>76g66;29 6c>20<07d=66;29 6c>2:3=76g=fd83>!5b138mi65`1e694?"4m00:h954}c1f2?6=93:11<7*52z\102=::==1=i:4}rc6>5<5sWk>70<;7;33b>{t1m0;6?uQ9e9>611=:oo0q~7::181[?2348?;77:;|q:5?6=:rT2=63=468:2>{t99:1<76?1349n:7?k4:~f4b2290>6?49{%1b`?`f3A9n>6F2.:nk4;b59Y7de=9r326pg65;29?l?c2900e4?50;9je0<722e98:4?::`102<72?0;6=u+3d;9bf=];hi1=v76:|k24c<72-9n57??f:9j=0<72-9n577:;:k:2?6=,:o26484;h1:2?6=,:o26>79;:k1b`<72-9n57d598yg5b>3:1=7>50z&0a<<4n91d=i:50;&0a<<6l=10q~<;7;296~X5<>16>9951e68yvg22909wSo:;<073?77n2wx5i4?:3y]=a=::==1>kk4}r;6>5<5sW3>70<;7;;6?xu>93:1>vP61:?102<>>2wx==>50;0x97202:3=70=j6;3g0>{zj;n1<7;52;4x 6gc2ok0D>k=;I1`1>"6jo0?n95U3`a95~?>2tc297>5;h;g>5<>i5<>0;66l=4683>3<729q/?h75fb9Y7de=9r326pg>0g83>!5b13;;j65f9483>!5b133>76g66;29 6c>20<07d=66;29 6c>2:3=76g=fd83>!5b138mi65`1e694?"4m00:h954}c1f2?6=93:11<7*52z\102=::==1=i:4}rc6>5<5sWk>70<;7;33b>{t1m0;6?uQ9e9>611=:oo0q~7::181[?2348?;77:;|q:5?6=:rT2=63=468:2>{t99:1<76?1349n:7?k4:~fd4=8391>7:t$2cg>c?<@:o97E=l5:&2fc<3j=1Q?lm51z;:>xo>93:17do::188k7202900n?:8:186>7<1s-9jh7hm;%3ab?2e<2P8mn4>{8;9yj43?3:17d<=f;29?lg22900e4j50;9j=4<722hmn7>56;294~"4m00mo6T5$2g:>46a32c297>5$2g:><3<3`3=6=4+3d;9=3=5$2g:>7`b32e:h94?:%1f=?7c<21vn>k::182>5<7s-9n57?lf:m2a5<72-9n57?k4:9~wd3=838pRl;4=g`955`?h4=g`97<052z\102=:nk0:h95rs2a5>5<5s4li64;4=2g6>4c73twi=7>51;294~"4k=0?7)=nd;334>"4m008j=5+1cd90g252z\102=::==1>994}rc6>5<5sWk>70<;7;c6?xu>93:1>vP61:?102<>92wx>7>53z?102<5:o16>9959e9>5?`a3-9h87;4}|`2g1<72:0969u+3`f9b<=O;l80D>m:;%3ab?2e<2P8mn4>{8;9yl?62900el;50;9l611=831i>9950;796?0|,:ko6kl4$0`e>1d33S9jo7?t988~k7202900e?6=4+3d;9=0==n;0<1<7*5$2g:>4b332wi?h;50;394?6|,:o26kk4}r01b?6=:rT9>k52fc80=3=z{;><6=4={_073>;aj3;o86s|3b494?4|5oh158523d795`6:183!5d<3>0(>ok:023?!5b139m<6*>bg87f1=hno0;6)=j9;3g0>=z{;><6=4={_073>;5<>098:5rs`794?4|Vh?01?:8:`78yv?62909wS7>;<073??63ty96=4<{<073?45n2798:46d:?2>c`<,:i?685r}c07>5<42;0?w)=nd;d:?M5b:2B8o85+1cd90g25;n073?6=3k8?;7>55;092~"4im0mn6*>bg87f1=];hi1=v76:|m102<722c9>k4?::kb1?6=3`3o6=44i8394?=enk0;6;4?:1y'7`?=nj1Q?lm51z;:>xo68o0;6)=j9;33b>=n1<0;6)=j9;;6?>o>>3:1(>k6:848?l5>>3:1(>k6:2;5?>o5nl0;6)=j9;0ea>=h9m>1<7*51;294~"4m00:ok5`1d294?"4m00:h954}rc6>5<5sWk>70hm:02e?xu>93:1>vP61:?ef??13ty2h7>52z\:`>;aj38mi6s|23d94?4|V;8m70hm:2;5?xu5<>0;6?uQ25589cd=9m>0q~=l6;296~;aj33>70=j5;3f4>{zj80;6<4?:1y'7f2=<2.8mi4>019'7`?=;o:0(0;6?uQ255897202;><7p}n5;296~Xf=2798:4n5:p=4<72;qU5<522559=4=z{;0;6>u2255967`<5;><64j4=08eb>"4k=0>7psm17394?5=:3>p(>ok:g;8L6c53A9h96*>bg87f1=];hi1=v76:|k:5?6=3`k>6=44o364>5<<6=4::385!5fl3li7)?mf;6a0>\4ij0:w475}n073?6=3`89j7>5;hc6>5<>daj3:1:7>50z&0a<{8;9yl77n3:1(>k6:02e?>o>=3:1(>k6:878?l?1290/?h759798m6?1290/?h753848?l4am3:1(>k6:3df?>i6l=0;6)=j9;3g0>=zj:o>6=4>:183!5b13;hj6a>e183>!5b13;o865rs`794?4|Vh?01kl511d8yv?62909wS7>;<07}Y:;l01kl53848yv43?3:1>vP=469>bg<6l=1v>m9:1818`e20?01>k::0g3?x{e93:1=7>50z&0g1<33-9jh7??0:&0a<<4n91/=oh54c68kc`=83.8i44>d598yv43?3:1>vP=469>611=:==0q~o::181[g2348?;7o:;|q:5?6=:rT2=63=468:5>{t:3:1?v3=46816c=::==15i521;de?!5d<3?0qpl>2983>6<52=q/?lj5f89K7`4<@:i>7)?>1;48 4da2=h?7W=nc;3x=<>i5<>0;66l=4683>0<52?q/?lj5fc9'5g`=0V>ol:0y:=?{h:==1<75f23d94?=ni<0;66g6d;29?l?62900nkl50;494?6|,:o26km4Z2c`>4}>13wb==h50;&0a<<68o10e4;50;&0a<<>=21b5;4?:%1f=??132c85;4?:%1f=?5>>21b>kk50;&0a<<5nl10c07pl4<729q/?h751bd8k4c7290/?h751e68?xuf=3:1>vPn5:?ef?77n2wx5<4?:3y]=4=:nk02:6s|9e83>7}Y1m16jo4=fd9~w74a2909wS<=f:?ef?5>>2wx>9950;0xZ72034li6h?;%3ab?2e<2emj7>5$2g:>4b332wx>9950;0xZ720348?;7<;7:pe0<72;qUm8522559e0=z{0;1<76?49{%1b`?`f3A9n>6F0V>ol:0y:=?{n1<0;66g6d;29?l?62900el;50;9l611=831i>9950;494?6|,:o26km4Z2c`>4}>13wb==h50;&0a<<68o10e4;50;&0a<<>=21b5;4?:%1f=??132c85;4?:%1f=?5>>21b>kk50;&0a<<5nl10c07pl4<729q/?h753g28k4b3290/?h751e68?xu5<>0;6?uQ2558972028n?7p}n5;296~Xf=2798:4>0g9~w7`b3ty297>52z\:1>;5<>0296s|9083>7}Y1816>995979~w4672909w0<;7;1:2>;4m?0:h95r}c365?6==381:v*N4m;1C?n;4$0`e>1d33S9jo7?t988~m<3=831b5i4?::k:5?6=3`k>6=44o364>5<<6=49:183!5b13lh7W=nc;3x=<6=4+3d;9=0==n;0<1<7*5$2g:>4b332wi?h850;394?6|,:o26>h?;n3g0?6=,:o265<5sW3o70<;7;0ea>{t1<0;6?uQ949>611=1<1v4?50;0xZ<7<5;><6484}r334?6=:r798:4<979>7`0=9m>0qpl>6d83>0<52?q/?lj5f`9K7`4<@:i>7)?mf;6a0>\4ij0:w475}h;6>5<>of=3:17b<;7;29?g43?3:1:7>50z&0a<{8;9yl77n3:1(>k6:02e?>o>=3:1(>k6:878?l?1290/?h759798m6?1290/?h753848?l4am3:1(>k6:3df?>i6l=0;6)=j9;3g0>=zj:o=6=4>:183!5b139m<6a>d583>!5b13;o865rs364>5<5sW8?;63=4682`1=z{h?1<7l2798:4=fd9~w<3=838pR4;4=364><30183>7}::==1?484=2g5>4b33twi=o750;796?0|,:ko6ko4H2g1?M5d=2.:nk4;b59Y7de=9r326pg65;29?l?c2900e4?50;9je0<722e98:4?::`102<72?0;6=u+3d;9bf=];hi1=v76:|k24c<72-9n57??f:9j=0<72-9n577:;:k:2?6=,:o26484;h1:2?6=,:o26>79;:k1b`<72-9n57d598yg5b>3:1=7>50z&0a<<4n91d=i:50;&0a<<6l=10q~<;7;296~X5<>16>9951e68yvg22909wSo:;<073?77n2wx5i4?:3y]=a=::==1>kk4}r;6>5<5sW3>70<;7;;6?xu>93:1>vP61:?102<>>2wx==>50;0x97202:3=70=j6;3g0>{zj8?36=4::385!5fl3lj7E=j2:J0g0=#9kl18o:4Z2c`>4}>13wb584?::k:`?6=3`3:6=44i`794?=h:==1<75m25594?0=83:p(>k6:ga8^6gd28q257sf11d94?"4m00:!5b133=76g<9783>!5b1392:65f2gg94?"4m009jh54o0f7>5<#;l31=i:4;|`0a3<7280;6=u+3d;97c6<6=2798:465:p=4<72;qU5<522559=3=z{8:;6=4={<073?5>>278i;4>d59~yg70k3:197<56z&0ea>o>93:17do::188k7202900n?:8:185>5<7s-9n57hl;[1bg?7|100ve<>i:18'7`?=99l07d7::18'7`?=1<10e4850;&0a<<>>21b?4850;&0a<<41?10e?hj:18'7`?=:oo07b?k4;29 6c>28n?76sm3d494?7=83:p(>k6:2d3?j7c<3:1(>k6:0f7?>{t:==1<77}Yi<16>99511d8yv?c2909wS7k;<073?4am2wx584?:3y]=0=::==1585rs8394?4|V0;01?:8:848yv7783:1>v3=4680=3=:;l<1=i:4}|`175<72:0969u+3`f9b<=O;l80D>m:;%325?0<,8hm69l;;[1bg?7|100ve4?50;9je0<722e98:4?::`102<72<096;u+3`f9bg=#9kl18o:4Z2c`>4}>13wd>9950;9j67`=831bm84?::k:`?6=3`3:6=44bg`94?0=83:p(>k6:ga8^6gd28q257sf11d94?"4m00:!5b133=76g<9783>!5b1392:65f2gg94?"4m009jh54o0f7>5<#;l31=i:4;|`0a0<7280;6=u+3d;95f`52z\b1>;aj3;;j6s|9083>7}Y1816jo466:p=a<72;qU5i52fc81b`=z{;8m6=4={_01b>;aj392:6s|25594?4|V;><70hm:0f7?xu4k?0;6?u2fc8:1>;4m<0:i=5r}c394?7=83:p(>m;:59'7db=99:0(>k6:2d3?!7en3>i86aif;29 6c>28n?76s|25594?4|V;><70<;7;073>{ti<0;6?uQa49>611=i<1v4?50;0xZ<7<5;><64?4}r094?5|5;><6?{zj;9?6=4<:387!5fl3l27E=j2:J0g0=#98;1:6*>bg87f1=];hi1=v76:|k:5?6=3`k>6=44o364>5<<6=4::385!5fl3li7)?mf;6a0>\4ij0:w475}n073?6=3`89j7>5;hc6>5<>daj3:1:7>50z&0a<{8;9yl77n3:1(>k6:02e?>o>=3:1(>k6:878?l?1290/?h759798m6?1290/?h753848?l4am3:1(>k6:3df?>i6l=0;6)=j9;3g0>=zj:o>6=4>:183!5b13;hj6a>e183>!5b13;o865rs`794?4|Vh?01kl511d8yv?62909wS7>;<07}Y:;l01kl53848yv43?3:1>vP=469>bg<6l=1v>m9:1818`e20?01>k::0g3?x{e93:1=7>50z&0g1<33-9jh7??0:&0a<<4n91/=oh54c68kc`=83.8i44>d598yv43?3:1>vP=469>611=:==0q~o::181[g2348?;7o:;|q:5?6=:rT2=63=468:5>{t:3:1?v3=46816c=::==15i521;de?!5d<3?0qpln4;297?6=8r.8mi4<1:J0a7=O;j?0(>k6:228 4da2=h?7d??2;29 6c>28:m76g>0283>!5b13;;j65`1bf94?"4m00:h954}c36e?6=:3:1m:;%1f=?>b3-;ij7:m4:k244<72-9n57??f:9l5fb=83.8i44>d59K7`><3th:no4?:383>5}#;hn1=<<4H2g1?M5d=2.8i447e:&2fc<3j=1b==?50;&0a<<68o10c0D>k7;:a<5<72:0;6=u+3`f974=O;l80D>m:;%1f=?573-;ij7:m4:k247<72-9n57??f:9j555=83.8i44>0g98k4ec290/?h751e68?xd60?0;6?4?:1y'7db=9880D>k=;I1`1>"4m003i6*>bg87f1=n99;1<7*ok:238L6c53A9h96*"6jo0?n95f11094?"4m00:5<#;l31==h4;n3``?6=,:o26k6:02e?>i6km0;6)=j9;3g0>=zj;>?6=4=:183!5fl3;:>6F1d33`;;=7>5$2g:>46a32e:oi4?:%1f=?7c<2B8i554}c071?6=;3:1N4m;1C?n;4$2g:>66<,8hm69l;;h336?6=,:o26<>i;:k246<72-9n57??f:9l5fb=83.8i44>d598yg2483:1?7>50z&0ea<492B8i?5G3b78 6c>2::0(k6:02e?>o68:0;6)=j9;33b>=h9jn1<7*8=7>52;294~"4im0:=?5G3d08L6e23-9n576j;%3ab?2e<2c:<<4?:%1f=?77n21d=nj50;&0a<<6l=1C?h64;|`777<72:0;6=u+3`f974=O;l80D>m:;%1f=?573-;ij7:m4:k247<72-9n57??f:9j555=83.8i44>0g98k4ec290/?h751e68?xd6?90;6>4?:1y'7db=;81C?h<4H2a6?!5b139;7)?mf;6a0>o68;0;6)=j9;33b>=n9991<7*5}#;hn1=<<4H2g1?M5d=2.8i447e:&2fc<3j=1b==?50;&0a<<68o10c0D>k7;:a524=8391<7>t$2cg>67<@:o97E=l5:&0a<<482.:nk4;b59j554=83.8i44>0g98m464290/?h7511d8?j7dl3:1(>k6:0f7?>{e9>91<7=50;2x 6gc28n:7E=j2:J0g0=#;l31=i>4$0`e>1d33`;;>7>5$2g:>46a32c:<>4?:%1f=?77n21d=nj50;&0a<<6l=10qo?:3;297?6=8r.8mi4<1:J0a7=O;j?0(>k6:228 4da2=h?7d??2;29 6c>28:m76g>0283>!5b13;;j65`1bf94?"4m00:h954}c360?6=:3:1m:;%1f=?>b3-;ij7:m4:k244<72-9n57??f:9l5fb=83.8i44>d59K7`><3th:984?:383>5}#;hn1=<<4H2g1?M5d=2.8i447e:&2fc<3j=1b==?50;&0a<<68o10c0D>k7;:a500=8391<7>t$2cg>67<@:o97E=l5:&0a<<482.:nk4;b59j554=83.8i44>0g98m464290/?h7511d8?j7dl3:1(>k6:0f7?>{e9<=1<7=50;2x 6gc28n:7E=j2:J0g0=#;l31=i>4$0`e>1d33`;;>7>5$2g:>46a32c:<>4?:%1f=?77n21d=nj50;&0a<<6l=10qo?m4;297?6=8r.8mi4<1:J0a7=O;j?0(>k6:228 4da2=h?7d??2;29 6c>28:m76g>0283>!5b13;;j65`1bf94?"4m00:h954}c3a1?6=:3:1m:;%1f=?>b3-;ij7:m4:k244<72-9n57??f:9l5fb=83.8i44>d59K7`><3th:n;4?:383>5}#;hn1=<<4H2g1?M5d=2.8i447e:&2fc<3j=1b==?50;&0a<<68o10c0D>k7;:a5g1=8391<7>t$2cg>67<@:o97E=l5:&0a<<482.:nk4;b59j554=83.8i44>0g98m464290/?h7511d8?j7dl3:1(>k6:0f7?>{e9k21<7=50;2x 6gc28n:7E=j2:J0g0=#;l31=i>4$0`e>1d33`;;>7>5$2g:>46a32c:<>4?:%1f=?77n21d=nj50;&0a<<6l=10qo<<6;296?6=8r.8mi4>139K7`4<@:i>7)=j9;:f?!7en3>i86g>0083>!5b13;;j65`1bf94?"4m00:h95G3d:8?xd5;k0;6>4?:1y'7db=9m;0D>k=;I1`1>"4m00:h=5+1cd90g25$2g:>46a32e:oi4?:%1f=?7c<21vn?=l:180>5<7s-9jh7=>;I1f6>N4k<1/?h75319'5g`=0e<>=:18'7`?=99l07d??3;29 6c>28:m76a>ce83>!5b13;o865rb31g>5<5290;w)=nd;326>N4m;1C?n;4$2g:>=c<,8hm69l;;h335?6=,:o26<>i;:m2ga<72-9n57?k4:J0a==52;294~"4im0:=?5G3d08L6e23-9n576j;%3ab?2e<2c:<<4?:%1f=?77n21d=nj50;&0a<<6l=1C?h64;|`17c<72:0;6=u+3`f974=O;l80D>m:;%1f=?573-;ij7:m4:k247<72-9n57??f:9j555=83.8i44>0g98k4ec290/?h751e68?xd5<90;6?4?:1y'7db=9880D>k=;I1`1>"4m003i6*>bg87f1=n99;1<7*ok:238L6c53A9h96*"6jo0?n95f11094?"4m00:5<#;l31==h4;n3``?6=,:o26t$2cg>67<@:o97E=l5:&0a<<482.:nk4;b59j554=83.8i44>0g98m464290/?h7511d8?j7dl3:1(>k6:0f7?>{e::=1<7=50;2x 6gc2:;0D>k=;I1`1>"4m008<6*>bg87f1=n9981<7*5$2g:>4b332wi>>650;194?6|,:ko6;I1f6>N4k<1/?h751e28 4da2=h?7d??2;29 6c>28:m76g>0283>!5b13;;j65`1bf94?"4m00:h954}c00=?6=;3:1N4m;1C?n;4$2g:>66<,8hm69l;;h336?6=,:o26<>i;:k246<72-9n57??f:9l5fb=83.8i44>d598yg44i3:187>50z&0ea<6l;1C?h<4H2a6?!5b13;o<6*>bg87f1=n9981<7*5$2g:>46a32e:oi4?:%1f=?7c<21vn?==:180>5<7s-9jh7=>;I1f6>N4k<1/?h75319'5g`=0e<>=:18'7`?=99l07d??3;29 6c>28:m76a>ce83>!5b13;o865rb310>5<4290;w)=nd;3g5>N4m;1C?n;4$2g:>4b73-;ij7:m4:k247<72-9n57??f:9j555=83.8i44>0g98k4ec290/?h751e68?xd4;00;6?4?:1y'7db=9880D>k=;I1`1>"4m003i6*>bg87f1=n99;1<7*ok:031?M5b:2B8o85+3d;9<`=#9kl18o:4i022>5<#;l31==h4;n3``?6=,:o26=zj:>86=4<:183!5fl39:7E=j2:J0g0=#;l31?=5+1cd90g25$2g:>46a32e:oi4?:%1f=?7c<21vn>:;:187>5<7s-9jh7?k2:J0a7=O;j?0(>k6:0f3?!7en3>i86g>0383>!5b13;;j65f11194?"4m00:5<#;l31==h4;n3``?6=,:o26t$2cg>4753A9n>6Fk6:0f7?M5b021vn<8::180>5<7s-9jh7?k1:J0a7=O;j?0(>k6:0f3?!7en3>i86g>0383>!5b13;;j65f11194?"4m00:5<#;l31=i:4;|`223<72:0;6=u+3`f974=O;l80D>m:;%1f=?573-;ij7:m4:k247<72-9n57??f:9j555=83.8i44>0g98k4ec290/?h751e68?xd6>>0;6?4?:1y'7db=9880D>k=;I1`1>"4m003i6*>bg87f1=n99;1<7*ok:031?M5b:2B8o85+3d;9<`=#9kl18o:4i022>5<#;l31==h4;n3``?6=,:o26=zj8<26=4<:183!5fl39:7E=j2:J0g0=#;l31?=5+1cd90g25$2g:>46a32e:oi4?:%1f=?7c<21vn<8n:180>5<7s-9jh7=>;I1f6>N4k<1/?h75319'5g`=0e<>=:18'7`?=99l07d??3;29 6c>28:m76a>ce83>!5b13;o865rb04a>5<4290;w)=nd;3g5>N4m;1C?n;4$2g:>4b73-;ij7:m4:k247<72-9n57??f:9j555=83.8i44>0g98k4ec290/?h751e68?xd6>j0;6>4?:1y'7db=;81C?h<4H2a6?!5b139;7)?mf;6a0>o68;0;6)=j9;33b>=n9991<7*5}#;hn1=i?4H2g1?M5d=2.8i44>d19'5g`=0e<>=:18'7`?=99l07d??3;29 6c>28:m76a>ce83>!5b13;o865rb05f>5<4290;w)=nd;12?M5b:2B8o85+3d;975=#9kl18o:4i021>5<#;l31==h4;h337?6=,:o26<>i;:m2ga<72-9n57?k4:9~f41a29086=4?{%1b`?7c92B8i?5G3b78 6c>28n;7)?mf;6a0>o68;0;6)=j9;33b>=n9991<7*5}#;hn1=<<4H2g1?M5d=2.8i447e:&2fc<3j=1b==?50;&0a<<68o10c0D>k7;:a5=7=8381<7>t$2cg>4753A9n>6Fk6:0f7?M5b021vn<6=:180>5<7s-9jh7=>;I1f6>N4k<1/?h75319'5g`=0e<>=:18'7`?=99l07d??3;29 6c>28:m76a>ce83>!5b13;o865rb0:0>5<3290;w)=nd;11?M5b:2B8o85+3d;975=#9kl18o:4i021>5<#;l31==h4;h337?6=,:o26<>i;:k241<72-9n57??f:9l5fb=83.8i44>d598yg70=3:1?7>50z&0ea<492B8i?5G3b78 6c>2::0(k6:02e?>o68:0;6)=j9;33b>=h9jn1<7*52;294~"4im0:=?5G3d08L6e23-9n576j;%3ab?2e<2c:<<4?:%1f=?77n21d=nj50;&0a<<6l=1C?h64;|`232<72;0;6=u+3`f9544<@:o97E=l5:&0a<0g98k4ec290/?h751e68L6c?32wi=:650;094?6|,:ko6N4k<1/?h758d9'5g`=0e<>>:18'7`?=99l07b?ld;29 6c>28n?7E=j8:9~f41>29086=4?{%1b`?563A9n>6Fk6:02e?>i6km0;6)=j9;3g0>=zj8=j6=4<:183!5fl3;o=6F07pl>7c83>1<729q/?lj5339K7`4<@:i>7)=j9;13?!7en3>i86g>0383>!5b13;;j65f11194?"4m00:5<#;l31==h4;n3``?6=,:o260g98k4ec290/?h751e68L6c?32wi4>4?:383>5}#;hn1=<<4H2g1?M5d=2.8i447e:&2fc<3j=1b==?50;&0a<<68o10c0D>k7;:a<1<72;0;6=u+3`f9544<@:o97E=l5:&0a<0g98k4ec290/?h751e68L6c?32wi484?:383>5}#;hn1=<<4H2g1?M5d=2.8i447e:&2fc<3j=1b==?50;&0a<<68o10c0D>k7;:a<3<72<0;6=u+3`f976=O;l80D>m:;%1f=?573-;ij7:m4:k247<72-9n57??f:9j555=83.8i44>0g98m463290/?h7511d8?l77=3:1(>k6:02e?>i6km0;6)=j9;3g0>=zj1=1<7=50;2x 6gc28n:7E=j2:J0g0=#;l31=i>4$0`e>1d33`;;>7>5$2g:>46a32c:<>4?:%1f=?77n21d=nj50;&0a<<6l=10qo?k7;296?6=8r.8mi4>139K7`4<@:i>7)=j9;:f?!7en3>i86g>0083>!5b13;;j65`1bf94?"4m00:h95G3d:8?xd6l10;6?4?:1y'7db=9880D>k=;I1`1>"4m003i6*>bg87f1=n99;1<7*ok:031?M5b:2B8o85+3d;9<`=#9kl18o:4i022>5<#;l31==h4;n3``?6=,:o26=zj8nj6=4=:183!5fl3;:>6F1d33`;;=7>5$2g:>46a32e:oi4?:%1f=?7c<2B8i554}c3gf?6=;3:1N4m;1C?n;4$2g:>66<,8hm69l;;h336?6=,:o26<>i;:k246<72-9n57??f:9l5fb=83.8i44>d598yg7ck3:1?7>50z&0ea<492B8i?5G3b78 6c>2::0(k6:02e?>o68:0;6)=j9;33b>=h9jn1<7*53;294~"4im0:h<5G3d08L6e23-9n57?k0:&2fc<3j=1b==<50;&0a<<68o10e<><:18'7`?=99l07b?ld;29 6c>28n?76sm1eg94?5=83:p(>ok:238L6c53A9h96*"6jo0?n95f11094?"4m00:5<#;l31==h4;n3``?6=,:o26t$2cg>4b63A9n>6Fk6:02e?>o68:0;6)=j9;33b>=h9jn1<7*<7p}=d;2955}Y:m16>i4=469>e1<68:16=:>51108941628::70?:3;336>;6==0:<<521c69554<58h>6<>>;<00f?77;278?44>009>533=99901<6?:022?870i3;;>6374;335>;6l>0:<<5rs3g94?4|5;n158522g82ga=z{?h1<7ot^7`894b22h?015>5110894>028:970<;6>?0:<>5216g9554<58=>6<><;<:6>46634;om7??1:p2c<72;qU:k526g8102=z{>l1<70:oi5rs9094?4|5181=nj4=9495554ec342=6<>;;|q;0?6=:r7387?ld:?;2?77=2wx484?:3y><0<6km164:4>029~w=0=838p15851bf89=1=9980q~79:1826~X>>27:49461:?5b??634;o977>;<0g><7<5h815<521b69=4=::=02=63>608:5>;6:102=63>b38:5>;6=802=63>6d8:5>;6j002=63>598:5>;6?j02=63=318:5>;5;=02=6s|a383>7}:i;098:522g8247=z{h91<75<5sW;:863>298b1>{t9;21<78t=00;>7203488:7??1:?17c<68:16>><51118940428::706<:022?xu6:00;6>uQ13;89=6=99901<69:022?xu6<90;65uQ1528915728:970<;4;l0:<<521749554<58=n6<><;<341?77:27:hh4>039~w4362909wS?:1:?214<5<>1v<;=:18187293k>70?:7;3``>{t9<91<74ec34;>;7??2:p502=838p1<;;:0ag?872>3;;>6s|14794?4|58?>6{t9<21<772034;>?7??3:p50?=838p1<;7:`78943f28io7p}>5b83>6}Y9:<:021?87cj3;;>6s|17394?4|V8<:70?91;073>{t9?81<7d3<5828:87p}>6483>7}:9??1=nj4=04a>4653ty::;4?:3y>530=9jn01<8n:021?xu6>>0;6?u217595fb<58<;|q22=<72;q6=;651bf8940d28:87p}>6883>7}:9?31=nj4=047>4653ty::l4?:3y>53g=9jn01<8m:020?xu6>k0;6?u217`95fb<58=;|q22f<72;q6=;m51bf8940328:87p}>6d83>7}Y9?o01<8j:364?xu6>o0;6?u217g9e0=:9>91=nj4}r344?6=:r7:;=4>ce9>525=9980q~?81;296~;6?80:oi5216095557>52z?237<6km16=:=51118yv70<3:1>v3>6d8:1>;6?k0:oi5rs056>5<5s4;<97?ld:?23<<68;1v<99:181870>3;hh63>788246=z{8=<6=4={<343?7dl27:;o4>039~w41?2909w0?88;3``>;6?k0:<95rs05:>5<5s4;<57?ld:?23d<68:1v<9n:181870i3;hh63>7c8246=z{8=h6=4={<34g?43?27:;=4>029~w41c2909w0?8e;3``>;60:0:<>5rs05f>5<5s4;838246=z{82;6=4={<3;5?7dl27:4>4>059~w4>62909w0?72;3``>;60:0:5<5s4;387<;7:?001<68:1v<6::18187?<3k>70?77;3``>{t91<1<74ec34;3;7??3:p5g4=838pR=;|q2f0<72;q6=o;51bf894d028:97p}>b783>7}:9k<1=nj4=0`4>4643ty:n:4?:3y>5g1=9jn01<;|q2fd<72;q6=o75a49>5gd=9jn0q~?l4;291~;5l3k>70?l4;073>;6j002963>598:1>;5n3;;?6s|1b794?5|58i?6l;4=05`><3<582865=2=1m16:k46d:?2`0<>l279h77k;<3a6??c34;>=77k;<35a??c34;i577k;<36;6080:<<521649557<51<1==<4}r3g2?6=:r7:h8465:?2`c<6km1vdc8246=z{8n36=4={<3g039~w4b>2909w0?k9;3``>;6lj0:<>5rs0fb>5<5s4;om7?ld:?2`c<68:1vde8247=z{8nh6=4={<3gg?7dl27:hi4>029~w4bc2909w0?kd;3``>;6ll0:<>5rs0ff>5<5s4;oi7?ld:?2`c<68;1v?=?:18:844838?;63=458244=:::o1==?4=31e>4653488>7??2:?22=<68816=:h51108941?28::70?k8;335>{t::;1<7d3<5;986><51bf8975428:87p}=3583>f}:::>1>994=366>4653488n7??2:?105<68816>9?51108975428:970?95;336>;6>00:>;<:1>46634;o57??1:p663=838p1?=;:`78975f28io7p}=3783>7}:::<1=nj4=362>4643ty9?:4?:3y>661=9jn01?=n:027?xu5;10;6?u222:95fb<5;926<>=;|q17<<72;q6>>751bf8975f28:97p}=3c83>7}:::h1=nj4=31;>4653ty9?n4?:3y>66e=9jn01?:=:021?xu5;m0;6?u222f95fb<5;>96<><;|q17`<72;q6>>k51bf8975>28:87p}=3g83>7}:::l1=nj4=314>4653ty98=4?:3y>616=9jn01?=8:020?xu5<80;6?u225395fb<5;9j6<><;|q107<72;q6>9<51bf8975?28:87p}=4283>7}::=>1=nj4=366>4643ty98;4?:4y>61=27j87??2:?100<6km1v>=<:181[54;278894>ce9~w65>2909w0=<9;3``>;4<:0:<>5rs21f>5<5s498i7?ld:?001<68=1v>:<:181853;3;hh63<458247=z{=:<6=4={_633>;3;80:<<5rs50e>5<5sW>9j63;3382ga=z{=9;6=4={<604?7dl27???4>039~w1562909w0:<1;3``>;3;;0:<>5rs51g>5<4sW>8h63>5`8244=:9>;|q7ea<72=qU8lj4=05`>d3<5=9;6<><;<346?77:2wvn>h8:18`>7d=;:qC?n;4$2cg>cc<,8hn6>o8;[1bg?7|100vc9o9:188k1472900e9>8:188k3d=831bj54?::k44?6=3`=>6=44i217>5<5<5<:183!5fl3;;<6Fh?;%3ab?2e<2emj7>5$2g:>4b332wi8?>50;796?0|,:ko6ko4H2g1?M5d=2.:nk4;b59Y7de=9r326pg65;29?l?c2900e4?50;9je0<722e98:4?::`102<72?0;6=u+3d;9bf=];hi1=v76:|k24c<72-9n57??f:9j=0<72-9n577:;:k:2?6=,:o26484;h1:2?6=,:o26>79;:k1b`<72-9n57d598yg5b>3:1=7>50z&0a<<4n91d=i:50;&0a<<6l=10q~<;7;296~X5<>16>9951e68yvg22909wSo:;<073?77n2wx5i4?:3y]=a=::==1>kk4}r;6>5<5sW3>70<;7;;6?xu>93:1>vP61:?102<>>2wx==>50;0x97202:3=70=j6;3g0>{zj:9>6=4::385!5fl3lj7E=j2:J0g0=#9kl18o:4Z2c`>4}>13wb584?::k:`?6=3`3:6=44i`794?=h:==1<75m25594?0=83:p(>k6:ga8^6gd28q257sf11d94?"4m00:!5b133=76g<9783>!5b1392:65f2gg94?"4m009jh54o0f7>5<#;l31=i:4;|`0a3<7280;6=u+3d;97c6<6=2798:465:p=4<72;qU5<522559=3=z{8:;6=4={<073?5>>278i;4>d59~yg54i3:197<56z&0ea>o>93:17do::188k7202900n?:8:185>5<7s-9n57hl;[1bg?7|100ve<>i:18'7`?=99l07d7::18'7`?=1<10e4850;&0a<<>>21b?4850;&0a<<41?10e?hj:18'7`?=:oo07b?k4;29 6c>28n?76sm3d494?7=83:p(>k6:2d3?j7c<3:1(>k6:0f7?>{t:==1<77}Yi<16>99511d8yv?c2909wS7k;<073?4am2wx584?:3y]=0=::==1585rs8394?4|V0;01?:8:848yv7783:1>v3=4680=3=:;l<1=i:4}|`07c<72<096;u+3`f9bd=O;l80D>m:;%3ab?2e<2P8mn4>{8;9yl?22900e4j50;9j=4<722cj97>5;n073?6=3k8?;7>56;294~"4m00mo6T5$2g:>46a32c297>5$2g:><3<3`3=6=4+3d;9=3=5$2g:>7`b32e:h94?:%1f=?7c<21vn>k9:182>5<7s-9n57=i0:m2`1<72-9n57?k4:9~w7202909wS<;7:?102<6l=1vl;50;0xZd3<5;><6<>i;|q:`?6=:rT2h63=4681b`=z{0?1<7:181[?6348?;779;|q245<72;q6>995384896c128n?7psm7083>0<52?q/?lj5f`9K7`4<@:i>7)?mf;6a0>\4ij0:w475}h;6>5<>of=3:17b<;7;29?g43?3:1:7>50z&0a<{8;9yl77n3:1(>k6:02e?>o>=3:1(>k6:878?l?1290/?h759798m6?1290/?h753848?l4am3:1(>k6:3df?>i6l=0;6)=j9;3g0>=zj:o=6=4>:183!5b139m<6a>d583>!5b13;o865rs364>5<5sW8?;63=4682`1=z{h?1<7l2798:4=fd9~w<3=838pR4;4=364><30183>7}::==1?484=2g5>4b33twi;;4?:481>3}#;hn1jl5G3d08L6e23-;ij7:m4:X0ef<6s031qd7::188m5;c073?6=>3:1\4ij0:w475}h33b?6=,:o26<>i;:k:1?6=,:o264;4;h;5>5<#;l315;54i2;5>5<#;l31?484;h0ea?6=,:o26?hj;:m2`1<72-9n57?k4:9~f6c1290:6=4?{%1f=?5a82e:h94?:%1f=?7c<21v?:8:181[43?2798:4>d59~wd3=838pRl;4=364>46a3ty2h7>52z\:`>;5<>09jh5rs8794?4|V0?01?:8:878yv?62909wS7>;<073??13ty:<=4?:3y>611=;0<01>k9:0f7?x{e;j21<7;52;4x 6gc2ok0D>k=;I1`1>"6jo0?n95U3`a95~?>2tc297>5;h;g>5<>i5<>0;66l=4683>3<729q/?h75fb9Y7de=9r326pg>0g83>!5b13;;j65f9483>!5b133>76g66;29 6c>20<07d=66;29 6c>2:3=76g=fd83>!5b138mi65`1e694?"4m00:h954}c1f2?6=93:11<7*52z\102=::==1=i:4}rc6>5<5sWk>70<;7;33b>{t1m0;6?uQ9e9>611=:oo0q~7::181[?2348?;77:;|q:5?6=:rT2=63=468:2>{t99:1<76?1349n:7?k4:~f61=8391>7:t$2cg>c?<@:o97E=l5:&254<13-;ij7:m4:X0ef<6s031qd7>:188md3=831d>9950;9a611=83?1>78t$2cg>cd<,8hm69l;;[1bg?7|100vc?:8:188m74a2900el;50;9j=a<722c2=7>5;cda>5<1290;w)=j9;d`?_5fk3;p544ri02e>5<#;l31==h4;h;6>5<#;l315854i8494?"4m002:65f38494?"4m0085;54i3df>5<#;l31>kk4;n3g0?6=,:o26t$2g:>4ea3f;n<7>5$2g:>4b332wxm84?:3y]e0=:nk0:52z\16c=:nk085;5rs364>5<5sW8?;63ib;3g0>{t;j<1<74}|`2>5<6290;w)=l4;68 6gc28:;7)=j9;1e4>"6jo0?n95`fg83>!5b13;o865rs364>5<5sW8?;63=468102=z{h?1<7:181[?6348?;77>;|q1>5<4s48?;7<=f:?102<>l27:6kh4$2a7>0=zuk6F1d33`;;=7>5$2g:>46a32e:oi4?:%1f=?7c<2B8i554}c4g>5<4290;w)=nd;3g5>N4m;1C?n;4$2g:>4b73-;ij7:m4:k247<72-9n57??f:9j555=83.8i44>0g98k4ec290/?h751e68?xd4<10;6?4?:1y'7db=9880D>k=;I1`1>"4m003i6*>bg87f1=n99;1<7*ok:031?M5b:2B8o85+3d;9<`=#9kl18o:4i022>5<#;l31==h4;n3``?6=,:o26=zj:>j6=4<:183!5fl39:7E=j2:J0g0=#;l31?=5+1cd90g25$2g:>46a32e:oi4?:%1f=?7c<21vn>l50;094?6|,:ko6N4k<1/?h758d9'5g`=0e<>>:18'7`?=99l07b?ld;29 6c>28n?7E=j8:9~f14129096=4?{%1b`?76:2B8i?5G3b78 6c>21o0(k6:02e?>i6km0;6)=j9;3g0>N4m110qo:=7;297?6=8r.8mi4>d09K7`4<@:i>7)=j9;3g4>"6jo0?n95f11094?"4m00:5<#;l31==h4;n3``?6=,:o26t$2cg>67<@:o97E=l5:&0a<<482.:nk4;b59j554=83.8i44>0g98m464290/?h7511d8?j7dl3:1(>k6:0f7?>{e?10;6?4?:1y'7db=9880D>k=;I1`1>"4m003i6*>bg87f1=n99;1<7*6<729q/?lj51e38L6c53A9h96*5<#;l31==h4;h337?6=,:o26<>i;:m2ga<72-9n57?k4:9~f25=8381<7>t$2cg>4753A9n>6Fk6:0f7?M5b021vn::50;194?6|,:ko6;I1f6>N4k<1/?h751e28 4da2=h?7d??2;29 6c>28:m76g>0283>!5b13;;j65`1bf94?"4m00:h954}c175?6=:3:1m:;%1f=?>b3-;ij7:m4:k244<72-9n57??f:9l5fb=83.8i44>d59K7`><3th88?4?:283>5}#;hn1=i?4H2g1?M5d=2.8i44>d19'5g`=0e<>=:18'7`?=99l07d??3;29 6c>28:m76a>ce83>!5b13;o865rb21`>5<5290;w)=nd;326>N4m;1C?n;4$2g:>=c<,8hm69l;;h335?6=,:o26<>i;:m2ga<72-9n57?k4:J0a==53;294~"4im0:h<5G3d08L6e23-9n57?k0:&2fc<3j=1b==<50;&0a<<68o10e<><:18'7`?=99l07b?ld;29 6c>28n?76sm32594?4=83:p(>ok:031?M5b:2B8o85+3d;9<`=#9kl18o:4i022>5<#;l31==h4;n3``?6=,:o26=zj:936=4<:183!5fl3;o=6F07pl<8;296?6=8r.8mi4>139K7`4<@:i>7)=j9;:f?!7en3>i86g>0083>!5b13;;j65`1bf94?"4m00:h95G3d:8?xd3i>0;6?4?:1y'7db=9880D>k=;I1`1>"4m003i6*>bg87f1=n99;1<7*ok:0f2?M5b:2B8o85+3d;95a6<,8hm69l;;h336?6=,:o26<>i;:k246<72-9n57??f:9l5fb=83.8i44>d598yg26=3:1?7>50z&0ea<6l81C?h<4H2a6?!5b13;o<6*>bg87f1=n9981<7*5$2g:>4b332wi;84?:383>5}#;hn1=<<4H2g1?M5d=2.8i447e:&2fc<3j=1b==?50;&0a<<68o10c0D>k7;:a3d<72;0;6=u+3`f9544<@:o97E=l5:&0a<0g98k4ec290/?h751e68L6c?32wi;o4?:383>5}#;hn1=<<4H2g1?M5d=2.8i447e:&2fc<3j=1b==?50;&0a<<68o10c0D>k7;:a3f<72;0;6=u+3`f9544<@:o97E=l5:&0a<0g98k4ec290/?h751e68L6c?32wi;i4?:483>5}#;hn1?>5G3d08L6e23-9n57=?;%3ab?2e<2c:;:18'7`?=99l07d??5;29 6c>28:m76a>ce83>!5b13;o865rb2a`>5<4290;w)=nd;3g5>N4m;1C?n;4$2g:>4b73-;ij7:m4:k247<72-9n57??f:9j555=83.8i44>0g98k4ec290/?h751e68?xd4km0;6>4?:1y'7db=9m;0D>k=;I1`1>"4m00:h=5+1cd90g25$2g:>46a32e:oi4?:%1f=?7c<21vn>mj:181>5<7s-9jh7?>2:J0a7=O;j?0(>k6:9g8 4da2=h?7d??1;29 6c>28:m76a>ce83>!5b13;o86F7>50z&0ea<69;1C?h<4H2a6?!5b132n7)?mf;6a0>o6880;6)=j9;33b>=h9jn1<7*0<729q/?lj5329K7`4<@:i>7)=j9;13?!7en3>i86g>0383>!5b13;;j65f11194?"4m00:5<#;l31==h4;h331?6=,:o26<>i;:m2ga<72-9n57?k4:9~f6b629086=4?{%1b`?7c92B8i?5G3b78 6c>28n;7)?mf;6a0>o68;0;6)=j9;33b>=n9991<7*52z?03?43?27=h7??2:p7<<72;q6?:461:?072;093k>70=70=<5;c6?80c28io70:=6;335>;4kh0:>;<103?779278ok4>009~w3e=838p1;m51bf893b=9990q~8i:180826=3;;>638d;3``>;4l90::01::5110892e=99;0q~9>:1818162;><709::022?xu0:3:1>v381;;6?81328io7p}83;296~;0;3;hh6384;337>{t?<0;6>uQ749>3<<68;16;l4>009~w20=838p1:85255892d=99;0q~98:18181120?01:751bf8yv1?2909w097:0ag?81>28:87p}8a;296~;0=3;hh638d;336>{t?k0;6?u27`82ga=:?m0:<>5rs6a94?4|5>h1=nj4=6f95524ec34=o6<>:;|qe;4;<02=63<3`8:5>;4;o02=6381;;2?81120;01>m7:83896>=99;0q~??a;297~X68h168?95110896ef28:87p}>c`83>=}:;l91jk524329=a=:;:?15i5232c9=a=:;:l15i52708:`>;0>33o70=l8;;g?xu4;=0;6>uQ3268965?28:970=ld;336>{t;:?1<7720349hh7??3:p760=838p1>=::878965?28io7p}<3683>7}:;:=1=nj4=21;>4643ty8?44?:2y]76?<5:>26<>>;<10`?77:2wx?>o50;0x965f2;><70=;8;335>{t;:h1<7<3<5:9o6m51bf8965c28:87p}<3d83>6}Y;:o01>:=:021?85dk3;;>6s|32d94?4|5:9m6?:8;<1`g?77;2wx?9>50;0x965a20?01>:=:0ag?xu4<80;6?u235395fb<5:>96<><;|q002<72;q6?9o51bf896eb28::7p}<4983>7}:;=21=nj4=26b>4653ty8844?:3y>71?=9jn01>:n:020?xu4k10;6?u23b:9611<5=k<6<>>;|q0g<<72;q6?n65a49>7fg=9jn0q~=lb;297~;4k102963;218:1>;4l80:oi5rs2a`>5<5s49ho7?ld:?0`5<68:1v>mk:18185dl3;hh63049~w6ea2909w0=lf;3``>;4l80:<>5rs2f3>5<5s49o<7?ld:?0`4<68;1v9>8:186[27?27=o7??1:?0f?77927?m54>039>043=9990q~:>4;296~X39=168<;51bf8yv2583:1>vP;219>076=:==0q~:=5;296~;3:90j963;2682ga=z{=8=6=4={<612?7dl27?>:4>029~w1g12909wS:n6:?7e=<6km1v9o8:18182f?3;hh63;a98246=zuk9mo7>5148`7}>?33i6pa>2883>>o3i?0;66g;2183>>o6jm0;66a>1583>>i38>0;66gnd;29?l20>3:17d?91;29?j26:3:17d7<:188m<4=831d8>j50;9j50d=831d8:k50;9j5ge=831b8<:50;9l07>=831d8>:50;9l61<722e?4k4?::`0a6<7280;6=u+3`f9556<@:o97E=l5:&0a<<4n91/=oh54c68kc`=83.8i44>d598yg2?n3:197<56z&0ea>o>93:17do::188k7202900n?:8:185>5<7s-9n57hl;[1bg?7|1>0ve<>i:18'7`?=99l07d7::18'7`?=1<10e4850;&0a<<>>21b?4850;&0a<<41?10e?hj:18'7`?=:oo07b?k4;29 6c>28n?76sm3d494?7=83:p(>k6:2d3?j7c<3:1(>k6:0f7?>{t:==1<77}Yi<16>99511d8yv?c2909wS7k;<073?4am2wx584?:3y]=0=::==1585rs8394?4|V0;01?:8:848yv7783:1>v3=4680=3=:;l<1=i:4}|`a7?6=;3818v*N4m;1C?n;4$0`e>1d33S9jo7?t968~m<7=831bm84?::m102<722h98:4?:481>3}#;hn1jo5+1cd90g2?h50;9je0<722c2h7>5;h;2>5<2oi0V>ol:0y:3?{n99l1<7*=n1?0;6)=j9;;5?>o41?0;6)=j9;1:2>=n:oo1<7*5}#;l31=nh4o0g3>5<#;l31=i:4;|qb1?6=:rTj963ib;33b>{t180;6?uQ909>bg<>>2wx5i4?:3y]=a=:nk09jh5rs30e>5<5sW89j63ib;1:2>{t:==1<77}:nk029632:l;7)?mf;6a0>ian3:1(>k6:0f7?>{t:==1<77}Yi<16>995a49~w<7=838pR4?4=364><774a348?;77k;<39bc=#;j>196srbb594?5=:3>p(>ok:g;8L6c53A9h96*>bg87f1=];hi1=v78:|k:5?6=3`k>6=44o364>5<<6=4::385!5fl3li7)?mf;6a0>\4ij0:w495}n073?6=3`89j7>5;hc6>5<>daj3:1:7>50z&0a<{859yl77n3:1(>k6:02e?>o>=3:1(>k6:878?l?1290/?h759798m6?1290/?h753848?l4am3:1(>k6:3df?>i6l=0;6)=j9;3g0>=zj:o>6=4>:183!5b13;hj6a>e183>!5b13;o865rs`794?4|Vh?01kl511d8yv?62909wS7>;<07}Y:;l01kl53848yv43?3:1>vP=469>bg<6l=1v>m9:1818`e20?01>k::0g3?x{e93:1=7>50z&0g1<33-9jh7??0:&0a<<4n91/=oh54c68kc`=83.8i44>d598yv43?3:1>vP=469>611=:==0q~o::181[g2348?;7o:;|q:5?6=:rT2=63=468:5>{t:3:1?v3=46816c=::==15i521;de?!5d<3?0qpll3;297?4=>d5<>0;684=:7y'7db=nk1/=oh54c68^6gd28q2;7s`25594?=n:;l1<75fa483>>o>l3:17d7>:188fcd=83<1<7>t$2g:>ce0g98m<3=83.8i4465:9j=3<72-9n5779;:k0=3<72-9n57=66:9j6cc=83.8i44=fd98k4b3290/?h751e68?xd4m<0;6<4?:1y'7`?=9jl0c07p}n5;296~Xf=27mn7??f:p=4<72;qU5<52fc8:2>{t1m0;6?uQ9e9>bg<5nl1v?4b33ty8o;4?:3y>bg<>=278i84>e19~yg7=83;1<7>t$2a7>1=#;hn1==>4$2g:>6`73-;ij7:m4:meb?6=,:o266?49{%1b`?`e3-;ij7:m4:X0ef<6s0=1qb<;7;29?l45n3:17do::188m3:1\4ij0:w495}h33b?6=,:o26<>i;:k:1?6=,:o264;4;h;5>5<#;l315;54i2;5>5<#;l31?484;h0ea?6=,:o26?hj;:m2`1<72-9n57?k4:9~f6c2290:6=4?{%1f=?7dn2e:i=4?:%1f=?7c<21vl;50;0xZd3<5oh1==h4}r;2>5<5sW3:70hm:848yv?c2909wS7k;7`b3ty9>k4?:3y]67`<5oh1?484}r073?6=:rT98:52fc82`1=z{:i=6=4={<3<5:o>66=4={_c6?843?3k>7p}61;296~X>92798:461:p6?6=;r798:4=2g9>611=1m16=7hi;%1`0?353;090~"4im0m56F0ve4?50;9je0<722e98:4?::`102<72<096;u+3`f9bg=#9kl18o:4Z2c`>4}>?3wd>9950;9j67`=831bm84?::k:`?6=3`3:6=44bg`94?0=83:p(>k6:ga8^6gd28q2;7sf11d94?"4m00:!5b133=76g<9783>!5b1392:65f2gg94?"4m009jh54o0f7>5<#;l31=i:4;|`0a0<7280;6=u+3d;95f`52z\b1>;aj3;;j6s|9083>7}Y1816jo466:p=a<72;qU5i52fc81b`=z{;8m6=4={_01b>;aj392:6s|25594?4|V;><70hm:0f7?xu4k?0;6?u2fc8:1>;4m<0:i=5r}c394?7=83:p(>m;:59'7db=99:0(>k6:2d3?!7en3>i86aif;29 6c>28n?76s|25594?4|V;><70<;7;073>{ti<0;6?uQa49>611=i<1v4?50;0xZ<7<5;><64?4}r094?5|5;><6?{zj=9?6=4<:387!5fl3l27E=j2:J0g0=#9kl18o:4Z2c`>4}>?3wb5<4?::kb1?6=3f8?;7>5;c073?6==381:v*"6jo0?n95U3`a95~?02te98:4?::k16c<722cj97>5;h;g>5<3<729q/?h75fb9Y7de=9r3<6pg>0g83>!5b13;;j65f9483>!5b133>76g66;29 6c>20<07d=66;29 6c>2:3=76g=fd83>!5b138mi65`1e694?"4m00:h954}c1f1?6=93:16=4={_c6?8`e28:m7p}61;296~X>927mn779;|q:`?6=:rT2h63ib;0ea>{t:;l1<77}Y:==01kl51e68yv5d>3:1>v3ib;;6?85b=3;n<6srb083>4<729q/?n:54:&0ea<6891/?h753g28 4da2=h?7bhi:18'7`?=9m>07p}=4683>7}Y:==01?:8:364?xuf=3:1>vPn5:?1026}::==1>?h4=364>k=;I1`1>"6jo0?n95U3`a96~?020h1qd7>:188md3=831d>9950;9a611=83?1>78t$2cg>cd<,8hm69l;;[1bg?4|1>02n7s`25594?=n:;l1<75fa483>>o>l3:17d7>:188fcd=83<1<7>t$2g:>cexo68o0;6)=j9;33b>=n1<0;6)=j9;;6?>o>>3:1(>k6:848?l5>>3:1(>k6:2;5?>o5nl0;6)=j9;0ea>=h9m>1<7*51;294~"4m00:ok5`1d294?"4m00:h954}rc6>5<5sWk>70hm:02e?xu>93:1>vP61:?ef??13ty2h7>52z\:`>;aj38mi6s|23d94?4|V;8m70hm:2;5?xu5<>0;6?uQ25589cd=9m>0q~=l6;296~;aj33>70=j5;3f4>{zj80;6<4?:1y'7f2=<2.8mi4>019'7`?=;o:0(0;6?uQ255897202;><7p}n5;296~Xf=2798:4n5:p=4<72;qU5<522559=4=z{;0;6>u2255967`<5;><64j4=08eb>"4k=0>7psm2583>6<52=q/?lj5f89K7`4<@:i>7)?mf;6a0>\4ij0:w495}h;2>5<5<k6:0f7?>{e;l?1<7?50;2x 6c>28im7b?j0;29 6c>28n?76s|a483>7}Yi<16jo4>0g9~w<7=838pR4?4=g`9=3=z{0n1<7?;%1f=?5a82.:nk4;b59lbc<72-9n57?k4:9~w7202909wS<;7:?102<5<>1vl;50;0xZd3<5;><6l;4}r;2>5<5sW3:70<;7;;2?xu52908w0<;7;01b>;5<>02h63>:gd8 6e32<1vqo?>4;297?4=5;n073?6=3k8?;7>55;092~"4im0mn6*>bg87f1=];hi1>v78:8`9yj43?3:17d<=f;29?lg22900e4j50;9j=4<722hmn7>56;294~"4m00mo6Ti:18'7`?=99l07d7::18'7`?=1<10e4850;&0a<<>>21b?4850;&0a<<41?10e?hj:18'7`?=:oo07b?k4;29 6c>28n?76sm3d794?7=83:p(>k6:0ae?j7b83:1(>k6:0f7?>{ti<0;6?uQa49>bg<68o1v4?50;0xZ<7<5oh15;5rs8f94?4|V0n01kl52gg8yv45n3:1>vP=2g9>bg<41?1v?:8:181[43?27mn7?k4:p7f0=838p1kl5949>7`3=9l:0qpl>:182>5<7s-9h87:4$2cg>4673-9n57=i0:&2fc<3j=1djk4?:%1f=?7c<21v?:8:181[43?2798:4=469~wd3=838pRl;4=364>d3;62ol0(>m;:49~yg`229086?4;{%1b`?`>3A9n>6F0V>ol:0y:3?{n180;66gn5;29?j43?3:17o<;7;291?4=>r.8mi4ib:&2fc<3j=1Q?lm51z;4>xi5<>0;66g=2g83>>of=3:17d7k:188m<7=831ijo4?:783>5}#;l31jn5U3`a95~?02tc:5$2g:><0<3`92:7>5$2g:>6?132c9jh4?:%1f=?4am21d=i:50;&0a<<6l=10qo=j5;295?6=8r.8i44>cg9l5`6=83.8i44>d598yvg22909wSo:;46a3ty2=7>52z\:5>;aj33=7p}6d;296~X>l27mn76?13ty98:4?:3y]611<5oh1=i:4}r1`2?6=:r7mn77:;<1f1?7b82wvn<4?:083>5}#;j>186*4$0`e>1d33flm6=4+3d;95a2<3ty98:4?:3y]611<5;><6?:8;|qb1?6=:rTj963=468b1>{t180;6?uQ909>611=181v?4?:2y>611=:;l01?:8:8f8947:t$2cg>c?<@:o97E=l5:&2fc<3j=1Q?lm51z;4>xo>93:17do::188k7202900n?:8:186>7<1s-9jh7hm;%3ab?2e<2P8mn4>{859yj43?3:17d<=f;29?lg22900e4j50;9j=4<722hmn7>56;294~"4m00mo6T5$2g:>46a32c297>5$2g:><3<3`3=6=4+3d;9=3=5$2g:>7`b32e:h94?:%1f=?7c<21vn>k::182>5<7s-9n57?lf:m2a5<72-9n57?k4:9~wd3=838pRl;4=g`955`?h4=g`97<052z\102=:nk0:h95rs2a5>5<5s4li64;4=2g6>4c73twi=7>51;294~"4k=0?7)=nd;334>"4m008j=5+1cd90g252z\102=::==1>994}rc6>5<5sWk>70<;7;c6?xu>93:1>vP61:?102<>92wx>7>53z?102<5:o16>9959e9>5?`a3-9h87;4}|`;f?6=;3818v*N4m;1C?n;4$0`e>1d33S9jo7?t968~m<7=831bm84?::m102<722h98:4?:481>3}#;hn1jo5+1cd90g2?h50;9je0<722c2h7>5;h;2>5<2oi0V>ol:0y:3?{n99l1<7*=n1?0;6)=j9;;5?>o41?0;6)=j9;1:2>=n:oo1<7*5}#;l31=nh4o0g3>5<#;l31=i:4;|qb1?6=:rTj963ib;33b>{t180;6?uQ909>bg<>>2wx5i4?:3y]=a=:nk09jh5rs30e>5<5sW89j63ib;1:2>{t:==1<77}:nk029632:l;7)?mf;6a0>ian3:1(>k6:0f7?>{t:==1<77}Yi<16>995a49~w<7=838pR4?4=364><774a348?;77k;<39bc=#;j>196srb2f0>5<42;0?w)=nd;d:?M5b:2B8o85+1cd90g25;n073?6=3k8?;7>55;092~"4im0mn6*>bg87f1=];hi1=v78:|m102<722c9>k4?::kb1?6=3`3o6=44i8394?=enk0;6;4?:1y'7`?=nj1Q?lm51z;4>xo68o0;6)=j9;33b>=n1<0;6)=j9;;6?>o>>3:1(>k6:848?l5>>3:1(>k6:2;5?>o5nl0;6)=j9;0ea>=h9m>1<7*51;294~"4m00:ok5`1d294?"4m00:h954}rc6>5<5sWk>70hm:02e?xu>93:1>vP61:?ef??13ty2h7>52z\:`>;aj38mi6s|23d94?4|V;8m70hm:2;5?xu5<>0;6?uQ25589cd=9m>0q~=l6;296~;aj33>70=j5;3f4>{zj80;6<4?:1y'7f2=<2.8mi4>019'7`?=;o:0(0;6?uQ255897202;><7p}n5;296~Xf=2798:4n5:p=4<72;qU5<522559=4=z{;0;6>u2255967`<5;><64j4=08eb>"4k=0>7psma983>6<52=q/?lj5f89K7`4<@:i>7)?mf;6a0>\4ij0:w495}h;2>5<5<k6:0f7?>{e;l?1<7?50;2x 6c>28im7b?j0;29 6c>28n?76s|a483>7}Yi<16jo4>0g9~w<7=838pR4?4=g`9=3=z{0n1<7?;%1f=?5a82.:nk4;b59lbc<72-9n57?k4:9~w7202909wS<;7:?102<5<>1vl;50;0xZd3<5;><6l;4}r;2>5<5sW3:70<;7;;2?xu52908w0<;7;01b>;5<>02h63>:gd8 6e32<1vqo:8e;297?4=>d5<>0;684=:7y'7db=nk1/=oh54c68^6gd28q2;7s`25594?=n:;l1<75fa483>>o>l3:17d7>:188fcd=83<1<7>t$2g:>ce0g98m<3=83.8i4465:9j=3<72-9n5779;:k0=3<72-9n57=66:9j6cc=83.8i44=fd98k4b3290/?h751e68?xd4m<0;6<4?:1y'7`?=9jl0c07p}n5;296~Xf=27mn7??f:p=4<72;qU5<52fc8:2>{t1m0;6?uQ9e9>bg<5nl1v?4b33ty8o;4?:3y>bg<>=278i84>e19~yg7=83;1<7>t$2a7>1=#;hn1==>4$2g:>6`73-;ij7:m4:meb?6=,:o267<3s-9jh7h6;I1f6>N4k<1/=oh54c68^6gd28q2;7sf9083>>of=3:17b<;7;29?g43?3:197<56z&0ea>o5:o0;66gn5;29?l?c2900e4?50;9abg<72?0;6=u+3d;9bf=];hi1=v78:|k24c<72-9n57??f:9j=0<72-9n577:;:k:2?6=,:o26484;h1:2?6=,:o26>79;:k1b`<72-9n57d598yg5b=3:1=7>50z&0a<<6ko1d=h>50;&0a<<6l=10q~o::181[g234li6<>i;|q:5?6=:rT2=63ib;;5?xu>l3:1>vP6d:?ef?4am2wx>?h50;0xZ74a34li6>79;|q102<72;qU>994=g`95a252z?ef??2349n97?j0:~f4<7280;6=u+3b690>"4im0:<=5+3d;97c6<,8hm69l;;nde>5<#;l31=i:4;|q102<72;qU>994=364>7203tyj97>52z\b1>;5<>0j96s|9083>7}Y1816>995909~w7<72:q6>99523d8972020n01<4if:&0g1<23twi8=950;196?2|,:ko6k74H2g1?M5d=2.:nk4;b59Y7de=9r3<6pg61;29?lg22900c?:8:188f720290>6?49{%1b`?`e3-;ij7:m4:X0ef<6s0=1qb<;7;29?l45n3:17do::188m3:1\4ij0:w495}h33b?6=,:o26<>i;:k:1?6=,:o264;4;h;5>5<#;l315;54i2;5>5<#;l31?484;h0ea?6=,:o26?hj;:m2`1<72-9n57?k4:9~f6c2290:6=4?{%1f=?7dn2e:i=4?:%1f=?7c<21vl;50;0xZd3<5oh1==h4}r;2>5<5sW3:70hm:848yv?c2909wS7k;7`b3ty9>k4?:3y]67`<5oh1?484}r073?6=:rT98:52fc82`1=z{:i=6=4={<3<5:o>66=4={_c6?843?3k>7p}61;296~X>92798:461:p6?6=;r798:4=2g9>611=1m16=7hi;%1`0?354?:281>1}#;hn1j45G3d08L6e23-;ij7:m4:X0ef<6s0=1qd7>:188md3=831d>9950;9a611=83?1>78t$2cg>cd<,8hm69l;;[1bg?7|1>0vc?:8:188m74a2900el;50;9j=a<722c2=7>5;cda>5<1290;w)=j9;d`?_5fk3;p5:4ri02e>5<#;l31==h4;h;6>5<#;l315854i8494?"4m002:65f38494?"4m0085;54i3df>5<#;l31>kk4;n3g0?6=,:o26t$2g:>4ea3f;n<7>5$2g:>4b332wxm84?:3y]e0=:nk0:52z\16c=:nk085;5rs364>5<5sW8?;63ib;3g0>{t;j<1<74}|`2>5<6290;w)=l4;68 6gc28:;7)=j9;1e4>"6jo0?n95`fg83>!5b13;o865rs364>5<5sW8?;63=468102=z{h?1<7:181[?6348?;77>;|q1>5<4s48?;7<=f:?102<>l27:6kh4$2a7>0=zukl?6=4<:387!5fl3l27E=j2:J0g0=#9kl18o:4Z2c`>4}>j3wb5<4?::kb1?6=3f8?;7>5;c073?6==381:v*"6jo0?n95U3`a95~?e2te98:4?::k16c<722cj97>5;h;g>5<3<729q/?h75fb9Y7de=9r3i6pg>0g83>!5b13;;j65f9483>!5b133>76g66;29 6c>20<07d=66;29 6c>2:3=76g=fd83>!5b138mi65`1e694?"4m00:h954}c1f1?6=93:16=4={_c6?8`e28:m7p}61;296~X>927mn779;|q:`?6=:rT2h63ib;0ea>{t:;l1<77}Y:==01kl51e68yv5d>3:1>v3ib;;6?85b=3;n<6srb083>4<729q/?n:54:&0ea<6891/?h753g28 4da2=h?7bhi:18'7`?=9m>07p}=4683>7}Y:==01?:8:364?xuf=3:1>vPn5:?1026}::==1>?h4=364>k=;I1`1>"6jo0?n95U3`a95~?02tc2=7>5;hc6>5<<6=44b364>5<22;0=w)=nd;da?!7en3>i86T5;h01b?6=3`k>6=44i8f94?=n180;66lib;292?6=8r.8i44ic:X0ef<6s0=1qd??f;29 6c>28:m76g65;29 6c>20?07d79:18'7`?=1?10e>79:18'7`?=;0<07d2;ln76a>d583>!5b13;o865rb2g6>5<6290;w)=j9;3`b>i6m90;6)=j9;3g0>=z{h?1<7:181[?634li6484}r;g>5<5sW3o70hm:3df?xu5:o0;6?uQ23d89cd=;0<0q~<;7;296~X5<>16jo4>d59~w6e12909w0hm:87896c228o;7psm1;295?6=8r.8o94;;%1b`?7782.8i440ckh50;&0a<<6l=10q~<;7;296~X5<>16>9952558yvg22909wSo:;<073?g23ty2=7>52z\:5>;5<>02=6s|2;297~;5<>09>k522559=a=:93lm7)=l4;78yxd?03:1?7<54z&0ea>i5<>0;66l=4683>0<52?q/?lj5fc9'5g`=0V>ol:0y:3?{h:==1<75f23d94?=ni<0;66g6d;29?l?62900nkl50;494?6|,:o26km4Z2c`>4}>?3wb==h50;&0a<<68o10e4;50;&0a<<>=21b5;4?:%1f=??132c85;4?:%1f=?5>>21b>kk50;&0a<<5nl10c07pl4<729q/?h751bd8k4c7290/?h751e68?xuf=3:1>vPn5:?ef?77n2wx5<4?:3y]=4=:nk02:6s|9e83>7}Y1m16jo4=fd9~w74a2909wS<=f:?ef?5>>2wx>9950;0xZ72034li6h?;%3ab?2e<2emj7>5$2g:>4b332wx>9950;0xZ720348?;7<;7:pe0<72;qUm8522559e0=z{0;1<73A9n>6F0V>ol:0y:3?{n180;66gn5;29?j43?3:17o<;7;291?4=>r.8mi4ib:&2fc<3j=1Q?lm51z;4>xi5<>0;66g=2g83>>of=3:17d7k:188m<7=831ijo4?:783>5}#;l31jn5U3`a95~?02tc:5$2g:><0<3`92:7>5$2g:>6?132c9jh4?:%1f=?4am21d=i:50;&0a<<6l=10qo=j5;295?6=8r.8i44>cg9l5`6=83.8i44>d598yvg22909wSo:;46a3ty2=7>52z\:5>;aj33=7p}6d;296~X>l27mn76?13ty98:4?:3y]611<5oh1=i:4}r1`2?6=:r7mn77:;<1f1?7b82wvn<4?:083>5}#;j>186*4$0`e>1d33flm6=4+3d;95a2<3ty98:4?:3y]611<5;><6?:8;|qb1?6=:rTj963=468b1>{t180;6?uQ909>611=181v?4?:2y>611=:;l01?:8:8f894m:;%3ab?2e<2P8mn4>{859yl?62900el;50;9l611=831i>9950;796?0|,:ko6kl4$0`e>1d33S9jo7?t968~k7202900e?6=4+3d;9=0==n;0<1<7*5$2g:>4b332wi?h;50;394?6|,:o26kk4}r01b?6=:rT9>k52fc80=3=z{;><6=4={_073>;aj3;o86s|3b494?4|5oh158523d795`6:183!5d<3>0(>ok:023?!5b139m<6*>bg87f1=hno0;6)=j9;3g0>=z{;><6=4={_073>;5<>098:5rs`794?4|Vh?01?:8:`78yv?62909wS7>;<073??63ty96=4<{<073?45n2798:46d:?2>c`<,:i?685r}c35`?6=;3818v*N4m;1C?n;4$032>3=#9kl18o:4Z2c`>4}>?3wb5<4?::kb1?6=3f8?;7>5;c073?6==381:v*"6jo0?n95U3`a95~?02te98:4?::k16c<722cj97>5;h;g>5<3<729q/?h75fb9Y7de=9r3<6pg>0g83>!5b13;;j65f9483>!5b133>76g66;29 6c>20<07d=66;29 6c>2:3=76g=fd83>!5b138mi65`1e694?"4m00:h954}c1f1?6=93:16=4={_c6?8`e28:m7p}61;296~X>927mn779;|q:`?6=:rT2h63ib;0ea>{t:;l1<77}Y:==01kl51e68yv5d>3:1>v3ib;;6?85b=3;n<6srb083>4<729q/?n:54:&0ea<6891/?h753g28 4da2=h?7bhi:18'7`?=9m>07p}=4683>7}Y:==01?:8:364?xuf=3:1>vPn5:?1026}::==1>?h4=364>4=:5y'7db=n01C?h<4H2a6?!7693<0(>d5<>0;684=:7y'7db=nk1/=oh54c68^6gd28q2;7s`25594?=n:;l1<75fa483>>o>l3:17d7>:188fcd=83<1<7>t$2g:>ce0g98m<3=83.8i4465:9j=3<72-9n5779;:k0=3<72-9n57=66:9j6cc=83.8i44=fd98k4b3290/?h751e68?xd4m<0;6<4?:1y'7`?=9jl0c07p}n5;296~Xf=27mn7??f:p=4<72;qU5<52fc8:2>{t1m0;6?uQ9e9>bg<5nl1v?4b33ty8o;4?:3y>bg<>=278i84>e19~yg7=83;1<7>t$2a7>1=#;hn1==>4$2g:>6`73-;ij7:m4:meb?6=,:o267<3s-9jh7h6;I1f6>N4k<1/=xo>93:17do::188k7202900n?:8:186>7<1s-9jh7hm;%3ab?2e<2P8mn4>{859yj43?3:17d<=f;29?lg22900e4j50;9j=4<722hmn7>56;294~"4m00mo6T5$2g:>46a32c297>5$2g:><3<3`3=6=4+3d;9=3=5$2g:>7`b32e:h94?:%1f=?7c<21vn>k::182>5<7s-9n57?lf:m2a5<72-9n57?k4:9~wd3=838pRl;4=g`955`?h4=g`97<052z\102=:nk0:h95rs2a5>5<5s4li64;4=2g6>4c73twi=7>51;294~"4k=0?7)=nd;334>"4m008j=5+1cd90g252z\102=::==1>994}rc6>5<5sWk>70<;7;c6?xu>93:1>vP61:?102<>92wx>7>53z?102<5:o16>9959e9>5?`a3-9h87;4}|`2m:;%325?0<,8hm69l;;[1bg?7|1>0ve4?50;9je0<722e98:4?::`102<72<096;u+3`f9bg=#9kl18o:4Z2c`>4}>?3wd>9950;9j67`=831bm84?::k:`?6=3`3:6=44bg`94?0=83:p(>k6:ga8^6gd28q2;7sf11d94?"4m00:!5b133=76g<9783>!5b1392:65f2gg94?"4m009jh54o0f7>5<#;l31=i:4;|`0a0<7280;6=u+3d;95f`52z\b1>;aj3;;j6s|9083>7}Y1816jo466:p=a<72;qU5i52fc81b`=z{;8m6=4={_01b>;aj392:6s|25594?4|V;><70hm:0f7?xu4k?0;6?u2fc8:1>;4m<0:i=5r}c394?7=83:p(>m;:59'7db=99:0(>k6:2d3?!7en3>i86aif;29 6c>28n?76s|25594?4|V;><70<;7;073>{ti<0;6?uQa49>611=i<1v4?50;0xZ<7<5;><64?4}r094?5|5;><6?{zj83=6=4<:387!5fl3l27E=j2:J0g0=#98;1:6*>bg87f1=];hi1>v78:8`9yl?62900el;50;9l611=831i>9950;796?0|,:ko6kl4$0`e>1d33S9jo77}>?33i6pg>0g83>!5b13;;j65f9483>!5b133>76g66;29 6c>20<07d=66;29 6c>2:3=76g=fd83>!5b138mi65`1e694?"4m00:h954}c1f1?6=93:16=4={_c6?8`e28:m7p}61;296~X>927mn779;|q:`?6=:rT2h63ib;0ea>{t:;l1<77}Y:==01kl51e68yv5d>3:1>v3ib;;6?85b=3;n<6srb083>4<729q/?n:54:&0ea<6891/?h753g28 4da2=h?7bhi:18'7`?=9m>07p}=4683>7}Y:==01?:8:364?xuf=3:1>vPn5:?1026}::==1>?h4=364>1<7=52;6x 6gc2o30D>k=;I1`1>"6980=7)?mf;6a0>\4ij09w4959c8~m<7=831bm84?::m102<722h98:4?:481>3}#;hn1jo5+1cd90g2xi5<>0;66g=2g83>>of=3:17d7k:188m<7=831ijo4?:783>5}#;l31jn5U3`a96~?020h1qd??f;29 6c>28:m76g65;29 6c>20?07d79:18'7`?=1?10e>79:18'7`?=;0<07d2;ln76a>d583>!5b13;o865rb2g6>5<6290;w)=j9;3`b>i6m90;6)=j9;3g0>=z{h?1<7:181[?634li6484}r;g>5<5sW3o70hm:3df?xu5:o0;6?uQ23d89cd=;0<0q~<;7;296~X5<>16jo4>d59~w6e12909w0hm:87896c228o;7psm1;295?6=8r.8o94;;%1b`?7782.8i440ckh50;&0a<<6l=10q~<;7;296~X5<>16>9952558yvg22909wSo:;<073?g23ty2=7>52z\:5>;5<>02=6s|2;297~;5<>09>k522559=a=:93lm7)=l4;78yxddm3:1?7?53zJ0g0=#;hn1=n=4i``94?=nij0;66a<8g83>>d4ml0;6>4?:1y'7db=;l;0D>k=;I1`1>"4m008i=5+1cd90g25$2g:>46a32e:oi4?:%1f=?7c<21vll50;0xZdd<5:on6<><;|qbg?6=:rTjo63;4ml0:oi5r}cag>5<42808wE=l5:&0ea<6k:1bmo4?::kbg?6=3f93j7>5;c1fa?6=;3:1m:;%1f=?5b82.:nk4;b59j554=83.8i44>0g98m464290/?h7511d8?j7dl3:1(>k6:0f7?>{tik0;6?uQac9>7`c=9990q~ol:181[gd349ni7??2:p7=`=838pR>6i;<1fa?7dl2wvnnm50;195?5|@:i>7)=nd;3`7>ofj3:17dol:188k6>a2900n>kj:180>5<7s-9jh7=j1:J0a7=O;j?0(>k6:2g3?!7en3>i86g>0383>!5b13;;j65f11194?"4m00:5<#;l31=i:4;|qbf?6=:rTjn637}Y;1l01>kj:0ag?x{ekk0;6>4>:2yK7f3<,:ko65<4$0`e>1d33`;;>7>5$2g:>46a32c:<>4?:%1f=?77n21d=nj50;&0a<<6l=10q~om:181[ge349ni7??3:pef<72;qUmn523dg955452z\0m:;%1f=?573-;ij7:m4:k247<72-9n57??f:9j555=83.8i44>0g98k4ec290/?h751e68?xd0=3:1?7>50z&0ea<492B8i?5G3b78 6c>2::0(k6:02e?>o68:0;6)=j9;33b>=h9jn1<7*??7>52;294~"4im0:=?5G3d08L6e23-9n576j;%3ab?2e<2c:<<4?:%1f=?77n21d=nj50;&0a<<6l=1C?h64;|`701<72:0;6=u+3`f974=O;l80D>m:;%1f=?573-;ij7:m4:k247<72-9n57??f:9j555=83.8i44>0g98k4ec290/?h751e68?xd30=0;6?4?:1y'7db=9880D>k=;I1`1>"4m003i6*>bg87f1=n99;1<7*ok:238L6c53A9h96*"6jo0?n95f11094?"4m00:5<#;l31==h4;n3``?6=,:o260g98k4ec290/?h751e68L6c?32wi?i;50;094?6|,:ko6N4k<1/?h758d9'5g`=0e<>>:18'7`?=99l07b?ld;29 6c>28n?7E=j8:9~f=b=8381<7>t$2cg>4753A9n>6Fk6:0f7?M5b021vn?h::181>5<7s-9jh7?>2:J0a7=O;j?0(>k6:9g8 4da2=h?7d??1;29 6c>28:m76a>ce83>!5b13;o86F3:1>7>50z&0ea<69;1C?h<4H2a6?!5b132n7)?mf;6a0>o6880;6)=j9;33b>=h9jn1<7*7<729q/?lj51008L6c53A9h96*"6jo0?n95f11394?"4m00:5<#;l31=i:4H2g;?>{e<091<7<50;2x 6gc28;97E=j2:J0g0=#;l314h5+1cd90g25$2g:>4b33A9n465rbb;94?4=83:p(>ok:031?M5b:2B8o85+3d;9<`=#9kl18o:4i022>5<#;l31==h4;n3``?6=,:o26=zjjk1<7=50;2x 6gc2:;0D>k=;I1`1>"4m008<6*>bg87f1=n9981<7*5$2g:>4b332wio84?:383>5}#;hn1=<<4H2g1?M5d=2.8i447e:&2fc<3j=1b==?50;&0a<<68o10c0D>k7;:ag3<72:0;6=u+3`f974=O;l80D>m:;%1f=?573-;ij7:m4:k247<72-9n57??f:9j555=83.8i44>0g98k4ec290/?h751e68?xdd93:1>7>50z&0ea<69;1C?h<4H2a6?!5b132n7)?mf;6a0>o6880;6)=j9;33b>=h9jn1<7*k6:228 4da2=h?7d??2;29 6c>28:m76g>0283>!5b13;;j65`1bf94?"4m00:h954}c`g>5<5290;w)=nd;326>N4m;1C?n;4$2g:>=c<,8hm69l;;h335?6=,:o26<>i;:m2ga<72-9n57?k4:J0a==5$2g:>46a32e:oi4?:%1f=?7c<21vni>50;194?6|,:ko6>?4H2g1?M5d=2.8i44<0:&2fc<3j=1b==<50;&0a<<68o10e<><:18'7`?=99l07b?ld;29 6c>28n?76smd383>6<729q/?lj5309K7`4<@:i>7)=j9;13?!7en3>i86g>0383>!5b13;;j65f11194?"4m00:5<#;l31=i:4;|`g0?6=;3:1N4m;1C?n;4$2g:>66<,8hm69l;;h336?6=,:o26<>i;:k246<72-9n57??f:9l5fb=83.8i44>d598yg`129096=4?{%1b`?76:2B8i?5G3b78 6c>21o0(k6:02e?>i6km0;6)=j9;3g0>N4m110qo6n:181>5<7s-9jh7?>2:J0a7=O;j?0(>k6:9g8 4da2=h?7d??1;29 6c>28:m76a>ce83>!5b13;o86F3:1>7>50z&0ea<69;1C?h<4H2a6?!5b132n7)?mf;6a0>o6880;6)=j9;33b>=h9jn1<7*a883>7<729q/?lj51008L6c53A9h96*"6jo0?n95f11394?"4m00:5<#;l31=i:4H2g;?>{e9hk1<7<50;2x 6gc28;97E=j2:J0g0=#;l314h5+1cd90g25$2g:>4b33A9n465rb0ca>5<5290;w)=nd;326>N4m;1C?n;4$2g:>=c<,8hm69l;;h335?6=,:o26<>i;:m2ga<72-9n57?k4:J0a==53;294~"4im08=6F>4$0`e>1d33`;;>7>5$2g:>46a32c:<>4?:%1f=?77n21d=nj50;&0a<<6l=10qo?nd;297?6=8r.8mi4<1:J0a7=O;j?0(>k6:228 4da2=h?7d??2;29 6c>28:m76g>0283>!5b13;;j65`1bf94?"4m00:h954}c3ba?6=;3:1N4m;1C?n;4$2g:>66<,8hm69l;;h336?6=,:o26<>i;:k246<72-9n57??f:9l5fb=83.8i44>d598yg7fn3:1?7>50z&0ea<6l81C?h<4H2a6?!5b13;o<6*>bg87f1=n9981<7*5$2g:>4b332wi=o>50;194?6|,:ko6;I1f6>N4k<1/?h751e28 4da2=h?7d??2;29 6c>28:m76g>0283>!5b13;;j65`1bf94?"4m00:h954}c3b3?6=;3:1N4m;1C?n;4$2g:>66<,8hm69l;;h336?6=,:o26<>i;:k246<72-9n57??f:9l5fb=83.8i44>d598yg7f03:1?7>50z&0ea<6l81C?h<4H2a6?!5b13;o<6*>bg87f1=n9981<7*5$2g:>4b332wi=4?50;194?6|,:ko6>?4H2g1?M5d=2.8i44<0:&2fc<3j=1b==<50;&0a<<68o10e<><:18'7`?=99l07b?ld;29 6c>28n?76sm18094?4=83:p(>ok:031?M5b:2B8o85+3d;9<`=#9kl18o:4i022>5<#;l31==h4;n3``?6=,:o26=zj8386=4<:183!5fl3;o=6F07pl>9583>6<729q/?lj5309K7`4<@:i>7)=j9;13?!7en3>i86g>0383>!5b13;;j65f11194?"4m00:5<#;l31=i:4;|`2=0<72:0;6=u+3`f95a7<@:o97E=l5:&0a<<6l91/=oh54c68m465290/?h7511d8?l77;3:1(>k6:02e?>i6km0;6)=j9;3g0>=zj82j6=4<:183!5fl39:7E=j2:J0g0=#;l31?=5+1cd90g25$2g:>46a32e:oi4?:%1f=?7c<21vn<6m:181>5<7s-9jh7?>2:J0a7=O;j?0(>k6:9g8 4da2=h?7d??1;29 6c>28:m76a>ce83>!5b13;o86F50z&0ea<6l81C?h<4H2a6?!5b13;o<6*>bg87f1=n9981<7*5$2g:>4b332wi=5j50;194?6|,:ko6>?4H2g1?M5d=2.8i44<0:&2fc<3j=1b==<50;&0a<<68o10e<><:18'7`?=99l07b?ld;29 6c>28n?76sm19g94?5=83:p(>ok:0f2?M5b:2B8o85+3d;95a6<,8hm69l;;h336?6=,:o26<>i;:k246<72-9n57??f:9l5fb=83.8i44>d598ygc?29096=4?{%1b`?76:2B8i?5G3b78 6c>21o0(k6:02e?>i6km0;6)=j9;3g0>N4m110qok6:181>5<7s-9jh7?>2:J0a7=O;j?0(>k6:9g8 4da2=h?7d??1;29 6c>28:m76a>ce83>!5b13;o86F21o0(k6:02e?>i6km0;6)=j9;3g0>N4m110qokm:181>5<7s-9jh7?>2:J0a7=O;j?0(>k6:9g8 4da2=h?7d??1;29 6c>28:m76a>ce83>!5b13;o86F21o0(k6:02e?>i6km0;6)=j9;3g0>N4m110qokk:184>5<7s-9jh7=;;I1f6>N4k<1/?h75319'5g`=0e<>=:18'7`?=99l07d??3;29 6c>28:m76g>0583>!5b13;;j65f11794?"4m00:5<#;l31==h4;h333?6=,:o26<>i;:m2ga<72-9n57?k4:9~f14e29086=4?{%1b`?563A9n>6Fk6:02e?>i6km0;6)=j9;3g0>=zj=8h6=4=:183!5fl3;:>6F1d33`;;=7>5$2g:>46a32e:oi4?:%1f=?7c<2B8i554}c61`?6=;3:1N4m;1C?n;4$2g:>66<,8hm69l;;h336?6=,:o26<>i;:k246<72-9n57??f:9l5fb=83.8i44>d598yg25m3:1?7>50z&0ea<6l81C?h<4H2a6?!5b13;o<6*>bg87f1=n9981<7*5$2g:>4b332wi84h50;794?6|,:ko6>=4H2g1?M5d=2.8i44<0:&2fc<3j=1b==<50;&0a<<68o10e<><:18'7`?=99l07d??4;29 6c>28:m76g>0483>!5b13;;j65`1bf94?"4m00:h954}c6b4?6=;3:1N4m;1C?n;4$2g:>66<,8hm69l;;h336?6=,:o26<>i;:k246<72-9n57??f:9l5fb=83.8i44>d598yg2f93:1>7>50z&0ea<69;1C?h<4H2a6?!5b132n7)?mf;6a0>o6880;6)=j9;33b>=h9jn1<7*7<729q/?lj51008L6c53A9h96*"6jo0?n95f11394?"4m00:5<#;l31=i:4H2g;?>{ek=;I1`1>"4m008<6*>bg87f1=n9981<7*5$2g:>4b332wi8l:50;194?6|,:ko6>?4H2g1?M5d=2.8i44<0:&2fc<3j=1b==<50;&0a<<68o10e<><:18'7`?=99l07b?ld;29 6c>28n?76sm4`794?5=83:p(>ok:238L6c53A9h96*"6jo0?n95f11094?"4m00:5<#;l31==h4;n3``?6=,:o26=8381<7>t$2cg>4753A9n>6Fk6:0f7?M5b021vn<7l:181>5<7s-9jh7?>2:J0a7=O;j?0(>k6:9g8 4da2=h?7d??1;29 6c>28:m76a>ce83>!5b13;o86Fl3:1>7>50z&0ea<69;1C?h<4H2a6?!5b132n7)?mf;6a0>o6880;6)=j9;33b>=h9jn1<7*9d83>7<729q/?lj51008L6c53A9h96*"6jo0?n95f11394?"4m00:5<#;l31=i:4H2g;?>{e90l1<7<50;2x 6gc28;97E=j2:J0g0=#;l314h5+1cd90g25$2g:>4b33A9n465rb0c3>5<5290;w)=nd;326>N4m;1C?n;4$2g:>=c<,8hm69l;;h335?6=,:o26<>i;:m2ga<72-9n57?k4:J0a==53;294~"4im0:h<5G3d08L6e23-9n57?k0:&2fc<3j=1b==<50;&0a<<68o10e<><:18'7`?=99l07b?ld;29 6c>28n?76sm1`094?5=83:p(>ok:238L6c53A9h96*"6jo0?n95f11094?"4m00:5<#;l31==h4;n3``?6=,:o261<7>t$2cg>64<@:o97E=l5:&0a<<482.:nk4;b59j554=83.8i44>0g98m464290/?h7511d8?l77<3:1(>k6:02e?>i6km0;6)=j9;3g0>=zj8326=4<:183!5fl3;o=6F07pl>9`83>6<729q/?lj5309K7`4<@:i>7)=j9;13?!7en3>i86g>0383>!5b13;;j65f11194?"4m00:5<#;l31=i:4;|`2=g<72:0;6=u+3`f95a7<@:o97E=l5:&0a<<6l91/=oh54c68m465290/?h7511d8?l77;3:1(>k6:02e?>i6km0;6)=j9;3g0>=zj;21<7=50;2x 6gc28n:7E=j2:J0g0=#;l31=i>4$0`e>1d33`;;>7>5$2g:>46a32c:<>4?:%1f=?77n21d=nj50;&0a<<6l=10qo<6:180>5<7s-9jh7?k1:J0a7=O;j?0(>k6:0f3?!7en3>i86g>0383>!5b13;;j65f11194?"4m00:5<#;l31=i:4;|`1e?6=:3:1m:;%1f=?>b3-;ij7:m4:k244<72-9n57??f:9l5fb=83.8i44>d59K7`><3th9n7>53;294~"4im08=6F>4$0`e>1d33`;;>7>5$2g:>46a32c:<>4?:%1f=?77n21d=nj50;&0a<<6l=10qo5<7s-9jh7?k1:J0a7=O;j?0(>k6:0f3?!7en3>i86g>0383>!5b13;;j65f11194?"4m00:5<#;l31=i:4;|`74a<72;0;6=u+3`f9544<@:o97E=l5:&0a<0g98k4ec290/?h751e68L6c?32wi8=k50;094?6|,:ko6N4k<1/?h758d9'5g`=0e<>>:18'7`?=99l07b?ld;29 6c>28n?7E=j8:9~f16a29096=4?{%1b`?76:2B8i?5G3b78 6c>21o0(k6:02e?>i6km0;6)=j9;3g0>N4m110qo:>0;297?6=8r.8mi4>d09K7`4<@:i>7)=j9;3g4>"6jo0?n95f11094?"4m00:5<#;l31==h4;n3``?6=,:o261<7>t$2cg>64<@:o97E=l5:&0a<<482.:nk4;b59j554=83.8i44>0g98m464290/?h7511d8?l77<3:1(>k6:02e?>i6km0;6)=j9;3g0>=zj8;=6=4=:183!5fl3;:>6F1d33`;;=7>5$2g:>46a32e:oi4?:%1f=?7c<2B8i554}c32m:;%1f=?>b3-;ij7:m4:k244<72-9n57??f:9l5fb=83.8i44>d59K7`><3th:=44?:383>5}#;hn1=<<4H2g1?M5d=2.8i447e:&2fc<3j=1b==?50;&0a<<68o10c0D>k7;:a54g=8381<7>t$2cg>4753A9n>6Fk6:0f7?M5b021vn5<7s-9jh7?>2:J0a7=O;j?0(>k6:9g8 4da2=h?7d??1;29 6c>28:m76a>ce83>!5b13;o86F7>50z&0ea<69;1C?h<4H2a6?!5b132n7)?mf;6a0>o6880;6)=j9;33b>=h9jn1<7*1e83>6<729q/?lj51e38L6c53A9h96*5<#;l31==h4;h337?6=,:o26<>i;:m2ga<72-9n57?k4:9~f47b29086=4?{%1b`?563A9n>6Fk6:02e?>i6km0;6)=j9;3g0>=zj8;m6=4;:183!5fl3997E=j2:J0g0=#;l31?=5+1cd90g25$2g:>46a32c:<94?:%1f=?77n21d=nj50;&0a<<6l=10qo?>7;297?6=8r.8mi4>d09K7`4<@:i>7)=j9;3g4>"6jo0?n95f11094?"4m00:5<#;l31==h4;n3``?6=,:o26t$2cg>62<@:o97E=l5:&0a<<482.:nk4;b59j554=83.8i44>0g98m464290/?h7511d8?l77<3:1(>k6:02e?>o68<0;6)=j9;33b>=n99<1<7*5$2g:>4b332wi84850;094?6|,:ko6N4k<1/?h758d9'5g`=0e<>>:18'7`?=99l07b?ld;29 6c>28n?7E=j8:9~f1?029096=4?{%1b`?76:2B8i?5G3b78 6c>21o0(k6:02e?>i6km0;6)=j9;3g0>N4m110qo:68;296?6=8r.8mi4>139K7`4<@:i>7)=j9;:f?!7en3>i86g>0083>!5b13;;j65`1bf94?"4m00:h95G3d:8?xd3100;6?4?:1y'7db=9880D>k=;I1`1>"4m003i6*>bg87f1=n99;1<7*ok:031?M5b:2B8o85+3d;9<`=#9kl18o:4i022>5<#;l31==h4;n3``?6=,:o26=zj=3i6=4;:183!5fl3997E=j2:J0g0=#;l31?=5+1cd90g25$2g:>46a32c:<94?:%1f=?77n21d=nj50;&0a<<6l=10qo:6c;297?6=8r.8mi4>d09K7`4<@:i>7)=j9;3g4>"6jo0?n95f11094?"4m00:5<#;l31==h4;n3``?6=,:o261<7>t$2cg>64<@:o97E=l5:&0a<<482.:nk4;b59j554=83.8i44>0g98m464290/?h7511d8?l77<3:1(>k6:02e?>i6km0;6)=j9;3g0>=zj=9<6=4=:183!5fl3;:>6F1d33`;;=7>5$2g:>46a32e:oi4?:%1f=?7c<2B8i554}c60m:;%1f=?>b3-;ij7:m4:k244<72-9n57??f:9l5fb=83.8i44>d59K7`><3th??44?:383>5}#;hn1=<<4H2g1?M5d=2.8i447e:&2fc<3j=1b==?50;&0a<<68o10c0D>k7;:a06g=8381<7>t$2cg>4753A9n>6Fk6:0f7?M5b021vn9=m:187>5<7s-9jh7==;I1f6>N4k<1/?h75319'5g`=0e<>=:18'7`?=99l07d??3;29 6c>28:m76g>0583>!5b13;;j65`1bf94?"4m00:h954}c60g?6=;3:1m:;%1f=?7c82.:nk4;b59j554=83.8i44>0g98m464290/?h7511d8?j7dl3:1(>k6:0f7?>{el?0;6?4?:1y'7db=9880D>k=;I1`1>"4m003i6*>bg87f1=n99;1<7*1<729q/?lj5339K7`4<@:i>7)=j9;13?!7en3>i86g>0383>!5b13;;j65f11194?"4m00:5<#;l31==h4;n3``?6=,:o260g98k4ec290/?h751e68L6c?32wihn4?:383>5}#;hn1=<<4H2g1?M5d=2.8i447e:&2fc<3j=1b==?50;&0a<<68o10c0D>k7;:a`a<72;0;6=u+3`f9544<@:o97E=l5:&0a<0g98k4ec290/?h751e68L6c?32wihh4?:383>5}#;hn1=<<4H2g1?M5d=2.8i447e:&2fc<3j=1b==?50;&0a<<68o10c0D>k7;:a`c<72;0;6=u+3`f9544<@:o97E=l5:&0a<0g98k4ec290/?h751e68L6c?32wii=4?:283>5}#;hn1?<5G3d08L6e23-9n57=?;%3ab?2e<2c:07plj1;290?6=8r.8mi4<2:J0a7=O;j?0(>k6:228 4da2=h?7d??2;29 6c>28:m76g>0283>!5b13;;j65f11694?"4m00:5<#;l31=i:4;|`g3?6=;3:1m:;%1f=?7c82.:nk4;b59j554=83.8i44>0g98m464290/?h7511d8?j7dl3:1(>k6:0f7?>{el10;694?:1y'7db=;;1C?h<4H2a6?!5b139;7)?mf;6a0>o68;0;6)=j9;33b>=n9991<7*5$2g:>4b332wih44?:283>5}#;hn1=i?4H2g1?M5d=2.8i44>d19'5g`=0e<>=:18'7`?=99l07d??3;29 6c>28:m76a>ce83>!5b13;o865rbc794?4=83:p(>ok:031?M5b:2B8o85+3d;9<`=#9kl18o:4i022>5<#;l31==h4;n3``?6=,:o26=zjk<1<7;50;2x 6gc28n87E=j2:J0g0=#;l31=i>4$0`e>1d33`;;>7>5$2g:>46a32c:<>4?:%1f=?77n21b==:50;&0a<<68o10e<>::18'7`?=99l07b?ld;29 6c>28n?76smb683>7<729q/?lj51008L6c53A9h96*"6jo0?n95f11394?"4m00:5<#;l31=i:4H2g;?>{ej10;6>4?:1y'7db=;81C?h<4H2a6?!5b139;7)?mf;6a0>o68;0;6)=j9;33b>=n9991<7*53;294~"4im08=6F>4$0`e>1d33`;;>7>5$2g:>46a32c:<>4?:%1f=?77n21d=nj50;&0a<<6l=10qoln:180>5<7s-9jh7?k1:J0a7=O;j?0(>k6:0f3?!7en3>i86g>0383>!5b13;;j65f11194?"4m00:5<#;l31=i:4;|`70=<72:0;6=u+3`f974=O;l80D>m:;%1f=?573-;ij7:m4:k247<72-9n57??f:9j555=83.8i44>0g98k4ec290/?h751e68?xd3k=;I1`1>"4m003i6*>bg87f1=n99;1<7*ok:031?M5b:2B8o85+3d;9<`=#9kl18o:4i022>5<#;l31==h4;n3``?6=,:o26=zj=>o6=4=:183!5fl3;:>6F1d33`;;=7>5$2g:>46a32e:oi4?:%1f=?7c<2B8i554}c67a?6=:3:1m:;%1f=?>b3-;ij7:m4:k244<72-9n57??f:9l5fb=83.8i44>d59K7`><3th?8k4?:383>5}#;hn1=<<4H2g1?M5d=2.8i447e:&2fc<3j=1b==?50;&0a<<68o10c0D>k7;:a006=8391<7>t$2cg>67<@:o97E=l5:&0a<<482.:nk4;b59j554=83.8i44>0g98m464290/?h7511d8?j7dl3:1(>k6:0f7?>{e<<;1<7=50;2x 6gc28n:7E=j2:J0g0=#;l31=i>4$0`e>1d33`;;>7>5$2g:>46a32c:<>4?:%1f=?77n21d=nj50;&0a<<6l=10qo::2;297?6=8r.8mi4<1:J0a7=O;j?0(>k6:228 4da2=h?7d??2;29 6c>28:m76g>0283>!5b13;;j65`1bf94?"4m00:h954}c67=?6=<3:1N4m;1C?n;4$2g:>66<,8hm69l;;h336?6=,:o26<>i;:k246<72-9n57??f:9j552=83.8i44>0g98k4ec290/?h751e68?xd34?:1y'7db=9m;0D>k=;I1`1>"4m00:h=5+1cd90g25$2g:>46a32e:oi4?:%1f=?7c<21vn<<>:181>5<7s-9jh7?>2:J0a7=O;j?0(>k6:9g8 4da2=h?7d??1;29 6c>28:m76a>ce83>!5b13;o86F50z&0ea<6l81C?h<4H2a6?!5b13;o<6*>bg87f1=n9981<7*5$2g:>4b332wi=?=50;094?6|,:ko6N4k<1/?h758d9'5g`=0e<>>:18'7`?=99l07b?ld;29 6c>28n?7E=j8:9~f44329086=4?{%1b`?7c92B8i?5G3b78 6c>28n;7)?mf;6a0>o68;0;6)=j9;33b>=n9991<7*84?:283>5}#;hn1?<5G3d08L6e23-9n57=?;%3ab?2e<2c:07pl>2783>6<729q/?lj5309K7`4<@:i>7)=j9;13?!7en3>i86g>0383>!5b13;;j65f11194?"4m00:5<#;l31=i:4;|`262<72=0;6=u+3`f95a4<@:o97E=l5:&0a<<6l91/=oh54c68m465290/?h7511d8?l77;3:1(>k6:02e?>o68=0;6)=j9;33b>=h9jn1<7*53;294~"4im08=6F>4$0`e>1d33`;;>7>5$2g:>46a32c:<>4?:%1f=?77n21d=nj50;&0a<<6l=10qo?<5;296?6=8r.8mi4>139K7`4<@:i>7)=j9;:f?!7en3>i86g>0083>!5b13;;j65`1bf94?"4m00:h95G3d:8?xd6;?0;6?4?:1y'7db=9880D>k=;I1`1>"4m003i6*>bg87f1=n99;1<7*ok:031?M5b:2B8o85+3d;9<`=#9kl18o:4i022>5<#;l31==h4;n3``?6=,:o26=zj8936=4=:183!5fl3;:>6F1d33`;;=7>5$2g:>46a32e:oi4?:%1f=?7c<2B8i554}c30=?6=:3:1m:;%1f=?>b3-;ij7:m4:k244<72-9n57??f:9l5fb=83.8i44>d59K7`><3th:?l4?:383>5}#;hn1=<<4H2g1?M5d=2.8i447e:&2fc<3j=1b==?50;&0a<<68o10c0D>k7;:a56d=8381<7>t$2cg>4753A9n>6Fk6:0f7?M5b021vn<=l:180>5<7s-9jh7=>;I1f6>N4k<1/?h75319'5g`=0e<>=:18'7`?=99l07d??3;29 6c>28:m76a>ce83>!5b13;o865rb00`>5<4290;w)=nd;12?M5b:2B8o85+3d;975=#9kl18o:4i021>5<#;l31==h4;h337?6=,:o26<>i;:m2ga<72-9n57?k4:9~f44c29086=4?{%1b`?7c92B8i?5G3b78 6c>28n;7)?mf;6a0>o68;0;6)=j9;33b>=n9991<7*h4?:483>5}#;hn1?>5G3d08L6e23-9n57=?;%3ab?2e<2c:;:18'7`?=99l07d??5;29 6c>28:m76a>ce83>!5b13;o865rb00e>5<4290;w)=nd;3g5>N4m;1C?n;4$2g:>4b73-;ij7:m4:k247<72-9n57??f:9j555=83.8i44>0g98k4ec290/?h751e68?xd6;90;6>4?:1y'7db=;81C?h<4H2a6?!5b139;7)?mf;6a0>o68;0;6)=j9;33b>=n9991<7*5}#;hn1=i?4H2g1?M5d=2.8i44>d19'5g`=0e<>=:18'7`?=99l07d??3;29 6c>28:m76a>ce83>!5b13;o865rb011>5<4290;w)=nd;3g5>N4m;1C?n;4$2g:>4b73-;ij7:m4:k247<72-9n57??f:9j555=83.8i44>0g98k4ec290/?h751e68?xd6;:0;6>4?:1y'7db=;81C?h<4H2a6?!5b139;7)?mf;6a0>o68;0;6)=j9;33b>=n9991<7*5}#;hn1=i?4H2g1?M5d=2.8i44>d19'5g`=0e<>=:18'7`?=99l07d??3;29 6c>28:m76a>ce83>!5b13;o865rs3694?4|V;>01?:52558yv402909w0<;:`7897e=9jn0q~<7:18184?28io70v3=9;3``>;5j3;;>6s|2`83>7}::h0:oi522c8246=z{;h1<75<4s4=>6009~w=>=838p15652558923=9990q~66:18b8>?20;0199j:838915c20;019<7:838916020;01>j=:83897`520;01<8k:8389d1=18164l4>ce9~w=d=838p15l52558923=9980q~6l:1808>e2h?01565a49>3j77>;<`0><7<5j=15<52c28:5>;en33:70lm:838915320;01<<6:838972=1816=<:5909>b0<>9279j>461:?;f??6349o?77>;<7<5l<15<5219:9=4=:91l15<521849=4=:9h>15<528`8244=z{091<7;t^8189c0=99;011b8244=z{h=1<7=t=`59611<58336<>>;<322?7792wxm54?:2y>e=<5<>16=4m51138947?28::7p}n9;297~;f03k>70o8:`789dg=9jn0q~ok:181[gc34l?6l;4}rcf>5dd<5m:1===4=d:9557<5=3m6<>:;<6:1?77:27?5:4>009>`d<68;16hn4>009>f2<6881vo:50;0x9g5=i<16nl4>ce9~wg3=838p1o;51bf89g>=9990q~l9:1818d128io70l6:021?xue?3:1>v3m7;3``>;e13;;?6s|b983>7}:j10:oi52b`8247=z{k31<75de<5m:1==<4=dc9557<5=k;6<>=;<6:1?77;27?5;4>009>`d<68:16ho4>009>f3<68;1vom50;0x9gd=i<16nh4>ce9~wgb=838p1oj51bf89gc=9990q~l?:18:8da2;><70ml:`a89a4=99801hm5113891g728:870:65;330>;3110:<<52dg8244=:j?0:<>5rsb294?4|5kl1m852c382ga=z{j;1<75de<5m>1==<4=d`9557<5=k:6<>>;<6:e?77927oi7??1:?a2?77<2wxo94?:3y>g6ce9>g3<68:1vo<50;:x9f1=:==01nk5ab9>aa<68:168l<5113891?>28::70jn:027?8bc28::70l9:026?xud03:1>v3l7;c6?8ef28io7p}l9;296~;d13;hh63la;337>{tko0;6>u2cb8bf>;c83;hh63k2;337>{tl80;6>u2ce8bf>;c:3;hh63k4;337>{tl:0;6?u2cd8bf>;c<3;hh6s|d483>0}:k00:<<52c48244=:k80:<<52be8244=:l00:oi5rse494?4|5m<1=nj4=d295554ec34n36<><;|qg`d<6km16h:4>039~wad=838p1il51bf89`7=9990q~jl:1818bd28io70k>:021?xucl3:1>v3kd;3``>;b93;;86s|dd83>7}:ll0:oi52d98247=z{ml1<75<5s4o;64653tyn=7>52z?f5?7dl27o;7??3:pa7<72;q6oo4<8g9>f`<68;1vh=50;0x9fe=;1l01n<51108yvc32909w0mk:2:e?8e128:97p}j5;296~;dm393j63la;336>{tm?0;6>u2e78102=:90o1==?4=03b>4663tyn;7>52z?f2?g234oo6a<<6km16ii4>059~w`g=838p1ho51bf89`b=99<0q~km:1818ce28io70kk:026?xubk3:1>v3jc;3``>;bl3;;;6s|f583>1}:n<0j963i4;073>;c>3;;=63m5;335>{tn<0;6>u2f48102=:m90:5<3sW;:863>158102=:<0l1==<4=5;6>4623ty:=84?:5y>541=9jn01<<;:021?87413;;=63>2e8247=z{8;=6=4={<322?7dl27:=i4>039~w47?2909w0?>8;3``>;69m0:<>5rs03:>5<5s4;:57?ld:?25c<68;1v1d8247=z{8;i6=4={<32f?7dl27:=h4>029~w47d2909w0?>c;3``>;69>0:<>5rs03g>5<5s4;:h7?ld:?25c<68:1v1g8241=z{8;m6=4={<32b?7dl27:=:4>039~w4472909w0?>4;c6?875?3;hh6s|13394?4|588:6{t9;91<74ec34;997??3:p572=838p1<<;:0ag?875>3;;?6s|13794?4|588>6{t9;31<7=t^00:?875138?;63>328247=z{88j6=4={<31=?g234;887?ld:p57d=838p1<6s|13a94?4|588h6{t9;o1<74ec34;887??3:p57`=838p1<6s|12294?4|589;6?50;0x945628io70?<2;337>{t9:81<74ec34;8?7??3:p565=838p1<=<:0ag?874<3;;>6s|12794?4|589>6850;0x945128io70?=c;337>{t9:=1<74ec34;9h7??3:p56>=838p1<=7:0ag?87483;;?6s|12;94?4|58926o50;0x945f28io70?=e;330>{t9:h1<74ec34;9i7??5:p56e=838p1<=l:0ag?875n3;;?6s|14`94?4|V8?i70:;4;336>{t9?;1<77p}>6e83>1}:9?n1>994=0cf>46534826<>=;<316?77:2wx=5650;fx94>?2;><70?nb;335>;6180:<;<61f?77;279m7??1:?755<68;1689l51138913628:970?=3;335>;6:k0:52z?2<=ce9~w4>f2909w0?7a;3``>;60j0:<>5rs0:a>5<5s4;3n7?ld:?28d8247=z{82o6=4={<3;`?7dl27:4h4>029~w4>a290nw0?7f;073>;6i00:<<521c29554<583?6<>=;<3;g?77:27957??3:?74a<688168>751138912d28::70:;d;335>;6:80:<<521309555<589=6<>>;<30g?77:2wx=4>50;0x94>a2h?01<7::0ag?xu6180;6?u218395fb<58386<><;|q2=7<72;q6=4<51bf894?328:87p}>9283>7}:9091=nj4=0;6>4653ty:594?:3y>5<2=9jn01<7::020?xu61?0;6ku21849611<58k=6<>>;<3b`?77:27:5>4>039>5=b=998019i3;;>63=8;337>;38o0:<<524259557<5=>m6<>>;<312?77:27:?:4>009>57e=99801<0;6?u21849e0=:90h1=nj4}r3:ce9>5d7=9980q~?69;296~;6100:oi5218c955552z?2=d<6km16=4l51118yv7>k3:1>v3>9b82ga=:9h;1===4}r3:`?6=:r7:5i4>ce9>5d5=9980q~?6e;296~;61l0:oi521`0955452z?2=c<6km16=l<51118yv7f83:1>v3>a182ga=:9031===4}r3b5?6=:r7:m<4>ce9>5d5=9990q~?n2;296~;6i;0:oi521`1955252z?2e6<6km16=4751108yv7f<3:1==;<3:5?77;27:4l4>039>5=d=99;0199c8247=::10:>;<67009>571=99901<3`8244=z{8k>6=4={<3b0?g234;j47?ld:p5d0=838p128io70?nd;337>{t9hk1<74ec34;ji7??3:p5dd=838p1{t9ho1<74ec34;i<7??3:p5d`=838p16s|1c294?4|58h;6397??2:p5gb=839pR029~w7`72908w0;61o0:<<5210`95577>52z?1b7<5<>16>k?51118yv4a;3:1>v3=f28102=::o;1==<4}r0e0?6=;r79j>4n5:?1b7ce9~w6b52908w0=k2;073>;31o0:<952487955053z?0`6<5<>1684h5111891?228:<7p}6}:;m91m8523e09e0=:;m?1=nj4}r633?6=:rT?<:524159611;o7>52z?742ce9~w16c2909w0:?d;3``>;3990:<>5rs52f>5<5s4>;i7?ld:?754<68;1v9>i:181827n3;hh63;108241=z{=;;6=4={<624?7dl27?=<4>029~w1752908wS:>2:?0a646634;8n7??1:p076=838pR94663ty?>54?:3y]07><5=836?:8;|q76d<72;q68?65a49>07c=9jn0q~:=b;296~;3:k0:oi5243g95549o7>52z?76f<6km168?j51118yv25l3:1>v3;2e82ga=:<;o1===4}r600?6=:rT??95242696118:7>52z?771ce9~w1502909w0:<7;3``>;3;k0:<>5rs51;>5<5s4>847?ld:?77g<68;1v9=6:18182413;hh63;3c8241=z{=9j6=4={<60e?7dl27??n4>029~w15e2909w0:;3;j0:5<5sW>8h63;3e8102=z{=>96=4={<670?7dl27?8;4>009~w1242909w0:;3;3``>;3<=0:<>5rs566>5<5s4>8h7o:;<672?7dl2wx89950;1x912428::70:74;335>;35<5s4>?47?ld:?717<68;1v9:6:18182313;hh63;4`8246=z{=>i6=4={<67f?7dl27?9=4>039~w12d2909w0:;c;3``>;3=90:<>5rs56g>5<5s4>?h7?ld:?714<68:1v9:j:181823m3;hh63;488247=z{=>m6=4={<67b?7dl27?844>059~w1372909w0::0;3``>;3=;0:<>5rs572>5<5s4>>=7?ld:?70<<68:1v9;=:181822:3;hh63;4`8247=z{===6=4={_642>;5n<0:<<5rs55f>5<5sW>009~w1>32909w0:74;3``>;30<0:<>5rs5:5>5<5s4>a34>3j7<;7:?f=?7792wx84<50;0x91>a2h?0197<:0ag?xu31=0;6?u249d9=0=:<0n1=nj4}r6:1?6=:r7?584>ce9>02;7>52z?7=2<6km1684l51108yv2>03:1>v3;9982ga=:<0h1==:4}r6:=?6=:r7?544>ce9>02n7>52z?7=g<6km1684m51118yv2>k3:1>v3;9b82ga=:<0n1===4}r6:a?6=:r7?5>4>009>0d3=9jn0q~:6f;296~;31o0:oi524`79554j<7>52z?7e5<6km168l:51108yv2f93:1>v3;a082ga=:ce9>0d5=9990q~:n3;296~;3i:0:oi524`69555j87>52z?7e1<6km168l;51118yv2f>3:1>vP;a79>7a3=99;0qpl2<4i39owE=l5:&0ea<>m2.:nh4>o4>oa03:17b=ne;29?j5ei3:17d7;:188f6c4290:6=4?{%1b`?7782B8i?5G3b78 6c>2:l;7)?mf;6a0>ian3:1(>k6:0f7?>{e;ho1<7;52;4x 6gc2ok0D>k=;I1`1>"6jo0?n95U3`a95~?>2tc297>5;h;g>5<>i5<>0;66l=4683>3<729q/?h75fb9Y7de=9r326pg>0g83>!5b13;;j65f9483>!5b133>76g66;29 6c>20<07d=66;29 6c>2:3=76g=fd83>!5b138mi65`1e694?"4m00:h954}c1f2?6=93:11<7*52z\102=::==1=i:4}rc6>5<5sWk>70<;7;33b>{t1m0;6?uQ9e9>611=:oo0q~7::181[?2348?;77:;|q:5?6=:rT2=63=468:2>{t99:1<76?1349n:7?k4:~f6de290>6?49{%1b`?`f3A9n>6F0V>ol:0y:=?{n1<0;66g6d;29?l?62900el;50;9l611=831i>9950;494?6|,:o26km4Z2c`>4}>13wb==h50;&0a<<68o10e4;50;&0a<<>=21b5;4?:%1f=??132c85;4?:%1f=?5>>21b>kk50;&0a<<5nl10c07pl4<729q/?h753g28k4b3290/?h751e68?xu5<>0;6?uQ2558972028n?7p}n5;296~Xf=2798:4>0g9~w7`b3ty297>52z\:1>;5<>0296s|9083>7}Y1816>995979~w4672909w0<;7;1:2>;4m?0:h95r}c14N4m;1C?n;4$032>3=#9kl18o:4Z2c`>4}>13wb584?::k:`?6=3`3:6=44i`794?=h:==1<75m25594?0=83:p(>k6:ga8^6gd28q257sf11d94?"4m00:!5b133=76g<9783>!5b1392:65f2gg94?"4m009jh54o0f7>5<#;l31=i:4;|`0a3<7280;6=u+3d;97c6<6=2798:465:p=4<72;qU5<522559=3=z{8:;6=4={<073?5>>278i;4>d59~yg5ei3:197<56z&0ea>o>93:17do::188k7202900n?:8:185>5<7s-9n57hl;[1bg?7|100ve<>i:18'7`?=99l07d7::18'7`?=1<10e4850;&0a<<>>21b?4850;&0a<<41?10e?hj:18'7`?=:oo07b?k4;29 6c>28n?76sm3d494?7=83:p(>k6:2d3?j7c<3:1(>k6:0f7?>{t:==1<77}Yi<16>99511d8yv?c2909wS7k;<073?4am2wx584?:3y]=0=::==1585rs8394?4|V0;01?:8:848yv7783:1>v3=4680=3=:;l<1=i:4}|`011<72:0969u+3`f9b<=O;l80D>m:;%325?0<,8hm69l;;[1bg?7|100ve4?50;9je0<722e98:4?::`102<72<096;u+3`f9bg=#9kl18o:4Z2c`>4}>13wd>9950;9j67`=831bm84?::k:`?6=3`3:6=44bg`94?0=83:p(>k6:ga8^6gd28q257sf11d94?"4m00:!5b133=76g<9783>!5b1392:65f2gg94?"4m009jh54o0f7>5<#;l31=i:4;|`0a0<7280;6=u+3d;95f`52z\b1>;aj3;;j6s|9083>7}Y1816jo466:p=a<72;qU5i52fc81b`=z{;8m6=4={_01b>;aj392:6s|25594?4|V;><70hm:0f7?xu4k?0;6?u2fc8:1>;4m<0:i=5r}c394?7=83:p(>m;:59'7db=99:0(>k6:2d3?!7en3>i86aif;29 6c>28n?76s|25594?4|V;><70<;7;073>{ti<0;6?uQa49>611=i<1v4?50;0xZ<7<5;><64?4}r094?5|5;><6?{zj:?>6=4<:387!5fl3l27E=j2:J0g0=#98;1:6*>bg87f1=];hi1=v76:|k:5?6=3`k>6=44o364>5<<6=4::385!5fl3li7)?mf;6a0>\4ij0:w475}n073?6=3`89j7>5;hc6>5<>daj3:1:7>50z&0a<{8;9yl77n3:1(>k6:02e?>o>=3:1(>k6:878?l?1290/?h759798m6?1290/?h753848?l4am3:1(>k6:3df?>i6l=0;6)=j9;3g0>=zj:o>6=4>:183!5b13;hj6a>e183>!5b13;o865rs`794?4|Vh?01kl511d8yv?62909wS7>;<07}Y:;l01kl53848yv43?3:1>vP=469>bg<6l=1v>m9:1818`e20?01>k::0g3?x{e93:1=7>50z&0g1<33-9jh7??0:&0a<<4n91/=oh54c68kc`=83.8i44>d598yv43?3:1>vP=469>611=:==0q~o::181[g2348?;7o:;|q:5?6=:rT2=63=468:5>{t:3:1?v3=46816c=::==15i521;de?!5d<3?0qpl<5783>6<52=q/?lj5f89K7`4<@:i>7)?>1;48 4da2=h?7W=nc;3x=<>i5<>0;66l=4683>0<52?q/?lj5fc9'5g`=0V>ol:0y:=?{h:==1<75f23d94?=ni<0;66g6d;29?l?62900nkl50;494?6|,:o26km4Z2c`>4}>13wb==h50;&0a<<68o10e4;50;&0a<<>=21b5;4?:%1f=??132c85;4?:%1f=?5>>21b>kk50;&0a<<5nl10c07pl4<729q/?h751bd8k4c7290/?h751e68?xuf=3:1>vPn5:?ef?77n2wx5<4?:3y]=4=:nk02:6s|9e83>7}Y1m16jo4=fd9~w74a2909wS<=f:?ef?5>>2wx>9950;0xZ72034li6h?;%3ab?2e<2emj7>5$2g:>4b332wx>9950;0xZ720348?;7<;7:pe0<72;qUm8522559e0=z{0;1<73A9n>6F2.:nk4;b59Y7de=9r326pg61;29?lg22900c?:8:188f720290>6?49{%1b`?`e3-;ij7:m4:X0ef<6s031qb<;7;29?l45n3:17do::188m3:1\4ij0:w475}h33b?6=,:o26<>i;:k:1?6=,:o264;4;h;5>5<#;l315;54i2;5>5<#;l31?484;h0ea?6=,:o26?hj;:m2`1<72-9n57?k4:9~f6c2290:6=4?{%1f=?7dn2e:i=4?:%1f=?7c<21vl;50;0xZd3<5oh1==h4}r;2>5<5sW3:70hm:848yv?c2909wS7k;7`b3ty9>k4?:3y]67`<5oh1?484}r073?6=:rT98:52fc82`1=z{:i=6=4={<3<5:o>66=4={_c6?843?3k>7p}61;296~X>92798:461:p6?6=;r798:4=2g9>611=1m16=7hi;%1`0?31}#;hn1j45G3d08L6e23-;:=784$0`e>1d33S9jo7?t988~m<7=831bm84?::m102<722h98:4?:481>3}#;hn1jo5+1cd90g2?h50;9je0<722c2h7>5;h;2>5<2oi0V>ol:0y:=?{n99l1<7*=n1?0;6)=j9;;5?>o41?0;6)=j9;1:2>=n:oo1<7*5}#;l31=nh4o0g3>5<#;l31=i:4;|qb1?6=:rTj963ib;33b>{t180;6?uQ909>bg<>>2wx5i4?:3y]=a=:nk09jh5rs30e>5<5sW89j63ib;1:2>{t:==1<77}:nk029632:l;7)?mf;6a0>ian3:1(>k6:0f7?>{t:==1<77}Yi<16>995a49~w<7=838pR4?4=364><774a348?;77k;<39bc=#;j>196srb27:>5<42;0?w)=nd;d:?M5b:2B8o85+10392>"6jo0?n95U3`a95~?>2tc2=7>5;hc6>5<<6=44b364>5<22;0=w)=nd;da?!7en3>i86T5;h01b?6=3`k>6=44i8f94?=n180;66lib;292?6=8r.8i44ic:X0ef<6s031qd??f;29 6c>28:m76g65;29 6c>20?07d79:18'7`?=1?10e>79:18'7`?=;0<07d2;ln76a>d583>!5b13;o865rb2g6>5<6290;w)=j9;3`b>i6m90;6)=j9;3g0>=z{h?1<7:181[?634li6484}r;g>5<5sW3o70hm:3df?xu5:o0;6?uQ23d89cd=;0<0q~<;7;296~X5<>16jo4>d59~w6e12909w0hm:87896c228o;7psm1;295?6=8r.8o94;;%1b`?7782.8i440ckh50;&0a<<6l=10q~<;7;296~X5<>16>9952558yvg22909wSo:;<073?g23ty2=7>52z\:5>;5<>02=6s|2;297~;5<>09>k522559=a=:93lm7)=l4;78yxd4=h0;6>4=:5y'7db=n01C?h<4H2a6?!7693<0(>d5<>0;684=:7y'7db=nk1/=oh54c68^6gd28q257s`25594?=n:;l1<75fa483>>o>l3:17d7>:188fcd=83<1<7>t$2g:>ce0g98m<3=83.8i4465:9j=3<72-9n5779;:k0=3<72-9n57=66:9j6cc=83.8i44=fd98k4b3290/?h751e68?xd4m<0;6<4?:1y'7`?=9jl0c07p}n5;296~Xf=27mn7??f:p=4<72;qU5<52fc8:2>{t1m0;6?uQ9e9>bg<5nl1v?4b33ty8o;4?:3y>bg<>=278i84>e19~yg7=83;1<7>t$2a7>1=#;hn1==>4$2g:>6`73-;ij7:m4:meb?6=,:o26;m:180>7<3s-9jh7h6;I1f6>N4k<1/=xo>93:17do::188k7202900n?:8:186>7<1s-9jh7hm;%3ab?2e<2P8mn4>{8;9yj43?3:17d<=f;29?lg22900e4j50;9j=4<722hmn7>56;294~"4m00mo6T5$2g:>46a32c297>5$2g:><3<3`3=6=4+3d;9=3=5$2g:>7`b32e:h94?:%1f=?7c<21vn>k::182>5<7s-9n57?lf:m2a5<72-9n57?k4:9~wd3=838pRl;4=g`955`?h4=g`97<052z\102=:nk0:h95rs2a5>5<5s4li64;4=2g6>4c73twi=7>51;294~"4k=0?7)=nd;334>"4m008j=5+1cd90g252z\102=::==1>994}rc6>5<5sWk>70<;7;c6?xu>93:1>vP61:?102<>92wx>7>53z?102<5:o16>9959e9>5?`a3-9h87;4}|`027<72:0:6>uG3b78 6gc28i87dom:188mde=831d?5h50;9a7`c=8391<7>t$2cg>6c63A9n>6Fk6:02e?>o68:0;6)=j9;33b>=h9jn1<7*7}Yij16?hk51108yv5?n3:1>vP<8g9>7`c=9jn0qpl<6083>6<62:qC?n;4$2cg>4e43`ki6=44i`a94?=h;1l1<75m3dg94?5=83:p(>ok:2g2?M5b:2B8o85+3d;97`6<,8hm69l;;h336?6=,:o26<>i;:k246<72-9n57??f:9l5fb=83.8i44>d598yvge2909wSom;<1fa?77;2wxmn4?:3y]ef=:;lo1==<4}r1;b?6=:rT84k523dg95fb6}O;j?0(>ok:0a0?lge2900elm50;9l7=`=831i?hk50;194?6|,:ko6>k>;I1f6>N4k<1/?h753d28 4da2=h?7d??2;29 6c>28:m76g>0283>!5b13;;j65`1bf94?"4m00:h954}rca>5<5sWki70=je;337>{tij0;6?uQab9>7`c=9980q~=7f;296~X40o16?hk51bf8yxd4=o0;6>4>:2yK7f3<,:ko65<4$0`e>1d33`;;>7>5$2g:>46a32c:<>4?:%1f=?77n21d=nj50;&0a<<6l=10q~om:181[ge349ni7??3:pef<72;qUmn523dg955452z\0uG3b78 6gc28i87dom:188mde=831d?5h50;9a7`c=8391<7>t$2cg>6c63A9n>6Fk6:02e?>o68:0;6)=j9;33b>=h9jn1<7*7}Yij16?hk51108yv5?n3:1>vP<8g9>7`c=9jn0qpl<5e83>6<62:qC?n;4$2cg>4e43`ki6=44i`a94?=h;1l1<75m3dg94?5=83:p(>ok:2g2?M5b:2B8o85+3d;97`6<,8hm69l;;h336?6=,:o26<>i;:k246<72-9n57??f:9l5fb=83.8i44>d598yvge2909wSom;<1fa?77;2wxmn4?:3y]ef=:;lo1==<4}r1;b?6=:rT84k523dg95fb6}O;j?0(>ok:0a0?lge2900elm50;9l7=`=831i?hk50;194?6|,:ko6>k>;I1f6>N4k<1/?h753d28 4da2=h?7d??2;29 6c>28:m76g>0283>!5b13;;j65`1bf94?"4m00:h954}rca>5<5sWki70=je;337>{tij0;6?uQab9>7`c=9980q~=7f;296~X40o16?hk51bf8yxd4000;6?4?:1y'7db=9880D>k=;I1`1>"4m003i6*>bg87f1=n99;1<7*ok:031?M5b:2B8o85+3d;9<`=#9kl18o:4i022>5<#;l31==h4;n3``?6=,:o26=zj:hn6=4<:183!5fl3;o=6F07pl<7b83>6<729q/?lj51e38L6c53A9h96*5<#;l31==h4;h337?6=,:o26<>i;:m2ga<72-9n57?k4:9~f61f29096=4?{%1b`?76:2B8i?5G3b78 6c>21o0(k6:02e?>i6km0;6)=j9;3g0>N4m110qo=95;297?6=8r.8mi4<1:J0a7=O;j?0(>k6:228 4da2=h?7d??2;29 6c>28:m76g>0283>!5b13;;j65`1bf94?"4m00:h954}c153?6=;3:1N4m;1C?n;4$2g:>66<,8hm69l;;h336?6=,:o26<>i;:k246<72-9n57??f:9l5fb=83.8i44>d598yg5113:1?7>50z&0ea<492B8i?5G3b78 6c>2::0(k6:02e?>o68:0;6)=j9;33b>=h9jn1<7*53;294~"4im08=6F>4$0`e>1d33`;;>7>5$2g:>46a32c:<>4?:%1f=?77n21d=nj50;&0a<<6l=10qo=9d;297?6=8r.8mi4<1:J0a7=O;j?0(>k6:228 4da2=h?7d??2;29 6c>28:m76g>0283>!5b13;;j65`1bf94?"4m00:h954}c15b?6=;3:1N4m;1C?n;4$2g:>66<,8hm69l;;h336?6=,:o26<>i;:k246<72-9n57??f:9l5fb=83.8i44>d598yg51;3:1>7>50z&0ea<69;1C?h<4H2a6?!5b132n7)?mf;6a0>o6880;6)=j9;33b>=h9jn1<7*6<729q/?lj5309K7`4<@:i>7)=j9;13?!7en3>i86g>0383>!5b13;;j65f11194?"4m00:5<#;l31=i:4;|`00g98k4ec290/?h751e68L6c?32wi?5j50;194?6|,:ko6>?4H2g1?M5d=2.8i44<0:&2fc<3j=1b==<50;&0a<<68o10e<><:18'7`?=99l07b?ld;29 6c>28n?76sm39g94?5=83:p(>ok:238L6c53A9h96*"6jo0?n95f11094?"4m00:5<#;l31==h4;n3``?6=,:o26t$2cg>4753A9n>6Fk6:0f7?M5b021vn>9i:181>5<7s-9jh7?>2:J0a7=O;j?0(>k6:9g8 4da2=h?7d??1;29 6c>28:m76a>ce83>!5b13;o86F7>50z&0ea<69;1C?h<4H2a6?!5b132n7)?mf;6a0>o6880;6)=j9;33b>=h9jn1<7*7<729q/?lj51008L6c53A9h96*"6jo0?n95f11394?"4m00:5<#;l31=i:4H2g;?>{e;181<7<50;2x 6gc28;97E=j2:J0g0=#;l314h5+1cd90g25$2g:>4b33A9n465rb2:0>5<5290;w)=nd;326>N4m;1C?n;4$2g:>=c<,8hm69l;;h335?6=,:o26<>i;:m2ga<72-9n57?k4:J0a==52;294~"4im0:=?5G3d08L6e23-9n576j;%3ab?2e<2c:<<4?:%1f=?77n21d=nj50;&0a<<6l=1C?h64;|`0<0<72;0;6=u+3`f9544<@:o97E=l5:&0a<0g98k4ec290/?h751e68L6c?32wi?5850;;94?6|,:ko6>84H2g1?M5d=2.8i44<0:&2fc<3j=1b==<50;&0a<<68o10e<><:18'7`?=99l07d??4;29 6c>28:m76g>0483>!5b13;;j65f11494?"4m00:5<#;l31==h4;h33i;:k24<<72-9n57??f:9l5fb=83.8i44>d598yg5e83:1>7>50z&0ea<69;1C?h<4H2a6?!5b132n7)?mf;6a0>o6880;6)=j9;33b>=h9jn1<7*7<729q/?lj51008L6c53A9h96*"6jo0?n95f11394?"4m00:5<#;l31=i:4H2g;?>{e;k91<7<50;2x 6gc28;97E=j2:J0g0=#;l314h5+1cd90g25$2g:>4b33A9n465rb2`7>5<5290;w)=nd;326>N4m;1C?n;4$2g:>=c<,8hm69l;;h335?6=,:o26<>i;:m2ga<72-9n57?k4:J0a==52;294~"4im0:=?5G3d08L6e23-9n576j;%3ab?2e<2c:<<4?:%1f=?77n21d=nj50;&0a<<6l=1C?h64;|`0f3<72;0;6=u+3`f9544<@:o97E=l5:&0a<0g98k4ec290/?h751e68L6c?32wi?o950;094?6|,:ko6N4k<1/?h758d9'5g`=0e<>>:18'7`?=99l07b?ld;29 6c>28n?7E=j8:9~f6d?29096=4?{%1b`?76:2B8i?5G3b78 6c>21o0(k6:02e?>i6km0;6)=j9;3g0>N4m110qo=m9;29k6:228 4da2=h?7d??2;29 6c>28:m76g>0283>!5b13;;j65f11694?"4m00:5<#;l31==h4;h332?6=,:o26<>i;:k242<72-9n57??f:9j55>=83.8i44>0g98k4ec290/?h751e68?xd4j80;6>4?:1y'7db=9m;0D>k=;I1`1>"4m00:h=5+1cd90g25$2g:>46a32e:oi4?:%1f=?7c<21vn>m?:180>5<7s-9jh7=>;I1f6>N4k<1/?h75319'5g`=0e<>=:18'7`?=99l07d??3;29 6c>28:m76a>ce83>!5b13;o865rb2a2>5<5290;w)=nd;326>N4m;1C?n;4$2g:>=c<,8hm69l;;h335?6=,:o26<>i;:m2ga<72-9n57?k4:J0a==7>53;294~"4im0:h<5G3d08L6e23-9n57?k0:&2fc<3j=1b==<50;&0a<<68o10e<><:18'7`?=99l07b?ld;29 6c>28n?76s|9583>2}Y1=16?ol5a49>7dc=i<16?oo5a49>7gb=99;01>l7:022?85d93;;=6s|f983>a}Yn116?lk5909>7gd=1816?:65909>7gg=1816?8:5909>703=1816?885909>701=1816?865909>70?=1816?8o5909>70d=181v7}Y;=h01>9l:021?xu4464349=?7??1:?03`<68816?o>51138yv53l3:19v3<548102=:;>;<1a6?7792wx?9k50;7x96312;><70=:d;c`?851?3;;>63<818244=:;k91==?4}r17b?6==r789:4=469>70c=ij16?;75110896>628::70=m4;335>{t;<:1<7;t=27;>720349>j7ol;<15f?77:2784?4>009>7g0=99;0q~=:1;292~;4=0098:523729ef=:;?n1==<4=2:g>4653493?7??1:?0f0<6881v>;=:185852i38?;63<608bg>;4>o0:>;<1a3?7792wx?8=50;6x963e2;><70=92;c`?85?j3;;>63<848244=z{:89:180852m3ki70=97;3``>;4>00:<>5rs24;>5<4s49>j7om;<15=?7dl278:o4>029~w60f2908w0=90;ca?851j3;hh63<6e8246=z{:8j:181851:3ki70=9f;3``>{t;>:1<7d3<5:<8670e=;1l0q~=82;296~;4=?0j963<5e80i7=7f:p722=838p1>;7:`78963a2:2m7p}<7483>7}:;<31m85237297=`52z?01d009~w61>2909w0=88;c6?850i3;hh6s|36`94?4|5:=364;4=25`>4ec3ty8;i4?:4y>7gc=99801>9l:020?850i3;;=63<8782ga=:;j:1==<4}r14a?6=:r78;h4>ce9>7=0=9980q~=8f;296~;4?o0:oi52394955552z?0<5<6km16?5851168yv5?93:1>v3<8082ga=:;1<1==;4}r1;6?6=:r784?4>ce9>7=0=99<0q~=73;296~;40:0:oi52394955152z?0<1<6km16?58511:8yv5?=3:1>v3<8482ga=:;1<1==74}r1;52z\0ce9>7=c=9980q~=7c;296~;40j0:oi5239f955552z?0vP7dc=:==0q~=nf;296~;4il02963039~w6d52909w0=m2;3``>;4j00:<>5rs2`0>5<5s49i?7?ld:?0f<<68=1v>l;:18185e<3;hh636=4={<1a1?7dl278n44>069~w6d12909w0=m6;3``>;4j00:<;5rs2`4>5<5s49i;7?ld:?0f<<6811v>l7:18185e03;hh63039~w6df2909wS=ma:?0fd<5<>1v>lm:18185ej38?;63lk:0ag?85em3;;?6s|3cd94?4|5:hj64;4=2a1>4ec3ty8o=4?:3y>7f6=9jn01>m=:021?xu4k80;6?u23b395fb<5:i96<><;|a053=8381<7>t$2cg>4753A9n>6Fk6:0f7?M5b021vn>h=:181>5<7s-9jh7?>2:J0a7=O;j?0(>k6:9g8 4da2=h?7d??1;29 6c>28:m76a>ce83>!5b13;o86F50z&0ea<492B8i?5G3b78 6c>2::0(k6:02e?>o68:0;6)=j9;33b>=h9jn1<7*;<7>52;294~"4im0:=?5G3d08L6e23-9n576j;%3ab?2e<2c:<<4?:%1f=?77n21d=nj50;&0a<<6l=1C?h64;|`744<72;0;6=u+3`f9544<@:o97E=l5:&0a<0g98k4ec290/?h751e68L6c?32wi8=<50;194?6|,:ko6>?4H2g1?M5d=2.8i44<0:&2fc<3j=1b==<50;&0a<<68o10e<><:18'7`?=99l07b?ld;29 6c>28n?76sm3gc94?4=83:p(>ok:031?M5b:2B8o85+3d;9<`=#9kl18o:4i022>5<#;l31==h4;n3``?6=,:o26=zj:li6=4<:183!5fl3;o=6F07pl6c;296?6=8r.8mi4>0d9K7`4<@:i>7)=j9;:f?M74n2.:n<4>0g9'5g`=0e<>>:18'7`?=99l07b?ld;29 6c>28n?76sm41;94?4=83:p(>ok:02f?M5b:2B8o85+3d;9<`=O9:l0(:02e?!7en3>i86g>0083>!5b13;;j65`1bf94?"4m00:h954}c6;4?6=:3:1m:;%1f=?>b3A;8j6*>b0824c=#9kl18o:4i022>5<#;l31==h4;n3``?6=,:o26t$2cg>46b3A9n>6Fh4$0`2>46a3-;ij7:m4:k244<72-9n57??f:9l5fb=83.8i44>d598yg20?3:1>7>50z&0ea<68l1C?h<4H2a6?!5b132n7E?k6:0f7?>{e<;>1<7<50;2x 6gc28:n7E=j2:J0g0=#;l314h5G12d8 4d628:m7)?mf;6a0>o6880;6)=j9;33b>=h9jn1<7*jo7>52;294~"4im0:"6j80:5$2g:>4b332wi8>h50;094?6|,:ko6<>j;I1f6>N4k<1/?h758d9K56`<,8h:6<>i;%3ab?2e<2c:<<4?:%1f=?77n21d=nj50;&0a<<6l=10qo77:181>5<7s-9jh7??e:J0a7=O;j?0(>k6:9g8L45a3-;i=7??f:&2fc<3j=1b==?50;&0a<<68o10c07pl6a;296?6=8r.8mi4>0d9K7`4<@:i>7)=j9;:f?M74n2.:n<4>0g9'5g`=0e<>>:18'7`?=99l07b?ld;29 6c>28n?76smf283>7<729q/?lj511g8L6c53A9h96*N6;o1/=o?511d8 4da2=h?7d??1;29 6c>28:m76a>ce83>!5b13;o865rb5ce>5<5290;w)=nd;33a>N4m;1C?n;4$2g:>=c<@89m7)?m1;33b>"6jo0?n95f11394?"4m00:5<#;l31=i:4;|`56?6=:3:1m:;%1f=?>b3A;8j6*>b0824c=#9kl18o:4i022>5<#;l31==h4;n3``?6=,:o26i;:m2ga<72-9n57?k4:9~f36=8381<7>t$2cg>46b3A9n>6Fh4$0`2>46a3-;ij7:m4:k244<72-9n57??f:9l5fb=83.8i44>d598yg3a29096=4?{%1b`?77m2B8i?5G3b78 6c>21o0D<=i;%3a5?77n2.:nk4;b59j557=83.8i44>0g98k4ec290/?h751e68?xd2l3:1>7>50z&0ea<68l1C?h<4H2a6?!5b132n7E?k6:0f7?>{e=j0;6?4?:1y'7db=99o0D>k=;I1`1>"4m003i6F>3g9'5g7=99l0(k6:02e?>i6km0;6)=j9;3g0>=zjo6880;6)=j9;33b>=h9jn1<7*bg87f1=n99;1<7*57>52;294~"4im0:"6j80:5$2g:>4b332wi954?:383>5}#;hn1==k4H2g1?M5d=2.8i447e:J27c=#9k;1==h4$0`e>1d33`;;=7>5$2g:>46a32e:oi4?:%1f=?7c<21vn8950;094?6|,:ko6<>j;I1f6>N4k<1/?h758d9K56`<,8h:6<>i;%3ab?2e<2c:<<4?:%1f=?77n21d=nj50;&0a<<6l=10qo;9:181>5<7s-9jh7??e:J0a7=O;j?0(>k6:9g8L45a3-;i=7??f:&2fc<3j=1b==?50;&0a<<68o10c07pl:5;296?6=8r.8mi4>0d9K7`4<@:i>7)=j9;:f?M74n2.:n<4>0g9'5g`=0e<>>:18'7`?=99l07b?ld;29 6c>28n?76sm5583>7<729q/?lj511g8L6c53A9h96*N6;o1/=o?511d8 4da2=h?7d??1;29 6c>28:m76a>ce83>!5b13;o865rb7c94?4=83:p(>ok:02f?M5b:2B8o85+3d;9<`=O9:l0(:02e?!7en3>i86g>0083>!5b13;;j65`1bf94?"4m00:h954}c4:>5<5290;w)=nd;33a>N4m;1C?n;4$2g:>=c<@89m7)?m1;33b>"6jo0?n95f11394?"4m00:5<#;l31=i:4;|`5m:;%1f=?>b3A;8j6*>b0824c=#9kl18o:4i022>5<#;l31==h4;n3``?6=,:o26i;:m2ga<72-9n57?k4:9~f30=8381<7>t$2cg>46b3A9n>6Fh4$0`2>46a3-;ij7:m4:k244<72-9n57??f:9l5fb=83.8i44>d598yg0229096=4?{%1b`?77m2B8i?5G3b78 6c>21o0D<=i;%3a5?77n2.:nk4;b59j557=83.8i44>0g98k4ec290/?h751e68?xd1<3:1>7>50z&0ea<68l1C?h<4H2a6?!5b132n7E?k6:0f7?>{e>:0;6?4?:1y'7db=99o0D>k=;I1`1>"4m003i6F>3g9'5g7=99l0(k6:02e?>i6km0;6)=j9;3g0>=zjo6880;6)=j9;33b>=h9jn1<7*9>7>52;294~"4im0:oh5G3d08L6e23-9n576j;I30b>"6j80:h95+1cd90g25$2g:>4b332wi8lo50;094?6|,:ko6N4k<1/?h758d9K56`<,8h:6cd9K7`4<@:i>7)=j9;:f?M74n2.:n<4>d59'5g`=0e<>>:18'7`?=99l07b?ld;29 6c>28n?76sm46794?4=83:p(>ok:0af?M5b:2B8o85+3d;9<`=O9:l0(:0f7?!7en3>i86g>0083>!5b13;;j65`1bf94?"4m00:h954}c65g?6=:3:1m:;%1f=?>b3A;8j6*>b082`1=#9kl18o:4i022>5<#;l31==h4;n3``?6=,:o26t$2cg>4eb3A9n>6Fh4$0`2>4b33-;ij7:m4:k244<72-9n57??f:9l5fb=83.8i44>d598yg27j3:1>7>50z&0ea<6kl1C?h<4H2a6?!5b132n7E?k6:0f7?>{e<891<7<50;2x 6gc28in7E=j2:J0g0=#;l314h5G12d8 4d628n?7)?mf;6a0>o6880;6)=j9;33b>=h9jn1<7*=i7>52;294~"4im0:oh5G3d08L6e23-9n576j;I30b>"6j80:h95+1cd90g25$2g:>4b332wi85<50;094?6|,:ko6N4k<1/?h758d9K56`<,8h:6cd9K7`4<@:i>7)=j9;:f?M74n2.:n<4>d59'5g`=0e<>>:18'7`?=99l07b?ld;29 6c>28n?76sm41194?4=83:p(>ok:0af?M5b:2B8o85+3d;9<`=O9:l0(:0f7?!7en3>i86g>0083>!5b13;;j65`1bf94?"4m00:h954}c632?6=:3:1m:;%1f=?>b3A;8j6*>b082`1=#9kl18o:4i022>5<#;l31==h4;n3``?6=,:o26t$2cg>4eb3A9n>6Fh4$0`2>4b33-;ij7:m4:k244<72-9n57??f:9l5fb=83.8i44>d598yg2513:1>7>50z&0ea<6kl1C?h<4H2a6?!5b132n7E?k6:0f7?>{e<=;1<7<50;2x 6gc28in7E=j2:J0g0=#;l314h5G12d8 4d628n?7)?mf;6a0>o6880;6)=j9;33b>=h9jn1<7*2=7>52;294~"4im0:oh5G3d08L6e23-9n576j;I30b>"6j80:h95+1cd90g25$2g:>4b332wi8>=50;094?6|,:ko6N4k<1/?h758d9K56`<,8h:6cd9K7`4<@:i>7)=j9;:f?M74n2.:n<4>d59'5g`=0e<>>:18'7`?=99l07b?ld;29 6c>28n?76sm40594?4=83:p(>ok:0af?M5b:2B8o85+3d;9<`=O9:l0(:0f7?!7en3>i86g>0083>!5b13;;j65`1bf94?"4m00:h954}c0a=?6=:3:1m:;%1f=?>b3A;8j6*>b082`1=#9kl18o:4i022>5<#;l31==h4;n3``?6=,:o26=8381<7>t$2cg>4eb3A9n>6Fh4$0`2>4b33-;ij7:m4:k244<72-9n57??f:9l5fb=83.8i44>d598yg4bi3:1>7>50z&0ea<6kl1C?h<4H2a6?!5b132n7E?k6:0f7?>{e:l>1<7<50;2x 6gc28in7E=j2:J0g0=#;l314h5G12d8 4d628n?7)?mf;6a0>o6880;6)=j9;33b>=h9jn1<7*52;294~"4im0:oh5G3d08L6e23-9n576j;I30b>"6j80:h95+1cd90g25$2g:>4b332wi>i650;094?6|,:ko6N4k<1/?h758d9K56`<,8h:6cd9K7`4<@:i>7)=j9;:f?M74n2.:n<4>d59'5g`=0e<>>:18'7`?=99l07b?ld;29 6c>28n?76sm2ba94?4=83:p(>ok:0af?M5b:2B8o85+3d;9<`=O9:l0(:0f7?!7en3>i86g>0083>!5b13;;j65`1bf94?"4m00:h954}c0`2?6=:3:1m:;%1f=?>b3A;8j6*>b082`1=#9kl18o:4i022>5<#;l31==h4;n3``?6=,:o26t$2cg>4eb3A9n>6Fh4$0`2>4b33-;ij7:m4:k244<72-9n57??f:9l5fb=83.8i44>d598yg4ei3:1>7>50z&0ea<6kl1C?h<4H2a6?!5b132n7E?k6:0f7?>{e:k81<7<50;2x 6gc28in7E=j2:J0g0=#;l314h5G12d8 4d628n?7)?mf;6a0>o6880;6)=j9;33b>=h9jn1<7*:183!5b138996Fi;%3`5?46=2c9>84?:%1f=?45=21vn>j50;394?6|,:o26?<:;I1`1>N6991/=o?511d8 4e62;;o7d<=5;29 6c>2;8>76sm3d83>4<729q/?h752378L6e23A;:<6*>b0824c=#9j;1=h<4i306>5<#;l31>?;4;|`0b?6=93:1e29j673=83.8i44=2498yg27290:6=4?{%1f=?45=2B8o85G1028 4d628:m7)?l1;3f0>o5:<0;6)=j9;011>=zj=;1<7?50;2x 6c>2;8>7E=l5:J255=#9k;1==h4$0a2>4c23`8997>5$2g:>74232wi8?4?:083>5}#;l31>?;4H2a6?M7682.:n<4>0g9'5f7=9l<0e?<::18'7`?=:;?07pl;3;295?6=8r.8i44=249K7f3<@8;;7)?m1;33b>"6k80:i:5f23794?"4m009>854}c67>5<6290;w)=j9;011>N4k<1C=<>4$0`2>46a3-;h=7?j8:k160<72-9n57<=5:9~f13=83;1<7>t$2g:>7423A9h96F>119'5g7=99l0(:0g:?l45=3:1(>k6:306?>{em:;I324>"6j80:6=4+3d;9673<3th?;7>51;294~"4m009>85G3b78L4773-;i=7??f:&2g4<6mh1b>?;50;&0a<<5:<10qo:7:182>5<7s-9n57<=5:J0g0=O98:0(:02e?!7d93;nn6g=2483>!5b1389965rb5;94?7=83:p(>k6:306?M5d=2B:==5+1c3955`<,8i:67E?>0:&2f4<68o1/=n?51g28m742290/?h752378?xd3k3:1=7>50z&0a<<5:<1C?n;4H033?!7e93;;j6*>c08167=n:;?1<7*o6=4>:183!5b138996Fi;%3`5?45;2c9>84?:%1f=?45=21vn9k50;394?6|,:o26?<:;I1`1>N6991/=o?511d8 4e62;8?7d<=5;29 6c>2;8>76sm4g83>4<729q/?h752378L6e23A;:<6*>b0824c=#9j;1=hm4i306>5<#;l31>?;4;|`64?6=93:1f49j673=83.8i44=2498yg36290:6=4?{%1f=?45=2B8o85G1028 4d628:m7)?l1;3e`>o5:<0;6)=j9;011>=zj<81<7?50;2x 6c>2;8>7E=l5:J255=#9k;1==h4$0a2>76>3`8997>5$2g:>74232wi9>4?:083>5}#;l31>?;4H2a6?M7682.:n<4>0g9'5f7=:9o0e?<::18'7`?=:;?07pl67;295?6=8r.8i44=249K7f3<@8;;7)?m1;33b>"6k80:ih5f23794?"4m009>854}c;:>5<6290;w)=j9;011>N4k<1C=<>4$0`2>46a3-;h=7?i2:k160<72-9n57<=5:9~ft$2g:>7423A9h96F>119'5g7=99l0(:0ge?l45=3:1(>k6:306?>{en;0;6<4?:1y'7`?=:;?0D>m:;I324>"6j80:6=4+3d;9673<3th9854?:083>5}#;l31>?;4H2a6?M7682.:n<4>d59'5f7=:9?0c?<::18'7`?=:;?07pl=4883>4<729q/?h752378L6e23A;:<6*>b082`1=#9j;1>=94o306>5<#;l31>?;4;|`10g<7280;6=u+3d;9673<@:i>7E?>0:&2f4<6l=1/=n?521c8k742290/?h752378?xd5m:;I324>"6j80:h95+1b396556=4+3d;9673<3th98i4?:083>5}#;l31>?;4H2a6?M7682.:n<4>d59'5f7=:9;0c?<::18'7`?=:;?07pl=4d83>4<729q/?h752378L6e23A;:<6*>b082`1=#9j;1=kh4o306>5<#;l31>?;4;|`10c<7280;6=u+3d;9673<@:i>7E?>0:&2f4<6l=1/=n?51gg8k742290/?h752378?xd5=90;6<4?:1y'7`?=:;?0D>m:;I324>"6j80:h95+1b396566=4+3d;9673<3th99<4?:083>5}#;l31>?;4H2a6?M7682.:n<4>d59'5f7=:980c?<::18'7`?=:;?07pl=5383>4<729q/?h752378L6e23A;:<6*>b082`1=#9j;1>=:4o306>5<#;l31>?;4;|`116<7280;6=u+3d;9673<@:i>7E?>0:&2f4<6l=1/=n?52148k742290/?h752378?xd5==0;6<4?:1y'7`?=:;?0D>m:;I324>"6j80:h95+1b3965>6=4+3d;9673<3th8jh4?:083>5}#;l31>?;4H2a6?M7682.:n<4>d59'5f7=:830c?<::18'7`?=:;?07pl;0583>4<729q/?h752378L6e23A;:<6*>b082`1=#9j;1><64o306>5<#;l31>?;4;|`74=<7280;6=u+3d;9673<@:i>7E?>0:&2f4<68o1/=n?51g58m742290/?h752378?xd38h0;6<4?:1y'7`?=:;?0D>m:;I324>"6j80:h95+1b396416=4+3d;9673<3th?=?4?:083>5}#;l31>?;4H2a6?M7682.:n<4>d59'5f7=:8h0c?<::18'7`?=:;?07pl;1783>4<729q/?h752378L6e23A;:<6*>b082`1=#9j;1>=h4o306>5<#;l31>?;4;|`75=<7280;6=u+3d;9673<@:i>7E?>0:&2f4<68o1/=n?52038m742290/?h752378?xd39h0;6<4?:1y'7`?=:;?0D>m:;I324>"6j80:h95+1b395cd6=4+3d;9673<3th?><4?:083>5}#;l31>?;4H2a6?M7682.:n<4>d59'5f7=9o90c?<::18'7`?=:;?07pl;2283>4<729q/?h752378L6e23A;:<6*>b0824c=#9j;1><=4i306>5<#;l31>?;4;|`76=<7280;6=u+3d;9673<@:i>7E?>0:&2f4<6l=1/=n?520c8k742290/?h752378?xd3:o0;6<4?:1y'7`?=:;?0D>m:;I324>"6j80:h95+1b3964c6=4+3d;9673<3th??94?:083>5}#;l31>?;4H2a6?M7682.:n<4>d59'5f7=:8i0c?<::18'7`?=:;?07pl;3d83>4<729q/?h752378L6e23A;:<6*>b0824c=#9j;1=k84i306>5<#;l31>?;4;|`705<7280;6=u+3d;9673<@:i>7E?>0:&2f4<6l=1/=n?52068k742290/?h752378?xd3=h0;6<4?:1y'7`?=:;?0D>m:;I324>"6j80:h95+1b395ce6=4+3d;9673<3th?:o4?:083>5}#;l31>?;4H2a6?M7682.:n<4>d59'5f7=:9i0c?<::18'7`?=:;?07pl;6e83>4<729q/?h752378L6e23A;:<6*>b082`1=#9j;1>=j4o306>5<#;l31>?;4;|`72c<7280;6=u+3d;9673<@:i>7E?>0:&2f4<6l=1/=n?521`8k742290/?h752378?xd3??0;6<4?:1y'7`?=:;?0D>m:;I324>"6j80:6=4+3d;9673<3th?;54?:083>5}#;l31>?;4H2a6?M7682.:n<4>d59'5f7=9ok0c?<::18'7`?=:;?07pl;7c83>4<729q/?h752378L6e23A;:<6*>b082`1=#9j;1=k74o306>5<#;l31>?;4;|`73c<7280;6=u+3d;9673<@:i>7E?>0:&2f4<68o1/=n?51g:8m742290/?h752378?xd3080;6<4?:1y'7`?=:;?0D>m:;I324>"6j80:h95+1b396406=4+3d;9673<3th?5=4?:083>5}#;l31>?;4H2a6?M7682.:n<4>d59'5f7=:8:0c?<::18'7`?=:;?07pl;a883>4<729q/?h752378L6e23A;:<6*>b082`1=#9j;1>?>4o306>5<#;l31>?;4;|`7eg<7280;6=u+3d;9673<@:i>7E?>0:&2f4<68o1/=n?52008m742290/?h752378?xd3il0;6<4?:1y'7`?=:;?0D>m:;I324>"6j80:6=4+3d;9673<3th:o:4?:183>5}#;hn1?l;4H2a0?M5f<2F8m84<{%1b=?4502.8m54=269'7dg=:;30qpl5<729q/?lj53``8 4da2=h?7E=n4:N0ag<4s-9j57<=b:&0e=<5:h1/?lo523a8yxu5=3:1>v3;3890:<<5rs3494?4|5:lo6?:4=522>4663ty9h7>52z?0ba<5l27?<84>009~w6e=839pR>m4=469557<5:i1>?;4}r1g>5<4sW9o70;::022?85c2;8>7p}:7??1:?0a?45=2wx?k4?:2y]7c=:=>0:<<523g8160=z{=:1<7=t^52890>=99;019>52378yv262908wS:>;<7:>46634>:6?<:;|q76?6=;rT?>63:a;335>;3:38996s|4283>6}Y<:169o4>009>06<5:<1v9:50;1xZ12<5>6=4<{_66?83c28::70:::306?xu3>3:1?vP;6:?6a?77927?:7<=5:p02<72:qU8:525g8244=:<>09>85rs5:94?5|V=201;>5113891>=:;?0q~:6:180[2>34<:6<>>;<6:>7423ty?n7>53z\7f>;1:3;;=63;b;011>{tuQ4b9>26<688168n4=249~w1b=839pR9j4=769557<5=n1>?;4}r6f>5<4sW>n708::022?82b2;8>7p};f;297~X3n27=:7??1:?7b?45=2wx9=4?:2y]15=:>>0:<<52518160=z{<;1<7=t^43893>=99;018?52378yv352908wS;=;<4:>46634?96?<:;|q67?6=;rT>?639a;335>;2;38996s|5583>6}:;o<1n63;b08a?83328io7p}:5;297~;4n?0h70:m1;a8903=9jn0q~;9:18085a>3n019l>:e9>13<6km1v8950;1x96`12l168o?5e:?63?7dl2wx954?:2y>7c0=n27?n<4i;<7;>4ec3ty>57>53z?0b3<6827?n<4>0:?6=?7dl2wx9l4?:2y>7c0=98168o?5109>1d<6km1v8l50;1x96`1288019l>:00890d=9jn0q~;l:18085a>3;870:m1;30?83d28io7p}:d;297~;4n?0:863;b0820>;2l3;hh6s|5d83>7}:40<5=h:6<84=4d95fbi=7?7;<43>4ec3ty==7>52z?0b3<6127==7?ld:p27<72;q6?k851c9>27<6km1v;=50;0x91d628i01;=51bf8yv032909w0:m1;3g?80328io7p}95;296~;3j80:i6395;3``>{t>?0;6?u24c395c=:>?0:oi5rs7594?4|5=h:6?>4=7595fb0g7=::16:l4>ce9~w3d=83?p1>h9:7`891d62?h01>hk:7`896`02?h01>h::868yv1b2909w0=id;4e?85a?3=>7p}67;297~X>?27247??1:?:3?45=2wx554?:3y>7ce=1;16554>ce9~w?;4}r;b>5<1s49m:779;<6a5??1349mh779;<1e3?`?349m97h7;<;b>4ec3ty2n7>53z\:f>;>k3;;=636b;011>{t1j0;6?u23ga9=6=:1j0:oi5rsg094?5|Vo801k=511389c4=:;?0q~h<:18185ak3ko70h<:0ag?xu68h0;6?u23g4955g<5:l<6<>n;|q251<72;q6?kj5106896`d28;?7p}>2883>7}:;on1=?74=2d`>44>3ty:8=4?:3y>7c0=9=:01>hk:063?xu6=80;6?u23gf9507<5:lh6<;m;|q21f<72;q6?k8514a896`c28?h7p}>6083>7}:;on1=;?4=2d`>4063ty::h4?:3y>7cb=9?o01>hl:0`g?xu6j;0;6?u23gf95g4<5:lh6964=3`1>4ec348?47<=5:p61?=839pR?:6;<0a9l52378yv43k3:1?vP=4b9>6gg=9jn01?:l:306?xu5uQ25f897e728io70<;d;011>{t:=o1<7=t^36f?84d>3;hh63=4d8160=z{;>m6=4<{_07b>;5kj0:oi5225d9673<7>53z\115=::m81=nj4=373>7423ty99<4?:2y]607<5;n368<50;1xZ735348oi7?ld:?117<5:<1v?;<:180[42;279i94>ce9>605=:;?0q~<:4;297~X5==16>ho51bf897332;8>7p}=5783>7}:;o<1>884=5`2>7313ty9n?4?:3y>0g7=:=201?l=:022?xu5j10;6?u24c3961?<5;h36<>>;|q1f<<72;q68o?525`897d>28::7p}=b`83>7}:9m4=3`b>4663ty9o=4?:3y>0g7=:=n01?m?:022?xu5k?0;6?u24c3961c<5;i=6<>>;|q1gf<72;q68o?525d897ed28::7p}=d383>7}:8>4=3f1>4663ty9h54?:3y>0g7=:<;01?j7:022?xu5ll0;6?u24c39604<5;nn6<>>;|q1a1<72;q68o?5241897c328::7p}=e`83>7}:8:4=3gb>4663ty9j;4?:3y>7c0=:o<019l>:3d5?xu4<<0;6?u23gf9765<5:l<6>=6;|q003<72;q68o?5321896`02:9?7p}<4c83>7}:62e3ty8454?:3y>0g7=;1201>h::2:;?xu40h0;6?u24c397=g<5:l>6>6n;|q0=5<72;q6?k85382896`528::7p}<9683>7}:;o<1?494=2db>4663ty8mh4?:3y>7c3=;ho01>hm:021?xu4jh0;6?u23g797gg<5:l86<>=;|q0b4<72;q6?k95719>7c5=9jn0q~=i2;296~;4n;0:oi523g1955552z?0b2<4;l16?kl51bf8yv5a13:1>v351bf8916528:97p};0183>7}:<9;1=nj4=521>4643ty?<>4?:3y>054=9jn019><:022?xu38=0;6>uQ4168916128io70:?4;011>{t<9<1<74ec34>;:7??1:p05>=839pR9>7;<63=?77927?<54=249~w16>290?w0:m1;633>;4nm0?<:523g59051<5=:264ec34>;m7<=5:p05d=838p1>hl:524?827j3;;=6s|40094?5|V=;970:>3;3``>;39;09>85rs530>5<5s49mo7:>2:?756<6881v9?9:180[26>27?=:4>ce9>040=:;?0q~:>7;296~;4n>0?=9524059557:47>53z\75==:<831==?4=53;>7423ty?=44?:3y>7ce=<8>019?6:0ag?xu39h0;6>uQ40c8917c28io70:>a;011>{t<8n1<717f34>:h7??1:p077=839pR9<>;<616?7dl27?><4=249~w1452909w0=i7;614>;3:;0:<<5rs500>5<4sW>9?63;258244=:<;91>?;4}r610?6=:r78jn4;219>072=9jn0q~:=8;297~X3:1168?751bf8914?2;8>7p};2883>7}:;oi18?64=50:>4663ty?>k4?:2y]07`<5=986=50;0x96`c2=8m70:<3;335>{t<:>1<7=t^517?824=3;hh63;358160=z{=9>6=4={<1eg?24<27??84>009~w15b2908wS:k52378yv24n3:1?v3;b0877a=:;on18>j4=51e>4ec3ty?8=4?:2y]016<5=>:6{t<009~w10e2908wS:9b:?72f<6km168;l52378yv21k3:1>v3;b08716=:6}Y<;7??1:?733<5:<1v998:18085a>3><:63=1=nj4}r646}Y<>h0199k:0ag?820j38996s|46f94?4|5=h:699m;<64`?7792wx8:h50;1xZ11a34>3<7??1:?73c<5:<1v96?:18082e93>528::7p};9183>6}Y<0:0197>:0ag?82>838996s|48394?4|5:lh696i;<6:5?7792wx8l750;1xZ1g>34>jm7?ld:?7e<<5:<1v9on:18185a?3>j:63;a`8244=z{=ki6=4<{_6bf>;3ij0:<<524``9673jo7>52z?0bf<3i?168lm51bf8yv2fm3:1?vP;ad9>0d`=99;019oj:306?xu3io0;69u23g490db<5=h:69ok;<1e`?2fl27?mk4>ce9~yk71m>0;69uG3b78yk71m10;69uG3b78yk71m00;6>uG3b78yk71mh0;65<6sA9h96G=248246:|Xe`?7|9j;1qp`>6dd94?7|@:i>7D<=5;3x5?{]nm0:w:|m53`7290:wE=l5:K160<6s80vVkj51z3`5?{zf851zJ0g0=N:;?1=v?5}[dg>4}6k80vqc?9f383>4}O;j?0E?<::0y2>x\al3;p=n?5}|l22c5=83;pD>m:;H011?7|93wQji4>{0a2>x{i9?l?6=4>{I1`1>O5:<0:w<4rZgf95~7d93wvb<8i5;295~N4k<1B>?;51z39y_`c28q:o<4r}o35b3<728qC?n;4I306>4}62tPmh7?t1b39yxh6>o=1<7?tH2a6?L45=3;p=7sUfe824e62twe=;h7:182M5d=2C9>84>{08~^cb=9r;h=7srn04e=?6=9rB8o85F23795~7=uSlo6c08~yk71nh0;65<6sA9h96G=248246:|Xe`?7|9j;1qp`>6gd94?7|@:i>7D<=5;3x5?{]nm0:w:|m5267290:wE=l5:K160<6s80vVkj51z3`5?{zf8=;=7>53zJ0g0=zf8=;>7>53zJ0g0=zf8=;?7>53zJ0g0=zf8=;87>53zJ0g0=zf8=;97>53zJ0g0=zf8=;:7>53zJ0g0=zf8=;;7>53zJ0g0=zf8=;47>53zJ0g0=zf8=;57>53zJ0g0=zf8=;m7>54zJ0g0=zf8=;n7>52zJ0g0=zf8=;o7>53zJ0g0=zf8=;h7>54zJ0g0=zf8=;i7>52zJ0g0=zf8=;j7>52zJ0g0=zf8=:<7>52zJ0g0=zf8=:=7>52zJ0g0=zf8=:>7>52zJ0g0=zf8=:?7>52zJ0g0=zf8=:87>52zJ0g0=zf8=:97>52zJ0g0=zf8=::7>53zJ0g0=zf8=:;7>53zJ0g0=zf8=:47>512yK7f36:|Xe`?4|9j;1?l85}[1b2?7|1>0vqc?81c83>7?|@:i>7p`>70a94?7|@:i>7D<=5;3x5?{]nm09w:2c5>x\4i?0:w475}|l234b=83>owE=l5:m527b290:wE=l5:K160<6s80vVkj52z3`5?5f>3wQ?l851z;a>x{i9>;m6=4={I1`1>{i9>8;6=4>{I1`1>O5:<0:w<4rZgf95~7d93wvb<9=1;290~N4k<1vb<9=2;297~N4k<1vb<9=3;293~N4k<1vb<9=4;291~N4k<1vb<9=5;297~N4k<1vb<9=6;290~N4k<1vb<9=7;297~N4k<1vb<9=8;293~N4k<1vb<9=9;290~N4k<1vb<9=a;290~N4k<1vb<9=b;295~N4k<1B>?;51z09y_`c28q:o<4r}o346f<728qC?n;4I306>4}52tPmh7?t1b39yxh6?;n1<7?tH2a6?L45=3;p>7sUfe824e62twe=:84>{38~^cb=9r;h=7srn051b?6=9rB8o85F23795~4=uSlo6c08~yk70;90;6?50;3xL6e23@8997?t2;Yba<6s8i:6psa1611>5<6sA9h96G=24827672794?7|@:i>7D<=5;3x6?{]nm0:w:|m5251290:wE=l5:K160<6s;0vVkj51z3`5?{zf8=8;7>53zJ0g0=zf8=847>52zJ0g0=zf8=8n7>52zJ0g0=zf8=8o7>52zJ0g0=zf8=8h7>52zJ0g0=zf8=8i7>52zJ0g0=zf8=8j7>52zJ0g0=zf8=?<7>52zJ0g0=zf8=?=7>52zJ0g0=zf8=?>7>52zJ0g0=zf8=??7>52zJ0g0=zf8=?87>53zJ0g0=zf8=?97>53zJ0g0=zf8=?:7>53zJ0g0=zf8=?;7>55zJ0g0=zf8=?47>53zJ0g0=zf8=?57>53zJ0g0=zf8=?m7>52zJ0g0=zf8=?n7>52zJ0g0=zf8=?o7>53zJ0g0=zf8=?h7>53zJ0g0=zf8=?i7>52zJ0g0=zf8=?j7>51zJ0g0=zf8=><7>52zJ0g0=zf8=>=7>51zJ0g0=zf8=>>7>51zJ0g0=N:;?1=v<5}[dg>4}6k80vqc?85283>4}O;j?0qc?85583>4}O;j?0qc?85483>4}O;j?0qc?85783>4}O;j?0E?<::0y1>x\al3;p=n?5}|l2301=83;pD>m:;|l230>=83;pD>m:;H011?7|93wQji4>{0a2>x{i9>?26=4;{I1`1>{i9>?j6=4>{I1`1>O5:<0:w?4rZgf95~7d93wvb<9:b;290~N4k<1vb<9:c;295~N4k<1B>?;51z09y_`c28q:o<4r}o341a<72:qC?n;4}o341`<728qC?n;4I306>4}52tPmh7?t1b39yxh6?:181M5d=2we=:8=:182M5d=2C9>84>{38~^cb=9r;h=7srn0557?6=c08~yk70><0;6>uG3b78yk70>?0;65<3sA9h96sa164b>5<6sA9h96G=24827vF6:|Xe`?7|9j;1qp`>77d94?5|@:i>7p`>76294?7|@:i>7D<=5;3x6?{]nm0:w:|m5216290?wE=l5:m5215290:wE=l5:K160<6s;0vVkj51z3`5?{zf8=52zJ0g0=zf8=<87>51zJ0g0=N:;?1=v<5}[dg>4}6k80vqc?87483>7}O;j?0qc?87783>4}O;j?0E?<::0y1>x\al3;p=n?5}|l2321=838pD>m:;|l232>=83;pD>m:;H011?7|:3wQji4>{0a2>x{i9>=26=4={I1`1>{i9>=j6=4>{I1`1>O5:<0:w<4rZgf95~7d93wvb<98b;297~N4k<1vb<98c;295~N4k<1B>?;51z09y_`c28q:o<4r}o343a<72;qC?n;4}o343`<728qC?n;4I306>4}52tPmh7?t1b39yxh6?>l1<7:180M5d=2we=:6=:182M5d=2C9>84>{38~^cb=9r;h=7srn05;7?6=c08~yk700<0;6>uG3b78yk700?0;65<5sA9h96sa16:b>5<6sA9h96G=24824m:;|l2g=<728qC?n;4}o3`=?6=9rB8o85rn0ab>5<6sA9h96sa1b`94?7|@:i>7p`>cb83>4}O;j?0qc?ld;295~N4k<1vb51zJ0g0=zf8n96=4>{I1`1>{i9m91<7?tH2a6?xh6l=0;6=83;pD>m:;|l2`<<728qC?n;4}o3ge?6=9rB8o85rn0fa>5<5sA9h96sa1ea94?4|@:i>7p`>dd83>4}O;j?0qc?kf;295~N4k<1vb51zJ0g0=zf8o?6=4>{I1`1>{i9l<1<7?tH2a6?xh6m>0;6290:wE=l5:m5`g=83;pD>m:;|l2ag<728qC?n;4}o3f`?6=9rB8o85rn0gf>5<6sA9h96sa1dd94?7|@:i>7p`>f183>4}O;j?0qc?i2;295~N4k<1vb51zJ0g0=zf8l<6=4>{I1`1>{i9o21<7?tH2a6?xh6n00;6m:;|l2ba<728qC?n;4}o3ea?6=9rB8o85rn0de>5<6sA9h96sa21294?7|@:i>7p`=0083>4}O;j?0qc<:182M5d=2we>=:50;3xL6e23td9<84?:2yK7f352zJ0g0=zf;:<6=4={I1`1>{i:921<7?tH2a6?xh5800;6m:;|l14a<72;qC?n;4}o03a?6=:rB8o85rn77:>5<4sA9h96sa64`94?5|@:i>7p`95b83>7}O;j?0qc8:d;296~N4k<1vb;;j:182M5d=2we:8h50;3xL6e23td=:=4?:0yK7f351zJ0g0=zf?<96=4>{I1`1>{i>>:1<7?tH2a6?xh1?80;6>uG3b78yk00:3:1?vFm:;|l530<728qC?n;4}o442?6=9rB8o85rn754>5<6sA9h96sa66:94?7|@:i>7p`97883>4}O;j?0qc88a;295~N4k<1vb;9m:182M5d=2we::m50;3xL6e23td=;i4?:0yK7f351zJ0g0=zf?=m6=4>{I1`1>{i>1:1<7?tH2a6?xh1080;64290:wE=l5:m2=2=83;pD>m:;|l5<0<72;qC?n;4}o4;2?6=:rB8o85rn7:4>5<6sA9h96sa69:94?7|@:i>7p`98883>4}O;j?0qc87a;295~N4k<1vb;6m:182M5d=2we:5m50;3xL6e23td=4i4?:0yK7f351zJ0g0=zf?2m6=4>{I1`1>{i>0:1<7?tH2a6?xh1180;6:3:1?vFm:;|l5=0<728qC?n;4}o4:2?6=9rB8o85rn7;4>5<6sA9h96sa68:94?7|@:i>7p`99883>4}O;j?0qc86a;295~N4k<1vb;7m:182M5d=2we:4m50;3xL6e23td=5i4?:0yK7f351zJ0g0=zf?3m6=4>{I1`1>{i>h:1<7?tH2a6?xh1i80;6m:;|l5e0<728qC?n;4}o4b2?6=9rB8o85rn7c4>5<6sA9h96sa6`:94?7|@:i>7p`9a883>4}O;j?0qc8na;295~N4k<1vb;om:182M5d=2we:lm50;3xL6e23td=mi4?:0yK7f351zJ0g0=zf?km6=4>{I1`1>{i>k:1<7?tH2a6?xh1j80;6m:;|l5f0<728qC?n;4}o4a2?6=9rB8o85rn7`4>5<6sA9h96sa6c:94?7|@:i>7p`9b883>4}O;j?0qc8ma;295~N4k<1vb;lm:182M5d=2we:om50;3xL6e23td=ni4?:0yK7f351zJ0g0=zf?hm6=4>{I1`1>{i>j:1<7?tH2a6?xh1k80;6m:;|l5g0<728qC?n;4}o4`2?6=9rB8o85rn7a4>5<6sA9h96sa6b:94?7|@:i>7p`9c883>4}O;j?0qc8la;295~N4k<1vb;mm:182M5d=2we:nm50;3xL6e23td=oi4?:0yK7f351zJ0g0=zf?im6=4>{I1`1>{i>m:1<7?tH2a6?xh1l80;6m:;|l5`0<728qC?n;4}o4g2?6=9rB8o85rn7f4>5<6sA9h96sa6e:94?7|@:i>7p`9d883>4}O;j?0qc8ka;295~N4k<1vb;jm:182M5d=2we:ij50;1xL6e23td=hh4?:2yK7f351zJ0g0=zf?o;6=4>{I1`1>{i>l;1<7?tH2a6?xh1m;0;6m:;|l5a3<728qC?n;4}o4f3?6=9rB8o85rn7g;>5<6sA9h96sa6d;94?7|@:i>7p`9e`83>4}O;j?0qc8jb;295~N4k<1vb;kl:180M5d=2we:hj50;1xL6e23td=ih4?:0yK7f351zJ0g0=zf?l;6=4>{I1`1>{i>o;1<7?tH2a6?xh1n;0;6m:;|l5b3<728qC?n;4}o4e3?6=9rB8o85rn7d;>5<6sA9h96sa6g;94?7|@:i>7p`9f`83>4}O;j?0qc8ib;295~N4k<1vb;hl:182M5d=2we:kj50;3xL6e23td=jh4?:0yK7f351zJ0g0=zf>:;6=4<{I1`1>{i?9;1<7=tH2a6?xh08;0;6m:;|l443<728qC?n;4}o533?6=9rB8o85rn62;>5<6sA9h96sa71;94?7|@:i>7p`80`83>4}O;j?0qc9?b;295~N4k<1vb:>k:182M5d=2we;53zJ0g0=zf>;36=4>{I1`1>{i?831<7?tH2a6?xh09h0;6m:;|l465<728qC?n;4}o515?6=9rB8o85rn601>5<6sA9h96sa73594?7|@:i>7p`82983>4}O;j?0qc9=9;295~N4k<1vb:94?:3yK7f353zJ0g0=zfh8=6=4>{I1`1>{ii;=1<7?tH2a6?xhf:10;6m:;|lb6a<72:qC?n;4}oc1b?6=;rB8o85rn`10>5<5sA9h96saa2694?7|@:i>7p`n3483>4}O;j?0qco<6;295~N4k<1vbl=8:182M5d=2wem>650;0xL6e23tdj?44?:3yK7f353zJ0g0=zfh9o6=4>{I1`1>{ii:o1<7?tH2a6?xhf;o0;6m:;|lb06<728qC?n;4}oc70?6=9rB8o85rn`66>5<6sA9h96saa5594?4|@:i>7p`n4983>4}O;j?0qco;9;295~N4k<1vbl:n:182M5d=2wem9l50;0xL6e23tdj8n4?:0yK7f351zJ0g0=zfh>n6=4>{I1`1>{ii=l1<7?tH2a6?xhf=90;6m:;|lb11<728qC?n;4}oc61?6=9rB8o85rn`75>5<6sA9h96saa4594?7|@:i>7p`n5983>7}O;j?0qco:9;296~N4k<1vbl;n:182M5d=2wem8m50;0xL6e23tdj9i4?:0yK7f3i7>51zJ0g0=zfh?m6=4>{I1`1>{ii?:1<7?tH2a6?xhf>80;6?uG3b78ykg1:3:1>vFpD>m:;|lb20<72kqC?n;4}oc52?6=:rB8o85rn`44>5<5sA9h96saa7:94?7|@:i>7p`n6883>4}O;j?0qco9a;295~N4k<1vbl8m:182M5d=2wem;m50;3xL6e23tdj:i4?:0yK7f351zJ0g0=zfh{I1`1>{ii>:1<7=tH2a6?xhf?80;6>uG3b78ykg0:3:1=vFm:;|lb30<728qC?n;4}oc42?6=9rB8o85rn`54>5<6sA9h96saa6:94?7|@:i>7p`n7883>4}O;j?0qco8a;295~N4k<1vbl9m:182M5d=2wem:m50;3xL6e23tdj;i4?:0yK7f351zJ0g0=zfh=m6=4>{I1`1>{ii1:1<7?tH2a6?xhf080;63290:wE=l5:me=3=83;pD>m:;|lb<3<728qC?n;4}oc;=?6=9rB8o85rn`:b>5<6sA9h96sabb;94?5|@:i>7p`mc`83>6}O;j?0qcllb;297~N4k<1vbomk:182M5d=2wennk50;3xL6e23tdih=4?:3yK7f352zJ0g0=zfkn96=4>{I1`1>{ijm>1<73:1=vF=83;pD>m:;|la`<<728qC?n;4}o`ge?6=9rB8o85rncfg>57p`me183>7}O;j?0qclj1;295~N4k<1vbok<:181M5d=2wenh:50;0xL6e23tdii84?:0yK7f352zJ0g0=zfko36=4={I1`1>{ijl31<7?tH2a6?xhemh0;6m:;|laa`<72;qC?n;4}o`fb?6=;rB8o85rncd3>5<6sA9h96sabg394?7|@:i>7p`mf383>4}O;j?0qcli3;295~N4k<1vboh;:182M5d=2wenk650;0xL6e23tdij44?:0yK7f351zJ0g0=zf888m7>53zJ0g0=zf888n7>51zJ0g0=zf888o7>51zJ0g0=zf888h7>51zJ0g0=zf888i7>51zJ0g0=zf888j7>51zJ0g0=zf88?<7>53zJ0g0=zf88?=7>512yK7f34?:4yK7f34?:0yK7f34?:0yK7f34?:0yK7f34?:0yK7f34?:0yK7f34?:2yK7f34?:0yK7f34?:0yK7f34?:0yK7f34?:0yK7f34?:0yK7f34?:0yK7f3?h4?:4yK7f38=4?:5yK7f38<4?:5yK7f38?4?:5yK7f38>4?:5yK7f3894?:5yK7f3884?:5yK7f38;4?:5yK7f38:4?:5yK7f3854?:3yK7f3844?:3yK7f38l4?:3yK7f38o4?:3yK7f38n4?:3yK7f38i4?:3yK7f38h4?:2yK7f38k4?:5yK7f39=4?:5yK7f39<4?:5yK7f39?4?:5yK7f39>4?:5yK7f3994?:5yK7f3984?:5yK7f39;4?:3yK7f39:4?:3yK7f3954?:3yK7f3944?:0yK7f39l4?:0yK7f39o4?:0yK7f39n4?:0yK7f39i4?:0yK7f39h4?:0yK7f39k4?:0yK7f3:=4?:0yK7f3:<4?:0yK7f3:94?:0yK7f3:84?:0yK7f3:;4?:0yK7f3:54?:3yK7f3:44?:0yK7f3:l4?:0yK7f3:o4?:0yK7f3:n4?:0yK7f3:i4?:0yK7f3:h4?:0yK7f3:k4?:0yK7f3;=4?:0yK7f3;<4?:0yK7f3;>4?:3yK7f3;94?:3yK7f3;84?:0yK7f3;;4?:3yK7f3;:4?:0yK7f3;54?:0yK7f3{|l:3?6=9rwe>8m50;3xyk4093:1=vsa28294?5|ug83m7>51zm6d`=839pqchj50;1xyk4b?3:1=vsa31a94?5|ug9;:7>51zm77d=839pqc==5;295~{i;=k1<7=t}o170?6=9rwe?;750;1xyk51;3:1=vsa39:94?5|ug93>7>51zm300=83;pqc99b;295~{i?1:1<7?t}o5:1?6=9rwe;o:50;1xyk1fm3:1=vsa7e194?5|ug=hh7>51zm3c4=839pqc9jc;295~{i08;1<7=t}o:3f?6=9rwe4>>50;1xyk>5i3:1=vsa85794?7|ug2>m7>51zm<3`=83;pqc674;295~{i0031<7?t}o:ba?6=9rwe4nj50;1xyk>d?3:1=vsa8da94?5|ug2n:7>51zmeg7=83;pqcol6;295~{iimh1<7?t}oce4?6=9rwen=;50;3xykd6i3:1=vsab3d94?7|ugh?i7>53zmf1>=83;pqcm=8;295~{ik==1<7=t}oa75?6=9rweo;850;1xyke183:1=vsac9794?5|ugi51zmgd2=839pqcm6e;295~{ikj91<7=t}oaa`?6=9rweoh<50;1xykeck3:1=vsad1394?5|ugimn7>51zm`76=839pqcj>a;295~{il:l1<7=t}of0=?6=9rweh8k50;1xykb203:1=vsad6f94?5|ugn<;7>51zm`51zma4>=839pqck>2;295~{im:=1<7=t}og05?6=9rwei8850;1xykc283:1=vsae6794?5|ugo=j7>51zma<2=839pqck7e;295~{imk91<7=t}ogb`?6=9rweii<50;1xykcdk3:1=vsaeg394?5|ugonn7>51zmb46=839pqch?a;295~{in;l1<7=t}od1=?6=9rwej9k50;1xyk`303:1=vsaf7f94?5|ugl=;7>51zmb=e=839pqch76;295~{inhh1<7=t}odb1?6=9rwe=>6}zf89j=7>51zm56e12908wp`>3b294?7|ug;8i84?:2y~j45cn3:1=vsa1527>5<4std:?kk50;3xyk73::0;6>urn062`?6=9rwe=9:=:180xh6<:i1<7?t}o3724<72:qvb<::b;295~{zutJKOv?m24824d30:kwKLOu?}ABSxFG \ No newline at end of file diff --git a/cpld/XC95144XL/MXSE.ngr b/cpld/XC95144XL/MXSE.ngr new file mode 100644 index 0000000..08400d8 --- /dev/null +++ b/cpld/XC95144XL/MXSE.ngr @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$52:==)&&IEX#x8;/,,GV(q02$%#K]M.w;8*+)NGKG%z45!..KLFV(q12$%#@V\E/t;?+((ZHG%z<5?1:326>752F__\XZ5R@>25?69j2;96_O31083:d=6>3K7>>47>028534f3;;1M1<>:0<24>462@D[YY4N<3395;c<::0JSK]M<3195;733;91EC^ZT;C\BVD;::0:2<5<1:62?0><=3N787>1c:79@drfI5>1<3745;GP81<76l1>6D@_UU8G81<7688097GAPTV9@drfI5>1<3h45;MVPUSS2X6?6=0>4:79KPRW]]0Yi~{ct=694;><=3[787>1d:79V`urd}6?6=0i;48\eab789:787>11:42?2><03N7;7>1c::9@drfI5=1<3k48;KMTPR=L5=1<3?=;98JJUSS2MkmL28:1>=Zly~`y28:137;2=1>G;994>7L2>1?78E9756<1J0<=15:C?51823H6:93;4A=35:0=F48=596O319<6?D:617>0M1?15:C?65803H69=7?15:C?64823H69>394A=00>=823H69?3:4A=0=0>G;;7>0M1:14:C?1;29<2K753:4AMG31>GKM9G>7LBJ0Ra8EIC7Wds<=>?d:COA5Yj}q:;<=?j;@NF4Zkrp9:;<;5NNE0A53=FFM8I>95NNE15?DHC;K;=7L@K3C05?DHC;K9?7L@K479BJA2E9?1JBI:M279BJA2E;?1JBI:M479BJA3E:?1JBI;M379BJA3E33HYyjn5NSsd\jjr789;:i6O\rg]mkq6788Uba}QNSsd\jjr789;:56OPFR@?558>3HUM_O2>1?;8EZ@TJ5;9245N_GQA845912KTJ^L315<:?DYA[K6:9374A^DPF971601JSK]M<05==>GXNZH7=506;@]EWG:61720MRH\B=3==>GXNZH7>=06;@]EWG:59730MRH\B=01:g=FWOYI0?=51?;8EZ@TJ588255N_GQA878?3HUM_O2<>99B[CUE4=437LQISC>6:==FWOYI0;07;@]EWG:0611JSK]M<9<;?DYA[K62295Ncmp22>Gdd{UBB[Qfmq]BgitXAG\:86LNCUg8FDESW`g{SOOLT078FDESz=1II_\:;CGQV502HN^_|i6:@FVWtum2HN^_|}_omw4566:;1II_\}r^llp5679Vcf|RLJRSpq[kis89::=55MUR]JJCI63J>0O<8B3:A;I1=DIJ^97NK<;BNH=>EKC;RTEBL8;BNH[CUE?2IGGRG@B29@HW56Mce`p2[dhc89:;Sdc_Bnfew7Xign;<=>>3:Aoo0=DdbOe=55LljGm[LHQW`g{SNbdEo]JJS703Jf`SK]B159@hnYNF_Uba}QLlj]JJS763M>0H1>14:F?5;20H1:14:F?1;2B;?7?0HYOLK028@QGDCVcf|RJ[ABI23>BSIJAyj:5KT@AHvw`99GeqgF48437Io{a@>1:==Ci}kJ0>0n;EcweD:329437Io{a@>7:==Ci}kJ0807;EcweD:16h1OmyoN<683:==Ci}kJ0:0>;D08AV3CT4;4>7H]33?58AV:3294>7H]34?c8AVYffm:;<=?n;DQ\ekb789:Te`~PER]bja6789;j7H]Paof34576i2OXSl`k0122[lkwWLYTmcj?0132`>CTWjg{Sh?01214>CTWjg{Sh?012\mhvXMZUha}Qjq12344bCTWfx;<=>Pilr\AVYhz9:;<<;4EUC@Oa=B\HI@Sl`k012365=B\HI@Sl`k0123[lkwWL^JOFQnne23457682O_MNEPclr\at67898=7HZNCJ]`iuYby9:;?0136?@YNGK80I=4Es07?CEKC;1MH95IDBG1?C@33OLo=:5IFe3.Mk>9:DE`4+Nf8;37KHk1,Km6<=ANm;&Ec<>8:DE`4+Nf:30JKj>-Hl05<=ANm;&Ec:>8:DE`4+Nf-Hl\mhv33OLo>:5IFe0.Mk>9:DE`7+Nf8;37KHk2,Km6<=ANm8&Ec<>8:DE`7+Nf:30JKj=-Hl05==ANm8&Ec:6;GDg6(Oi<820JKj=-Hl6=>@Al;'Bb8?7;GDg6(Oi>01MJi<"Io42=>@Al;'Bb:?7;GDg6(Oi0k1MJi<"Io]jiu2@Al:'Bb??7;GDg7(Oi;01MJi="Io12<>@Al:'Bb974FGf0)Lh3901MJi="Io72<>@Al:'Bb;l4FGf0)LhXadz?7KHk469EBa2*Ag20JKj;-Hl2=>@Al='Bb@Al='Bb>74FGf7)Lh4901MJi:"Io62<>@Al='Bb8l4FGf7)LhXadz87K]M3:DPIf=A[DU;!}o}eov`?CUJW8'{mkatb9EWHY5%ykyicz>;F18CKB63@80E=<4I008M74>0ELM\5:KBGV723@KH_?;4I@AP7==NIJYTe`~;;HLJP6=NF_?0EBOLTe9JKDESWhdo<=>?219JKDESWhdo<=>?_hos[LIFK]Ujbi>?013g?LIFK]Ufyu>?01g8MJGD\Vg~t=>?00d8MJGD\Vg~t=>?003e?LIFK]Ufyu>?0102a>OHIJ^Taxv?012054=NGHI_S`{w0123[lkwk2CDMNZPos23457b3@EJOYQ`r1234ZojxVCDMNZPos2345713@EJOY|9;HMAAWTb3@EII_\Pmtz3456a3@EII_\Pmtz34566991BCOK]R^ov|56788::<6G@BDPQ[hs89:;=0:KLF@TUWds<=>?20d8MJDBZ[Ufyu>?01124>OHJLXYS`{w012374`91028MJDBZ[Ufyu>?0152b>OHJLXYS`{w0123<466991BCOK]R^ov|56780;:>6G@BDPQ[hs89:;Sdcd:KLF@TUWfx;<=>=0:KLF@TUWfx;<=>Pilr\MJDBZ[Ud~=>?0068MJDJ<2CDN^:4INAP1>OHKZ;>7DALS378MJET;o1BCN]Pclr\at67898?7DALS^antZcv89:;Sdc_HM@WZejxVoz<=>?1g9JKFUXkdzTi|>?0007?LID[Vif|Rk~0122[lkwW@EH_Rmbp^gr45669o1BCN]Pclr\at678;8?7DALS^antZcv89:9Sdc_HM@WZejxVoz<=>=1g9JKFUXkdzTi|>?0207?LID[Vif|Rk~0120[lkwW@EH_Rmbp^gr45649o1BCN]Pclr\at678=8?7DALS^antZcv89:?Sdc_HM@WZejxVoz<=>;1g9JKFUXkdzTi|>?0407?LID[Vif|Rk~0126[lkwW@EH_Rmbp^gr45629o1BCN]Pclr\at678?8?7DALS^antZcv89:=Sdc_HM@WZejxVoz<=>91g9JKFUXkdzTi|>?0607?LID[Vif|Rk~0124[lkwW@EH_Rmbp^gr4560911BCN]Pilr7?LIK8j1BCA>Pmtz3456c3@EGOHX^IX=?5FOQU@WZojxVCD\ZM\149JKWCVl2CD^H_Paof3456582CD^H_Paof3456XadzTEB\JQ^cm`56788n0EB\JQ^ov|5678l1BC_K^_lw{45679o1BC_K^_lw{456798l0EB\JQ^ov|5678;;n7DA]EP]nq}67899m7DA]EP]nq}67899:j6G@RDS\ip~789:?=h5FOSGR[hs89:;9=0:KLVR6Xign;<=>Pilr\MJTP8Vkeh=>?00f8MJTP8Vg~t=>?0d9JKWQ7Wds<=>?1g9JKWQ7Wds<=>?10d8MJTP8Vg~t=>?033f?LIU_9Ufyu>?01125>OHZ^:Taxv?012\mhvc3@EY[=Qaou2344473@EY[=Qaou2344YneyUBC_Y?_omw45669j1BC_Y?_np34566m2CD^Z>Pos2345YneyUBC_Y?_np34566k2CD^Z>Pos23447b3@EY[=Q`r1235ZojxVCD^Z>Pos2344723@EY[4INPT5Zgil9:;>c:KLVR7Xg{:;<=?j;HMQS4Yhz9:;0EB\jae{\ekb789:Te`~PINPfeaXign;<=>>f:KLV`gcqVddx=>?1368MJTbimsTbbz?013\mhvXAFXnmiwPnnv34576m2CD^hoky^mq4567:;1BC_kndx]lv5678Vcf|RG@Rdcg}Ziu89:;=>5FORg8MJUXkdzTi|>?01g8MJUXkdzTi|>?00g8MJUXkdzTi|>?03g8MJUXkdzTi|>?02g8MJUXkdzTi|>?05g8MJUXkdzTi|>?04g8MJUXkdzTi|>?0768MJS7k2CDY=Qbuy2345b5Fn518Mk3b3BON\RFFESCQGM_03EELENOCd:NSIZGCLVY^NR?k;MRN[DBCWZ_IS?j4LQO\GJSI\LXT=i5CPL]@KPHSM[U946B_M^FEBZ?3`9OTHYCNOU:8l5CPL]GBCY6=h1G\@QKFG]22d=KXDUOJKQ>7`9OTHYCNOU:4l5CPL]GBCY6101G\@QKFG]1e>JWEVNMJRJWEVNMJR<;a:NSIZBANV8>m6B_M^FEBZ41i2F[ARJIF^04e>JWEVNMJR<7a:NSIZBANV8256B_M^FEBZ5f3EZFSIHI_22b?IVJWMLMS>?n;MRN[A@AW:8j7A^B_EDE[65f3EZFSIHI_26b?IVJWMLMS>;6;MRN[A@AW=30@]CPDGD\1<=KXDUOJKQ99:NSIZBANV=27A^B_EDE[=?Jhim;Tbbz?01314>Jhim;Tbbz?013\mhvXDfko=R``t123542497C>=;O31?K453G9?7CA]759MKW>63F>0CIM\e:MGGVYneyUDHN]>3:MQ60=HZ;H:96A]2C00?JT4=2EY?O?:;NP0F7587B\:3:MQ26=HZ>90C_6;;Nl`af=HfjoTmcj?0122a>IiklUjbi>?01]jiuYHfjoTmcj?0122f>IiklUd~=>?00a8KkebWfx;<=>Pilr\KkebWfx;<=>>4:Mvp5cQfmq]Lqq56<2E~x9k4Otv7[lkwWF8<:4Otv6a>Ir|Ir|?o0Cxz9_hos[Jss>8>0Cxz84:Mvp=c>30227ZJ33YKYXl5_IO]AQVOCPk1[ECQMURLBI@4W;:7>0]1=16:S?0?69<2[783:4Q=7=0>W;>7<0]1950?68U919i2[T=Ra}01235d=VW8Ud~=>?0^kntZWX9Vey<=>?149QE969>2XJ0<>18:PB847=87<0^L2>1?78VD:66<1YM1<15:PB86823[K783;4R@>6:0=UI5<596\N<6<6?WG;07?0^L26>29QEH34R@O@WZIqm{fjuBhi279QEHETWF|n~aovOgd\mhvXZHGH_RAyesnb}J`a9o1YM@M\_Ntfvig~Gg8?7_OBCR]Lr`tkipEeSdc_SCNGVYH~lxgmtAa1e9QEHETWhdo<=>?219QEHETWhdo<=>?_hos[WGJKZUjbi>?013g?WGJKZUjbi>?0003?WGJKZUjbi>?00]jiuYUIDIXSl`k01225462XJAIG\7:PBIAOT9o1YM@JFS0]nq}6789;;7_OBDHQ2[hs89:;=>1038VDKCAZ;Taxv?0121546109QEHBN[8Ufyu>?017254=UIDNB_?00d8VDKCAZ8Tmcj?01310>TFEMCX>Road1235ZojxVXJAIG\2^cm`56798o0^LCKIR0\kw6789897_OBDHQ1[jt789:Te`~PR@OGMV4Xg{:;<=?>2:PBIAOTW`g{S_OBDHQ2<>TFE[ojht??;SCNV`gcqVkeh=>?0348VDKUmhnrSl`k0123[lkwW[KF^hoky^cm`56788;;7_OBRdcg}Zgil9:;=?84R@OQadb~Whdo<=>>_hos[WGJZlkouRoad12354773[KF^hoky^cm`567:;<0^LC]e`fz[dhc89:9Sdc_SCNV`gcqVkeh=>?2033?WGJZlkouRoad123770?4348VDKUmhnrSl`k0127[lkwW[KF^hoky^cm`567<8;;7_OBRdcg}Zgil9:;9?84R@OQadb~Whdo<=>:_hos[WGJZlkouRoad12314773[KF^hoky^ov|56788;0^LC]e`fz[hs89:;=<<4R@OQadb~Wds<=>?1031?WGJZlkouRczx123477692XJA_kndx]nq}67899:86\NMSgb`|Yj}q:;<=Qfmqd8VDKUmhnrSb|?01210>TFE[ojhtQ`r1234ZojxVXJA_kndx]lv56788?0^L]JLe9QEVCKWds<=>?e:PBW@JXe|r;<=>>f:PBW@JXe|r;<=>>1g9QEVCKWds<=>?20g8VDUBDVg~t=>?02d8VDUBDVg~t=>?023e?WGTMEUfyu>?0162a>TF[LFTaxv?012654=UIZOGS`{w0123[lkwk2XJS=Qbuy2345bTFW8Ufyu>?0132a>TFW8Ufyu>?0102`>TFW8Ufyu>?01124>TFW8Ufyu>?01]jiueTFW;Ufyu>?013f?WGX:Vg~t=>?003f?WGX:Vg~t=>?033g?WGX:Vg~t=>?0233?WGX:Vg~t=>?0^kntf=UIV9Taxv?012g?WGX;Vg~t=>?00g8VDY4Wds<=>?10g8VDY4Wds<=>?20f8VDY4Wds<=>?3028VDY4Wds<=>?_hosg>TFW=Ufyu>?01f8VDY3Wds<=>?1d9QEZ2Xe|r;<=>>1d9QEZ2Xe|r;<=>=1e9QEZ2Xe|r;<=><119QEZ2Xe|r;<=>Pilr`?WGX=Vg~t=>?0e9QEZ3Xe|r;<=>>e:PB[0Yj}q:;<=?>e:PB[0Yj}q:;<=<>d:PB[0Yj}q:;<==>0:PB[0Yj}q:;<=Qfmqa8VDY1Wds<=>?d:PB[3Yj}q:;<=?j;SC\2Zkrp9:;<??;SC\2Zkrp9:;k;SC\3Zkrp9:;<4R@]4[hs89:;Sdcc:PB[=Yj}q:;<=j4R@];[hs89:;=h5]A^:\ip~789::=h5]A^:\ip~789:9=i5]A^:\ip~789:8==5]A^:\ip~789:Te`~l;SC\=Zkrp9:;>149QKHETl2XDAN]Paof3456582XDAN]Paof3456XadzT^BCLS^cm`56788;;7_ABCR]`iuYby9:;?_hos[WIJKZUha}Qjq12344773[EFO^Qfmq]QKHET9;1Y_i5]S^antZcv89:;h6\\_bos[`w789;o7_]Pclr\at678;n0^^Qlmq]fu567;m1Y_Rmbp^gr4563l2XXSnc_ds3453c3[YTo`~Pep234357_kndx48V`gcq9=0^hoky1p5?Wcflp;<7_kndx3q2>Tbims9;6\jae{1vd=UmhnrSDAMS89Qadb~W[KFh6\jae{\ekb789:9<6\jae{\ekb789:Te`~PRdcg}Zgil9:;<>e:PfeaXg{:;<=Qfmq]Qadb~Wfx;<=>>c:PfeaXg{:;<<84RddBgn0TbnJd0?06;SgeGkr;;730^hhLnu>7:<=UmoIex1;19:PfbFhs4?4i7_kiCov?3?6912XnjN`{<6<4?WcaLfdn=?5]egFlj`YdeyUn}=>?03c8V``CggoTo`~Pep2345YneyUYikJ`nd]`iuYby9:;<?1^kntZTbnMeeiR``t123540Tb{|f0<06;Sgpqir;:730^h}zlu>0:g=Umzgx1:50?;8V`urd}6?245]erwop93912Xnxb{<719:Pfwpjs4>49:6\jstnw[5Yig}:;<=Qfmq]Qavsk|V:Tbbz?01222>UD[@IX=?5\CRK@WZojxVYH_DM\1c9PMBDR[VCEJBo4SHE\FPUNLQh0_DIPBTQMEHC33Zig~95\crvb?VhcZHGH_Z\>2:Qm`WGJKZ]YSl`k01236d=TfmXJAN]XR^cm`5678Vcf|R]adSCNGVQUWhdo<=>?1008WkbUIDIX[_Qnne23444f3Zdo^LCLSVP\ekb789;Te`~PSofQEHET_[Ujbi>?00321>Uil[KFO^Y]_bos[`w789:8<6]adSCNGVQUWjg{Sh?012\mhvX[gnYM@M\WS]`iuYby9:;<?2228WkbUIDIX[_Qlmq]fu567:Vcf|R]adSCNGVQUWjg{Sh?010250=TfmXJAN]XR^antZcv89:8?=5\nePBIFUPZVif|Rk~0120[lkwWZdo^LCLSVP\ghvXmx:;<>?>5:Qm`WGJKZ]YSnc_ds3452482Yeh_OBCRUQ[fkwWl{;<=:Pilr\WkbUIDIX[_Qlmq]fu567<8;>7^`kR@O@WRTXkdzTi|>?0413?VhcZHGH_Z\Pclr\at678?1038WkbUIDIX[_Q`r12357><[gnYM@M\WS]lv5679Vcf|R]adSCNGVQUWfx;<=?>109PjaTFEJY\^Ra}01216==TfmXJAN]XR^mq4565W`g{S^`kR@O@WRTXg{:;?0^kntZRnele~xLQlmq]fu56788;;7YgbenwwEZhh|9:;=?84ThofkprFWge<=>>_hos[QojmfMR``t12354><\`gncxzMb:Vji`ir|KZyi<>4ThofkprEWhdo<=>?279Wmhch}}HTmcj?012\mhvX\`gncxzM_`lg45679:1]ON74VHGT[Q_WM:1]\Lm4VQC\mhvX^YK:96X_Asd6?SVFz{n0Z]O}r^llp5679;:0Z]O}r^llp5679Vcf|RX_Asp\jjr789;:m6XfdSCNGVQUl2\bh_OBCRUQ21m602\bh_OBCRUQ21mXkdzTi|>?0115?SocZHGH_Z\94j]`iuYby9:;=aTo`~Pep2344513_co^LCLSVP50nYdeyUn}=>?1^kntZPnl[KFO^Y]65i\ghvXmx:;<?01]jiuYQamXJAN]XR^antZcv89:;=<;4VhfQEHET_[Uha}Qjq123566<^`nYM@M\WS]`iuYby9:;=Rgbp^Tj`WGJKZ]YSnc_ds345769<1]ei\NMBQTVZejxVoz<=>=319UmaTFEJY\^Rmbp^gr4565W`g{S[gkR@O@WRTXkdzTi|>?03321>Pnl[KFO^Y]_bos[`w78998<6XfdSCNGVQUWjg{Sh?011\mhvX^`nYM@M\WS]`iuYby9:;??5228RlbUIDIX[_Qlmq]fu567=Vcf|RXfdSCNGVQUWjg{Sh?017250=QamXJAN]XR^antZcv89:=?=5YiePBIFUPZVif|Rk~0125[lkwW_co^LCLSVP\ghvXmx:;<;?>5:Tj`WGJKZ]YSnc_ds3451482\bh_OBCRUQ[fkwWl{;<=9Pilr\RlbUIDIX[_Qlmq]fu567?8;>7[gkR@O@WRTXkdzTi|>?0913?SocZHGH_Z\Pclr\at6781Uba}QYiePBIFUPZVif|Rk~012;543<^`nYM@M\WS]`iuYby9:;5>>4VhfQEHET_[Uha}Qjq123=ZojxV\bh_OBCRUQ[fkwWl{;<=7>149UmaTFEJY\^Rmbp^gr4577;91]ei\NMBQTVZejxVoz<=??_hos[SocZHGH_Z\Pclr\at6799;:96XfdSCNGVQUWjg{Sh?00304>Pnl[KFO^Y]_bos[`w788;Te`~PVhfQEHET_[Uha}Qjq122547f3_co^LCLSVP\mhvX^`nYM@M\WS325>Pnl[KFO^Y]_np34566:2\bh_OBCRUQ[jt789::=?5YiePBIFUPZVey<=>?2008RlbUIDIX[_Q`r12346723_co^LCLSVP\kw6789Uba}?k;YKOMK^*PMH+<#?/SUWA$5(6(HYHED84XRVOMG1e:ZPPZOJXEOFICZNTHMM[FII[]XJECZ\119[WQYU\EU[^B^JRU[4?]USW_IH:6Vkb^Kg55=_ldUFmga}Vdppmjh682RoaRCfnnpUawungg?0Tb2?>79[k9699?1Sc1>1279[k969;01Sc1>1_hos7>^t|01SyIBoegof>Yflm:;<=2?>c9\eab789:7=3l4_`fg45674;4i7Rokd1234959l2Ujhi>?01>7>58e3Vkoh=>?0=6=f>Yflm:;<=2:>c9\eab789:7:3j4_`fg45674>0;2o5Paef3456;?720Sl`k012353=Xign;<=>Pilr\[dhc89:;=55Paof34576>2Ujbi>?00]jiuYXign;<=?>8:]bja678;;=7Road1236ZojxVUjbi>?033;?Zgil9:;?<84_`lg4564W`g{SRoad12374>;179\ekb789>Te`~P_`lg4563911Tmcj?01722>Yffm:;<8Qfmq]\ekb789?:46Qbuy23451>4:]lv5678Vcf|RQ`r1234414:]lv5679Vcf|RQ`r123543?0018gkr03je~byk}2:ap7>ban01oeklthmm7>`tjk1moQaou23457d3oyiSca{0123[lkwWoyiSca{012351=ngkg?7dams89mEAir|EO;=55aAEmvpIC7W`g{ScOKotvOA57682dJHb{{LD2\kw67898=7cOKotvOA5Yhz9:;?013:?kGCg|~GI4nCGQVZojxVdII_\>4:l@EVe?0328jAoiDLUd~=>?0^kntZhCagFNSb|?01222>hCagEN=?5aDhlLAZojxVdOecAJ169m@jssGLl0bIaztNG\ekb789:986`KotvLAZgil9:;?00d8jAir|FOTbbz?01310>hCg|~DIR``t1235ZojxVdOcxz@E^llp56798o0bIaztNG\kw6789897cJ`uuMF[jt789:Te`~PnEmvpJCXg{:;<=?;;oNGW==iDMYTJ^L7;oNGWZOHJj1e@I]Paof34566m2dGH^Qnne2345YneyUe@I]Paof34566k2dGH^Qnne23447b3gFO_Road1235ZojxVdGH^Qnne23447d3gFO_R``t12354chKLZUd~=>?0^kntZhKLZUd~=>?00`8jIBTWfx;<=?>c:lO@VYhz9:;=Rgbp^lO@VYhz9:;=<:4nMUFg>hK_LUjbi>?013f?kJPMVkeh=>?0^kntZhK_LUjbi>?013f?kJPMVcf|R`CWD30?kIBj2dDIRoad12344ehHMVcf|R`@E0;8jJpbzekr<?03:8jJpbzekr0b_K\6:lQKHET9;1e^BCLS^kntZhUGDIX=;5aRNOTA`=iZFG\IRoad12347495aVLC\ghvXmx:;<=Qfmq]mRHGXkdzTi|>?013`?kPJIVddx=>?10g8jSKFWge<=>>_hos[kPJIVddx=>?1068jSVF02d]\LQISC:8jSVFW@EIo6`YP@]bja6789;n7cX_A^cm`5678Vcf|R`YP@]bja6789;n7cX_A^kntZhQXH;87cYJ7:lTAZ@TJo1ecy>?01]bja67898?7ca{0123[dhc89:;Sdc_omw4567Whdo<=>?169skiYddb30|bbPcmifj==wgeUxoa|7;qmo[vub|11{czPcmib?uiu|Viggh`6;qmqpZbf|h20|b|{_nrb2>vhz}Uzn6~`ru]qavsk|01{czPsbnq=>vhz}Uxhz>;s18vdk?3{~gSyweg9pkptdmVlb`h`nmdf8wjsuklUgeckab39vt<=qienSnga9:tbhpcXgyh37um6512{h`=k0?8:ubc56.1xFGx89m86NOx27g>C<528qX5;4<5b8155<6;=22>k4>4353k52=3;0b>;9:79'702=;=o0q^7::27`>777289?44:0zK1;63<>d6lj0;69=5c;43I52938p(??>:524?M7fm2wG?8<51z&747<23t.8=:4>db9Y<<<5s00:=7sU2g;96~g=990ve4<50;9l75;n1g4?6=3`n>6=44o2c1>5<5<6=44o2c4>5<5<5<#:891>?74n331>4=5<#:891>?74n331>6=5<#:891>?74n331>0=5<#:891>?74n331>2=5<#:891>?74n331><=5<#:891>?74n331>g=:1<7*=1285b>h59;0;76g9e;29 7742?l0b??=:098m3b=83.9=>49f:l157<532c=o7>5$330>3`54i7c94?"59:0=j6`=1387?>o113:1(??<:7d8j7752<10e;650;&156<1n2d9=?49;:k53?6=,;;86;h4n331>2=h59;0376g95;29 7742?l0b??=:898m32=83.9=>49f:l1575$330>3`o193:1(??<:7d8j7752m10e:650;&156<1n2d9=?4j;:k43?6=,;;86;h4n331>c=<1<7*=1285b>h59;0:<65f7483>!46;32;32?>o0<3:1(??<:7d8j77528807d9<:18'645=>o1e><<51298m24=83.9=>49f:l157<6<21b;<4?:%027?0a3g8:>7?:;:k5f?6=,;;86;h4n331>40<3k951c802?2fsE9>=7?tH0cf?xJ4=;09wc:?3;0`?!27:3?0q)=>7;;4?_>>28qh6pT=f882g>i6>i5;<0;66a=b483>>i6:90;66g45$330>6go4<3:1(??<:2c8j7752=10e>=50;&156<4i2d9=?4:;:k06?6=,;;86>o4n331>3=h59;0<76g<0;29 7742:k0b??=:998m7`=83.9=>432c9i7>5$330>6go5k3:1(??<:2c8j7752j10e?l50;&156<4i2d9=?4k;:k77?6=,;;86>o4n331>`=h59;0m76l<5283>4<729q/?<953338L62a3f8;j7>5;|`0g6<72<096;uC34395~N6il1v@>;=:0y'054=92w/?<951c78md6=831d>5;h5:>5<0;684?:1y'741=lh1C?9h4L272>4}#9;?1?kh4}h:f>5<>of83:17b<>a;29?xd0i3:1>7>50z&052<6:?1C?9h4i034>5<5<5sW3i70958`9~w2?=838pR:74=6c954152z?4><5<5>k1=hl4}r;`>5<5sW3h70958d9~w4c12909w09520c8Z77f3ty:i:4?:3y]e5=:?3k;7psm3b494?3=;3;>:0yK5dc>7?t$521>4=z,:;<65<t$234>ag<@:>m7A=:1;3x 4422:lm7pg7e;29?l?42900e5o50;9je5<722e9=l4?::a706=83;1<7>t$234>4713A9?j6a>1483>>{e1m0;6?4?:1y'741=9;<0D>:i;h323?6=3f;nn7>5;|q:f?6=:rT2n638:9c8yv?d2909wS7l;<;g>4703ty:i84?:3y>3?>b343o65107892<>;2wx=h950;0x92<59h1U>2183>>o3:3:17d:<:188m7d=831b>n4?::k1`?6=3`8n6=44i3d94?=n;90;66g<1;29?l552900e>=50;9j71<722c8:7>5;h1;>5<>d6:80;644?:1y'741==m1C?9h4i03;>5<5<5<5<5<7>59;294~"49>0>h6F<4g9j54>=831b=<750;9j54g=831b=5<7s-9:;7:k;I17b>o6910;66g>1883>>i6mk0;66s|13294?4|V88;70?=3;3ff>{t<;0;6?uQ439>577=9820q~:<:181[2434;9=7?>9:p6g<72;qU>o52133954g7}Y:m16=??510a8yv4b2909wSk4?:3y]6c=:9;;1=5<5sW9;70?=1;32b>{t;80;6?uQ309>574=9820q~==:181[5534;9>7?>9:p76<72;qU?>52130954g7}Y;?16=?<510a8yv5?2909wS=7;<316?76l2wx?44?:3y]7<=:9;81=5<5sW9i70?=2;32b>{t9;;1<74ce34;9?7?>8:p574=838p1<<=:0ga?875;3;:56srb07f>57;36a>i6>o65183>>o6=;0;66g>5583>>o6=?0;66g>5983>>o6=h0;66g>5b83>>o4:00;66l>4c83><<729q/?<951g:8L62a3`;:47>5;h32=?6=3`;:m7>5;h32f?6=3`;:o7>5;h32`?6=3`;:i7>5;h32b?6=3f;nn7>5;|`20f<72:0;6=u+30595`c<@:>m7d?>8;29?l7613:17b?jb;29?xd64?:1y'741=9lo0D>:i;h325;n3ff?6=3ty:8l4?:3y]51g<58>o6k94=06a>47>3ty:8h4?:3y]51c<58>i64=06a>47f3ty:9?4?:3y]504<58>i647d3ty:9;4?:3y]500<58>i647c3ty:9l4?:3y]50g<58>i647?3ty8>44?:3y]77?<58>h64b83>7}:9=i1=hl4=06g>47>3twi?9j50;f97?`|,:;<6>:k;n17=?6=3`98<7>5;h106?6=3`9887>5;h102?6=3`9847>5;h10e?6=3`98o7>5;h10a?6=3`9?<7>5;h176?6=3`9?87>5;h172?6=3k9?m7>59;294~"49>0:j55G35d8m47?2900ef59K71`5<5<2900cvP<489>71e=9lh0q~=<0;296~X4;916?9o510;8yv54:3:1>vP<339>71g=9820q~=<4;296~X4;=16?9o510c8yv54>3:1>vP<379>71g=98i0q~=<8;296~X4;116?9o510`8yv54i3:1>vP<3`9>71g=98n0q~=vP<3d9>71g=98o0q~=;0;296~X4<916?9l510:8yv53:3:1>vP<439>71d=98k0q~=;4;296~X4<=16?9l510;8yv53>3:1>vP<479>71d=98h0q~=;a;296~;452z?00g<6mk16?9m510;8yxd6mm0;684?:1y'741==>1C?9h4i03;>5<5<5<53;294~"49>0?j6F<4g9j54>=831b=<750;9l5`d=831vn?=6:187>5<7s-9:;7;>;I17b>o6910;66g>1883>>o69h0;66a>ec83>>{e::o1<7=50;2x 67028on7E=;f:k25=<722c:=44?::m2ag<722wi??h50;794?6|,:;<68;4H26e?l7603:17d?>9;29?l76i3:17d?>b;29?j7bj3:17pl<4983>6<729q/?<954e9K71`5<N49;29?j7bj3:17pl6<729q/?<954e9K71`5<N46=4?{%123?333A9?j6g>1983>>o6900;66g>1`83>>o69k0;66a>ec83>>{e;:;1<7;50;2x 6702<=0D>:i;h325;h32e?6=3`;:n7>5;n3ff?6=3th8?>4?:483>5}#;8=19;5G35d8m47?2900e5<2290;w)=>7;75?M53n2c:=54?::k25<<722c:=l4?::k25g<722e:io4?::a76?=83?1<7>t$234>00<@:>m7d?>8;29?l7613:17d?>a;29?l76j3:17b?jb;29?xd4;k0;684?:1y'741==<1C?9h4i03;>5<5<5<55;294~"49>0>:6F<4g9j54>=831b=<750;9j54g=831b==i:186>5<7s-9:;7;:;I17b>o6910;66g>1883>>o69h0;66g>1c83>>i6mk0;66sm35394?3=83:p(>?8:448L62a3`;:47>5;h32=?6=3`;:m7>5;h32f?6=3f;nn7>5;|`006<72<0;6=u+305910=O;=l0e2900e50z&052<2=2B88k5f10:94?=n9831<75f10c94?=n98h1<75`1d`94?=zj:><6=4::183!56?3??7E=;f:k25=<722c:=44?::k25d<722c:=o4?::m2ag<722wi?=:50;794?6|,:;<68;4H26e?l7603:17d?>9;29?l76i3:17d?>b;29?j7bj3:17pl<0783>0<729q/?<95549K71`5<5<N46=4?{%123?303A9?j6g>1983>>o6900;66g>1`83>>o69k0;66a>ec83>>{e;9i1<7;50;2x 6702<=0D>:i;h325;h32e?6=3`;:n7>5;n3ff?6=3th85}#;8=19;5G35d8m47?2900e5<2290;w)=>7;74?M53n2c:=54?::k25<<722c:=l4?::k25g<722e:io4?::a507=83?1<7>t$234>00<@:>m7d?>8;29?l7613:17d?>a;29?l76j3:17b?jb;29?xd6=:0;684?:1y'741==?1C?9h4i03;>5<5<5<97>55;294~"49>0>96F<4g9j54>=831b=<750;9j54g=831b=5<7s-9:;7;9;I17b>o6910;66g>1883>>o69h0;66g>1c83>>i6mk0;66sm14;94?3=83:p(>?8:478L62a3`;:47>5;h32=?6=3`;:m7>5;h32f?6=3f;nn7>5;|`21g<72<0;6=u+305910=O;=l0e2900e50z&052<2<2B88k5f10:94?=n9831<75f10c94?=n98h1<75`1d`94?=zj;9i6=4<:183!56?3>o7E=;f:k25=<722c:=44?::m2ag<722wi>>j50;194?6|,:;<69k4H26e?l7603:17d?>9;29?j7bj3:17pl<1083>1<729q/?<951g38L62a3`;:47>5;h32=?6=3`;:m7>5;n3ff?6=3th8<=4?:283>5}#;8=18i5G35d8m47?2900e3;290?6=8r.8=:4>f09K71`5<5<7>53;294~"49>0?h6F<4g9j54>=831b=<750;9l5`d=831vn>?::180>5<7s-9:;7?je:J00c=n9821<75f10;94?=h9lh1<75rb3`4>5<4290;w)=>7;6f?M53n2c:=54?::k25<<722e:io4?::p5<5sW;=m63>6c82ag=z{;l<6=4<{_0e3>;6=l09j:522g:95`d52z\1b`=:;9l1=hl4}r5a>5<4sW=i70=l6;;`?85d=3;:46s|3bd94?4|V:im70=l3;5:?xu6j7p}6}Y;ll01>70<;4:o0:=45rs3`6>5<5sW8i963=b`82ag=z{88;6=4={_314>;6:=0:>=5rs06f>5<5s4;>i7?;e:?20c<6mk1v<;?:181872m3;><63>5082ag=z{8?96=4={<36a?72:27:9>4>ec9~w4332909w0?:e;360>;6=<0:io5rs075>5<5s4;>i7?:6:?212<6mk1v<;7:181872m3;>463>5882ag=z{8?j6=4={<36a?72i27:9o4>ec9~w43d2909w0?:e;36g>;6=m0:io5rs3`5>5<5s48im7?>9:?1f2<6mk1vec9>747=9820q~=?5;296~;48?0:io52303954?52z?04=<6mk16?v3<0`82ag=:;891=<64}r13f?6=:r78ec9>745=9830q~=?d;296~;48l0:io52301954g52z?045<6mk16?<;510:8yv5793:1>v3<0382ag=:;8?1=<74}r1`7?6=:r78o>4=1`9>7f0=i91v>m9:18785d>38:m63=3c825<=:::n1=<64=3`4>47?3ty9n54?:3y>6g?=9lh01?l8:03:?xu4:k0;6?u233d954g<5:8h67}:::o1=<64=31a>4ce3ty9?n4?:3y>66c=98301?=k:0ga?xu4<00;6?u235f971?<5:>367f3=9lh0q~==a;297~;4:o0:io5235:954><5::m67}:;891=hl4=221>47>3ty8=94?:3y>75`=98301>?::0ga?xu4;90;6?u235f9766<5:9:6>751d`8975c28;27p}<3383>7}:;=n1?><4=210>4ce3ty8?94?:3y>71b=;:>01>=::0ga?xu4;?0;6?u235f9760<5:9<628oi7p}<3`83>7}:;=n1?>o4=21a>4ce3ty8894?:3y>71b=;=>01>:::0ga?xu4:00;6?u214g977?<5:>36{t;:i1<7=t=26g>65d3498h7?jb:?047<6911v>=j:181853l398i63<3g82ag=z{:>;6=4={<17`?5382788<4>ec9~w6252909w0=;d;176>;4<:0:io5rs315>5<4s488;7?jb:?22g<69016>>l510:8yv5e290mwS=m;<310?5e34;nh7?>a:?172<69016>>7510:897`?28;270;61c9>50?=98h01<;m:03a?872l3;:n6*=fc80e>h5nh0;7p}<9;29b~X4127:>94<9:?2aa<69k16>>9510:8975>28;270;5j00:=l5215d954g<58?:6199>501=98k01<;6:03b?872j3;:m63>5e825d=#:oh1?l5a2gc95>{t;10;6kuQ399>572=;116=hj510;8975>28;j70;4:j0:=4522c;954?<58>m64>1`9>503=98k01<;8:03:?87213;:463>5c825<=:96g;4:j0:=5522c;954><58>m64>199>503=98301<;8:03;?87213;:563>5c825==:96g5rs2694?5|V:>01<<;:268964b28;i7)0q~=<:180[5434;987=<;<11a?76i2.9jo47>53z\06>;6:=08>63<2d825<=#:oh1?l5a2gc92>{t;80;6>uQ309>572=;816??k510:8 7`e2:k0b?hn:69~w66=83opR>>4=007>66<5:9:61`9>761=98301>=6:03:?854j3;:463<3e825g=:;:l1=47e349??7?>b:?000<69k16?99510`8 7`e2:k0b?hn:99~w7`=83opR?h4=007>7`<5:9:61c9>761=98h01>=6:03a?854j3;:n63<3e825<=:;:l1=<64=262>47f349??7?>a:?000<69h16?99510c8 7`e2:k0b?hn:89~w7c=83opR?k4=007>7c<5:9:6189>761=98201>=6:03b?854j3;:m63<3e825d=:;:l1=47>349??7?>8:?000<69016?99510;8 7`e2:k0b?hn:`9~w7b=83opR?j4=007>7b<5:9:6199>761=98k01>=6:03;?854j3;:563<3e825==:;:l1=<74=262>47?349??7?>9:?000<69116?99510:8 7`e2:k0b?hn:c9~w7e=832pR?m4=007>7e<5::?61c9>75g=98k01>>l:03b?857m3;:56*=fc80e>h5nh0h7p}=b;29<~X5j27:>94=b:?041<69h16?=8510c8966?28;j70=?a;32=>;48j0:=45231g954><,;li6>o4n3db>a=z{=91<76t^51894432=901>>;:03;?857>3;:563<09825<=:;9k1=<64=22`>47e349;i7?>b:&1bg<4i2d9jl4j;|q76?6=0rT?>63>25876>;48=0:=452314954><5::36199>75c=98k0(?hm:2c8j7`f2o1v{zj=:;6=4<4;13>00|D:?:6<:278 1652<1v(>?8:317?_>>2;q26k4rZ3d:>7}f2l0ve5h50;9j6`?=831d>i850;9l7ab=831b?5m50;9l7a4=831d?n750;9l7h950;9j3g<722e8nk4?::k0e`<722c8ik4?::k0`3<722c9?84?::m0`5<722c9n84?::m1g1<722e8no4?::m16d<72-8:?7<=9:l157<732e9>54?:%027?4512d9=?4>;:m176<72-8:?7<=9:l157<532e9??4?:%027?4512d9=?4<;:m174<72-8:?7<=9:l157<332e9?=4?:%027?4512d9=?4:;:m16c<72-8:?7<=9:l157<132e9>h4?:%027?4512d9=?48;:m16a<72-8:?7<=9:l157n4?:%027?4512d9=?46;:m16g<72-8:?7<=9:l157:4?:%027?4512d9=?4m;:k094n331>5=h59;0:76g<4;29 7742:=0b??=:398m65=83.9=>4<7:l157<432c8>7>5$330>61o483:1(??<:258j7752?10e?h50;&156<4?2d9=?48;:k1a?6=,;;86>94n331>==h59;0276g=c;29 7742:=0b??=:`98m7d=83.9=>4<7:l1575$330>61o393:1(??<:258j7752l10e9>50;&156<4?2d9=?4i;:k0b?6=,;;86>94n331>46<3`9n6=4+201972=i:881=<54i2f94?"59:08;6`=13826>=n;j0;6)<>3;14?k46:3;876g<5;29 7742:=0b??=:068?g4ej3:1==4>:02xH63628qC=lk4}M166?7|,=:96;5r$234>4753`3i6=44o0df>5<5<5<5<5<5<5<5<0;g950}K;<;1=vF>ad9~ 6702;hi7d?n8;29?l7f13:17d?na;29?l7fj3:17d?nc;29?l7fl3:17d7j:188k4`b2900c?>?:188k7652900c?>;:188k7612900c?>7:188k76f2900c?>l:188k76c2900nim50;195?5|D:?:6N4X59h1v5<42808wA=:1;3xL4gb3t.8=:4kb:kb4?6=3f8:m7>5;h;a>5<0;6>4?:1y'741=l01C?9h4L272>4}#9;?1?kh4}h:b>5<>o>j3:17o950;194?6|,:;<6i74H26e?I5293;p(<<::2de?xo?i3:17do?:188k77f2900q~7m:181[?e34=14l5rs0g6>5<5s4=1>3?g73twii;4?:686>g}#;8=1i;5ff983>>ob?3:17djl:188m4g?2900e2900e5<7s-9:;7:j;I17b>o6910;66g>1883>>i6mk0;66sme083>6<729q/?<954d9K71`5<5<1290;w)=>7;7b?M53n2c:=54?::k25<<722c:=l4?::k25g<722c:=n4?::m2ag<722wii94?:483>5}#;8=1=k:4H26e?l7603:17d?>9;29?l76i3:17d?>b;29?j7bj3:17p}i8;290~Xa027n97?>a:?gb?76027n?7?>8:pa2<72=qUi:52e4825<=:m80:=552e2825d=z{mi1<7:t^ea89`3=98201ih510;89`7=9830q~?n8;296~X6i116i>4>1b9~w4gf2909wS?na:?f7?76j2wx=lj50;0xZ4gc34o86{tll0;6?u2e482ag=:m=0:=55rsed94?4|5ml1=hl4=d6954?4ce34o?65<5<1983>>o6900;66g>1`83>>i6mk0;66sme`83>1<729q/?<95539K71`5<5<2900c1983>>o6900;66a>ec83>>{en;0;6>4?:1y'741=5<5<m7d?>8;29?l7613:17d?>a;29?l76j3:17d?>c;29?l76l3:17d?>e;29?j7bj3:17p}kc;297~Xck27m:7?>a:?e6?7612wx=l650;6xZ4g?34l=647e34l?6uQ1`c89`g=98201hm510:8yv7f13:1>vP>a89>af<69j1va:pb=<72:qUj552ed825<=:n90:=55rsd:94?4|Vl201k;51d`8yvc>2909w0h9:0ga?8`228;37p}ja;296~;bi3;nn63i5;32=>{tmk0;6?u2eb82ag=:n<0:=l5rsdf94?4|5lo1=hl4=g7954d4ce34l>67?jb:?e1?76l2wxj>4?:3y>b1<6mk16j84>1d9~yg77<3:14785dz&052<68=1b=l650;9j5dg=831bj54?::k2ef<722cn;7>5;hf`>5<:i;h325;h32e?6=3`;:n7>5;n3ff?6=3thmn7>55;294~"49>0>86F<4g9j54>=831b=<750;9j54g=831b=9;29?j7bj3:17plif;297?6=8r.8=:4;e:J00c=n9821<75f10;94?=h9lh1<75rb022>5<2290;w)=>7;75?M53n2c:=54?::k25<<722c:=l4?::k25g<722e:io4?::a554=83<1<7>t$234>4`23A9?j6g>1983>>o6900;66g>1`83>>o69k0;66g>1b83>>i6mk0;66s|1`:94?2|V8k370??3;32=>;aj3;:463>00825d=z{8kj6=4<{_3be>;68:0:=l52113954d;an3;:463>00825<=z{8kh6=4<{_3bg>;68:0:=o52fc825g=z{l=1<7=t^d589cd=98301kj510;8yvbd2908wSjl;47f34lm647?3tym57>52z\e=>;68;0:io5rsgc94?4|58:86bg<6mk16==<510;8yv`d2909w0hk:0ga?877:3;:m6s|fd83>7}:no0:io52110954d52z?244<6mk16==<510a8yxd6no0;694?:1y'741==:1C?9h4i03;>5<5<N4<:187>5<7s-9:;7;=;I17b>o6910;66g>1883>>o69h0;66a>ec83>>{e:9?1<7:50;2x 6702<80D>:i;h325;h32e?6=3f;nn7>5;|`142<72=0;6=u+305914=O;=l0e2900et$234>1c<@:>m7d?>8;29?l7613:17b?jb;29?xuck3:1ov3kc;02e>;b>3nh70h8:ea894632mi0147?348;57?>a:?14g<69016>=k510:8yvc0290hw0k8:33b?8c12l=01k95e69>552=m>16=kh510;8976628;270;58<0:=l52215954?<5;:26189~wc>=83hp1k6520c89`0=n116j:4i8:?241199>657=98201?><:03b?847=3;:563=06825d=::931=<64=32a>47f3ty:m54?:5y]5d><5l<1=l64=g595d><58:?654z\2ed=:m?0:ml52f682ed=:99>1=lo4}r3bf?6=:rT:mo52f682eg=z{8kh6=4<{_3bg>;a?3;jo63>0582ef=z{8ko6=4<{_3b`>;b>3;jh63>0582ea=z{0o1<7:t^8g89ae=1k16i:46b:?e=>4=322>4ce3ty9=:4=326>4ce3ty9<;4?:3y]650<5;:<6=64=32:>4ce3ty9=j4=32f>4ce3tyoh7>52z?gg?g734o=6ij4}rg;>5<5s4o<6l>4=g59a==z{o31<71j45r}r;a>5<5sW3i70?>1;;f?xu6nl0;6?uQ1gg8947628ln7p}=0183>7}Y:9:01:323?xu58;0;6?uQ210894762;:97p}=0583>7}Y:9>01:327?xu58?0;6?uQ214894762;:=7p}=0983>7}Y:9201:32;?xu58h0;6?uQ21c894762;:j7p}=0b83>7}Y:9i01:32`?xu58m0;6?uQ21f894762;:o7p}>a983>7}Y9h201:0c;?xu6i00;6?uQ1`;8947628k27p}>a`83>7}Y9hk01:0cb?xu6ik0;6?uQ1``8947628ki7p}>ab83>7}Y9hi01:0c`?xu6im0;6?uQ1`f8947628ko7psm1g;94?3=:3?p@>;>:0yK5dc>7ot$521>3=#<9;1?:h4$2d:>d6<,:li6??n;%1e3??e3g9m?7?4$2d;>6=5r$234>4ee3`3i6=44i8a94?=ni90;66a=1`83>>o5nj0;66l8:186>7<2sE9>=7?tH0cf?x"49>0:n95fa183>>i59h0;66g6b;29?l?d2900e4=50;9a3?6==3:1N45;hc3>5<7095929~w4c12909w09520c8Z77f3ty:i:4?:3y]e5=:?3k;7psm36494?5=83:p(>?8:0ge?M53n2c:=54?::k25<<722e:io4?::p=g<72;qU5o527;;a?xu>k3:1>vP6c:?033<6901v??n:181[46i27<6??n;|q1bf<72:qU>km4=68b4>;4??0:=55rs256>5<5s4=15n5236495`d0}K;<;1=vF>ad9~H6352hq/8=<56:&744<4?o1/?k75a19'7cd=:8k0(>h8:8`8j6`4281/?k659b9m7c2=92.8ji4=fb9m7c3=82w/?<951e08m5;h0eg?6=3k=1<7;52;7xH63628qC=lk4}%123?7e<2cj<7>5;n02e?6=3`3i6=44i8a94?=n1:0;66l8:186>5<7s-9:;7jn;I17b>J4=80:w)?=5;1eb>{n0l0;66g63;29?l>f2900el>50;9l64g=831vn>;?:182>5<7s-9:;7?>6:J00c=h98?1<75rs8`94?4|V0h01:47a:p=f<72;qU5n527;:f?xu6m<0;6?u23429543<5>02?6s|1d494?4|5>09=l5Q20c8yv7b?3:1>vPn0:?4>d65}#;8=1=hh4H26e?l7603:17d?>9;29?j7bj3:17p}6b;296~X>j27<64l4}r;`>5<5sW3h70=86;32=>{t:8k1<7k278;;4>ec9~yg1c29086<4<{M165?7|@8kn7pB<5382!27:3<0q)=>7;3g7>of83:17b<>a;29?l?e2900n:4?:283>5}#;8=1h45G35d8m=g=831bm=4?::m15d<722wx5o4?:3y]=g=:?32j7p}>e483>7}:?38:m6P=1`9~w4c12909wSo?;<59e5=zuk8>87>53;397~J4=80:wE?ne:O704=9r.?5;c594?5=83:p(>?8:e;8L62a3E9>=7?t$006>6`a3tc3m7>5;hc3>5<5<5sW3i70958`9~w4c22909w09520c8Z77f3ty:i;4?:3y]e5=:?3k;7psm27;94?5=939p@>;>:0yK5dc>7?t$521>3=z,:;<65<50z&052>{t1k0;6?uQ9c9>3?>f3ty:i84?:3y>3?46i2T9=l5rs0g5>5<5sWk;7095a19~yg4383:1?7?53zN014<6sA;ji6sC34095~"38;0=7p*<1682`3=ni90;66a=1`83>>o>j3:17o950;194?6|,:;<6i74H26e?I5293;p(<<::2de?xo?i3:17do?:188k77f2900q~7m:181[?e34=14l5rs0g6>5<5s4=1>3?g73twi>h850;195?5|D:?:6=:79~ 67028n<7do?:188k77f2900e4l50;9a3?6=;3:1N4X59h1vb79je5<722e9=l4?::k:f?6=3k=1<7=50;2x 6702m30D>:i;h:b>5<6=4={<595<5s4=1>0;6?uQa19>3?g73twi>;850;:97?g|,:;<6?89;n055?6=3`8io7>5;h053?6=3`8>n7>5;h06`?6=3`8ih7>5;h063?6=3`8>j7>5;c051?6==3:1N41983>>o6900;66g>1`83>>i6mk0;66sm27694?5=83:p(>?8:0gf?M53n2c:=54?::k25<<722e:io4?::p637=838pR?8>;<050?7bj2wx>om50;0xZ7dd348=97?>b:p631=838pR?88;<051?7602wx>8l50;0xZ73e348=97?>9:p60b=838pR?;k;<051?76i2wx>oj50;0xZ7dc348=?7?>a:p601=838pR?;8;<057?7612wx>8h50;0xZ73a348=?7?>8:p634=838p1?8::0ga?841<3;:46s|27194?4|5;<8605}#;8=18h5G35d8m47?2900eed9K71`5<N41983>>o6900;66a>ec83>>{e:>:1<7850;2x 67028l>7E=;f:k25=<722c:=44?::k25d<722c:=o4?::k25f<722e:io4?::p63g=838pR?8n;<044?7bj2wx>om50;0xZ7dd348=h7?>8:p631=839pR?88;<045?760279:n4>199~w73e2909wS<:b:?134<6901v?lj:181[4em279;=4>189~w7da2909wS1c9~w7dc2909wS189~w70e2909w0<81;3ff>;5>j0:=45rs0g6>5<5s48=o7?jb:?12a<6901v1b9~yg43j3:1o79513y'741=:=h0c?:>:188m7dd2900e?88:188m73e2900e?;k:188m7db2900e?li:188m7e72900e?m>:188m7dc2900e?;8:188m73a2900n?:n:187>5<7s-9:;7;=;I17b>o6910;66g>1883>>o69h0;66a>ec83>>{e:=91<7=50;2x 67028on7E=;f:k25=<722c:=44?::m2ag<722wi>9:50;194?6|,:;<69j4H26e?l7603:17d?>9;29?j7bj3:17pl=4783>6<729q/?<954d9K71`5<2900c50z&052<3l2B88k5f10:94?=n9831<75`1d`94?=zj;>26=48:183!56?3;m:6F<4g9j54>=831b=<750;9j54g=831b=:181[439279844>ec9~w7dd2909wS199>615=9820q~<:b;296~X5=k16>9o510;8yv42l3:1>vP=5e9>61g=98k0q~97510;8yv4en3:1>vP=bg9>61?=98k0q~97510`8yv4d93:1>vP=c09>61?=98i0q~96510:8yv42?3:1?vP=569>610=98201?:8:03;?xu5=o0;6?uQ24d8972128;27p}=4383>7}::=k1=hl4=360>47>3ty:i84?:3y>615=9lh01?:;:03:?xu6m?0;6?u225695`d<5;>269851d`8972028;27p}>e683>7}::==1=hl4=36;>47>3ty:i54?:3y>61>=9lh01?:6:03g?x{e:>21<7:53;4x 6702;=37b<=7;29?l4113:17d=::188m7d=831i>:950;194?6|,:;<69j4H26e?l7603:17d?>9;29?j7bj3:17pl=7483>6<729q/?<954d9K71`5<2900cvP=269>620=9lh0q~<99;297~X5>016>:9510:8971228;37p}<5;296~X4=279;:4>189~w7d=838pR?l4=356>47>3ty9;>4?:3y>621=9lh01?99:03;?xu5?=0;6?u226795`d<5;==61?78t$234>71b3f89n7>5;h05=?6=3`9h6=44i3a94?=e:>n1<7=50;2x 6702=n0D>:i;h325;n3ff?6=3th9;o4?:283>5}#;8=18h5G35d8m47?2900eed9K71`5<o5226a95`d53z\12<=::>n1=<64=35a>47?3ty8o7>52z\0g>;5?m0:=45rs3a94?4|V;i01?9m:03:?xu5?00;6?u226f95`d<5;=h6:l51d`8971d28;27psm29694?2=;3?8:3:7?j45k3:17d<99;29?l5c2900e?j50;9a6=5=8391<7>t$234>1b<@:>m7d?>8;29?l7613:17b?jb;29?xd5080;6>4?:1y'741=5<5<7>53;294~"49>0:ih5G35d8m47?2900e5<51d`8yv4113:1?vP=689>6=5=98201?6>:03;?xu4l3:1>vP5=51d`897>528;37p}=8183>7}::1;1=hl4=3:1>47>3twi>5o50;697?0|,:;<6?6n;n01`?6=3`8=57>5;h1f>5<?8:5f8L62a3`;:47>5;h32=?6=3f;nn7>5;|`1<2<72:0;6=u+30590`=O;=l0e2900c50z&052<6ml1C?9h4i03;>5<5<52z\16a=::121=hl4}r05=?6=;rT9:45229;954><5;2<6028;27p}=8483>7}::131=hl4=3:;>47?3ty94;4?:3y>6=1=9lh01?67:03:?x{e:0:1<7:53;4x 6702;3;7b<=e;29?l4113:17d=i:188m7`=831i>5h50;194?6|,:;<69j4H26e?l7603:17d?>9;29?j7bj3:17pl=8e83>6<729q/?<954d9K71`5<2900cvP=2d9>6=c=9lh0q~<99;297~X5>016>5h510:897>c28;37p}189~w7`=838pR?h4=3:g>47>3ty94o4?:3y>6=`=9lh01?6j:03;?xu50j0;6?u229f95`d<5;2n61?78t$234>7?13f89j7>5;h05=?6=3`>;6=44i2294?=e:0?1<7=50;2x 6702=n0D>:i;h325;n3ff?6=3th95>4?:283>5}#;8=18h5G35d8m47?2900eed9K71`5<k5228695`d53z\12<=::0?1=<64=3;0>47?3ty?<7>52z\74>;51<0:=45rs2294?4|V::01?7<:03:?xu5180;6?u228795`d<5;3?64=51d`897?328;27psm28a94?2=;3?8:3;`?j4483:17d<99;29?l262900e>?50;9a6t$234>1b<@:>m7d?>8;29?l7613:17b?jb;29?xd5100;6>4?:1y'741=5<5<53;294~"49>0:ih5G35d8m47?2900e4o51d`8yv4113:1?vP=689>6vP;1:?1=g<6901v>?50;0xZ67<5;3264l51d`897?f28;37p}=9983>7}::031=hl4=3;b>47>3twi>l<50;697?0|,:;<6?o=;n005?6=3`8=57>5;h61>5<?8:5f8L62a3`;:47>5;h32=?6=3f;nn7>5;|`1=c<72:0;6=u+30590`=O;=l0e2900c50z&052<6ml1C?9h4i03;>5<5<52z\174=::h:1=hl4}r05=?6=;rT9:4522`3954><5;3m663=a0825<=z{:81<77}::h;1=hl4=3c3>47?3ty95h4?:3y>6<`=9lh01?o?:03:?x{e:h21<7:53;4x 6702;k37b<<2;29?l4113:17d:<:188m65=831i>l950;194?6|,:;<69j4H26e?l7603:17d?>9;29?j7bj3:17pl=a483>6<729q/?<954d9K71`5<2900cvP=339>6d0=9lh0q~<99;297~X5>016>l9510:897g228;37p};3;296~X3;279m:4>189~w65=838pR>=4=3c6>47>3ty9m>4?:3y>6d1=9lh01?o9:03;?xu5i=0;6?u22`795`d<5;k=61?78t$234>7gb3f88?7>5;h05=?6=3`9=6=44i2694?=e:hn1<7=50;2x 6702=n0D>:i;h325;n3ff?6=3th9mo4?:283>5}#;8=18h5G35d8m47?2900eed9K71`5<522`a95`d53z\12<=::hn1=<64=3ca>47?3ty8:7>52z\02>;5im0:=45rs2694?4|V:>01?om:03:?xu5i00;6?u22`f95`d<5;kh6ll51d`897gd28;27psm2bd94?5=83:p(>?8:0ge?M53n2c:=54?::k25<<722e:io4?::a7a3=8381<7>t$234>4413A9?j6g>1683>>i6mk0;66sm3d294?4=83:p(>?8:005?M53n2c:=:4?::m2ag<722wi?ok50;094?6|,:;<6<<9;I17b>o69>0;66a>ec83>>{e;j81<7<50;2x 670288=7E=;f:k252<722e:io4?::a7fe=8381<7>t$234>4413A9?j6g>1683>>i6mk0;66sm3e394?4=83:p(>?8:005?M53n2c:=:4?::m2ag<722wi>8=50;194?6|,:;<6o6910;66g>1883>>i6mk0;66sm1g`94?5=83:p(>?8:5f8L62a3`;:47>5;h32=?6=3f;nn7>5;|`117<72:0;6=u+30595`c<@:>m7d?>8;29?l7613:17b?jb;29?xd4l=0;6>4?:1y'741=5<5<55;294~"49>0>46F<4g9j54>=831b=<750;9j54g=831b=lk:186>5<7s-9:;7;7;I17b>o6910;66g>1883>>o69h0;66g>1c83>>i6mk0;66sm3b394?5=83:p(>?8:5g8L62a3`;:47>5;h32=?6=3f;nn7>5;|`0gg<72=0;6=u+305917=O;=l0e2900eed9K71`5<N41983>>o6900;66g>1`83>>i6mk0;66sm24494?3=83:p(>?8:448L62a3`;:47>5;h32=?6=3`;:m7>5;h32f?6=3f;nn7>5;|`11=<72:0;6=u+30590`=O;=l0e2900c50z&052<292B88k5f10:94?=n9831<75f10c94?=h9lh1<75rb34;>5<3290;w)=>7;3e5>N45<7s-9:;7;>;I17b>o6910;66g>1883>>o69h0;66a>ec83>>{e::i;h325;h32e?6=3f;nn7>5;|`125<72:0;6=u+30590a=O;=l0e2900ck3:1>7>50z&052<6:?1C?9h4i034>5<520h01?:?:8`897c120h01>7n:8`8yv4b13:14vP=e89>6gd=9hi01?:j:03:?84283;:563=59825<=::47f348=<7?>9:p7ab=838pR>jk;<1f4?7bj2wx?5m50;4xZ6>d349o87?>8:?0`c<69116?oj510:896e628;370=lb;32=>{t;m81<7{t;0k1<7i38:m6s|2d594?5|V;o<70;5=?0:=o5rs6`94?d|V>h01?lm:0c;?87a138mo63=4b81bf=:?m0j<63=57825d=::<21=<64=37b>47f348>o7?>a:?11`<69116>;>510:8yv5en3:1>vP7f4=9lh0q~=ne;296~X4il16?oj510c8yv5bn3:19vP7a2=98301>ji:03:?85el3;:563;4lo0:=l5rs316>547>349hn7?>a:?10`<69k16>88510:8973f28;370<:c;32=>;5=l0:=45rs2f3>5<5sW9o<636=4={_0a1>;4l80:=:5rs3a7>5<5sW8h863=cg82ag=z{:hi6=4={_1af>;4jl0:io5rs6f94?5|5;hi677f348>:7?>9:p66`=83>p1?;<:0ga?85cn3;:n63199>61c=9lh0q~<;f;296~;5=;0:=45224295`d53z\1`3=::l<1>47>3ty8o=4?:3y>7f4=98=01>m>:0ga?xu4ll0;6?u23d29541<5:nm69>520c8973428;37p}=4b83>7}::=i1>47>3ty9nn4?:7y>6gd=9oo01?89:3``?840:38io63=4c81ff=:9oh1=<64=36f>47?3ty9ni4?:4y>6gd=:9:01?89:3`g?840:38ih63=4c81fa=::<:1=<64}r0aa?6=;r79no4=039>624=:ko01?:m:3`f?xu5=<0;6?u224495`d<5;<36ol5216897152;hm70<;b;0ab>{t:<=1<7;t=345>730348<>7<:7:?10g<5=>16>8651d`8970?28;27p}=c183>7}::kh1>=84=36a>7e73ty9944?:3y>60g=9lh01?87:03b?xu5k80;6?u22c`965><5;>i6?m>;|q11g<72=q6>;8524`897152;?i70<;b;06f>;5=j0:io5rs3a1>5<5s48in738>h63=4c811a=::ae9>5c?=:8k01?:j:03b?84283;:m63=5b825==z{;?m6=4<{<052?42n2798o4=5g9>636=9lh0q~=84;296~;5jk09ec9~w6ef2909w0=lb;3ff>;4kl0:=55rs372>5<5s48?o77l;<066?7bj2wx?4l50;0x96?f2h:01>7l:0ga?xu5>00;6nu227;964g<5;=36?86;<04a?411279494=689>6=g=:?301?7?:34:?84>>38=563=9b812<=::h81>;74=3c;>70>348ji7<99:?0=f<69>1v?8n:18184113k;70<82;05e>{t9ok1<7;85275897152;<<70<;b;053>;5>10:io5rs2ag>5<5s49ho7?>7:?0g`<6mk1v?:>:18184383k;70<;b;075>{t:<>1<777f348hj7?>9:p637=838p1?;;:`2897012;<:7p}7}:;m?1=<94=2f7>4ce3ty9?>4?:3y]665<5;kn6?=<;%0ef?4512d9jl4=;|q177<72;qU>><4=3c;>7553-8mn7<=9:l1bd<43ty9?<4?:3y]667<5;k96?=>;%0ef?4512d9jl4;;|q175<72;qU>>>4=3;`>7573-8mn7<=9:l1bd<23ty9>k4?:3y]67`<5;3=6??k4=3;3>74b3-8mn7<=9:l1bd<03ty9>i4?:3y]67b<5;2j6??m4=3:7>74d3-8mn7<=9:l1bd<>3ty9>o4?:3y]67d<5;=n6??94=35;>7403-8mn7<=9:l1bd52z\0<>X5:11/>kl5369m6cg=82wx?;4?:3y]73=::ho1?;5+2g`972=i:ok1=6s|3583>6}Y;=1U>?o4=3cf>62<,;li6>94n3db>7=z{:91<7<50;0xZ64<5;k96><4$3da>61>4=3;5>66<,;li6>94n3db>3=z{;l1<71v?k50;0xZ7c<5;2j6?k4$3da>617e<,;li6>94n3db>d=z{;h1<76117<,;li6>94n3db>`=z{=:1<7h50;0xZ6`<5;3;6>h4$3da>614}r1f>5<5sW9n70<7a;1f?!4aj39<7cvPo1?n5+2g`972=i:ok1=>5rs2794?4|V:?01?97:278 7`e2:=0b?hn:068yxd40<0;6<=526801~J4=80:wE?ne:O704=:rd?<>4;8:&747<23t.8=:4>489j4?::k22d<722c:>:4?::k05;h5a>5<5<j6=44o05:>5<5<5<6=44o0;`>5<1<75`4b83>>d59=0;6o4>:cyO707=9rB:mh5rL271>4}#<98186s+30595451<75`21494?=n9h21<75f1`;94?=n9hk1<75f1``94?=n9hi1<75m10394?d=13lp@>;>:0yK5dc4:k2e=<722c:m44?::k2ed<722c:mo4?::k2ef<722c2i7>5;n3ea?6=3f8;<7>5;n036?6=3f8;87>5;n032?6=3knh6=4<:080I5293;pD5;n02e?6=3`3i6=44b683>6<729q/?<95d89K71`52z\b4>;02h:0qplj7;297?7=;rF89<4>{I3ba>{#;8=1ho5fa183>>i59h0;66g6b;29?g1=8391<7>t$234>a?<@:>m7A=:1;3x 4422:lm7pg7a;29?lg72900c??n:188yv?e2909wS7m;<596=4={<5964ge783>7}Yi916;7o?;|aa3<72=0968u+3059a3=nlj0;66g>ab83>>ob?3:17bjk:188f`6=8391<7>t$234>1b<@:>m7d?>8;29?l7613:17b?jb;29?xdcn3:1?7>50z&052<6ml1C?9h4i03;>5<5<ab83>7}Y9hi01h>510;8yvc02909wSk8;47>3tyoh7>52z\g`>;cn3;nn6s|dd83>7}:m90:io52dg825==zukl<6=47:78g!56?3l<7d?n8;29?lbd2900eh950;9j5de=831b=l750;9j5dg=831b=ll50;9la=<722hm?7>53;294~"49>0?i6F<4g9j54>=831b=<750;9l5`d=831vnho50;194?6|,:;<69k4H26e?l7603:17d?>9;29?j7bj3:17pljc;297?6=8r.8=:4;e:J00c=n9821<75f10;94?=h9lh1<75rbdg94?2=83:p(>?8:438L62a3`;:47>5;h32=?6=3`;:m7>5;n3ff?6=3thm<7>55;294~"49>0>:6F<4g9j54>=831b=<750;9j54g=831b=o6910;66g>1883>>o69h0;66g>1c83>>o69j0;66a>ec83>>{t9h21<78:?fe?76027ni7?>9:?e4?7612wxi:4?:5y]a2=:mh0:=452eb825<=:ml0:=55rs0c`>5<4sW;jo63jc;32<>;bm3;:m6s|1`;94?4|V8k270h?:03b?xu6ih0;6?uQ1`c89c6=98h0q~?nb;296~X6ik16j=4>199~w`>=838pRh64=g095`d4ce34l96af<6mk16j?4>1`9~w`b=838p1hk51d`89c4=98h0q~ki:1818`728oi70h=:03`?x{e9ol1<7=50;2x 6702=o0D>:i;h325;n3ff?6=3th9<<4?:283>5}#;8=18i5G35d8m47?2900e5<4290;w)=>7;6e?M53n2c:=54?::k25<<722e:io4?::a651=8391<7>t$234>4cb3A9?j6g>1983>>o6900;66a>ec83>>{tlj0;65u2db815d=:m?0oo63i7;f`?87an3;:463=00825<=::991=<74=326>47>348;;7?>9:pa2<721q6i:4=1`9>a3199>655=98201?>::03;?847?3;:46s|1`:94?4|V8k370h8:0c;?xu6i00;6?uQ1`;89c1=9h30q~?na;296~X6ih16j:4>a`9~w4ge2909wS?nb:?e3?7fj2wx=lm50;1xZ4gd34o=64gd3ty2i7>53z\:a>;ck33i70k8:8`8yv7am3:1>vP>fd9>5c`=9lh0q~=?51d`8yv47:3:1>vP=039>655=9lh0q~=;51d`8yv47>3:1>vP=079>651=9lh0q~jk:1818bd2h:01h85de9~w`>=838p1h95a19>b252z\145=:98;1>=>4}r036?6=:rT952z\141=:98;1>=:4}r032?6=:rT9<;52103965052z\2e==:98;1=l64}r3b=?6=:rT:m45210395d?52z\2ed=:98;1=lo4}r3bf?6=:rT:mo5210395dd52z\2ef=:98;1=lm4}|`277<72:0:6>uC34395~N6il1v@>;=:0y'054=<2w/?<951c58md6=831d>5;n02e?6=3ty2n7>52z\:f>;021k0q~?j5;296~;02;;j7S<>a:p5`0=838pRl>4=68b4>{zj8236=4::386I5293;pD;>7:4}%123?7e02cj<7>5;n02e?6=3`3i6=44i8a94?=n1:0;66l8:186>5<7s-9:;7jn;I17b>o?m3:17d7<:188m=g=831bm=4?::m15d<722wi?8>50;394?6|,:;<6i69<0;66s|9c83>7}Y1k16;76n;|q:g?6=:rT2o638:9g8yv7b=3:1>v3<518250=:?3387p}>e783>7}:?38:m6P=1`9~w4c02909wSo?;<59e5=zuk;o57>54;090~J4=80:wE?ne:O704=0r.?5<42808wA=:1;3xL4gb3t.8=:4>b59je5<722e9=l4?::k:f?6=3k=1<7=50;2x 6702m30D>:i;h:b>5<00;6?4?:1y'741=9;<0D>:i;h323?6=3f;nn7>5;|q:f?6=:rT2n638:8`8yv46i3:1>vP=1`9>3?46i2wx>km50;0xZ7`d349=57?>7:p73>=838p1:4n0:?02<<6mk1vqo77:187>4<4sE9>=7?tH0cf?xJ4=;03w):?2;68 1662:=m7)=i9;c3?!5aj38:m6*h4n:0:7)=id;0eg>h4n<0;7p*<1682fd=n1k0;66gn0;29?j46i3:17d4}O9ho0q)=>7;3a0>of83:17b<>a;29?l?e2900n:4?:283>5}#;8=1h45G35d8m=g=831bm=4?::m15d<722wx5o4?:3y]=g=:?32j7p}>e483>7}:?38:m6P=1`9~w4c12909wSo?;<59e5=zuz3i6=4={_;a?81=1k1v??n:181[46i27<6??n;|q1bf<72;qU>km4=68b4>{zj=i1<7;52;7xH63628qC=lk4}M166?g|,=:9695+413972`<,:l26l>4$2da>77f3-9m;77m;o1e7?7<,:l364m4n2d7>4=#;oo1?<84n2d5>4=z,:;<65<>i59h0;66g<1783>>d0290>6?4:{M165?7|@8kn7p*<1682f1=ni90;66a=1`83>>o>j3:17d7l:188m<5=831i;7>55;294~"49>0om6F<4g9j<`<722c2?7>5;h:b>5<:183!56?3;::6F<4g9l543=831v4l50;0xZ03m6s|9b83>7}Y1j16;76j;|q2a0<72;q6?8>5107892<>;2wx=h850;0x92<59h1U>4?:1y'741=9lo0D>:i;h325;n3ff?6=3ty2n7>52z\:f>;020h0q~7l:181[?d349<47?>9:p64g=838pR??n;<5964g53z\053=:?3k;70=88;32<>{t;>=1<7;4?10:io5r}c352?6==3819vB<5082M7fm2wG?8<51z&747<33t.8=:4>b99je5<722e9=l4?::k:f?6=3`3h6=44i8194?=e?3:197>50z&052>o>;3:17d6n:188md6=831d>2B88k5`10794?=z{0h1<7e483>7}:;<:1=<;4=68:7>{t9l<1<74}|`2e6<72<0968uC34395~N6il1v@>;=:0y'054=<2w/?<951c:8md6=831d>5;h;0>5<0;684?:1y'741=lh1C?9h4i9g94?=n1:0;66g7a;29?lg72900c??n:188yg5283:1=7>50z&052<69?1C?9h4o036>5<03i6s|1d794?4|5:?;6e683>7}Yi916;7o?;|a53e=8391=7=tL272>4}O9ho0qA=:2;3x 1652=1v(>?8:0f0?lg72900c??n:188m53;294~"49>0o56F<4g9j5;n02e?6=3ty2n7>52z\:f>;021k0q~?j5;296~;02;;j7S<>a:p5`0=838pRl>4=68b4>{zj8=26=4::386I5293;pD;>7:4}%123?7e02cj<7>5;n02e?6=3`3i6=44i8a94?=n1:0;66l8:186>5<7s-9:;7jn;I17b>o?m3:17d7<:188m=g=831bm=4?::m15d<722wi?8>50;394?6|,:;<6i69<0;66s|9c83>7}Y1k16;76n;|q:g?6=:rT2o638:9g8yv7b=3:1>v3<518250=:?3387p}>e783>7}:?38:m6P=1`9~w4c02909wSo?;<59e5=zuk>?6=4<:080I5293;pD;>7:4}%123?7c;2cj<7>5;n02e?6=3`3i6=44b683>6<729q/?<95d89K71`>i59h0;66s|9c83>7}Y1k16;76n;|q2a0<72;q6;7<>a:\15d=z{8o=6=4={_c3?81=i91vqo?:f;291?4==rF89<4>{I3ba>{K;<81=v*;0387?x"49>0:n55fa183>>i59h0;66g6b;29?l?d2900e4=50;9a3?6==3:1N45<6290;w)=>7;322>N4{t1j0;6?uQ9b9>3?>b3ty:i84?:3y>706=98?01:463:p5`0=838p1:4=1`9]64g52z\b4>;02h:0qpl>9b83>0<52{#;8=1=o64i`294?=h:8k1<75f9c83>>o>k3:17d7<:188f2<72<0;6=u+3059`d=O;=l0e5k50;9j=6<722c3m7>5;hc3>5<7095929~w4c12909w09520c8Z77f3ty:i:4?:3y]e5=:?3k;7psm1g;94?3=:3?p@>;>:0yK5dc>7ot$521>1=#<9;1?:h4$2d:>d6<,:li6??n;%1e3??e3g9m?7?4$2d;>6=5r$234>4dd3`3i6=44i8a94?=ni90;66a=1`83>>o5nj0;66l8:186>7<2sE9>=7?tH0cf?x"49>0:n95fa183>>i59h0;66g6b;29?l?d2900e4=50;9a3?6==3:1N45;hc3>5<7095929~w4c12909w09520c8Z77f3ty:i:4?:3y]e5=:?3k;7psm36494?5=83:p(>?8:0ge?M53n2c:=54?::k25<<722e:io4?::p=g<72;qU5o527;;a?xu>k3:1>vP6c:?033<6901v??n:181[46i27<6??n;|q1bf<72:qU>km4=68b4>;4??0:=55rs256>5<5s4=15n5236495`d2}K;<;1=vF>ad9~H6352hq/8=<54:&744<4?o1/?k75a19'7cd=:8k0(>h8:8`8j6`4281/?k659b9m7c2=92.8ji4=fb9m7c3=82w/?<951cf8m5;h0eg?6=3k=1<7;52;7xH63628qC=lk4}%123?7e<2cj<7>5;n02e?6=3`3i6=44i8a94?=n1:0;66l8:186>5<7s-9:;7jn;I17b>o?m3:17d7<:188m=g=831bm=4?::m15d<722wi?8>50;394?6|,:;<6i69<0;66s|9c83>7}Y1k16;76n;|q:g?6=:rT2o638:9g8yv7b=3:1>v3<518250=:?3387p}>e783>7}:?38:m6P=1`9~w4c02909wSo?;<59e5=zuk9=57>53;294~"49>0?h6F<4g9j54>=831b=<750;9l5`d=831vn>99:180>5<7s-9:;7?jf:J00c=n9821<75f10;94?=h9lh1<75rs8`94?4|V0h01:46b:p=f<72;qU5n52364954?73?=98201>99:03;?xu4>10;6?u27;c3?85113;nn6s|36794?4|5>02o63<7782ag=zuk=n6=4::284I5293;pD;>7:4$522>61a3-9m57o?;%1ef?46i2.8j:46b:l0b6<63-9m477l;o1e0?7<,:lo6?hl;o1e1?65}#;8=1hl5G35d8m=c=831b5>4?::k;e?6=3`k;6=44o33b>5<<7>51;294~"49>0:=;5G35d8k4722900q~7m:181[?e34=14l5rs8a94?4|V0i01:47e:p5`3=838p1>;?:036?81=1:1v:i;h325;n3ff?6=3th8;;4?:283>5}#;8=1=hh4H26e?l7603:17d?>9;29?j7bj3:17p}6b;296~X>j27<64l4}r;`>5<5sW3h70=86;32=>{ti90;6?uQa19>73?=9830q~<>a;296~X59h16;7<>a:p6ce=839pR?hl;<15=?760278;;4>199~w60?2909w095a19>73?=9lh0q~=85;296~;020i01>99:0ga?x{e9>=1<7955;`x 67028=<7b?9f;29?l4603:17d:l:188m40c2900e??::188m7712900e<==:188f41129086=4?{%123?2b3A9?j6g>1983>>o6900;66a>ec83>>{e9>;1<7=50;2x 67028on7E=;f:k25=<722c:=44?::m2ag<722wi=:<50;194?6|,:;<69j4H26e?l7603:17d?>9;29?j7bj3:17pl>7583>6<729q/?<954d9K71`5<2900eo16=:;51d`8yv4603:1>vP=199>524=9820q~:l:180[2d34;<:7?>8:?234<6911v<8k:181[71l27:;;4>189~w7722909wS<>5:?230<6901v??9:181[46>27:;94>189~w4552909wS?<2:?231<6911v<9?:181870>3;nn63>70825<=z{8o>6=4={<345?7bj27:;?4>189~w4c12909w0?82;3ff>;6?<0:=55rs050>5<5s4;<87?jb:?230<69h1vqo?71;290?5=>r.8=:4>809l52e=831b8n4?::k2<=<722c8ik4?::`2<5<72:0;6=u+30590a=O;=l0e2900c50z&052<3m2B88k5f10:94?=n9831<75`1d`94?=zj8=m6=4<:183!56?3;ni6F<4g9j54>=831b=<750;9l5`d=831v<9l:181[70k27:;k4>ec9~w1e=839pR9m4=0:3>47?34;8:p5=>=838pR<67;<3;4?7612wx?hh50;0xZ6ca34;9:p52b=838p1<6?:0ga?870n3;:46s|16g94?4|58=n66<1s-9:;7?95:m225<722c?o7>5;h352?6=3`9ji7>5;c350?6=;3:1N41983>>o6900;66a>ec83>>{e9?91<7=50;2x 67028on7E=;f:k25=<722c:=44?::m2ag<722wx=;>50;0xZ40734;=?7?jb:p0f<72:qU8n52176954><58<9647>3ty8mh4?:3y]7dc<58<966383>7}:9?81=hl4=040>47>3twi=l<50;697?0|,:;<6h6=44i0c0>5<5<4290;w)=>7;6g?M53n2c:=54?::k25<<722e:io4?::a5<`=8391<7>t$234>1`<@:>m7d?>8;29?l7613:17b?jb;29?xd6i90;6>4?:1y'741=9lo0D>:i;h325;n3ff?6=3ty:5i4?:3y]5a0825==:90l1=<64}r3b7?6=:rT:m>521`3954?52z\0`3=:90l1=<74}r3:a?6=:r7:m<4>ec9>5d6=9820q~?6f;296~;61o0:io521`2954?5}#;8=1=k<4H26e?l7603:17d?>9;29?l76i3:17b?jb;29?xd4i?0;694?:1y'741==81C?9h4i03;>5<5<3:1N49;29?j7bj3:17pl>fc83>6<729q/?<954e9K71`5<N41983>>o6900;66a>ec83>>{e91i1<7:50;2x 67028l:7E=;f:k25=<722c:=44?::k25d<722e:io4?::a53c=83>1<7>t$234>07<@:>m7d?>8;29?l7613:17d?>a;29?j7bj3:17pl>8483>1<729q/?<951g18L62a3`;:47>5;h32=?6=3`;:m7>5;n3ff?6=3th:;o4?:283>5}#;8=18h5G35d8m47?2900eed9K71`5<2900c;3:1?7>50z&052<6ml1C?9h4i03;>5<5<52;294~"49>0:>;5G35d8m4702900c7>50z&052<6:?1C?9h4i034>5<279K71`5<52;294~"49>0:>;5G35d8m4702900ca290:=vP7f:?151<>j27:??46b:?2<=<>j27:h446b:?:h64l4=045>6`83>7}Y9?k01?mj:03:?xu6:>0;6?uQ135894552h:0q~=7c;296~X40j16?l8510:8yv1b2909wS9j;<5f>77f3ty58z\4f>;59=0:m4521g;96ce<582o6?hl;<5f>7`d34;3m7?>a:?22`<69h16=5;510:8yv71k3:1>vP>6b9>53e=:8k0q~=ne;297~X4il16=;;53`g8940?28;<7p}>4`83>=}Y9=k01??;:0cb?84dn3;:46347?34;=i7?>9:?2<0<6901v<96:181[70127:;44=1`9~w6ca290?wS=jf:?2<=7d0=98k0q~=k6;297~X4l?16=l<53e4894g228;<7p}>5g83>7}Y97}Y;h?01>o9:0ga?xu61j0;6?uQ18a894?d2;;j7p};4;296~X3<27?87<>a:p0f<72jqU8n5220695d><5=i1>1e<582:69m4=046>1e<58k969m4=0:b>47?343j6199>52d=9820q~?60;296~;60m0j<63>9082ag=z{83o6=4={<3:g?g734;j>7?6d:p5=?=839p1<67:8a894>f28oi70?kb;323>{t9>k1<74ce34;3;7?>8:p564=83>p1??;:0c`?874:38:m63>768277=:91l1=<64}r35`?6=:r7:;:4>6e9>53c=9lh0q~76:1818??2;lh707n:0ga?xu6n00;6;u220695dd<58l26??n;<3;e?76127:4k4>1`9>53c=98201<6::03b?xu>03:1>v368;02e>;3k33h7p}>d`83>7}:9m31>km4=0fa>4ce3ty:jn4?:3y>5cd=983013`<>k27:4k4>ec9~w4002909w0?96;c3?87103;nn6s|18094?4|582m620i01<6<:0ga?xu59<0;6:u220695cc<5=>1m=5214d9=f=:90i15n521659643<50k1=<64=0:`>47?3ty:4o4?:3y>5=g=98h01<6l:0ga?xu59?0;6>u22069656<58=<6??9;<3;g?7612wx><950;1x97732;:970?7c;32e>;61:0:=45rs33;>5<3s48:878983>7}:9121>4>?3ty:jl4?:3y>5c?=1j16=kl51d`8yv7c13:18v3>d8815d=:5<5s4;=:7<>a:?220<6>?1v<8?:181872n3k;70?95;354>{t9>i1<7d6<582:6<9l;|q22c<72;q6=;m5a19>521=9?l0q~?74;296~;60<0:io5216`954?53z?1gc<69h16>nk51d`894`c28;27p}>8783>7}:91=1=hl4=0:0>4703ty:m94?:3y>5d5=i916=l;51d`8yv7f;3:1>v3>a2815d=:9h81=l=4}r3;`?6=:r7:4i4=1`9>6f`=9830qpl<8583>43=;m0>>vB<5082M7fm2wG?8<52zl746<202.?k751z34>xi6;:0;66g>o41m0;66g>a683>>i6:>0;66a<8b83>>oem3:17d=lf;29?l71k3:17b=63;29?l>d2900e5l50;9l7dc=831b=;750;9l7a0=831b=l850;9j7<2=831d?l<50;9l7d1=831d894?::m0a4<722h89=4?:083>5}#;8=1=<84H26e?j76=3:17pl>9583>c<62oqG?8?51zJ2e`=zD:?96{#;8=1=<:4i8`94?=h9oo1<75`21294?=h:981<75`21694?=h:9<1<75`21:94?=h:9k1<75`21a94?=n9h21<75f1`;94?=n9hk1<75f1``94?=n9hi1<75f1`f94?=e98;1<7h5f;35I5293;pD:i;M165?7|,88>6>hi;|k;e?6=3`k;6=44o33b>5<0;6>4>:2yO707=9rB:mh5r$234>ad5<7s-9:;7j6;I17b>J4=80:w)?=5;1eb>{n0h0;66gn0;29?j46i3:17p}6b;296~X>j27<65o4}r3f1?6=:r7<6??n;_02e>{t9l<1<7N4X59h1v:i;M165?7|,88>6>hi;|k;e?6=3`k;6=44o33b>5<5;hd;>5<6<729q/?<954e9K71`5<5<4290;w)=>7;6f?M53n2c:=54?::k25<<722e:io4?::aa4<72=0;6=u+30595c7<@:>m7d?>8;29?l7613:17d?>a;29?j7bj3:17p}j7;296~Xb?27n=7?>8:p553=839pR<>:;47?34nm6{tn10;6?uQf99>`c<6901vij50;0xZab<5l;1=hl4}rff>5<5s4o9647>3tyoj7>52z?gb?7bj27n=7?>a:~fc1=83?1?79t$234>c1>o68<0;66gi8;29?jc?2900nhj50;194?6|,:;<69k4H26e?l7603:17d?>9;29?j7bj3:17plja;297?6=8r.8=:4;d:J00c=n9821<75f10;94?=h9lh1<75rbda94?2=83:p(>?8:0d2?M53n2c:=54?::k25<<722c:=l4?::m2ag<722wxi:4?:3y]a2=:mm0:=45rsea94?5|Vmi01hj510:89`g=9830q~??5;296~X68<16il4>199~wc>=838pRk64=da954g{tmh0;6?u2e`82ag=:mj0:=45r}c330?6=0391mv*<168241=n9hk1<75f1``94?=n9hi1<75f1`f94?=nn10;66g>a883>>o68<0;66ai9;29?g`b290=6=4?{%123?3e3A9?j6g>1983>>o6900;66g>1`83>>o69k0;66g>1b83>>i6mk0;66smfc83>6<729q/?<954d9K71`5<5<3290;w)=>7;3e5>N48:p5dd=838pR47>3ty:mn4?:3y]5de<5oo1=vP>a89>bg<6911v<>::181[77=27mh7?>a:pb<<72;qUj452fe82ag=z{ok1<75<5s4li647>3twi==h50;591?d|,:;<6<>i;h331?6=3`l36=44id594?=nlj0;66g>a983>>o6i00;66a>0783>>d68l0;6>4?:1y'741=5<5<53;294~"49>0?i6F<4g9j54>=831b=<750;9l5`d=831vn<>n:180>5<7s-9:;7:j;I17b>o6910;66g>1883>>i6mk0;66sm11a94?2=83:p(>?8:408L62a3`;:47>5;h32=?6=3`;:m7>5;n3ff?6=3th:5}#;8=1=k:4H26e?l7603:17d?>9;29?l76i3:17d?>b;29?j7bj3:17p}>0483>7}Y99?01<>j:03:?xua03:1?vPi8:?24`<69116==6510;8yvc02908wSk8;<33189~wae=839pRim4=02b>47?34;;o7?>8:p5d>=838pR34;;o7?>9:p550=838pR<>9;<33`?7bj2wx==950;0x946b28oi70??d;32<>{t9921<74ce34;;h7?>9:p55?=838p1<>n:0ga?877l3;:m6s|11`94?4|58:h65<7s-9:;7:i;I17b>o6910;66g>1883>>i6mk0;66sm21394?5=83:p(>?8:5g8L62a3`;:47>5;h32=?6=3f;nn7>5;|`146<72:0;6=u+30590`=O;=l0e2900c50z&052<3m2B88k5f10:94?=n9831<75`1d`94?=zj;:<6=4<:183!56?3>o7E=;f:k25=<722c:=44?::m2ag<722wi>=750;194?6|,:;<69k4H26e?l7603:17d?>9;29?j7bj3:17pl=0c83>6<729q/?<954d9K71`5<5<0s4nh6??n;ae<5o=1hn5211d9`f=:9ol1=<74=326>47?348;;7?>9:pa2<72>q6i:4=1`9>a38:?140<69016>=l510;8yv`?2902w0h7:33b?8c12o201k95f99>552=n116==h5f99>657=98201?><:03:?84713;:563=0c825==z{8:>6=46{<331?46i27n:7??5:?e3?77=27:<94>049>55`=99?0153z\2e<=:99>1=l74=02e>4g>3ty:ml4?:3y]5dg<58:?64ge3ty:mn4?:3y]5de<58:?64gc3ty2i7>55z\:a>;ck33i70k8:8`89c>=1k16==;59c9~w4`b2909wS?ie:?2bc<6mk1v?>?:181[478279<<4>ec9~w7652909wS;:181[47<279<84>ec9~w7612909wS7:181[470279<44>ec9~w76f2909wS52z?e55`=99<0qp}6b;296~X>j27:=<46e:p5cc=838pR=>50;0xZ76734;:=7=;<325?47:2wx>=:50;0xZ76334;:=79;<325?47>2wx>=650;0xZ76?34;:=7n;<325?47i2wx>=m50;0xZ76d34;:=7=838pR34;:=7?n9:p5dg=838pR7;3aa>of83:17b<>a;29?l?e2900n:4?:283>5}#;8=1h45G35d8H63628q/=?;53gd8yl>f2900el>50;9l64g=831vn4h50;094?6|,:;<6<<9;I17b>o69>0;66a>ec83>>{t1k0;6?uQ9c9>=c<69>1vec9~w4c12909w09520c8Z77f3ty:i:4?:3y]e5=:?3k;7psmad83>6<62:qG?8?51zJ2e`=zD:?96{#;8=1=i=4i`294?=h:8k1<75f9c83>>d029086=4?{%123?b>3A9?j6g7a;29?lg72900c??n:188yv?e2909wS7m;<596=4={<5964ge783>7}Yi916;7o?;|a770=8391=7=tL272>4}O9ho0qA=:2;3x 1652:1v(>?8:0f0?lg72900c??n:188m53;294~"49>0o56F<4g9j5;n02e?6=3ty2n7>52z\:f>;021k0q~?j5;296~;02;;j7S<>a:p5`0=838pRl>4=68b4>{zj1<1<7=51;1xH63628qC=lk4}M166?7|,=:96>5r$234>4b43`k;6=44o33b>5<j27<65o4}r3f1?6=:r7<6??n;_02e>{t9l<1<74<4sE9>=7?tH0cf?xJ4=;0:w):?2;18y!56?3;o?6gn0;29?j46i3:17d7m:188f2<72:0;6=u+3059`<=O;=l0e5o50;9je5<722e9=l4?::p=g<72;qU5o527;:b?xu6m<0;6?u27;02e>X59h1v5<42;0?wA=:1;3xL4gb3tF89?4>{%636?55;h;a>5<0;6>4?:1y'741=l01C?9h4i9c94?=ni90;66a=1`83>>{e1o0;6?4?:1y'741=9;<0D>:i;h323?6=3f;nn7>5;|q:f?6=:rT2n636f;323>{t9l?1<7;>n3;nn6s|1d494?4|5>09=l5Q20c8yv7b?3:1>vPn0:?4>d684?:281>1}K;<;1=vF>ad9~H63528q/8=<53:'741=9k<0el>50;9l64g=831b5o4?::`4>5<4290;w)=>7;f:?M53n2c3m7>5;hc3>5<5<5290;w)=>7;312>N452z?4>77f3W8:m6s|1d594?4|Vh:01:4n0:~f=3=8391>7:tL272>4}O9ho0qA=:2;3x 1652:1v(>?8:0`5?lg72900c??n:188m53;294~"49>0o56F<4g9j5;n02e?6=3th2j7>52;294~"49>0:>;5G35d8m4702900c4703ty:i84?:3y>3?>f343m6a:\15d=z{8o<6=4={_c3?81=i91vqo{I3ba>{K;<81=v*;0380?x"49>0:n;5fa183>>i59h0;66g6b;29?g1=8391<7>t$234>a?<@:>m7d6n:188md6=831d>t$234>4413A9?j6g>1683>>i6mk0;66s|9c83>7}Y1k165k4>169~w4c22909w0958`9>=c<6mk1v4=:5yO707=9rB:mh5rL271>4}#<981?6s+30595g05<7s-9:;7j6;I17b>o?i3:17do?:188k77f2900qo7i:181>5<7s-9:;7?=6:J00c=n98=1<75`1d`94?=z{0h1<73:1>v38:33b?[46i2wx=h950;0xZd6<5>0j<6srbe594?5=939p@>;>:0yK5dc>7?t$521>6=z,:;<65<50z&052>of83:17b<>a;29?xu>j3:1>vP6b:?4>=g52z?4>77f3W8:m6s|1d494?4|Vh:01:4n0:~fg`=8321>7jtL272>4}O9ho0qA=:2;:x 1652:1/8=?536f8 6>72=:?7)=ib;02e>"4n>02n6`0:n?5U88824g=uS8m57>o5nj0;66a=2183>!46;38:j6`=1383?>i59l0;6)<>3;02b>h59;0:76a=1e83>!46;38:j6`=1381?>i59j0;6)<>3;02b>h59;0876a=1c83>!46;38:j6`=1387?>d0290o6;4j{M165?7|@8kn7pB<5384!27939"4nk09=l5+3g59=g=i;o91=6*v?n:0:9y_4a138p=n4>5;j6cb=831b5o4?::k1bf<722e9>=4?:%027?46n2d9=?4?;:m15`<72-8:?7<>f:l157<632e9=i4?:%027?46n2d9=?4=;:m15f<72-8:?7<>f:l157<432e9=o4?:%027?46n2d9=?4;;:kb2?6=,;;86l;4n331>5=1<7*=128b1>h59;0:76gn3;29 7742h?0b??=:398md4=83.9=>4n5:l157<432cj=7>5$330>d30<42>qG?8?51zJ2e`=zD:?964u+413972`<,:l26l>4$2da>77f3-9m;77m;o1e7?7<,:lo6?hl;o1e1?7<,:ln6>?9;o1e2?75<5<0;6>4>:2yO707=9rB:mh5r$234>4d33`k;6=44o33b>5<j27<65o4}r3f1?6=:r7<6??n;_02e>{t9l<1<786:180>5<7s-9:;7:j;I17b>o6910;66g>1883>>i6mk0;66sm36`94?5=83:p(>?8:0gf?M53n2c:=54?::k25<<722e:io4?::p=g<72;qU5o527;;a?xuf83:1>vPn0:?03g<6901v??n:181[46i27<6??n;|q053<72;qU?<84=25a>47?3ty9jn4?:3y]6ce<5:<267}:?3k;70=99;3ff>{zj10;694=:4yO707=9rB:mh5rL271>2}#<9;1?:h4$2d:>d6<,:li6??n;%1e3??e3g9m?7?4$2dg>7`d3g9m97?4}%123?7e12c2n7>5;hc3>5<5<0;6>4>:2yO707=9rB:mh5r$234>4d33`k;6=44o33b>5<j27<65o4}r3f1?6=:r7<6??n;_02e>{t9l<1<786:180>5<7s-9:;7:j;I17b>o6910;66g>1883>>i6mk0;66s|9c83>7}Y1k16;77m;|qb4?6=:rTj<63<68825<=z{;;j6=4={_02e>;02;;j7p}=fb83>7}Y:oi01>86:03;?xu4>10;6?u27;c3?85113;nn6srbe83>1<524$2da>77f3-9m;77m;o1e7?7<,:lo6?hl;o1e1?75<6<62:qG?8?51zJ2e`=z,:;<65<50z&052>of83:17b<>a;29?xu>j3:1>vP6b:?4>=g52z?4>77f3W8:m6s|1d494?4|Vh:01:4n0:~f60>29086=4?{%123?2b3A9?j6g>1983>>o6900;66a>ec83>>{t1k0;6?uQ9c9>3??e3tyj<7>52z\b4>;4>00:=45rs33b>5<5sW8:m638:33b?xu5nj0;6?uQ2ga8960>28;37p}<6983>7}:?3k;70=99;3ff>{zj881<7:52;7xH63628qC=lk4}M166?1|,=::6>9i;%1e=?g73-9mn7<>a:&0b2<>j2d8j>4>;%1e`?4ak2d8j84>;|&052<6j01b5o4?::kb4?6=3f8:m7>5;h0eg?6=3k=1<7=51;1xH63628qC=lk4}%123?7e<2cj<7>5;n02e?6=3`3i6=44b683>6<729q/?<95d89K71`>i59h0;66s|9c83>7}Y1k16;76n;|q2a0<72;q6;7<>a:\15d=z{8o=6=4={_c3?81=i91vqo=99;297?6=8r.8=:4;e:J00c=n9821<75f10;94?=h9lh1<75rs8`94?4|V0h01:46b:pe5<72;qUm=5237;954?52z\15d=:?38:m6s|2ga94?4|V;lh70=99;32<>{t;?21<7;4>00:io5r}c30>5<32;0>wA=:1;3xL4gb3tF89?48{%635?50n2.8j44n0:&0bg<59h1/?k959c9m7c5=92.8ji4=fb9m7c3=92w/?<951c;8m5<42808wA=:1;3xL4gb3t.8=:4>b59je5<722e9=l4?::k:f?6=3k=1<7=50;2x 6702m30D>:i;h:b>5<00;6>4?:1y'741=5<5<50;0xZd6<5:<26;4>00:=55rs24;>5<5s4=1m=5237;95`d;4?:283>5}#;8=1=hk4H26e?l7603:17d?>9;29?j7bj3:17p}=fe83>6}Y:on01:4<179>670=9830q~7m:185[?e34=15o528;;a?8b=1k16=?46b:?27??e3ty9jn4?:2y]6ce<5>09jn52234954>55z?;>7`d34n1>km4=0096ce<5891>km4=305>4ce3ty9>=4?:3y]676<5891>77a3g8mm7>4}r02a?6=:rT9=h5213815d=#:oh1>4=z{;;o6=4={_02`>;c2;;j7)h5nh097p}=1b83>7}Y:8i0154=1`9'6cd=:8l0b?hn:29~w77e2909wS<>b:?4>77f3-8mn7<>f:l1bd<33tyj:7>52z\b2>;6;3k;7)4$3da>d3637:`28 7`e2h?0b?hn:29~wd7=838pRl?4=68b4>"5nk0j96`=f`87?x{e9mn1<7o58;fxH63628qC=lk4}M166?3|,=::6>96;%1ee?d43->;:764$2d`>7ce3->;9794}%123?7e82P357v?k:049yj4a93:1(??<:3d3?k46:3:07b2;38?j4bm3:1(??<:3d3?k46:3807b2;18?j4bk3:1(??<:3d3?k46:3>07dl6:18'645=j11e><<50:9jf2<72-8:?7l7;o026?7<3`h=6=4+2019f==i:881>65fb483>!46;3h37c<>2;18?ld3290/><=5b99m644=<21i=ik50;195?5|D:?:64:{%123?52j2ci=7>5;h`1>5<5<4290;w)=>7;6f?M53n2c:=54?::k25<<722e:io4?::a70?=8391<7>t$234>1c<@:>m7d?>8;29?l7613:17b?jb;29?xd4=h0;6>4?:1y'741=9lo0D>:i;h325;n3ff?6=3tyi=7>53z\a5>;4=10:=45234;954>;4=h0:io5rs274>5<5s49>47?jb:?01d<6911v>;7:18185213;nn63<5`825<=zuzh:6=4={_`2?852j3h:7p}m2;296~Xe:2789o4m2:p6`d=838pR?km;<16f?4bj2wvn4<4sE9>=7?tH0cf?x"49>0:h55fb083>>oe:3:17b5;c16N429086=4?{%123?2b3A9?j6g>1983>>o6900;66a>ec83>>{e;47?3tyi>7>53z\a6>;4=10:=55234;954?52z\1ag=:;ec9>70g=9820q~=:8;296~;4=00:io5234c954?52z\a5>;4=k0i=6s|b383>7}Yj;16?8l5b39~w7ce2909wS{I3ba>{#;8=1=i64ic394?=nj;0;66a=ec83>>d4=k0;6>4<:4y'741=;2900c50z&052<3m2B88k5f10:94?=n9831<75`1d`94?=zj:?j6=4<:183!56?3;ni6F<4g9j54>=831b=<750;9l5`d=831vo?50;1xZg7<5:?3647>3ty9io4?:3y]6`d<5:?j67}:;<31=hl4=27b>47>3twxn<4?:3y]f4=:;;m:c08yv4bj3:1>vP=ec9>70d=:lh0qpl>e083>6<62:qG?8?51zJ2e`=z,:;<65<:188mg4=831d>hl50;9a70>=8391<7>t$234>1c<@:>m7d?>8;29?l7613:17b?jb;29?xd4=00;6>4?:1y'741=5<5<m7>53;294~"49>0:ih5G35d8m47?2900e:180[d6349>47?>9:?01<<6911vo<50;1xZg4<5:?36hl50;0xZ7ce349>m7?jb:p701=838p1>;7:0ga?852i3;:46s|34:94?4|5:?265<5sWh970=:b;`1?xu5mk0;6?uQ2d`8963e2;oi7psm37;94?5=83:p(>?8:5f8L62a3`;:47>5;h32=?6=3f;nn7>5;|`02g<72:0;6=u+30590a=O;=l0e2900c50z&052<3l2B88k5f10:94?=n9831<75`1d`94?=zj;l=6=4=:183!56?3;9:6F<4g9j541=831d=hl50;9~w60?2908w0?kf;`2?85113;nn63<6c825<=z{:8l:18187b93h:70=9d;3ff>{t:o;1<7"5nk09j=5a2gc95>{t:lo1<76s|2df94?4|V;oo70?ke;0ff>"5nk09j=5a2gc97>{t:li1<73;nn6*=fc81b5=i:ok186s|b883>7}Yj016=h?5b39'6cd=j11e>ko50:pf2<72:qUn:521d29f7=:;?n1=<64$3da>g>54z\a0>;6ll0i=63<68825<=::o<1=<94$3da>g>{t1k0;6?uQ9c9>3??e3ty9jn4?:3y]6ce<5>09jn5rs303>5<4sW89<638:303?87cl3h27)h5nh0;7p}=1d83>6}Y:8o01:4=1d9>5ab=j>1/>kl520d8j7`f281v??k:180[46l27<6??k;<3g`?d13-8mn7<>f:l1bd<53ty9=n4?:2y]64e<5>09=n521ef9f0=#:oh1>6=z{;;i6=4<{_02f>;02;;i70?kd;`7?!4aj38:j6`=f`87?xu4>:0;6?u27;c5?87cl38m=6*=fc8027=i:ok1<6s|37394?4|5>0j863>de81ac=#:oh1?;<4n3db>4=z{:<;6=4={<59e6=:9mn1>hk4$3da>6053g8mm7<4}r16b?6=:r7<6l<4=0fg>7cc3-8mn7=92:l1bd<43ty89h4?:3y>3?g634;oh7;1e>ko54:~fa6=8391=7=tL272>4}O9ho0qA=:2;3x 1652:1v(>?8:0a1?lg72900c??n:188m53;294~"49>0o56F<4g9O707=9r.:>84e483>7}:?38:m6P=1`9~w4c12909wSo?;<59e5=zuk9n=7>55;192~J4=80:wE?ne:O704=ir.?c29j=g<722c2o7>5;hc3>5<5<0;684=:4yO707=9rB:mh5r$234>4d33`k;6=44o33b>5<>o>;3:17o950;794?6|,:;<6io4H26e?l>b2900e4=50;9j5;n02e?6=3th89=4?:083>5}#;8=1=<84H26e?j76=3:17p}6b;296~X>j27<65o4}r;`>5<5sW3h70958d9~w4c22909w0=:0;321>;02090q~?j6;296~;02;;j7S<>a:p5`1=838pRl>4=68b4>{zj:<26=4=:183!56?3;9:6F<4g9j541=831d=hl50;9~f61129086=4?{%123?7bn2B88k5f10:94?=n9831<75`1d`94?=z{0h1<7j2wx5n4?:3y]=f=:;><1=<64}r02e?6=:rT9=l527;02e>{t:oi1<7=t^3d`?85113;:;63<77825<=z{:<36=4={<59e5=:;?31=hl4}r141?6=:r7<64m4=255>4ce3twi=?950;195?5|D:?:6=:29~ 67028n87do?:188k77f2900e4l50;9a3?6=;3:1N45;|q:f?6=:rT2n638:9c8yv7b=3:1>v38:33b?[46i2wx=h850;0xZd6<5>0j<6srb5694?5=939p@>;>:0yK5dc>7?t$521>6=z,:;<65<50z&052>of83:17b<>a;29?xu>j3:1>vP6b:?4>=g52z?4>77f3W8:m6s|1d494?4|Vh:01:4n0:~f45429086<4<{M165?7|@8kn7pB<5382!27:390q)=>7;3g7>of83:17b<>a;29?l?e2900n:4?:283>5}#;8=1h45G35d8m=g=831bm=4?::m15d<722wx5o4?:3y]=g=:?32j7p}>e483>7}:?38:m6P=1`9~w4c12909wSo?;<59e5=zuk9j>7>53;090~J4=80:wE?ne:O704=9r.?5;c594?5=83:p(>?8:e;8L62a3`2j6=44i`294?=h:8k1<75rb8d94?4=83:p(>?8:005?M53n2c:=:4?::m2ag<722wx5o4?:3y]=g=:1o0:=:5rs0g6>5<5s4=14l529g82ag=z{8o=6=4={<5964ge683>7}Yi916;7o?;|a7d1=8391=7=tL272>4}O9ho0qA=:2;3x 1652:1v(>?8:0f0?lg72900c??n:188m53;294~"49>0o56F<4g9j5;n02e?6=3ty2n7>52z\:f>;021k0q~?j5;296~;02;;j7S<>a:p5`0=838pRl>4=68b4>{zj:2h6=4<:387I5293;pD;>7=4}%123?7e>2cj<7>5;n02e?6=3`3i6=44b683>6<729q/?<95d89K71`>i59h0;66sm9g83>7<729q/?<951348L62a3`;:;7>5;n3ff?6=3ty2n7>52z\:f>;>n3;:;6s|1d794?4|5>03m636f;3ff>{t9l<1<74}|`0e`<72:0969uC34395~N6il1v@>;=:0y'054=;2w/?<951c48md6=831d>5<52z?4>=g<50l1=hl4}r3f2?6=:r7<6??n;_02e>{t9l=1<7j9:180>7<3sE9>=7?tH0cf?xJ4=;0:w):?2;18y!56?3;i:6gn0;29?j46i3:17d7m:188f2<72:0;6=u+3059`<=O;=l0e5o50;9je5<722e9=l4?::a=c<72;0;6=u+3059570<@:>m7d?>7;29?j7bj3:17p}6b;296~X>j272j7?>7:p5`3=838p1:47a:?:b?7bj2wx=h850;0x92<59h1U>1983>>o6900;66a>ec83>>{e9;i1<7=50;2x 6702=o0D>:i;h325;n3ff?6=3th:>i4?:683>5}#;8=1=k84H26e?l7603:17d?>9;29?l76i3:17d?>b;29?l76k3:17d?>d;29?j7bj3:17p}>2`83>7}Y9;k01<7983>7}Y9>201<9683>7}Y90=01<9883>7}Y90301<2983>7}Y9;201<=<520q/?<954c9l02<722c:584?::k23=<722c:5;4?::k2=2<722c:554?::k2=<<722c:5l4?::`7e?6=;3:1N4t$234>4`13A9?j6g>1983>>o6900;66g>1`83>>o69k0;66g>1b83>>o69m0;66a>ec83>>{t<>0;6?uQ469>0<<6mk1v<7::181[7>=27?m7?>8:p52>=838pR<97;<6b>47>3ty:5;4?:3y]5<0<5=31=<74}r3:3?6=:rT:5:5248825d=z{8336=4={_3:<>;313;:n6s|18;94?4|V83270:6:03`?xu61h0;6?uQ18c891?=98n0q~:7:18182f28oi70:6:03;?x{e9=?1<7o5a;30!56?3;?96a>3583>>o61<0;66g>3283>>o61>0;66g>9983>>o6100;66g>9`83>>o6:10;66g<9583>>o63783>6<729q/?<954e9K71`5<N429086=4?{%123?2c3A9?j6g>1983>>o6900;66a>ec83>>{e9:h1<7=50;2x 6702=n0D>:i;h325;n3ff?6=3th:?i4?:283>5}#;8=18h5G35d8m47?2900e5<4290;w)=>7;3fa>N41983>>o6900;66a>ec83>>{e9=91<7=50;2x 6702=o0D>:i;h325;n3ff?6=3th:894?:683>5}#;8=1=k84H26e?l7603:17d?>9;29?l76i3:17d?>b;29?l76k3:17d?>d;29?j7bj3:17p}>3583>7}Y9:>01<:;:0ga?xu61<0;6?uQ1878945128;37p}>3283>2}Y9:901<=9:03:?874?3;:563>38825<=:9:h1=<74=01e>47>34;??7?>9:p5<1=838pR<78;<303?7602wx=4650;0xZ4??34;857?>8:p58:p57>=839pR<<7;<30`?76127:?k4>199~w6?32909wS=64:?27a<6911v<:9:181[73>27:8>4>199~w4522909w0?<6;3ff>;6<=0:=55rs014>5<5s4;8;7?jb:?201<6901v<=7:18187413;nn63>45825d=z{89j6=4={<30f?7bj27:894>1c9~w45d2909w0?;6<90:=55rs01f>5<5s4;8j7?jb:?205<6901v40825<=z{8o=6=4={<375?7bj27:894>1b9~w4252909w0?;3;3ff>;6<=0:=i5r}c0a4?6=;3:1N41983>>o6900;66a>ec83>>{e0=0;6>4?:1y'741=5<5<o7E=;f:k25=<722c:=44?::m2ag<722wio:4?:283>5}#;8=18h5G35d8m47?2900e5<7s-9:;7:j;I17b>o6910;66g>1883>>i6mk0;66smd383>6<729q/?<954d9K71`5<N4o;:180>5<7s-9:;7?je:J00c=n9821<75f10;94?=h9lh1<75rbb`94?0=83:p(>?8:4a8L62a3`;:47>5;h32=?6=3`;:m7>5;h32f?6=3`;:o7>5;n3ff?6=3thhh7>56;294~"49>0>56F<4g9j54>=831b=<750;9j54g=831b=t$234>0d<@:>m7d?>8;29?l7613:17d?>a;29?l76j3:17d?>c;29?j7bj3:17pl3<729q/?<95589K71`5<5<5<=831b=<750;9l5`d=831vn<=>:186>5<7s-9:;7?i4:J00c=n9821<75f10;94?=n98k1<75f10`94?=h9lh1<75rb00:>5<4290;w)=>7;6g?M53n2c:=54?::k25<<722e:io4?::a511=83=1<7>t$234>4`13A9?j6g>1983>>o6900;66g>1`83>>o69k0;66g>1b83>>o69m0;66a>ec83>>{e;hn1<7950;2x 67028l=7E=;f:k25=<722c:=44?::k25d<722c:=o4?::k25f<722c:=i4?::m2ag<722wi?l750;194?6|,:;<69j4H26e?l7603:17d?>9;29?j7bj3:17pl<9383>3<729q/?<951g78L62a3`;:47>5;h32=?6=3`;:m7>5;h32f?6=3`;:o7>5;n3ff?6=3th8n54?:283>5}#;8=1=hk4H26e?l7603:17d?>9;29?j7bj3:17pl6<729q/?<954d9K71`5<2900e50z&052<3l2B88k5f10:94?=n9831<75`1d`94?=zj:nj6=4<:183!56?3>o7E=;f:k25=<722c:=44?::m2ag<722win=4?:383>5}#;8=1=?84H26e?l76?3:17b?jb;29?xd4:10;6?4?:1y'741=9;<0D>:i;h323?6=3f;nn7>5;|`;279K71`5<52;294~"49>0:>;5G35d8m4702900c7>50z&052<6:?1C?9h4i034>5<279K71`5<52;294~"49>0:>;5G35d8m4702900c329>565=:8k01<:::010?xu4m00;6?uQ3d;8964?28;<7p}<9e83>7}Y;0n01o>51058yv7f?3:1?vP>a69>7d?=98201>l=:03;?xu6:>0;6>uQ135894402;;j70=j4;32=>{t;1i1<77}Yjl16h;4n0:p7f`=838pR>mi;<0a0?76?2wx=;m50;0xZ40d34;<47o?;|q0=6<72;qU?4=4=273>4723ty3o7>54z\;g>;61=0:m452d78:f>;6:00:=55rs9`94?70sW2i70?64;;a?870033i70oj:8`8964120h015859c9>6g4=1k16mi46b:?060<>j273977m;<0a5??e34n<64l4=cd9=g=:l902n63;6:>02n63;4;;a?874;33i70=n2;;a?85f?33i70=7c;;a?85fm33i70=k6;;a?xu4il0;6?uQ3`g896gb2;;j7p}>6883>7}Y9?301>l;:03;?xu4l?0;6?uQ3e4896b12;;j7p}>a783>7}Y9h<01>jn:03;?xu41=0;6>uQ386894222:3?7067:034?xu4i;0;6?uQ3`0896g52;;j7p}7}Y;h=01>o8:33b?xu3<3:1>vP;4:?70?46i2wx?h?50;1xZ6c6349n=7<>a:?g6?7602wxh>4?:3y>`7<69016h94>ec9~w6gd2909w0=nd;3ff>;4i00:=45rs``94?5|583?64ce34;8=7?>8:p7a?=838p1>jn:0ga?85ck3;:;6s|d783>6}:l?09=l52d68b4>;d13;:46s|33794?4|5:8>6??n;<110?7612wx=>>50;0x945628oi70?=9;32=>{t?l0;6>u218695de<51>1=hl4=012>47f3tyo=7>52z?g4?g734n96;d?3;:463l9;3ff>{tkl0;6?u2c6825<=:ko0:io5rs2;2>5<5s492>7?jb:?0=5<69>1v5;50;0x9=3=:8k015:510;8yv5b;3:1>v31=hl4}rf4>5<5s4n<6??n;47>3ty8n<4?:3y>7g4=9lh01>ln:03;?xu4j:0;6?u23c695`d<5:h=6a:?bg?7612wx4;4?:3y><3<59h16494>199~w6g42909w0=n2;c3?85f<3;nn6s|d183>6}:90>1=ll4=e2964g<589:6;3j3;<46s|ad83>7}:il09=l52ab825==z{89?6=4={<307?g734;?97?<4:p6g7=838p1?l>:33b?84e83;:56s|3`:94?4|5:k26<::`28964?28oi7p}>2`83>7}:9;=1m=5213d957g7>52z?1f7<59h16>o>510:8yv55;3:1>v3<2582ag=:;l>1=5<5s4>?6l>4=5`902=z{jk1<7=t=b`95`d<5jl1=<64=2g;>4703tyho7>52z?``?7bj27hj7?>9:p5<3=83?p1<7;:0df?875n3;2963;b;3:1>;6<<0:5852155954>57z?2=1<58916=?h5184891d=90<01<:8:03:?85fl3;:463<93825==:;k21=<64}r3:3?6=0r7:594=039>57`=90=019l518589422283<70?;7;32e>;4im0:=452380954?<5:h3646825g=:;hn1=47f349im7?>9:p5;6<<0:54523`6954><58><61c9>7gg=98k0q~?6a;29=~;61=09<55213d954?f349j87?>9:?202<69m16?lj510a896?528;h70=ma;32f>{t90h1<776f349jh7?>d:p7ad=838p1>j9:`2896bd28oi7p}=b283>6}::k81m=522c39e5=::k>1=hl4}r1;b?6=:r784n4n0:?0=5<6mk1v5950;1x9=0=i916484n0:?;k7:0ga?xu4j>0;6?u23c:95`d<5:h96{t;k?1<7d6<5:h=6{t9;21<7=t=00e>44?34;?97?=8:?26<<6mk1v<:9:181873=3;?:63>4682ag=z{:kj6=4={<1b3?g7349jn7?jb:p7`3=838p1>k;:03;?85b>3;nn6s|c483>0}:jo09>=52cc825f=:km0:=n52d5825f=:;l<1=<64$3da>f25<2s4hm6??k;47f34io647f349n:7?>c:&1bgc:?`f?76127hh7?>a:?g0?761278i;4>1`9'6cd=k=1e>ko53:pg5<72gg<69116oi4>1c9>`1<69116?h8510`8 7`e2j>0b?hn:59~yg50k3:1;7m517yO707=9rB:mh5rL271>7}i<991:>5+41091>{#;8=1595U2g;95~4?2te9i44?::k1`3<722e9i:4?::kg5;n12`?6=3`2o6=44b3f4>5<>2;0:=vB<5082M7fm2wG?8<56z&747<73->;=7=8d:&0<5<38=1/?kl520c8 6`020h0b>h<:09~ 67028h87W66:0y11?{]:o31>v<8:3c9yl?e2900c?<;:18'645=:;90b??=:198k745290/><=52318j7752810c?<>:18'645=:;90b??=:398k747290/><=52318j7752:10c??j:18'645=:;90b??=:598k77c290/><=52318j7752<10c??l:18'645=:;90b??=:798k77e290/><=52318j7752>10n:4?:039=7?tH0cf?xJ4=;0>w):?1;14b>"4n00j<6*{#;8=1=n:4Z9;96~422;91qW?4?:%027?45;2d9=?4>;:m164<72-8:?7<=3:l157<532e9>=4?:%027?45;2d9=?4<;:m15`<72-8:?7<=3:l157<332e9=i4?:%027?45;2d9=?4:;:m15f<72-8:?7<=3:l157<132e9=o4?:%027?45;2d9=?48;:kbe?6=,;;86l74n331>5=h59;0:76gn7;29 7742h30b??=:398md0=83.9=>4n9:l157<432cj87>5$330>d?of:3:1(??<:`;8j7752?10el?50;&1565<42808wA=:1;3xL4gb3t.8=:4>c49je5<722e9=l4?::k:f?6=3k=1<7=50;2x 6702m30D>:i;M165?7|,88>6>hi;|k;e?6=3`k;6=44o33b>5<5<7s-9:;7j6;I17b>J4=80:w)?=5;1eb>{n0h0;66gn0;29?j46i3:17p}6b;296~X>j27<65o4}r3f1?6=:r7<6??n;_02e>{t9l<1<76}K;<;1=vF>ad9~ 67028i<7do?:188k77f2900e4l50;9a3?6=;3:1N4X59h1v5<42808wA=:1;3xL4gb3t.8=:4>c99je5<722e9=l4?::k:f?6=3k=1<7=50;2x 6702m30D>:i;M165?7|,88>6>hi;|k;e?6=3`k;6=44o33b>5<4>:2yO707=9rB:mh5r$234>4e>3`k;6=44o33b>5<2480bc=za1k1<75fa183>>i59h0;66s|9c83>7}Y1k16;76n;|q2a0<72;q6;7<>a:\15d=z{8o=6=4={_c3?81=i91vqo?;:180>4<4sE9>=7?tH0cf?x"49>0:ol5fa183>>i59h0;66g6b;29?g1=8391<7>t$234>a?<@:>m7A=:1;3x 4422:lm7pg7a;29?lg72900c??n:188yv?e2909wS7m;<596=4={<5964ge783>7}Yi916;7o?;|a5c<72:0:6>uC34395~N6il1v(>?8:0a`?lg72900c??n:188m53;294~"49>0o56F<4g9O707=9r.:>84e483>7}:?38:m6P=1`9~w4c12909wSo?;<59e5=zuk8;6=4<:080I5293;pD5;c594?5=83:p(>?8:e;8L62a3E9>=7?t$006>6`a3tc3m7>5;hc3>5<5<5sW3i70958`9~w4c22909w09520c8Z77f3ty:i;4?:3y]e5=:?3k;7ps|9c83><}Y1k16;77m;<:9=g=:l33i70?=:8`8945=1k16=946b:?2b??e348;64l4}r010?6=:rT9>95221815d=#:oh1>?=4n3db>5=z{;896=4={_016>;6n38:m6*=fc8166=i:ok1=6s|23394?4|V;8:70?;:33b?!4aj389?6`=f`81?xu5:90;6?uQ2328945=:8k0(?hm:300?k4ai390q~<>e;296~X59l16=?4=1`9'6cd=:;90b?hn:59~w77c2909wS<>d:?g>77f3-8mn7<=3:l1bd<23ty9=n4?:3y]64e<5109=l5+2g`96755<5sW8:n638:33b?!4aj389?6`=f`84?xufi3:1>vPna:?14?g73-8mn7o6;o0ee?61m=5+2g`9e<=i:ok1>6s|a783>7}Yi?16=>4n0:&1bg2;c3?!4aj3k27c{ti;0;6?uQa39>kl5a89m6cg=?2wvn==#;oi1>hl4$526>2=z,:;<6;[::>7}5>38?6pT=f8817?=:;0vc?h::18'645=:o>0b??=:198k7`4290/><=52g68j7752810c?h=:18'645=:o>0b??=:398k7`6290/><=52g68j7752:10c?ki:18'645=:o>0b??=:598k7cb290/><=52g68j7752<10c?kk:18'645=:o>0b??=:798k7cd290/><=52g68j7752>10eoj50;&1564=h59;0976gm9;29 7742ki0b??=:298mg1=83.9=>4mc:l157<332ci:7>5$330>geoe<3:1(??<:ca8j7752>10n4<4sE9>=7?tH0cf?x"49>0:h55fb083>>oe:3:17b5;c16N429086=4?{%123?2b3A9?j6g>1983>>o6900;66a>ec83>>{e;47?3tyi>7>53z\a6>;4=10:=55234;954?52z\1ag=:;ec9>70g=9820q~=:8;296~;4=00:io5234c954?52z\a5>;4=k0i=6s|b383>7}Yj;16?8l5b39~w7ce2909wS{I3ba>{#;8=1=i64ic394?=nj;0;66a=ec83>>d4=k0;6>4<:4y'741=;2900c50z&052<3m2B88k5f10:94?=n9831<75`1d`94?=zj:?j6=4<:183!56?3;ni6F<4g9j54>=831b=<750;9l5`d=831vo?50;1xZg7<5:?3647>3ty9io4?:3y]6`d<5:?j67}:;<31=hl4=27b>47>3twxn<4?:3y]f4=:;;m:c08yv4bj3:1>vP=ec9>70d=:lh0qpl>e183>6<62:qG?8?51zJ2e`=z,:;<65<:188mg4=831d>hl50;9a70>=8391<7>t$234>1c<@:>m7d?>8;29?l7613:17b?jb;29?xd4=00;6>4?:1y'741=5<5<m7>53;294~"49>0:ih5G35d8m47?2900e:180[d6349>47?>9:?01<<6911vo<50;1xZg4<5:?36hl50;0xZ7ce349>m7?jb:p701=838p1>;7:0ga?852i3;:46s|34:94?4|5:?265<5sWh970=:b;`1?xu5mk0;6?uQ2d`8963e2;oi7psm1d394?5=939p@>;>:0yK5dc5<n6gm1;29?ld52900c?km:188f63?29086=4?{%123?2b3A9?j6g>1983>>o6900;66a>ec83>>{e;<31<7=50;2x 6702=o0D>:i;h325;n3ff?6=3th89l4?:283>5}#;8=1=hk4H26e?l7603:17d?>9;29?j7bj3:17p}m1;297~Xe9278954>189>70?=9820q~l=:180[d5349>47?>8:?01<<6901v?km:181[4bj2789l4>ec9~w6302909w0=:8;3ff>;4=h0:=55rs27;>5<5s49>57?jb:?01d<6901vq~l>:181[d6349>n7l>;|qa6?6=:rTi>63<5c8a6>{t:lh1<75<42808wA=:1;3xL4gb3t.8=:4>d99jf4<722ci>7>5;n0ff?6=3k9>n7>53;191~"49>089o5fb083>>oe:3:17b50z&052<3m2B88k5f10:94?=n9831<75`1d`94?=zj:?26=4<:183!56?3>n7E=;f:k25=<722c:=44?::m2ag<722wi?8o50;194?6|,:;<6o6910;66g>1883>>i6mk0;66s|b083>6}Yj816?86510;8963>28;37p}m2;297~Xe:278954>199>70?=9830q~v3<5982ag=:;ec9>70g=9830qp}m1;296~Xe92789o4m1:pf7<72;qUn?5234`9f7=z{;oi6=4={_0ff>;4=k09io5r}c3f7?6=;3;1?vB<5082M7fm2w/?<951e:8mg7=831bn?4?::m1ag<722h89o4?:280>0}#;8=1?8l4ic394?=nj;0;66a=ec83>>d4=10;6>4?:1y'741=5<5<57>53;294~"49>0?i6F<4g9j54>=831b=<750;9l5`d=831vn>;n:180>5<7s-9:;7?je:J00c=n9821<75f10;94?=h9lh1<75rsc394?5|Vk;01>;7:03:?85213;:46s|b383>6}Yj;16?86510:8963>28;27p}=ec83>7}Y:lh01>;n:0ga?xu4=>0;6?u234:95`d<5:?j67}Yj816?8l5b09~wg4=838pRo<4=27a>g452z\1ag=:;

hl4}|`2a1<72:0:6>uC34395~N6il1v(>?8:0f;?ld62900eo<50;9l6`d=831i?8l50;197?3|,:;<6>;m;h`2>5<:i;h325;n3ff?6=3th8944?:283>5}#;8=18h5G35d8m47?2900eed9K71`5<5<4sWh:70=:8;32=>;4=00:=55rsc094?5|Vk801>;7:03;?85213;:56s|2d`94?4|V;oi70=:a;3ff>{t;<=1<74ce349>m7?>8:p70>=838p1>;6:0ga?852i3;:56srsc394?4|Vk;01>;m:c38yvd52909wSl=;<16f?d53ty9io4?:3y]6`d<5:?i6?km;|a73?=8391<7>t$234>1b<@:>m7d?>8;29?l7613:17b?jb;29?xd4>k0;6>4?:1y'741=5<5<53;294~"49>0?h6F<4g9j54>=831b=<750;9l5`d=831vn>8i:180>5<7s-9:;7:k;I17b>o6910;66g>1883>>i6mk0;66sm36394?5=83:p(>?8:5f8L62a3`;:47>5;h32=?6=3f;nn7>5;|`036<72:0;6=u+30590a=O;=l0e2900c3:1>7>50z&052<6:?1C?9h4i034>5<e18a5>;4>k0:io5237f954?53z?2a4ec9>73`=9830q~=9e;297~;6m;0i=63<6g82ag=:;>;1=<74}r144?6=;r7:i>4m1:?034<6mk16?:=510;8yv50:3:1>v3>e58a5>;4?:0:io5rs3d6>5<5sW8m963>e581ag=#:oh1>k:4n3db>5=z{;l86=4={_0e7>;6m:09io5+2g`96c25<5sW8m>63>e381ag=#:oh1>k:4n3db>7=z{;l:6=4={_0e5>;6m809io5+2g`96c25rs3ge>5<5sW8nj63>e181ag=#:oh1>k:4n3db>1=z{;on6=4={_0fa>;6lo09io5+2g`96c25<5sW8nh63>dd81ag=#:oh1>k:4n3db>3=z{;oh6=4={_0fg>;5n?0:io5+2g`96c2g4<5:=865<4sWhj70?j2;`1?85093;:46*=fc8ag>h5nh097p}m9;297~Xe127:i<4m2:?02c<6911/>kl5bb9m6cg=;2wxn:4?:2y]f2=:9l:1n?5237f954><,;li6om4n3db>1=z{k<1<7=t^c4894ba2k801>8m:03;?!4aj3hh7c8:&1bgdd8a5>;4>00:=4522g49541<,;li6om4n3db>2=zuz3i6=4={_;a?81=1k1v?<;:180[45<27<6?<;;<3g`?dc3-8mn7<=3:l1bd<73ty9>?4?:2y]674<5>09>?521ef9fg=#:oh1>?=4n3db>4=z{;8:6=4<{_015>;02;8:70?kd;`b?!4aj389?6`=f`81?xu5:90;6>uQ232892<5:916=ij5b89'6cd=:;90b?hn:29~w77b2908wS<>e:?4>77b34;oh7l8;%0ef?45;2d9jl4;;|q15a<72:qU>5<4sW8:o638:33`?87cl3h>7)h5nh0=7p}=1c83>6}Y:8h01:4=1c9>5ab=j=1/>kl52318j7`f2>1v>88:18181=ih16=ij52g78 7`e2:<=7cv38:`:894bc2;l87)h5nh0:7p}<6583>7}:?3k<70?kd;0e6>"5nk08:;5a2gc96>{t;?91<7;6lm09j<5+2g`97305rs242>5<5s4=1m9521ef96``<,;li6>89;o0ee?252z?4>d5<58no6?kj;%0ef?51>2d9jl4:;|q01c<72;q6;7o=;<3g`?4bl2.9jo4<679m6cg=>2wx?8k50;0x92"4n00j<6*"4n102o6`0:oh5f9c83>>o>k3:17do?:188k77f2900e?hl:188f2<72<0968uC34395~N6il1v(>?8:0`7?lg72900c??n:188m:i;M165?7|,88>6>hi;|k;a?6=3`386=44i9c94?=ni90;66a=1`83>>{e;<:1<7?50;2x 67028;=7E=;f:m250<722wx5o4?:3y]=g=:?32j7p}6c;296~X>k27<65k4}r3f1?6=:r789=4>149>3??43ty:i;4?:3y>3?46i2T9=l5rs0g4>5<5sWk;7095a19~yg50>3:1?7>50z&052<6mo1C?9h4i03;>5<5<;02h:01>99:03;?xu4?<0;6?u27;;`?850>3;nn6srb23;>5<22;0>wA=:1;3xL4gb3tF89?4n{%636?6<,=::6>9i;%1e=?g73-9mn7<>a:&0b2<>j2d8j>4>;%1e4$2dg>7`d3g9m97>4}%123?7dn2c2n7>5;h;`>5<{I3ba>{#;8=1=o:4i`294?=h:8k1<75f9c83>>o>k3:17d7<:188f2<72<0;6=u+3059`d=O;=l0e5k50;9j=6<722c3m7>5;hc3>5<7095929~w4c12909w09520c8Z77f3ty:i:4?:3y]e5=:?3k;7psm36494?5=83:p(>?8:0d3?M53n2c:=54?::k25<<722e:io4?::p=g<72;qU5o527;;a?xu>k3:1>vP6c:?033<6901v??n:181[46i27<6??n;|q1bf<72:qU>km4=68b4>;4??0:=55rs256>5<5s4=15n5236495`d0}K;<;1=vF>ad9~H6352hq/8=<50:&744<4?o1/?k75a19'7cd=:8k0(>h8:8`8j6`4281/?k659b9m7c2=82.8ji4=fb9m7c3=82w/?<951bd8m5;h0eg?6=3k=1<7;52;7xH63628qC=lk4}%123?7e<2cj<7>5;n02e?6=3`3i6=44i8a94?=n1:0;66l8:186>5<7s-9:;7jn;I17b>o?m3:17d7<:188m=g=831bm=4?::m15d<722wi?8>50;394?6|,:;<6i69<0;66s|9c83>7}Y1k16;76n;|q:g?6=:rT2o638:9g8yv7b=3:1>v3<518250=:?3387p}>e783>7}:?38:m6P=1`9~w4c02909wSo?;<59e5=zuk9<:7>53;294~"49>0:j=5G35d8m47?2900e99:03:?xu59h0;6?uQ20c892<59h1v?hl:180[4ak27<6l>4=255>47?3ty8;84?:3y>3??d349<:7?jb:~f67c290>6?4:{M165?7|@8kn7pB<538b!27:3:0(9>>:25e?!5a13k;7)=ib;02e>"4n>02n6`50;9l64g=831b>km50;9a3?6==3819vB<5082M7fm2w/?<951c68md6=831d>5;h;0>5<0;684?:1y'741=lh1C?9h4i9g94?=n1:0;66g7a;29?lg72900c??n:188yg5283:1=7>50z&052<69?1C?9h4o036>5<03i6s|1d794?4|5:?;6e683>7}Yi916;7o?;|a720=8391<7>t$234>4ca3A9?j6g>1983>>o6900;66a>ec83>>{t1k0;6?uQ9c9>3??e3ty2o7>52z\:g>;4??0:=45rs33b>5<5sW8:m638:33b?xu5nj0;6>uQ2ga892199~w6122909w0959b9>720=9lh0qpl=e983>7<729q/?<951348L62a3`;:;7>5;n3ff?6=3th9il4?:483>5}#;8=1985G35d8m47?2900e5<>290;w)=>7;3fe>N45<5290;w)=>7;312>N4?l:181>5<7s-9:;7?=6:J00c=n98=1<75`1d`94?=z{;o26=4={_0f=>;5mh0:io5rs3f5>5<5sW8o:63=e08:g>{t:l=1<73}Yl116>i959c9>6`7=1k16?<659c9>74c=1k16?8:?05=<59h1v>?k:181[56l278=i4=1`9~w=b=83>pR5j4=23;>7`d349:i7?j:181856m38:m63<21825<=z{;o:6=4<{<0f5?46i279i54>169>6`g=9820q~?6:181856i3;nn63<1b8252=z{;o;6=4<{<0g3?45<279il4>189>6`5=98l0(?hm:3fe?k4ai3:0q~09>?522dc954g<5;o86ij50;6x97b02;8:70;5m:0:=i5230c954b<,;li6?ji;o0ee?453z?1`2<5:916>h=510a8967f28;h7)h5nh087p}=dc83>6}::m=1>47e349:m7?>b:&1bg<5lo1e>ko54:p6ag=839p1?j8:33g?84b;3;:m63<1`825d=#:oh1>ih4n3db>0=z{;n26=4<{<0g3?46k279i>4>189>74g=9830(?hm:3fe?k4ai3<0q~09=o522d1954><5:;j6czN014<6sA;ji6sC34096~h38:0=n6*;0386?x"49>0:==5`3d;94?=h;0n1<75f39a94?=h?k0;66gk8;29?l1a2900e5?50;9j6f3=831b>n950;9j6f?=831d?4:50;9j576=831i9h4?:281>1}K;<;1=vF>ad9~H63528q/8=<52:'741=9m:0el>50;9l64g=831b5o4?::`4>5<4290;w)=>7;f:?M53n2F89<4>{%311?5an2wb4l4?::kb4?6=3f8:m7>5;|`:b?6=:3:14ce3ty:i;4?:3y>3?46i2T9=l5rs0g4>5<5sWk;7095a19~yg4d>3:197<55zN014<6sA;ji6sC3409e~"38;097):?1;14b>"4n00j<6*"4n102o6`0:ni5f9c83>>o>k3:17do?:188k77f2900e?hl:188f2<72<0968uC34395~N6il1v(>?8:0`7?lg72900c??n:188m:i;h:f>5<>of83:17b<>a;29?xd4=90;6<4?:1y'741=98<0D>:i;n321?6=3ty2n7>52z\:f>;021k0q~7l:181[?d34=14h5rs0g6>5<5s49><7?>5:?4><552z?4>77f3W8:m6s|1d594?4|Vh:01:4n0:~f61129086=4?{%123?7bn2B88k5f10:94?=n9831<75`1d`94?=z{0h1<7j2wx5n4?:3y]=f=:;><1=<74}r02e?6=:rT9=l527;02e>{t:oi1<7=t^3d`?81=i916?:8510:8yv50=3:1>v38:8a8961128oi7psm2b:94?3=:3?p@>;>:0yK5dc>7ot$521>7=#<9;1?:h4$2d:>d6<,:li6??n;%1e3??e3g9m?7?4$2d;>6=5r$234>4dc3`3i6=44i8a94?=ni90;66a=1`83>>o5nj0;66l8:186>7<2sE9>=7?tH0cf?x"49>0:n95fa183>>i59h0;66g6b;29?l?d2900e4=50;9a3?6==3:1N45<6290;w)=>7;322>N4{t1j0;6?uQ9b9>3?>b3ty:i84?:3y>706=98?01:463:p5`0=838p1:4=1`9]64g52z\b4>;02h:0qpl<7783>6<729q/?<951dd8L62a3`;:47>5;h32=?6=3f;nn7>5;|q:f?6=:rT2n638:8`8yv?d2909wS7l;<142?7612wx>3;:46s|36794?4|5>02o63<7782ag=zuk8hm7>55;091~J4=80:wE?ne:O704=ir.?be9j=g<722c2o7>5;hc3>5<5<0;684=:4yO707=9rB:mh5r$234>4d33`k;6=44o33b>5<>o>;3:17o950;794?6|,:;<6io4H26e?l>b2900e4=50;9j5;n02e?6=3th89=4?:083>5}#;8=1=<84H26e?j76=3:17p}6b;296~X>j27<65o4}r;`>5<5sW3h70958d9~w4c22909w0=:0;321>;02090q~?j6;296~;02;;j7S<>a:p5`1=838pRl>4=68b4>{zj:==6=4<:183!56?3;nj6F<4g9j54>=831b=<750;9l5`d=831v4l50;0xZ02n6s|9b83>7}Y1j16?:8510;8yv46i3:1>vP=1`9>3?46i2wx>km50;1xZ7`d34=1m=52364954>52z?4>;=:`y'054=:2.?<<4<7g9'7c?=i91/?kl520c8 6`020h0b>h<:09'7c>=1j1e?k:51:&0ba<5nj1e?k;50:'741=9kn0e4l50;9j=f<722cj<7>5;n02e?6=3`8mo7>5;c594?3=:3?p@>;>:0yK5dc5;h;a>5<>d0290>6=4?{%123?bf3A9?j6g7e;29?l?42900e5o50;9je5<722e9=l4?::a706=83;1<7>t$234>4713A9?j6a>1483>>{t1k0;6?uQ9c9>3?>f3ty2o7>52z\:g>;021o0q~?j5;296~;4=90:=8527;;0?xu6m?0;6?u27;02e>X59h1v2900c7}Y:8k01:4=1`9~w7`d2908wSd6<5:==6=:39'057=;>l0(>h6:`28 6`e2;;j7)=i7;;a?k5a;3;0(>h7:8a8j6`3281/?kj52ga8j6`2291v(>?8:0`g?l?e2900e4m50;9je5<722e9=l4?::k1bf<722h<6=4::386I5293;pD5;h;`>5<f2900el>50;9l64g=831vn>;?:182>5<7s-9:;7?>6:J00c=h98?1<75rs8`94?4|V0h01:47a:p=f<72;qU5n527;:f?xu6m<0;6?u23429543<5>02?6s|1d494?4|5>09=l5Q20c8yv7b?3:1>vPn0:?4>d65}#;8=1=hh4H26e?l7603:17d?>9;29?j7bj3:17p}6b;296~X>j27<64l4}r;`>5<5sW3h70=86;32=>{t:8k1<7k278;;4>ec9~yg5>l3:197=57zN014<6sA;ji6sC3409e~"38;097):?1;14b>"4n00j<6*"4n102o6`0:h<5f9c83>>o>k3:17do?:188k77f2900e>?9:188f2<72<0968uC34395~N6il1v(>?8:0`7?lg72900c??n:188m:i;h:f>5<>of83:17b<>a;29?xd4=90;6<4?:1y'741=98<0D>:i;n321?6=3ty2n7>52z\:f>;021k0q~7l:181[?d34=14h5rs0g6>5<5s49><7?>5:?4><552z?4>77f3W8:m6s|1d594?4|Vh:01:4n0:~f61129086=4?{%123?7bn2B88k5f10:94?=n9831<75`1d`94?=zj:=36=4<:183!56?3;nj6F<4g9j54>=831b=<750;9l5`d=831v4l50;0xZ02n6s|9b83>7}Y1j16?:6510;8yvg72909wSo?;<142?7612wx><5:=36ec9~yg55;3:197=57zN014<6sA;ji6sC3409e~"38;097):?1;14b>"4n00j<6*"4n102o6`0:ni5f9c83>>o>k3:17do?:188k77f2900e?hl:188f2<72<0968uC34395~N6il1v(>?8:0`7?lg72900c??n:188m:i;h:f>5<>of83:17b<>a;29?xd4=90;6<4?:1y'741=98<0D>:i;n321?6=3ty2n7>52z\:f>;021k0q~7l:181[?d34=14h5rs0g6>5<5s49><7?>5:?4><552z?4>77f3W8:m6s|1d594?4|Vh:01:4n0:~f60>29086=4?{%123?2c3A9?j6g>1983>>o6900;66a>ec83>>{e;><1<7=50;2x 67028om7E=;f:k25=<722c:=44?::m2ag<722wx5o4?:3y]=g=:?33i7p}6c;296~X>k278;;4>189~wd6=838pRl>4=24:>47>3ty9=l4?:3y]64g<5>09=l5rs3d`>5<4sW8mo63<68825==:;><1=<64}r154=24:>4ce3ty8;84?:3y>3??d349<:7?jb:~f2e=8391<7>t$234>4ca3A9?j6g>1983>>o6900;66a>ec83>>{e:jl1<7:50;2x 6702<:0D>:i;h325;h32e?6=3f;nn7>5;|`;0?6==3:12900e13:1>7>50z&052<6:?1C?9h4i034>5<5<4290;w)=>7;3fa>N46=4<:183!56?3;ni6F<4g9j54>=831b=<750;9l5`d=831vn>77:180>5<7s-9:;7:j;I17b>o6910;66g>1883>>i6mk0;66sm3df94?5=83:p(>?8:5g8L62a3`;:47>5;h32=?6=3f;nn7>5;|`54?6=:3:1l3:1>vP<9e9>7199>7<>=98201>kk:03;?80728;<7p}8b;29=~X0j279o;4=fb9>6f>=:oi01?mn:3d`?8>72;lh706=:3d`?85>l39::63<2281bf=:?j0:io5rse:94??|Vm2018k59c9>6f0=1k16>n659c9>6fg=1k164=46b:?;6??e3492h77m;<117??e3ty53z\4b>;?833h706;:03;?xu?93:1?vP71:?;6??d342?6n;4=3a5>n94=3a;>n74=3ab>64ce3ty:>=4?:2y]576<5:3o6l>4=200>d64ce349j=7?>8:?0==<6901v>78:18185>13;:;63<9982ag=z{i1=<74}r0g4?6=:r79ok4>199>6a7=9lh0q~52z?1gc<69h16>i;51d`8yv>72909w06?:33b?8>328;27p}72;296~;?:38:m6374;32f>{t;;91<777f349nh7?>9:p6f0=838p1?m9:33b?84c93;:56s|2b:94?4|5;i36??n;<0g7?7612wx>no50;0x97ef2;;j70{t;li1<7470349nh7?jb:p6f2=838p1?mi:0ga?85f93;:56s|5g83>7}:=l0j<6390;3ff>{t;h:1<7=t=2;g>4ce3twi?5950;094?6|,:;<6<<9;I17b>o69>0;66a>ec83>>{e;1h1<7<50;2x 670288=7E=;f:k252<722e:io4?::a73?=8391<7>t$234>1c<@:>m7d?>8;29?l7613:17b?jb;29?xd4000;6>4?:1y'741=9lo0D>:i;h325;n3ff?6=3th8j?4?:283>5}#;8=18h5G35d8m47?2900e279K71`5<7}Y;0o01>6>:2;g?xu40m0;69uQ39f891672:2h70=75;1;g>;408084n5rs2gb>5<5sW9nm63<8080a<=z{:n<6=4<{_1g3>;38908h;5239797a07>52z\0`7=:<9:1?i<4}r1`=?6=:rT8o45241297f?52z\0=3=:;1>1?4:4}r1`3?6=:rT8o:5241297gd52z\0=d=:<9:1?4o4}r1`b?6=;rT8ok5236g97f`<5:2?6>mi;|q0=c<72;qU?4h4=2:7>6?c3ty84h4?:3y]7=c<5:2?6>6l;|q0=6<72;qU?4=4=2:7>6?43ty8o54?:3y]7f><5=:;6>jk;|q0ag<72;qU?hl4=2:7>6c>3ty8h54?:3y]7a><5:2?6>j9;|q0fc<72;qU?oh4=523>6da3ty84;4?:3y]7=0<5:2<64ce3ty8mk4?:2y]7d`<5=:;6>oj;<1;1?5fm2wx5=4?:3y]=5=:;1>14o5rs8394?0|V0;01>9j:9d8916721l01>6::9d8961d2m201>6>:e:8yv5c83:1>vP056=;m:0q~j::181[b2349387lj;|q0e7<72;qU?l<4=2:7>6g53ty8n=4?:3y]7g6<5:2?6>oj;|q0a7<72;qU?h<4=2:7>6c63ty8m84?:3y]7d3<5:2>6>o:;|q0e2<72;qU?l94=2:7>6g03ty8j=4?:5y]7c6<5:=n6>ki;<634?5bn278484278;n4=d79~w4542909w0=75;307>;40=0:?>5rs04b>5<5s49h1v<<8:18185?=3;9;63<858262=z{;l<6=4={<14a?4a?278:44>199~w7`b2909w0=8e;0ea>;4n;0:=45rs5794?4|5:2?69:4=2::>47>3ty?:7>52z?0<0<3<278444>199~w7c02909w0:?0;0f3>;4?j09i:5rs6`94?3|5:=n6:l4=523>2d<5:2>6:l4=25`>=b<5:2:6:l4}r1;6?6=:r784<4=c89>7=5=9lh0q~?9c;296~;40<0::n52396953e52z?03f<49116?k<510:8yv56l3:1>v3<7b805a=:;?31=<74}r1e5?6=:r78j?4>ec9>7=5=98=0q~52z?03`<6v3<84823<=:;1>1=l94}r0`f?6=:r78484=c59>7=7=:j=0q~=78;296~;40>0:=:5239;95`dj7>52z?0<0<6=o16?5:517;8yv44=3:1>v3<7d8170=:<9:1>>;4}r15219~w=5=838p1>6::6g896>621;0q~?6c;296~;40<0:5n5239695d0h6=4={<1;1?2d3493n7?>7:p67g=838pR??650;0xZ74?34>;<7<=8:&1bg<5:01e>ko51:p665=838pR?=<;<634?44;2.9jo4=289m6cg=:2wx>><50;0xZ75534>;<7<<2:&1bg<5:01e>ko53:p667=838pR?=>;<634?4492.9jo4=289m6cg=<2wx>>>50;0xZ75734>;<7<<0:&1bg<5:01e>ko55:p67`=838pR?2wx>?k50;0xZ74b34>;<7<=e:&1bg<5:01e>ko57:p67b=838pR??m50;0xZ74d34>;<7<=c:&1bg<5:01e>ko59:p67d=838pR??950;0xZ74034>;<7<=7:&1bg<5:01e>ko5b:p35<72;qU;=5236g97g=#:oh1:k5a2gc94>{t>l0;6?uQ6d9>72c=;01/>kl56g9m6cg=92wx:i4?:2y]2a=:;>o1?55241297==#:oh1:k5a2gc96>{t>j0;6>uQ6b9>72c=;?168=>5379'6cd=>o1e>ko53:p2d<72:qU:l5236g971=:<9:1?95+2g`92c=i:ok186s|6883>6}Y>016?:k5329>056=;:1/>kl56g9m6cg==2wx:54?:2y]2==:;>o1??52412977=#:oh1:k5a2gc92>{t>>0;6>uQ669>72c=;8168=>5309'6cd=>o1e>ko57:p23<72:qU:;5236g975=:<9:1?=5+2g`92c=i:ok146s|6483>6}Y><16?:k52g9>056=:o1/>kl56g9m6cg=12wx:94?:2y]21=:;>o1>h5241296`=#:oh1:k5a2gc9e>{t>:0;6>uQ629>72c=:m168=>52e9'6cd=>o1e>ko5b:p27<72:qU:?5236g96f=:<9:1>n5+2g`92c=i:ok1o6s|6083>6}Y>816?:k52c9>056=:k1/>kl56g9m6cg=l2wx;54?:2y]3==:;>o18>52412906=#:oh1:k5a2gc9a>{t?>0;6>uQ769>72c=<;168=>5439'6cd=>o1e>ko5f:p33<72;qU;;52412904=#:oh1:k5a2gc955=z{>?1<7;<7=i;%0ef?0a3g8mm7?=;|q47?6=:rT"5nk0=j6`=f`827>{t?;0;6?uQ739>056=;m1/>kl56g9m6cg=9=1v:?50;0xZ27<5=:;6>m4$3da>3`5<5sW|I|I/O/GTS4|INPUT||||||||| +P3|A_FSB<6>|I|I/O/GTS1|INPUT||||||||| +P4|A_FSB<7>|I|I/O/GTS2|INPUT||||||||| +P5|VCC||VCCINT|||||||||| +P6|A_FSB<8>|I|I/O|INPUT||||||||| +P7|A_FSB<9>|I|I/O|INPUT||||||||| +P8|A_FSB<10>|I|I/O|INPUT||||||||| +P9|A_FSB<11>|I|I/O|INPUT||||||||| +P10|A_FSB<12>|I|I/O|INPUT||||||||| +P11|A_FSB<13>|I|I/O|INPUT||||||||| +P12|A_FSB<14>|I|I/O|INPUT||||||||| +P13|A_FSB<15>|I|I/O|INPUT||||||||| +P14|A_FSB<16>|I|I/O|INPUT||||||||| +P15|A_FSB<17>|I|I/O|INPUT||||||||| +P16|A_FSB<18>|I|I/O|INPUT||||||||| +P17|A_FSB<19>|I|I/O|INPUT||||||||| +P18|A_FSB<20>|I|I/O|INPUT||||||||| +P19|A_FSB<21>|I|I/O|INPUT||||||||| +P20|A_FSB<22>|I|I/O|INPUT||||||||| +P21|GND||GND|||||||||| +P22|CLK2X_IOB|GCK|I/O/GCK1|||||||||| +P23|CLK_IOB|GCK/I|I/O/GCK2|||||||||| +P24|A_FSB<23>|I|I/O|INPUT||||||||| +P25|E_IOB|I|I/O|INPUT||||||||| +P26|VCC||VCCIO|||||||||| +P27|CLK_FSB|GCK|I/O/GCK3|||||||||| +P28|nDTACK_FSB|O|I/O|OUTPUT||||||||| +P29|nWE_FSB|I|I/O|INPUT||||||||| +P30|nLDS_FSB|I|I/O|INPUT||||||||| +P31|GND||GND|||||||||| +P32|nAS_FSB|I|I/O|INPUT||||||||| +P33|nUDS_FSB|I|I/O|INPUT||||||||| +P34|nROMWE|O|I/O|OUTPUT||||||||| +P35|nROMCS|O|I/O|OUTPUT||||||||| +P36|nCAS|O|I/O|OUTPUT||||||||| +P37|nOE|O|I/O|OUTPUT||||||||| +P38|VCC||VCCIO|||||||||| +P39|TIE||I/O|||||||||| +P40|RA<4>|O|I/O|OUTPUT||||||||| +P41|RA<3>|O|I/O|OUTPUT||||||||| +P42|RA<5>|O|I/O|OUTPUT||||||||| +P43|RA<2>|O|I/O|OUTPUT||||||||| +P44|GND||GND|||||||||| +P45|TDI||TDI|||||||||| +P46|RA<6>|O|I/O|OUTPUT||||||||| +P47|TMS||TMS|||||||||| +P48|TCK||TCK|||||||||| +P49|TIE||I/O|||||||||| +P50|RA<1>|O|I/O|OUTPUT||||||||| +P51|VCC||VCCIO|||||||||| +P52|RA<7>|O|I/O|OUTPUT||||||||| +P53|RA<0>|O|I/O|OUTPUT||||||||| +P54|RA<8>|O|I/O|OUTPUT||||||||| +P55|RA<10>|O|I/O|OUTPUT||||||||| +P56|RA<9>|O|I/O|OUTPUT||||||||| +P57|VCC||VCCINT|||||||||| +P58|TIE||I/O|||||||||| +P59|TIE||I/O|||||||||| +P60|TIE||I/O|||||||||| +P61|TIE||I/O|||||||||| +P62|GND||GND|||||||||| +P63|RA<11>|O|I/O|OUTPUT||||||||| +P64|nRAS|O|I/O|OUTPUT||||||||| +P65|nRAMLWE|O|I/O|OUTPUT||||||||| +P66|nRAMUWE|O|I/O|OUTPUT||||||||| +P67|TIE||I/O|||||||||| +P68|TIE||I/O|||||||||| +P69|GND||GND|||||||||| +P70|nBERR_FSB|O|I/O|OUTPUT||||||||| +P71|TIE||I/O|||||||||| +P72|TIE||I/O|||||||||| +P73|TIE||I/O|||||||||| +P74|nVMA_IOB|O|I/O|OUTPUT||||||||| +P75|GND||GND|||||||||| +P76|nBERR_IOB|I|I/O|INPUT||||||||| +P77|nVPA_IOB|I|I/O|INPUT||||||||| +P78|nDTACK_IOB|I|I/O|INPUT||||||||| +P79|nLDS_IOB|O|I/O|OUTPUT||||||||| +P80|nUDS_IOB|O|I/O|OUTPUT||||||||| +P81|nAS_IOB|O|I/O|OUTPUT||||||||| +P82|nADoutLE1|O|I/O|OUTPUT||||||||| +P83|TDO||TDO|||||||||| +P84|GND||GND|||||||||| +P85|nADoutLE0|O|I/O|OUTPUT||||||||| +P86|nDinLE|O|I/O|OUTPUT||||||||| +P87|nAoutOE|O|I/O|OUTPUT||||||||| +P88|VCC||VCCIO|||||||||| +P89|nDoutOE|O|I/O|OUTPUT||||||||| +P90|nDinOE|O|I/O|OUTPUT||||||||| +P91|nRES|I|I/O|INPUT||||||||| +P92|TIE||I/O|||||||||| +P93|nVPA_FSB|O|I/O|OUTPUT||||||||| +P94|A_FSB<1>|I|I/O|INPUT||||||||| +P95|A_FSB<2>|I|I/O|INPUT||||||||| +P96|A_FSB<3>|I|I/O|INPUT||||||||| +P97|A_FSB<4>|I|I/O|INPUT||||||||| +P98|VCC||VCCINT|||||||||| +P99|TIE||I/O/GSR|||||||||| +P100|GND||GND|||||||||| + +To preserve the pinout above for future design iterations in +Project Navigator simply execute the (Lock Pins) process +located under the (Implement Design) process in a toolbox named +(Optional Implementation Tools) or invoke PIN2UCF from the +command line. The location constraints will be written into your +specified UCF file + + diff --git a/cpld/XC95144XL/MXSE.pnx b/cpld/XC95144XL/MXSE.pnx new file mode 100644 index 0000000..4cb0c1b --- /dev/null +++ b/cpld/XC95144XL/MXSE.pnx @@ -0,0 +1,18 @@ + + + + + + +]> + diff --git a/cpld/XC95144/MXSE.prj b/cpld/XC95144XL/MXSE.prj similarity index 96% rename from cpld/XC95144/MXSE.prj rename to cpld/XC95144XL/MXSE.prj index 644748a..82f2aaf 100644 --- a/cpld/XC95144/MXSE.prj +++ b/cpld/XC95144XL/MXSE.prj @@ -1,7 +1,7 @@ -verilog work "../RAM.v" -verilog work "../IOBS.v" -verilog work "../IOBM.v" -verilog work "../FSB.v" -verilog work "../CS.v" -verilog work "../CNT.v" -verilog work "../MXSE.v" +verilog work "../RAM.v" +verilog work "../IOBS.v" +verilog work "../IOBM.v" +verilog work "../FSB.v" +verilog work "../CS.v" +verilog work "../CNT.v" +verilog work "../MXSE.v" diff --git a/cpld/XC95144XL/MXSE.rpt b/cpld/XC95144XL/MXSE.rpt new file mode 100644 index 0000000..29c29cc --- /dev/null +++ b/cpld/XC95144XL/MXSE.rpt @@ -0,0 +1,1750 @@ + +cpldfit: version P.20131013 Xilinx Inc. + Fitter Report +Design Name: MXSE Date: 2- 7-2022, 4:04AM +Device Used: XC95144XL-10-TQ100 +Fitting Status: Successful + +************************* Mapped Resource Summary ************************** + +Macrocells Product Terms Function Block Registers Pins +Used/Tot Used/Tot Inps Used/Tot Used/Tot Used/Tot +105/144 ( 73%) 429 /720 ( 60%) 227/432 ( 53%) 80 /144 ( 56%) 67 /81 ( 83%) + +** Function Block Resources ** + +Function Mcells FB Inps Pterms IO +Block Used/Tot Used/Tot Used/Tot Used/Tot +FB1 18/18* 16/54 17/90 11/11* +FB2 5/18 3/54 5/90 8/10 +FB3 6/18 39/54 81/90 10/10* +FB4 18/18* 29/54 34/90 9/10 +FB5 13/18 31/54 81/90 8/10 +FB6 18/18* 34/54 62/90 10/10* +FB7 15/18 39/54 68/90 6/10 +FB8 12/18 36/54 81/90 5/10 + ----- ----- ----- ----- + 105/144 227/432 429/720 67/81 + +* - Resource is exhausted + +** Global Control Resources ** + +Signal 'CLK2X_IOB' mapped onto global clock net GCK1. +Signal 'CLK_IOB' mapped onto global clock net GCK2. +Signal 'CLK_FSB' mapped onto global clock net GCK3. +Global output enable net(s) unused. +Global set/reset net(s) unused. + +** Pin Resources ** + +Signal Type Required Mapped | Pin Type Used Total +------------------------------------|------------------------------------ +Input : 32 32 | I/O : 61 73 +Output : 32 32 | GCK/IO : 3 3 +Bidirectional : 0 0 | GTS/IO : 3 4 +GCK : 3 3 | GSR/IO : 0 1 +GTS : 0 0 | +GSR : 0 0 | + ---- ---- + Total 67 67 + +** Power Data ** + +There are 105 macrocells in high performance mode (MCHP). +There are 0 macrocells in low power mode (MCLP). +End of Mapped Resource Summary + ************************** Errors and Warnings *************************** + +WARNING:Cpld - Unable to retrieve the path to the iSE Project Repository. Will + use the default filename of 'MXSE.ise'. +INFO:Cpld - Inferring BUFG constraint for signal 'CLK2X_IOB' based upon the LOC + constraint 'P22'. It is recommended that you declare this BUFG explicitedly + in your design. Note that for certain device families the output of a BUFG + constraint can not drive a gated clock, and the BUFG constraint will be + ignored. +INFO:Cpld - Inferring BUFG constraint for signal 'CLK_FSB' based upon the LOC + constraint 'P27'. It is recommended that you declare this BUFG explicitedly + in your design. Note that for certain device families the output of a BUFG + constraint can not drive a gated clock, and the BUFG constraint will be + ignored. +INFO:Cpld - Inferring BUFG constraint for signal 'CLK_IOB' based upon the LOC + constraint 'P23'. It is recommended that you declare this BUFG explicitedly + in your design. Note that for certain device families the output of a BUFG + constraint can not drive a gated clock, and the BUFG constraint will be + ignored. + ************************* Summary of Mapped Logic ************************ + +** 32 Outputs ** + +Signal Total Total Loc Pin Pin Pin Pwr Slew Reg Init +Name Pts Inps No. Type Use Mode Rate State +nDTACK_FSB 23 32 FB3_9 28 I/O O STD FAST RESET +nROMWE 1 2 FB3_17 34 I/O O STD FAST +nAoutOE 0 0 FB4_2 87 I/O O STD FAST +nDoutOE 2 4 FB4_5 89 I/O O STD FAST RESET +nDinOE 2 6 FB4_6 90 I/O O STD FAST +nVPA_FSB 1 2 FB4_11 93 I/O O STD FAST +nROMCS 2 5 FB5_2 35 I/O O STD FAST +nCAS 1 1 FB5_5 36 I/O O STD FAST RESET +nOE 1 2 FB5_6 37 I/O O STD FAST +RA<4> 2 3 FB5_9 40 I/O O STD FAST +RA<3> 2 3 FB5_11 41 I/O O STD FAST +RA<5> 2 3 FB5_12 42 I/O O STD FAST +RA<2> 2 3 FB5_14 43 I/O O STD FAST +RA<6> 2 3 FB5_15 46 I/O O STD FAST +nVMA_IOB 2 9 FB6_2 74 I/O O STD FAST RESET +nLDS_IOB 4 6 FB6_9 79 I/O O STD FAST RESET +nUDS_IOB 4 6 FB6_11 80 I/O O STD FAST RESET +nAS_IOB 2 4 FB6_12 81 I/O O STD FAST RESET +nADoutLE1 2 3 FB6_14 82 I/O O STD FAST SET +nADoutLE0 1 2 FB6_15 85 I/O O STD FAST +nDinLE 2 3 FB6_17 86 I/O O STD FAST RESET +RA<1> 2 3 FB7_2 50 I/O O STD FAST +RA<7> 2 3 FB7_5 52 I/O O STD FAST +RA<0> 2 3 FB7_6 53 I/O O STD FAST +RA<8> 2 3 FB7_8 54 I/O O STD FAST +RA<10> 1 1 FB7_9 55 I/O O STD FAST +RA<9> 2 3 FB7_11 56 I/O O STD FAST +RA<11> 1 1 FB8_2 63 I/O O STD FAST +nRAS 3 8 FB8_5 64 I/O O STD FAST +nRAMLWE 1 5 FB8_6 65 I/O O STD FAST +nRAMUWE 1 5 FB8_8 66 I/O O STD FAST +nBERR_FSB 3 9 FB8_12 70 I/O O STD FAST + +** 73 Buried Nodes ** + +Signal Total Total Loc Pwr Reg Init +Name Pts Inps Mode State +iobs/IOACTr 1 1 FB1_1 STD RESET +iobm/IOREQr 1 1 FB1_2 STD RESET +iobm/Er2 1 1 FB1_3 STD RESET +iobm/DTACKrr 1 1 FB1_4 STD RESET +iobm/DTACKrf 1 1 FB1_5 STD RESET +iobm/BERRrr 1 1 FB1_6 STD RESET +iobm/BERRrf 1 1 FB1_7 STD RESET +fsb/ASrf 1 1 FB1_8 STD RESET +cnt/RefCnt<7> 1 7 FB1_9 STD RESET +cnt/RefCnt<6> 1 6 FB1_10 STD RESET +cnt/RefCnt<5> 1 5 FB1_11 STD RESET +cnt/RefCnt<4> 1 4 FB1_12 STD RESET +cnt/RefCnt<3> 1 3 FB1_13 STD RESET +cnt/RefCnt<2> 1 2 FB1_14 STD RESET +cnt/RefCnt<1> 1 1 FB1_15 STD RESET +cnt/RefCnt<0> 0 0 FB1_16 STD RESET +RefAck 1 2 FB1_17 STD RESET +$OpTx$FX_DC$607 1 2 FB1_18 STD +iobm/VPArr 1 1 FB2_14 STD RESET +iobm/VPArf 1 1 FB2_15 STD RESET +iobm/RESrr 1 1 FB2_16 STD RESET +iobm/RESrf 1 1 FB2_17 STD RESET +iobm/Er 1 1 FB2_18 STD RESET +fsb/VPA 25 31 FB3_2 STD RESET +fsb/Ready1r 7 17 FB3_5 STD RESET +iobs/IORW1 16 19 FB3_13 STD RESET +fsb/Ready2r 9 22 FB3_15 STD RESET +ram/BACTr 1 2 FB4_1 STD RESET +iobs/Clear1 1 3 FB4_3 STD RESET +ALE0S 1 2 FB4_4 STD RESET +iobs/PS_FSM_FFd1 2 3 FB4_7 STD RESET +iobs/IOU1 2 2 FB4_8 STD RESET +iobs/IOL1 2 2 FB4_9 STD RESET +iobm/IOS_FSM_FFd2 2 4 FB4_10 STD RESET +iobm/IOS_FSM_FFd1 2 4 FB4_12 STD RESET +fsb/BERR1r 2 4 FB4_13 STD RESET +cs/nOverlay1 2 3 FB4_14 STD RESET +$OpTx$FX_DC$608 2 5 FB4_15 STD +IOU0 3 5 FB4_16 STD RESET +IOL0 3 5 FB4_17 STD RESET + +Signal Total Total Loc Pwr Reg Init +Name Pts Inps Mode State +iobs/IOReady 4 8 FB4_18 STD RESET +iobs/PS_FSM_FFd2 14 19 FB5_4 STD RESET +iobs/Once 17 18 FB5_8 STD RESET +IOREQ 14 19 FB5_13 STD RESET +BERR_IOBS 4 8 FB5_16 STD RESET +IORW0 18 20 FB5_18 STD RESET +iobm/ETACK 1 6 FB6_1 STD RESET +ALE0M 2 5 FB6_3 STD RESET +iobm/ES<3> 3 6 FB6_4 STD RESET +iobm/ES<1> 3 4 FB6_5 STD RESET +iobm/ES<0> 3 7 FB6_6 STD RESET +iobm/IOS_FSM_FFd4 4 6 FB6_7 STD RESET +iobm/ES<4> 4 7 FB6_8 STD RESET +iobm/IOS_FSM_FFd3 5 10 FB6_10 STD RESET +iobm/ES<2> 5 7 FB6_13 STD RESET +IOACT 6 13 FB6_16 STD RESET +IOBERR 9 14 FB6_18 STD RESET +TimeoutB 3 12 FB7_1 STD RESET +cnt/RefDone 2 10 FB7_3 STD RESET +fsb/Ready0r 3 8 FB7_4 STD RESET +cs/nOverlay0 3 8 FB7_7 STD RESET +cnt/TimeoutBPre 3 11 FB7_10 STD RESET +TimeoutA 3 10 FB7_12 STD RESET +ram/RS_FSM_FFd3 11 14 FB7_14 STD RESET +ram/RS_FSM_FFd2 13 14 FB7_16 STD RESET +ram/RAMReady 16 15 FB7_18 STD RESET +ram/RASEL 20 15 FB8_1 STD RESET +fsb/BERR0r 3 8 FB8_3 STD RESET +ram/RS_FSM_FFd1 5 10 FB8_4 STD RESET +ram/Once 5 10 FB8_7 STD RESET +iobs/Load1 14 18 FB8_9 STD RESET +ram/RAMDIS2 7 15 FB8_13 STD RESET +ram/RAMDIS1 18 15 FB8_15 STD RESET + +** 35 Inputs ** + +Signal Loc Pin Pin Pin +Name No. Type Use +A_FSB<13> FB1_2 11 I/O I +A_FSB<14> FB1_3 12 I/O I +A_FSB<15> FB1_5 13 I/O I +A_FSB<16> FB1_6 14 I/O I +A_FSB<17> FB1_8 15 I/O I +A_FSB<18> FB1_9 16 I/O I +A_FSB<19> FB1_11 17 I/O I +A_FSB<20> FB1_12 18 I/O I +A_FSB<21> FB1_14 19 I/O I +A_FSB<22> FB1_15 20 I/O I +CLK2X_IOB FB1_17 22 GCK/I/O GCK +A_FSB<5> FB2_6 2 GTS/I/O I +A_FSB<6> FB2_8 3 GTS/I/O I +A_FSB<7> FB2_9 4 GTS/I/O I +A_FSB<8> FB2_11 6 I/O I +A_FSB<9> FB2_12 7 I/O I +A_FSB<10> FB2_14 8 I/O I +A_FSB<11> FB2_15 9 I/O I +A_FSB<12> FB2_17 10 I/O I +CLK_IOB FB3_2 23 GCK/I/O GCK/I +A_FSB<23> FB3_5 24 I/O I +E_IOB FB3_6 25 I/O I +CLK_FSB FB3_8 27 GCK/I/O GCK +nWE_FSB FB3_11 29 I/O I +nLDS_FSB FB3_12 30 I/O I +nAS_FSB FB3_14 32 I/O I +nUDS_FSB FB3_15 33 I/O I +nRES FB4_8 91 I/O I +A_FSB<1> FB4_12 94 I/O I +A_FSB<2> FB4_14 95 I/O I +A_FSB<3> FB4_15 96 I/O I +A_FSB<4> FB4_17 97 I/O I +nBERR_IOB FB6_5 76 I/O I +nVPA_IOB FB6_6 77 I/O I +nDTACK_IOB FB6_8 78 I/O I + +Legend: +Pin No. - ~ - User Assigned + ************************** Function Block Details ************************ +Legend: +Total Pt - Total product terms used by the macrocell signal +Imp Pt - Product terms imported from other macrocells +Exp Pt - Product terms exported to other macrocells + in direction shown +Unused Pt - Unused local product terms remaining in macrocell +Loc - Location where logic was mapped in device +Pin Type/Use - I - Input GCK - Global Clock + O - Output GTS - Global Output Enable + (b) - Buried macrocell GSR - Global Set/Reset +X - Signal used as input to the macrocell logic. +Pin No. - ~ - User Assigned + *********************************** FB1 *********************************** +Number of function block inputs used/remaining: 16/38 +Number of signals used by logic mapping into function block: 16 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +iobs/IOACTr 1 0 0 4 FB1_1 (b) (b) +iobm/IOREQr 1 0 0 4 FB1_2 11 I/O I +iobm/Er2 1 0 0 4 FB1_3 12 I/O I +iobm/DTACKrr 1 0 0 4 FB1_4 (b) (b) +iobm/DTACKrf 1 0 0 4 FB1_5 13 I/O I +iobm/BERRrr 1 0 0 4 FB1_6 14 I/O I +iobm/BERRrf 1 0 0 4 FB1_7 (b) (b) +fsb/ASrf 1 0 0 4 FB1_8 15 I/O I +cnt/RefCnt<7> 1 0 0 4 FB1_9 16 I/O I +cnt/RefCnt<6> 1 0 0 4 FB1_10 (b) (b) +cnt/RefCnt<5> 1 0 0 4 FB1_11 17 I/O I +cnt/RefCnt<4> 1 0 0 4 FB1_12 18 I/O I +cnt/RefCnt<3> 1 0 0 4 FB1_13 (b) (b) +cnt/RefCnt<2> 1 0 0 4 FB1_14 19 I/O I +cnt/RefCnt<1> 1 0 0 4 FB1_15 20 I/O I +cnt/RefCnt<0> 0 0 0 5 FB1_16 (b) (b) +RefAck 1 0 0 4 FB1_17 22 GCK/I/O GCK +$OpTx$FX_DC$607 1 0 0 4 FB1_18 (b) (b) + +Signals Used by Logic in Function Block + 1: IOACT 7: cnt/RefCnt<4> 12: nAS_FSB + 2: IOREQ 8: cnt/RefCnt<5> 13: nBERR_IOB + 3: cnt/RefCnt<0> 9: cnt/RefCnt<6> 14: nDTACK_IOB + 4: cnt/RefCnt<1> 10: fsb/ASrf 15: ram/RS_FSM_FFd1 + 5: cnt/RefCnt<2> 11: iobm/Er 16: ram/RS_FSM_FFd2 + 6: cnt/RefCnt<3> + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +iobs/IOACTr X....................................... 1 +iobm/IOREQr .X...................................... 1 +iobm/Er2 ..........X............................. 1 +iobm/DTACKrr .............X.......................... 1 +iobm/DTACKrf .............X.......................... 1 +iobm/BERRrr ............X........................... 1 +iobm/BERRrf ............X........................... 1 +fsb/ASrf ...........X............................ 1 +cnt/RefCnt<7> ..XXXXXXX............................... 7 +cnt/RefCnt<6> ..XXXXXX................................ 6 +cnt/RefCnt<5> ..XXXXX................................. 5 +cnt/RefCnt<4> ..XXXX.................................. 4 +cnt/RefCnt<3> ..XXX................................... 3 +cnt/RefCnt<2> ..XX.................................... 2 +cnt/RefCnt<1> ..X..................................... 1 +cnt/RefCnt<0> ........................................ 0 +RefAck ..............XX........................ 2 +$OpTx$FX_DC$607 .........X.X............................ 2 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB2 *********************************** +Number of function block inputs used/remaining: 3/51 +Number of signals used by logic mapping into function block: 3 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +(unused) 0 0 0 5 FB2_1 (b) +(unused) 0 0 0 5 FB2_2 99 GSR/I/O +(unused) 0 0 0 5 FB2_3 (b) +(unused) 0 0 0 5 FB2_4 (b) +(unused) 0 0 0 5 FB2_5 1 GTS/I/O +(unused) 0 0 0 5 FB2_6 2 GTS/I/O I +(unused) 0 0 0 5 FB2_7 (b) +(unused) 0 0 0 5 FB2_8 3 GTS/I/O I +(unused) 0 0 0 5 FB2_9 4 GTS/I/O I +(unused) 0 0 0 5 FB2_10 (b) +(unused) 0 0 0 5 FB2_11 6 I/O I +(unused) 0 0 0 5 FB2_12 7 I/O I +(unused) 0 0 0 5 FB2_13 (b) +iobm/VPArr 1 0 0 4 FB2_14 8 I/O I +iobm/VPArf 1 0 0 4 FB2_15 9 I/O I +iobm/RESrr 1 0 0 4 FB2_16 (b) (b) +iobm/RESrf 1 0 0 4 FB2_17 10 I/O I +iobm/Er 1 0 0 4 FB2_18 (b) (b) + +Signals Used by Logic in Function Block + 1: E_IOB 2: nRES 3: nVPA_IOB + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +iobm/VPArr ..X..................................... 1 +iobm/VPArf ..X..................................... 1 +iobm/RESrr .X...................................... 1 +iobm/RESrf .X...................................... 1 +iobm/Er X....................................... 1 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB3 *********************************** +Number of function block inputs used/remaining: 39/15 +Number of signals used by logic mapping into function block: 39 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +(unused) 0 0 \/5 0 FB3_1 (b) (b) +fsb/VPA 25 20<- 0 0 FB3_2 23 GCK/I/O GCK/I +(unused) 0 0 /\5 0 FB3_3 (b) (b) +(unused) 0 0 /\5 0 FB3_4 (b) (b) +fsb/Ready1r 7 2<- 0 0 FB3_5 24 I/O I +(unused) 0 0 /\2 3 FB3_6 25 I/O I +(unused) 0 0 \/3 2 FB3_7 (b) (b) +(unused) 0 0 \/5 0 FB3_8 27 GCK/I/O GCK +nDTACK_FSB 23 18<- 0 0 FB3_9 28 I/O O +(unused) 0 0 /\5 0 FB3_10 (b) (b) +(unused) 0 0 /\5 0 FB3_11 29 I/O I +(unused) 0 0 \/5 0 FB3_12 30 I/O I +iobs/IORW1 16 11<- 0 0 FB3_13 (b) (b) +(unused) 0 0 /\5 0 FB3_14 32 I/O I +fsb/Ready2r 9 5<- /\1 0 FB3_15 33 I/O I +(unused) 0 0 /\5 0 FB3_16 (b) (b) +nROMWE 1 0 0 4 FB3_17 34 I/O O +(unused) 0 0 \/5 0 FB3_18 (b) (b) + +Signals Used by Logic in Function Block + 1: $OpTx$FX_DC$607 14: A_FSB<21> 27: fsb/Ready1r + 2: $OpTx$FX_DC$608 15: A_FSB<22> 28: fsb/Ready2r + 3: A_FSB<10> 16: A_FSB<23> 29: fsb/VPA + 4: A_FSB<11> 17: A_FSB<8> 30: iobs/IORW1 + 5: A_FSB<12> 18: A_FSB<9> 31: iobs/IOReady + 6: A_FSB<13> 19: BERR_IOBS 32: iobs/Once + 7: A_FSB<14> 20: TimeoutA 33: iobs/PS_FSM_FFd1 + 8: A_FSB<15> 21: TimeoutB 34: iobs/PS_FSM_FFd2 + 9: A_FSB<16> 22: cs/nOverlay1 35: nADoutLE1 + 10: A_FSB<17> 23: fsb/ASrf 36: nAS_FSB + 11: A_FSB<18> 24: fsb/BERR0r 37: nDTACK_FSB + 12: A_FSB<19> 25: fsb/BERR1r 38: nWE_FSB + 13: A_FSB<20> 26: fsb/Ready0r 39: ram/RAMReady + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +fsb/VPA X.XXXXXXXXXXXXXXXXXXXX.XXXXXX.X...X..XX. 31 +fsb/Ready1r .....XX.XXXXXXXX.....XX...X...X...XX.X.. 17 +nDTACK_FSB .XXXXXXXXXXXXXXXXXXX.XXXXXXX..X...XXXXX. 32 +iobs/IORW1 .....XX.XXXXXXXX.....XX......X.XXXXX.X.. 19 +fsb/Ready2r ..XXXXXXXXXXXXXXXX.X.XX....X.......X.X.. 22 +nROMWE ...................................X.X.. 2 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB4 *********************************** +Number of function block inputs used/remaining: 29/25 +Number of signals used by logic mapping into function block: 29 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +ram/BACTr 1 0 0 4 FB4_1 (b) (b) +nAoutOE 0 0 0 5 FB4_2 87 I/O O +iobs/Clear1 1 0 0 4 FB4_3 (b) (b) +ALE0S 1 0 0 4 FB4_4 (b) (b) +nDoutOE 2 0 0 3 FB4_5 89 I/O O +nDinOE 2 0 0 3 FB4_6 90 I/O O +iobs/PS_FSM_FFd1 2 0 0 3 FB4_7 (b) (b) +iobs/IOU1 2 0 0 3 FB4_8 91 I/O I +iobs/IOL1 2 0 0 3 FB4_9 92 I/O (b) +iobm/IOS_FSM_FFd2 2 0 0 3 FB4_10 (b) (b) +nVPA_FSB 1 0 0 4 FB4_11 93 I/O O +iobm/IOS_FSM_FFd1 2 0 0 3 FB4_12 94 I/O I +fsb/BERR1r 2 0 0 3 FB4_13 (b) (b) +cs/nOverlay1 2 0 0 3 FB4_14 95 I/O I +$OpTx$FX_DC$608 2 0 0 3 FB4_15 96 I/O I +IOU0 3 0 0 2 FB4_16 (b) (b) +IOL0 3 0 0 2 FB4_17 97 I/O I +iobs/IOReady 4 0 0 1 FB4_18 (b) (b) + +Signals Used by Logic in Function Block + 1: A_FSB<20> 11: fsb/BERR1r 21: iobs/Load1 + 2: A_FSB<21> 12: fsb/VPA 22: iobs/Once + 3: A_FSB<22> 13: iobm/IOS_FSM_FFd1 23: iobs/PS_FSM_FFd1 + 4: A_FSB<23> 14: iobm/IOS_FSM_FFd2 24: iobs/PS_FSM_FFd2 + 5: BERR_IOBS 15: iobm/IOS_FSM_FFd3 25: nADoutLE1 + 6: IOBERR 16: iobm/IOS_FSM_FFd4 26: nAS_FSB + 7: IORW0 17: iobs/IOACTr 27: nLDS_FSB + 8: TimeoutB 18: iobs/IOL1 28: nUDS_FSB + 9: cs/nOverlay0 19: iobs/IOReady 29: nWE_FSB + 10: fsb/ASrf 20: iobs/IOU1 + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +ram/BACTr .........X...............X.............. 2 +nAoutOE ........................................ 0 +iobs/Clear1 ......................XXX............... 3 +ALE0S ......................XX................ 2 +nDoutOE ......X......XXX........................ 4 +nDinOE XXXX.....................X..X........... 6 +iobs/PS_FSM_FFd1 ................X.....XX................ 3 +iobs/IOU1 ....................X......X............ 2 +iobs/IOL1 ....................X.....X............. 2 +iobm/IOS_FSM_FFd2 ............XXXX........................ 4 +nVPA_FSB ...........X.............X.............. 2 +iobm/IOS_FSM_FFd1 ............XXXX........................ 4 +fsb/BERR1r ....X....XX..............X.............. 4 +cs/nOverlay1 ........XX...............X.............. 3 +$OpTx$FX_DC$608 XXXX...X................................ 5 +IOU0 ...................X..XXX..X............ 5 +IOL0 .................X....XXX.X............. 5 +iobs/IOReady .....X...X......X.X..X.XXX.............. 8 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB5 *********************************** +Number of function block inputs used/remaining: 31/23 +Number of signals used by logic mapping into function block: 31 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +(unused) 0 0 /\5 0 FB5_1 (b) (b) +nROMCS 2 0 /\3 0 FB5_2 35 I/O O +(unused) 0 0 \/5 0 FB5_3 (b) (b) +iobs/PS_FSM_FFd2 14 9<- 0 0 FB5_4 (b) (b) +nCAS 1 0 /\4 0 FB5_5 36 I/O O +nOE 1 0 \/2 2 FB5_6 37 I/O O +(unused) 0 0 \/5 0 FB5_7 (b) (b) +iobs/Once 17 12<- 0 0 FB5_8 39 I/O (b) +RA<4> 2 2<- /\5 0 FB5_9 40 I/O O +(unused) 0 0 /\2 3 FB5_10 (b) (b) +RA<3> 2 0 \/2 1 FB5_11 41 I/O O +RA<5> 2 2<- \/5 0 FB5_12 42 I/O O +IOREQ 14 9<- 0 0 FB5_13 (b) (b) +RA<2> 2 1<- /\4 0 FB5_14 43 I/O O +RA<6> 2 0 /\1 2 FB5_15 46 I/O O +BERR_IOBS 4 0 0 1 FB5_16 (b) (b) +(unused) 0 0 \/5 0 FB5_17 49 I/O (b) +IORW0 18 13<- 0 0 FB5_18 (b) (b) + +Signals Used by Logic in Function Block + 1: A_FSB<12> 12: A_FSB<23> 22: fsb/ASrf + 2: A_FSB<13> 13: A_FSB<3> 23: iobs/IOACTr + 3: A_FSB<14> 14: A_FSB<4> 24: iobs/IORW1 + 4: A_FSB<15> 15: A_FSB<5> 25: iobs/Once + 5: A_FSB<16> 16: A_FSB<6> 26: iobs/PS_FSM_FFd1 + 6: A_FSB<17> 17: A_FSB<7> 27: iobs/PS_FSM_FFd2 + 7: A_FSB<18> 18: BERR_IOBS 28: nADoutLE1 + 8: A_FSB<19> 19: IOBERR 29: nAS_FSB + 9: A_FSB<20> 20: IORW0 30: nWE_FSB + 10: A_FSB<21> 21: cs/nOverlay1 31: ram/RASEL + 11: A_FSB<22> + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +nROMCS ........XXXX........X................... 5 +iobs/PS_FSM_FFd2 .XX.XXXXXXXX........XXX.XXXXXX.......... 19 +nCAS ..............................X......... 1 +nOE ............................XX.......... 2 +iobs/Once .XX.XXXXXXXX........XX..XXXXXX.......... 18 +RA<4> ..X...........X...............X......... 3 +RA<3> .X...........X................X......... 3 +RA<5> ...X...........X..............X......... 3 +IOREQ .XX.XXXXXXXX........XXX.XXXXXX.......... 19 +RA<2> X...........X.................X......... 3 +RA<6> ....X...........X.............X......... 3 +BERR_IOBS .................XX..XX.X.XXX........... 8 +IORW0 .XX.XXXXXXXX.......XXX.XXXXXXX.......... 20 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB6 *********************************** +Number of function block inputs used/remaining: 34/20 +Number of signals used by logic mapping into function block: 34 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +iobm/ETACK 1 0 /\1 3 FB6_1 (b) (b) +nVMA_IOB 2 0 0 3 FB6_2 74 I/O O +ALE0M 2 0 0 3 FB6_3 (b) (b) +iobm/ES<3> 3 0 0 2 FB6_4 (b) (b) +iobm/ES<1> 3 0 0 2 FB6_5 76 I/O I +iobm/ES<0> 3 0 0 2 FB6_6 77 I/O I +iobm/IOS_FSM_FFd4 4 0 0 1 FB6_7 (b) (b) +iobm/ES<4> 4 0 0 1 FB6_8 78 I/O I +nLDS_IOB 4 0 0 1 FB6_9 79 I/O O +iobm/IOS_FSM_FFd3 5 0 0 0 FB6_10 (b) (b) +nUDS_IOB 4 0 0 1 FB6_11 80 I/O O +nAS_IOB 2 0 0 3 FB6_12 81 I/O O +iobm/ES<2> 5 0 0 0 FB6_13 (b) (b) +nADoutLE1 2 0 0 3 FB6_14 82 I/O O +nADoutLE0 1 0 \/1 3 FB6_15 85 I/O O +IOACT 6 1<- 0 0 FB6_16 (b) (b) +nDinLE 2 0 \/3 0 FB6_17 86 I/O O +IOBERR 9 4<- 0 0 FB6_18 (b) (b) + +Signals Used by Logic in Function Block + 1: ALE0M 13: iobm/ES<0> 24: iobm/IOS_FSM_FFd3 + 2: ALE0S 14: iobm/ES<1> 25: iobm/IOS_FSM_FFd4 + 3: CLK_IOB 15: iobm/ES<2> 26: iobm/RESrf + 4: IOACT 16: iobm/ES<3> 27: iobm/RESrr + 5: IOBERR 17: iobm/ES<4> 28: iobm/VPArf + 6: IOL0 18: iobm/ETACK 29: iobm/VPArr + 7: IORW0 19: iobm/Er 30: iobs/Clear1 + 8: IOU0 20: iobm/Er2 31: iobs/Load1 + 9: iobm/BERRrf 21: iobm/IOREQr 32: nADoutLE1 + 10: iobm/BERRrr 22: iobm/IOS_FSM_FFd1 33: nBERR_IOB + 11: iobm/DTACKrf 23: iobm/IOS_FSM_FFd2 34: nVMA_IOB + 12: iobm/DTACKrr + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +iobm/ETACK ............XXXXX................X...... 6 +nVMA_IOB ...X........XXXXX..........XX....X...... 9 +ALE0M ....................XXXXX............... 5 +iobm/ES<3> ............XXXX..XX.................... 6 +iobm/ES<1> ............XX....XX.................... 4 +iobm/ES<0> ............XXXXX.XX.................... 7 +iobm/IOS_FSM_FFd4 ..X.................XXXXX............... 6 +iobm/ES<4> ............XXXXX.XX.................... 7 +nLDS_IOB .....XX..............XXXX............... 6 +iobm/IOS_FSM_FFd3 ..X.....XXXX.....X.....XXXX............. 10 +nUDS_IOB ......XX.............XXXX............... 6 +nAS_IOB .....................XXXX............... 4 +iobm/ES<2> ............XXXXX.XX.................... 7 +nADoutLE1 .............................XXX........ 3 +nADoutLE0 XX...................................... 2 +IOACT ..X.....XXXX.....X..XXXXXXX............. 13 +nDinLE .....................X.XX............... 3 +IOBERR ..X.X...XXXX.....X...XXXXXX.....X....... 14 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB7 *********************************** +Number of function block inputs used/remaining: 39/15 +Number of signals used by logic mapping into function block: 39 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +TimeoutB 3 3<- /\5 0 FB7_1 (b) (b) +RA<1> 2 0 /\3 0 FB7_2 50 I/O O +cnt/RefDone 2 0 0 3 FB7_3 (b) (b) +fsb/Ready0r 3 0 0 2 FB7_4 (b) (b) +RA<7> 2 0 0 3 FB7_5 52 I/O O +RA<0> 2 0 0 3 FB7_6 53 I/O O +cs/nOverlay0 3 0 0 2 FB7_7 (b) (b) +RA<8> 2 0 0 3 FB7_8 54 I/O O +RA<10> 1 0 0 4 FB7_9 55 I/O O +cnt/TimeoutBPre 3 0 0 2 FB7_10 (b) (b) +RA<9> 2 0 \/3 0 FB7_11 56 I/O O +TimeoutA 3 3<- \/5 0 FB7_12 58 I/O (b) +(unused) 0 0 \/5 0 FB7_13 (b) (b) +ram/RS_FSM_FFd3 11 10<- \/4 0 FB7_14 59 I/O (b) +(unused) 0 0 \/5 0 FB7_15 60 I/O (b) +ram/RS_FSM_FFd2 13 9<- \/1 0 FB7_16 (b) (b) +(unused) 0 0 \/5 0 FB7_17 61 I/O (b) +ram/RAMReady 16 11<- 0 0 FB7_18 (b) (b) + +Signals Used by Logic in Function Block + 1: A_FSB<10> 14: RefAck 27: cs/nOverlay0 + 2: A_FSB<11> 15: TimeoutA 28: cs/nOverlay1 + 3: A_FSB<17> 16: TimeoutB 29: fsb/ASrf + 4: A_FSB<18> 17: cnt/RefCnt<0> 30: fsb/Ready0r + 5: A_FSB<19> 18: cnt/RefCnt<1> 31: nAS_FSB + 6: A_FSB<1> 19: cnt/RefCnt<2> 32: nRES + 7: A_FSB<20> 20: cnt/RefCnt<3> 33: ram/BACTr + 8: A_FSB<21> 21: cnt/RefCnt<4> 34: ram/Once + 9: A_FSB<22> 22: cnt/RefCnt<5> 35: ram/RAMReady + 10: A_FSB<23> 23: cnt/RefCnt<6> 36: ram/RASEL + 11: A_FSB<2> 24: cnt/RefCnt<7> 37: ram/RS_FSM_FFd1 + 12: A_FSB<8> 25: cnt/RefDone 38: ram/RS_FSM_FFd2 + 13: A_FSB<9> 26: cnt/TimeoutBPre 39: ram/RS_FSM_FFd3 + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +TimeoutB ...............XXXXXXXXX.X..X.X......... 12 +RA<1> .X........X........................X.... 3 +cnt/RefDone .............X..XXXXXXXXX............... 10 +fsb/Ready0r .......XXX.................XXXX...X..... 8 +RA<7> ..X........X.......................X.... 3 +RA<0> X....X.............................X.... 3 +cs/nOverlay0 ......XXXX................X.X.XX........ 8 +RA<8> ...X........X......................X.... 3 +RA<10> .......X................................ 1 +cnt/TimeoutBPre ................XXXXXXXX.X..X.X......... 11 +RA<9> ....X.X............................X.... 3 +TimeoutA ..............X.XXXXXXX.....X.X......... 10 +ram/RS_FSM_FFd3 .......XXX...........XXXX..XX.X..X..XXX. 14 +ram/RS_FSM_FFd2 .......XXX...........XXXX..XX.X.X...XXX. 14 +ram/RAMReady .......XXX...........XXXX..XX.X.XX..XXX. 15 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB8 *********************************** +Number of function block inputs used/remaining: 36/18 +Number of signals used by logic mapping into function block: 36 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +ram/RASEL 20 15<- 0 0 FB8_1 (b) (b) +RA<11> 1 1<- /\5 0 FB8_2 63 I/O O +fsb/BERR0r 3 0 /\1 1 FB8_3 (b) (b) +ram/RS_FSM_FFd1 5 0 0 0 FB8_4 (b) (b) +nRAS 3 0 0 2 FB8_5 64 I/O O +nRAMLWE 1 0 0 4 FB8_6 65 I/O O +ram/Once 5 0 0 0 FB8_7 (b) (b) +nRAMUWE 1 0 \/4 0 FB8_8 66 I/O O +iobs/Load1 14 9<- 0 0 FB8_9 67 I/O (b) +(unused) 0 0 /\5 0 FB8_10 (b) (b) +(unused) 0 0 \/3 2 FB8_11 68 I/O (b) +nBERR_FSB 3 3<- \/5 0 FB8_12 70 I/O O +ram/RAMDIS2 7 5<- \/3 0 FB8_13 (b) (b) +(unused) 0 0 \/5 0 FB8_14 71 I/O (b) +ram/RAMDIS1 18 13<- 0 0 FB8_15 72 I/O (b) +(unused) 0 0 /\5 0 FB8_16 (b) (b) +(unused) 0 0 \/5 0 FB8_17 73 I/O (b) +(unused) 0 0 \/5 0 FB8_18 (b) (b) + +Signals Used by Logic in Function Block + 1: A_FSB<13> 13: TimeoutB 25: nADoutLE1 + 2: A_FSB<14> 14: cnt/RefCnt<5> 26: nAS_FSB + 3: A_FSB<16> 15: cnt/RefCnt<6> 27: nLDS_FSB + 4: A_FSB<17> 16: cnt/RefCnt<7> 28: nUDS_FSB + 5: A_FSB<18> 17: cnt/RefDone 29: nWE_FSB + 6: A_FSB<19> 18: cs/nOverlay1 30: ram/BACTr + 7: A_FSB<20> 19: fsb/ASrf 31: ram/Once + 8: A_FSB<21> 20: fsb/BERR0r 32: ram/RAMDIS1 + 9: A_FSB<22> 21: fsb/BERR1r 33: ram/RAMDIS2 + 10: A_FSB<23> 22: iobs/Once 34: ram/RS_FSM_FFd1 + 11: BERR_IOBS 23: iobs/PS_FSM_FFd1 35: ram/RS_FSM_FFd2 + 12: RefAck 24: iobs/PS_FSM_FFd2 36: ram/RS_FSM_FFd3 + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +ram/RASEL .......XXX...XXXXXX......X...XX..XXX.... 15 +RA<11> .....X.................................. 1 +fsb/BERR0r ......XXXX..X.....XX.....X.............. 8 +ram/RS_FSM_FFd1 .......XXX.......XX......X....X..XXX.... 10 +nRAS .......XXX.X.....X.......X.....XX....... 8 +nRAMLWE .........................XX.X..XX....... 5 +ram/Once .......XXX.......XX......X....X..XXX.... 10 +nRAMUWE .........................X.XX..XX....... 5 +iobs/Load1 XXXXXXXXXX.......XX..XXXXX..X........... 18 +nBERR_FSB ......XXXXX.X......XX....X.............. 9 +ram/RAMDIS2 .......XXX...XXXXXX......X....X.XXXX.... 15 +ram/RAMDIS1 .......XXX...XXXXXX......X...XX..XXX.... 15 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + ******************************* Equations ******************************** + +********** Mapped Logic ********** + + +$OpTx$FX_DC$607 <= (nAS_FSB AND NOT fsb/ASrf); + + +$OpTx$FX_DC$608 <= ((NOT TimeoutB) + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20))); + +FDCPE_ALE0M: FDCPE port map (ALE0M,ALE0M_D,CLK2X_IOB,'0','0'); +ALE0M_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + iobm/IOS_FSM_FFd1) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd2 AND NOT iobm/IOREQr)); + +FDCPE_ALE0S: FDCPE port map (ALE0S,ALE0S_D,CLK_FSB,'0','0'); +ALE0S_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); + +FTCPE_BERR_IOBS: FTCPE port map (BERR_IOBS,BERR_IOBS_T,CLK_FSB,'0','0'); +BERR_IOBS_T <= ((BERR_IOBS AND nAS_FSB AND NOT fsb/ASrf) + OR (iobs/Once AND BERR_IOBS AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/IOACTr AND NOT IOBERR AND nADoutLE1) + OR (iobs/Once AND NOT BERR_IOBS AND NOT nAS_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND IOBERR AND nADoutLE1) + OR (iobs/Once AND NOT BERR_IOBS AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/IOACTr AND IOBERR AND fsb/ASrf AND nADoutLE1)); + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +FDCPE_IOACT: FDCPE port map (IOACT,IOACT_D,CLK2X_IOB,'0','0'); +IOACT_D <= ((NOT iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd2 AND + iobm/IOS_FSM_FFd1 AND CLK_IOB AND iobm/RESrf AND iobm/RESrr) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + iobm/IOS_FSM_FFd1) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd2 AND NOT iobm/IOREQr) + OR (NOT iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd2 AND + iobm/IOS_FSM_FFd1 AND CLK_IOB AND iobm/ETACK) + OR (NOT iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd2 AND + iobm/IOS_FSM_FFd1 AND CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr) + OR (NOT iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd2 AND + iobm/IOS_FSM_FFd1 AND CLK_IOB AND iobm/BERRrf AND iobm/BERRrr)); + +FTCPE_IOBERR: FTCPE port map (IOBERR,IOBERR_T,CLK2X_IOB,'0','0'); +IOBERR_T <= ((nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND + iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND iobm/IOS_FSM_FFd1 AND CLK_IOB AND + IOBERR AND iobm/RESrf AND iobm/RESrr) + OR (nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND + iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND iobm/IOS_FSM_FFd1 AND CLK_IOB AND + IOBERR AND iobm/ETACK) + OR (nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND + iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND iobm/IOS_FSM_FFd1 AND CLK_IOB AND + IOBERR AND iobm/DTACKrf AND iobm/DTACKrr) + OR (nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND + iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND iobm/IOS_FSM_FFd1 AND CLK_IOB AND + IOBERR AND iobm/BERRrf AND iobm/BERRrr) + OR (iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd2 AND NOT iobm/IOS_FSM_FFd1 AND IOBERR) + OR (NOT nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND + iobm/IOS_FSM_FFd3 AND CLK_IOB AND NOT IOBERR AND iobm/ETACK) + OR (NOT nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND + iobm/IOS_FSM_FFd3 AND CLK_IOB AND NOT IOBERR AND iobm/DTACKrf AND iobm/DTACKrr) + OR (NOT nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND + iobm/IOS_FSM_FFd3 AND CLK_IOB AND NOT IOBERR AND iobm/BERRrf AND iobm/BERRrr) + OR (NOT nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND + iobm/IOS_FSM_FFd3 AND CLK_IOB AND NOT IOBERR AND iobm/RESrf AND iobm/RESrr)); + +FDCPE_IOL0: FDCPE port map (IOL0,IOL0_D,CLK_FSB,'0','0',IOL0_CE); +IOL0_D <= ((NOT nLDS_FSB AND nADoutLE1) + OR (iobs/IOL1 AND NOT nADoutLE1)); +IOL0_CE <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); + +FDCPE_IOREQ: FDCPE port map (IOREQ,IOREQ_D,CLK_FSB,'0','0'); +IOREQ_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21) AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + cs/nOverlay1 AND NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1) + OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr) + OR (iobs/Once AND NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/PS_FSM_FFd2 AND + nADoutLE1) + OR (nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT fsb/ASrf AND + nADoutLE1)); + +FTCPE_IORW0: FTCPE port map (IORW0,IORW0_T,CLK_FSB,'0','0'); +IORW0_T <= ((nROMCS_OBUF.EXP) + OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND + NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND + NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) + OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND + NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND + NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) + OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND + cs/nOverlay1 AND NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (A_FSB(23) AND NOT iobs/Once AND NOT IORW0 AND nWE_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) + OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND + IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND + IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND + fsb/ASrf AND nADoutLE1) + OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND + NOT IORW0 AND nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND + NOT IORW0 AND nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND + fsb/ASrf AND nADoutLE1) + OR (IORW0 AND NOT iobs/IORW1 AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1) + OR (NOT IORW0 AND iobs/IORW1 AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1) + OR (A_FSB(23) AND NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND + NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (A_FSB(23) AND NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) + OR (A_FSB(23) AND NOT iobs/Once AND NOT IORW0 AND nWE_FSB AND + NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)); + +FDCPE_IOU0: FDCPE port map (IOU0,IOU0_D,CLK_FSB,'0','0',IOU0_CE); +IOU0_D <= ((NOT nUDS_FSB AND nADoutLE1) + OR (iobs/IOU1 AND NOT nADoutLE1)); +IOU0_CE <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); + + +RA(0) <= ((A_FSB(10) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(1))); + + +RA(1) <= ((A_FSB(11) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(2))); + + +RA(2) <= ((ram/RASEL AND A_FSB(3)) + OR (A_FSB(12) AND NOT ram/RASEL)); + + +RA(3) <= ((A_FSB(13) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(4))); + + +RA(4) <= ((A_FSB(14) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(5))); + + +RA(5) <= ((A_FSB(15) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(6))); + + +RA(6) <= ((A_FSB(16) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(7))); + + +RA(7) <= ((A_FSB(8) AND ram/RASEL) + OR (A_FSB(17) AND NOT ram/RASEL)); + + +RA(8) <= ((A_FSB(9) AND ram/RASEL) + OR (A_FSB(18) AND NOT ram/RASEL)); + + +RA(9) <= ((A_FSB(20) AND ram/RASEL) + OR (A_FSB(19) AND NOT ram/RASEL)); + + +RA(10) <= A_FSB(21); + + +RA(11) <= A_FSB(19); + +FDCPE_RefAck: FDCPE port map (RefAck,RefAck_D,CLK_FSB,'0','0'); +RefAck_D <= (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1); + +FTCPE_TimeoutA: FTCPE port map (TimeoutA,TimeoutA_T,CLK_FSB,'0','0'); +TimeoutA_T <= ((TimeoutA AND nAS_FSB AND NOT fsb/ASrf) + OR (NOT TimeoutA AND NOT nAS_FSB AND NOT cnt/RefCnt(0) AND + NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND + NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4)) + OR (NOT TimeoutA AND NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND + NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND + NOT cnt/RefCnt(4) AND fsb/ASrf)); + +FTCPE_TimeoutB: FTCPE port map (TimeoutB,TimeoutB_T,CLK_FSB,'0','0'); +TimeoutB_T <= ((TimeoutB AND nAS_FSB AND NOT fsb/ASrf) + OR (NOT TimeoutB AND cnt/TimeoutBPre AND NOT nAS_FSB AND + NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND + NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7)) + OR (NOT TimeoutB AND cnt/TimeoutBPre AND NOT cnt/RefCnt(0) AND + NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND + NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7) AND fsb/ASrf)); + +FTCPE_cnt/RefCnt0: FTCPE port map (cnt/RefCnt(0),'1',CLK_FSB,'0','0'); + +FTCPE_cnt/RefCnt1: FTCPE port map (cnt/RefCnt(1),cnt/RefCnt(0),CLK_FSB,'0','0'); + +FTCPE_cnt/RefCnt2: FTCPE port map (cnt/RefCnt(2),cnt/RefCnt_T(2),CLK_FSB,'0','0'); +cnt/RefCnt_T(2) <= (cnt/RefCnt(0) AND cnt/RefCnt(1)); + +FTCPE_cnt/RefCnt3: FTCPE port map (cnt/RefCnt(3),cnt/RefCnt_T(3),CLK_FSB,'0','0'); +cnt/RefCnt_T(3) <= (cnt/RefCnt(0) AND cnt/RefCnt(1) AND cnt/RefCnt(2)); + +FTCPE_cnt/RefCnt4: FTCPE port map (cnt/RefCnt(4),cnt/RefCnt_T(4),CLK_FSB,'0','0'); +cnt/RefCnt_T(4) <= (cnt/RefCnt(0) AND cnt/RefCnt(1) AND cnt/RefCnt(2) AND + cnt/RefCnt(3)); + +FTCPE_cnt/RefCnt5: FTCPE port map (cnt/RefCnt(5),cnt/RefCnt_T(5),CLK_FSB,'0','0'); +cnt/RefCnt_T(5) <= (cnt/RefCnt(0) AND cnt/RefCnt(1) AND cnt/RefCnt(2) AND + cnt/RefCnt(3) AND cnt/RefCnt(4)); + +FTCPE_cnt/RefCnt6: FTCPE port map (cnt/RefCnt(6),cnt/RefCnt_T(6),CLK_FSB,'0','0'); +cnt/RefCnt_T(6) <= (cnt/RefCnt(0) AND cnt/RefCnt(5) AND cnt/RefCnt(1) AND + cnt/RefCnt(2) AND cnt/RefCnt(3) AND cnt/RefCnt(4)); + +FTCPE_cnt/RefCnt7: FTCPE port map (cnt/RefCnt(7),cnt/RefCnt_T(7),CLK_FSB,'0','0'); +cnt/RefCnt_T(7) <= (cnt/RefCnt(0) AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND + cnt/RefCnt(1) AND cnt/RefCnt(2) AND cnt/RefCnt(3) AND cnt/RefCnt(4)); + +FDCPE_cnt/RefDone: FDCPE port map (cnt/RefDone,cnt/RefDone_D,CLK_FSB,'0','0'); +cnt/RefDone_D <= ((NOT cnt/RefDone AND NOT RefAck) + OR (NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND + NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND + NOT cnt/RefCnt(7))); + +FTCPE_cnt/TimeoutBPre: FTCPE port map (cnt/TimeoutBPre,cnt/TimeoutBPre_T,CLK_FSB,'0','0'); +cnt/TimeoutBPre_T <= ((cnt/TimeoutBPre AND nAS_FSB AND NOT fsb/ASrf) + OR (NOT cnt/TimeoutBPre AND NOT nAS_FSB AND NOT cnt/RefCnt(0) AND + NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND + NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7)) + OR (NOT cnt/TimeoutBPre AND NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND + NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND + NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7) AND fsb/ASrf)); + +FTCPE_cs/nOverlay0: FTCPE port map (cs/nOverlay0,cs/nOverlay0_T,CLK_FSB,NOT nRES,'0'); +cs/nOverlay0_T <= ((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND + NOT cs/nOverlay0 AND NOT nAS_FSB) + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND + NOT cs/nOverlay0 AND fsb/ASrf)); + +FDCPE_cs/nOverlay1: FDCPE port map (cs/nOverlay1,cs/nOverlay0,CLK_FSB,'0','0',cs/nOverlay1_CE); +cs/nOverlay1_CE <= (nAS_FSB AND NOT fsb/ASrf); + +FDCPE_fsb/ASrf: FDCPE port map (fsb/ASrf,NOT nAS_FSB,NOT CLK_FSB,'0','0'); + +FDCPE_fsb/BERR0r: FDCPE port map (fsb/BERR0r,fsb/BERR0r_D,CLK_FSB,'0','0'); +fsb/BERR0r_D <= ((NOT TimeoutB AND NOT fsb/BERR0r) + OR (nAS_FSB AND NOT fsb/ASrf) + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND + NOT fsb/BERR0r)); + +FDCPE_fsb/BERR1r: FDCPE port map (fsb/BERR1r,fsb/BERR1r_D,CLK_FSB,'0','0'); +fsb/BERR1r_D <= ((NOT BERR_IOBS AND NOT fsb/BERR1r) + OR (nAS_FSB AND NOT fsb/ASrf)); + +FDCPE_fsb/Ready0r: FDCPE port map (fsb/Ready0r,fsb/Ready0r_D,CLK_FSB,'0','0'); +fsb/Ready0r_D <= ((nAS_FSB AND NOT fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND + NOT fsb/Ready0r AND NOT ram/RAMReady) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND NOT fsb/Ready0r AND NOT ram/RAMReady)); + +FDCPE_fsb/Ready1r: FDCPE port map (fsb/Ready1r,fsb/Ready1r_D,CLK_FSB,'0','0'); +fsb/Ready1r_D <= ((A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND + cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND + NOT nADoutLE1) + OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND + cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND + NOT nADoutLE1) + OR (nAS_FSB AND NOT fsb/ASrf) + OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady) + OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND + NOT iobs/IOReady) + OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND + NOT fsb/Ready1r AND NOT iobs/IOReady) + OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND + NOT fsb/Ready1r AND NOT iobs/IOReady)); + +FDCPE_fsb/Ready2r: FDCPE port map (fsb/Ready2r,fsb/Ready2r_D,CLK_FSB,'0','0'); +fsb/Ready2r_D <= ((nAS_FSB AND NOT fsb/ASrf) + OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND + NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND + NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND + NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND + NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)); + +FDCPE_fsb/VPA: FDCPE port map (fsb/VPA,fsb/VPA_D,CLK_FSB,'0','0'); +fsb/VPA_D <= ((EXP25_.EXP) + OR (NOT A_FSB(22) AND TimeoutB AND fsb/VPA AND + NOT $OpTx$FX_DC$607) + OR (A_FSB(21) AND TimeoutB AND fsb/VPA AND + NOT $OpTx$FX_DC$607) + OR (A_FSB(23) AND NOT fsb/Ready1r AND fsb/VPA AND + NOT iobs/IOReady AND NOT $OpTx$FX_DC$607) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND + NOT fsb/Ready0r AND fsb/VPA AND NOT ram/RAMReady AND NOT $OpTx$FX_DC$607) + OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND + fsb/VPA AND NOT iobs/IOReady AND NOT $OpTx$FX_DC$607) + OR (EXP16_.EXP) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND NOT fsb/Ready0r AND fsb/VPA AND NOT ram/RAMReady AND + NOT $OpTx$FX_DC$607) + OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND + NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND NOT $OpTx$FX_DC$607) + OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND + NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND NOT $OpTx$FX_DC$607) + OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND + cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND + NOT nADoutLE1 AND NOT $OpTx$FX_DC$607) + OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND + cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND + NOT nADoutLE1 AND NOT $OpTx$FX_DC$607) + OR (BERR_IOBS AND fsb/VPA AND NOT $OpTx$FX_DC$607) + OR (fsb/BERR0r AND fsb/VPA AND NOT $OpTx$FX_DC$607) + OR (fsb/BERR1r AND fsb/VPA AND NOT $OpTx$FX_DC$607) + OR (A_FSB(23) AND TimeoutB AND fsb/VPA AND + NOT $OpTx$FX_DC$607) + OR (NOT A_FSB(20) AND TimeoutB AND fsb/VPA AND + NOT $OpTx$FX_DC$607)); + +FDCPE_iobm/BERRrf: FDCPE port map (iobm/BERRrf,NOT nBERR_IOB,NOT CLK2X_IOB,'0','0'); + +FDCPE_iobm/BERRrr: FDCPE port map (iobm/BERRrr,NOT nBERR_IOB,CLK2X_IOB,'0','0'); + +FDCPE_iobm/DTACKrf: FDCPE port map (iobm/DTACKrf,NOT nDTACK_IOB,NOT CLK2X_IOB,'0','0'); + +FDCPE_iobm/DTACKrr: FDCPE port map (iobm/DTACKrr,NOT nDTACK_IOB,CLK2X_IOB,'0','0'); + +FTCPE_iobm/ES0: FTCPE port map (iobm/ES(0),iobm/ES_T(0),CLK2X_IOB,'0','0'); +iobm/ES_T(0) <= ((iobm/ES(0) AND NOT iobm/Er AND iobm/Er2) + OR (NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND + NOT iobm/ES(3) AND NOT iobm/ES(4) AND iobm/Er) + OR (NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND + NOT iobm/ES(3) AND NOT iobm/ES(4) AND NOT iobm/Er2)); + +FDCPE_iobm/ES1: FDCPE port map (iobm/ES(1),iobm/ES_D(1),CLK2X_IOB,'0','0'); +iobm/ES_D(1) <= ((iobm/ES(0) AND iobm/ES(1)) + OR (NOT iobm/ES(0) AND NOT iobm/ES(1)) + OR (NOT iobm/Er AND iobm/Er2)); + +FDCPE_iobm/ES2: FDCPE port map (iobm/ES(2),iobm/ES_D(2),CLK2X_IOB,'0','0'); +iobm/ES_D(2) <= ((NOT iobm/ES(0) AND NOT iobm/ES(2)) + OR (NOT iobm/ES(1) AND NOT iobm/ES(2)) + OR (NOT iobm/Er AND iobm/Er2) + OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2)) + OR (NOT iobm/ES(2) AND NOT iobm/ES(3) AND iobm/ES(4))); + +FTCPE_iobm/ES3: FTCPE port map (iobm/ES(3),iobm/ES_T(3),CLK2X_IOB,'0','0'); +iobm/ES_T(3) <= ((iobm/ES(3) AND NOT iobm/Er AND iobm/Er2) + OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND iobm/Er) + OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND NOT iobm/Er2)); + +FTCPE_iobm/ES4: FTCPE port map (iobm/ES(4),iobm/ES_T(4),CLK2X_IOB,'0','0'); +iobm/ES_T(4) <= ((iobm/ES(4) AND NOT iobm/Er AND iobm/Er2) + OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND + iobm/ES(3) AND iobm/Er) + OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND + iobm/ES(3) AND NOT iobm/Er2) + OR (iobm/ES(0) AND iobm/ES(1) AND NOT iobm/ES(2) AND + NOT iobm/ES(3) AND iobm/ES(4))); + +FDCPE_iobm/ETACK: FDCPE port map (iobm/ETACK,iobm/ETACK_D,CLK2X_IOB,'0','0'); +iobm/ETACK_D <= (NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND + NOT iobm/ES(3) AND iobm/ES(4)); + +FDCPE_iobm/Er: FDCPE port map (iobm/Er,E_IOB,NOT CLK_IOB,'0','0'); + +FDCPE_iobm/Er2: FDCPE port map (iobm/Er2,iobm/Er,CLK2X_IOB,'0','0'); + +FDCPE_iobm/IOREQr: FDCPE port map (iobm/IOREQr,IOREQ,NOT CLK2X_IOB,'0','0'); + +FDCPE_iobm/IOS_FSM_FFd1: FDCPE port map (iobm/IOS_FSM_FFd1,iobm/IOS_FSM_FFd1_D,CLK2X_IOB,'0','0'); +iobm/IOS_FSM_FFd1_D <= ((iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd2 AND + NOT iobm/IOS_FSM_FFd1) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd2)); + +FDCPE_iobm/IOS_FSM_FFd2: FDCPE port map (iobm/IOS_FSM_FFd2,iobm/IOS_FSM_FFd2_D,CLK2X_IOB,'0','0'); +iobm/IOS_FSM_FFd2_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + iobm/IOS_FSM_FFd1) + OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd2 AND + NOT iobm/IOS_FSM_FFd1)); + +FDCPE_iobm/IOS_FSM_FFd3: FDCPE port map (iobm/IOS_FSM_FFd3,iobm/IOS_FSM_FFd3_D,CLK2X_IOB,'0','0'); +iobm/IOS_FSM_FFd3_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3) + OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/ETACK) + OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/DTACKrf AND + iobm/DTACKrr) + OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/BERRrf AND + iobm/BERRrr) + OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/RESrf AND + iobm/RESrr)); + +FDCPE_iobm/IOS_FSM_FFd4: FDCPE port map (iobm/IOS_FSM_FFd4,iobm/IOS_FSM_FFd4_D,CLK2X_IOB,'0','0'); +iobm/IOS_FSM_FFd4_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + iobm/IOS_FSM_FFd1) + OR (iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND + iobm/IOS_FSM_FFd1) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd2 AND CLK_IOB) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd2 AND NOT iobm/IOREQr)); + +FDCPE_iobm/RESrf: FDCPE port map (iobm/RESrf,NOT nRES,NOT CLK2X_IOB,'0','0'); + +FDCPE_iobm/RESrr: FDCPE port map (iobm/RESrr,NOT nRES,CLK2X_IOB,'0','0'); + +FDCPE_iobm/VPArf: FDCPE port map (iobm/VPArf,NOT nVPA_IOB,NOT CLK2X_IOB,'0','0'); + +FDCPE_iobm/VPArr: FDCPE port map (iobm/VPArr,NOT nVPA_IOB,CLK2X_IOB,'0','0'); + +FDCPE_iobs/Clear1: FDCPE port map (iobs/Clear1,iobs/Clear1_D,CLK_FSB,'0','0'); +iobs/Clear1_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1); + +FDCPE_iobs/IOACTr: FDCPE port map (iobs/IOACTr,IOACT,CLK_FSB,'0','0'); + +FDCPE_iobs/IOL1: FDCPE port map (iobs/IOL1,NOT nLDS_FSB,CLK_FSB,'0','0',iobs/Load1); + +FTCPE_iobs/IORW1: FTCPE port map (iobs/IORW1,iobs/IORW1_T,CLK_FSB,'0','0'); +iobs/IORW1_T <= ((iobs/Once) + OR (NOT nADoutLE1) + OR (NOT nWE_FSB AND NOT iobs/IORW1) + OR (nAS_FSB AND NOT fsb/ASrf) + OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21)) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1) + OR (fsb/Ready2r.EXP) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19)) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18)) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17)) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16)) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + cs/nOverlay1) + OR (NOT A_FSB(23) AND NOT A_FSB(20)) + OR (nWE_FSB AND iobs/IORW1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT iobs/IORW1)); + +FTCPE_iobs/IOReady: FTCPE port map (iobs/IOReady,iobs/IOReady_T,CLK_FSB,'0','0'); +iobs/IOReady_T <= ((iobs/IOReady AND nAS_FSB AND NOT fsb/ASrf) + OR (iobs/Once AND iobs/IOReady AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/IOACTr AND IOBERR AND nADoutLE1) + OR (iobs/Once AND NOT iobs/IOReady AND NOT nAS_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND NOT IOBERR AND nADoutLE1) + OR (iobs/Once AND NOT iobs/IOReady AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/IOACTr AND NOT IOBERR AND fsb/ASrf AND nADoutLE1)); + +FDCPE_iobs/IOU1: FDCPE port map (iobs/IOU1,NOT nUDS_FSB,CLK_FSB,'0','0',iobs/Load1); + +FDCPE_iobs/Load1: FDCPE port map (iobs/Load1,iobs/Load1_D,CLK_FSB,'0','0'); +iobs/Load1_D <= ((iobs/Once) + OR (NOT nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21)) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19)) + OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18)) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17)) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16)) + OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21)) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + cs/nOverlay1) + OR (NOT A_FSB(23) AND NOT A_FSB(20)) + OR (nAS_FSB AND NOT fsb/ASrf) + OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)); + +FDCPE_iobs/Once: FDCPE port map (iobs/Once,iobs/Once_D,CLK_FSB,'0','0'); +iobs/Once_D <= ((nOE_OBUF.EXP) + OR (A_FSB(23) AND NOT iobs/Once AND iobs/PS_FSM_FFd1) + OR (NOT iobs/Once AND iobs/PS_FSM_FFd2 AND NOT nADoutLE1) + OR (NOT iobs/Once AND iobs/PS_FSM_FFd1 AND NOT nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND + NOT iobs/Once) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND NOT iobs/Once) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND NOT iobs/Once) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND NOT iobs/Once) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND NOT iobs/Once) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT iobs/Once AND nWE_FSB) + OR (nAS_FSB AND NOT fsb/ASrf) + OR (A_FSB(23) AND NOT iobs/Once AND iobs/PS_FSM_FFd2) + OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/Once) + OR (A_FSB(22) AND NOT iobs/Once AND iobs/PS_FSM_FFd2) + OR (A_FSB(22) AND NOT iobs/Once AND iobs/PS_FSM_FFd1)); + +FDCPE_iobs/PS_FSM_FFd1: FDCPE port map (iobs/PS_FSM_FFd1,iobs/PS_FSM_FFd1_D,CLK_FSB,'0','0'); +iobs/PS_FSM_FFd1_D <= ((iobs/PS_FSM_FFd2) + OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr)); + +FDCPE_iobs/PS_FSM_FFd2: FDCPE port map (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_D,CLK_FSB,'0','0'); +iobs/PS_FSM_FFd2_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21) AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + cs/nOverlay1 AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1 AND + iobs/IOACTr) + OR (NOT iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1 AND + NOT iobs/IOACTr) + OR (iobs/Once AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND + nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND + NOT fsb/ASrf AND nADoutLE1)); + + +nADoutLE0 <= (NOT ALE0M AND NOT ALE0S); + +FDCPE_nADoutLE1: FDCPE port map (nADoutLE1,nADoutLE1_D,CLK_FSB,'0','0'); +nADoutLE1_D <= ((iobs/Load1) + OR (NOT iobs/Clear1 AND NOT nADoutLE1)); + +FDCPE_nAS_IOB: FDCPE port map (nAS_IOB,nAS_IOB_D,NOT CLK2X_IOB,'0','0'); +nAS_IOB_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd2) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + iobm/IOS_FSM_FFd1)); + + +nAoutOE <= '0'; + + +nBERR_FSB <= ((nAS_FSB) + OR (NOT BERR_IOBS AND NOT TimeoutB AND NOT fsb/BERR0r AND NOT fsb/BERR1r) + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND + NOT BERR_IOBS AND NOT fsb/BERR0r AND NOT fsb/BERR1r)); + +FDCPE_nCAS: FDCPE port map (nCAS,NOT ram/RASEL,NOT CLK_FSB,'0','0'); + +FDCPE_nDTACK_FSB: FDCPE port map (nDTACK_FSB,nDTACK_FSB_D,CLK_FSB,'0','0'); +nDTACK_FSB_D <= ((EXP18_.EXP) + OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady AND + nDTACK_FSB) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND + NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady) + OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND + NOT iobs/IOReady AND nDTACK_FSB) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady) + OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND + NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB) + OR (EXP21_.EXP) + OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND + NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB) + OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND + cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND + nDTACK_FSB AND NOT nADoutLE1) + OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND + cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND + nDTACK_FSB AND NOT nADoutLE1) + OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r AND + nDTACK_FSB) + OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r AND + nDTACK_FSB) + OR (BERR_IOBS AND nDTACK_FSB) + OR (fsb/BERR0r AND nDTACK_FSB) + OR (fsb/BERR1r AND nDTACK_FSB) + OR (nAS_FSB AND NOT fsb/ASrf) + OR (nDTACK_FSB AND NOT $OpTx$FX_DC$608)); + +FDCPE_nDinLE: FDCPE port map (nDinLE,nDinLE_D,NOT CLK2X_IOB,'0','0'); +nDinLE_D <= ((iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd1) + OR (NOT iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd3)); + + +nDinOE <= ((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB) + OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND nWE_FSB AND + NOT nAS_FSB)); + +FDCPE_nDoutOE: FDCPE port map (nDoutOE,nDoutOE_D,CLK2X_IOB,'0','0'); +nDoutOE_D <= ((NOT IORW0) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd2)); + +FDCPE_nLDS_IOB: FDCPE port map (nLDS_IOB,nLDS_IOB_D,NOT CLK2X_IOB,'0','0'); +nLDS_IOB_D <= ((NOT IOL0) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd2) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + iobm/IOS_FSM_FFd1) + OR (IORW0 AND iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd2 AND + NOT iobm/IOS_FSM_FFd1)); + + +nOE <= NOT ((nWE_FSB AND NOT nAS_FSB)); + + +nRAMLWE <= NOT ((NOT nWE_FSB AND NOT nLDS_FSB AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND + NOT ram/RAMDIS1)); + + +nRAMUWE <= NOT ((NOT nWE_FSB AND NOT nUDS_FSB AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND + NOT ram/RAMDIS1)); + + +nRAS <= NOT (((RefAck) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND + NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RAMDIS1) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RAMDIS1))); + + +nROMCS <= NOT (((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20)) + OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND + NOT cs/nOverlay1))); + + +nROMWE <= NOT ((NOT nWE_FSB AND NOT nAS_FSB)); + +FDCPE_nUDS_IOB: FDCPE port map (nUDS_IOB,nUDS_IOB_D,NOT CLK2X_IOB,'0','0'); +nUDS_IOB_D <= ((NOT IOU0) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd2) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + iobm/IOS_FSM_FFd1) + OR (IORW0 AND iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd2 AND + NOT iobm/IOS_FSM_FFd1)); + +FTCPE_nVMA_IOB: FTCPE port map (nVMA_IOB,nVMA_IOB_T,CLK2X_IOB,'0','0'); +nVMA_IOB_T <= ((NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND + NOT iobm/ES(3) AND NOT iobm/ES(4)) + OR (nVMA_IOB AND iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND + NOT iobm/ES(3) AND NOT iobm/ES(4) AND IOACT AND iobm/VPArf AND iobm/VPArr)); + + +nVPA_FSB <= NOT ((fsb/VPA AND NOT nAS_FSB)); + +FDCPE_ram/BACTr: FDCPE port map (ram/BACTr,ram/BACTr_D,CLK_FSB,'0','0'); +ram/BACTr_D <= (nAS_FSB AND NOT fsb/ASrf); + +FTCPE_ram/Once: FTCPE port map (ram/Once,ram/Once_T,CLK_FSB,'0','0'); +ram/Once_T <= ((ram/Once AND nAS_FSB AND NOT fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND + NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3 AND fsb/ASrf)); + +FDCPE_ram/RAMDIS1: FDCPE port map (ram/RAMDIS1,ram/RAMDIS1_D,CLK_FSB,'0','0'); +ram/RAMDIS1_D <= ((ram/RAMDIS2.EXP) + OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND + NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) + OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND + NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND + NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) + OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND + NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND + cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) + OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND + NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) + OR (ram/Once AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND + cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) + OR (NOT cnt/RefDone AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3 AND + cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) + OR (NOT cnt/RefDone AND nAS_FSB AND NOT ram/RS_FSM_FFd1 AND + cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND NOT fsb/ASrf) + OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1) + OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3) + OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3) + OR (A_FSB(23) AND NOT cnt/RefDone AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND + NOT ram/BACTr AND fsb/ASrf)); + +FTCPE_ram/RAMDIS2: FTCPE port map (ram/RAMDIS2,ram/RAMDIS2_T,CLK_FSB,'0','0'); +ram/RAMDIS2_T <= ((ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND + ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND + cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND ram/Once AND + NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND + cnt/RefCnt(7)) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND ram/Once AND + NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND + fsb/ASrf) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND + cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND + cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND fsb/ASrf) + OR (ram/RAMDIS2 AND nAS_FSB AND NOT fsb/ASrf) + OR (ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND + ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND + cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7))); + +FDCPE_ram/RAMReady: FDCPE port map (ram/RAMReady,ram/RAMReady_D,CLK_FSB,'0','0'); +ram/RAMReady_D <= ((ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3) + OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1) + OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3) + OR (A_FSB(23) AND NOT cnt/RefDone AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND + NOT ram/BACTr AND fsb/ASrf) + OR (ram/RS_FSM_FFd2.EXP) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND + NOT nAS_FSB AND NOT ram/RS_FSM_FFd1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND + NOT ram/RS_FSM_FFd1 AND fsb/ASrf) + OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND + NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) + OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND + NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf) + OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND + NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND + NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + OR (NOT A_FSB(21) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND + NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + OR (NOT A_FSB(21) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND + NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd1)); + +FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,CLK_FSB,'0','0'); +ram/RASEL_D <= ((A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) + OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND + NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) + OR (NOT cnt/RefDone AND nAS_FSB AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd1 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND + NOT fsb/ASrf) + OR (NOT cnt/RefDone AND nAS_FSB AND ram/RS_FSM_FFd1 AND + ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND + NOT fsb/ASrf) + OR (EXP38_.EXP) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND + NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf) + OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) + OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND + NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) + OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3) + OR (A_FSB(23) AND NOT cnt/RefDone AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) + OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd1 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7))); + +FTCPE_ram/RS_FSM_FFd1: FTCPE port map (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_T,CLK_FSB,'0','0'); +ram/RS_FSM_FFd1_T <= ((ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND + NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3 AND fsb/ASrf)); + +FTCPE_ram/RS_FSM_FFd2: FTCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_T,CLK_FSB,'0','0'); +ram/RS_FSM_FFd2_T <= ((ram/RS_FSM_FFd3.EXP) + OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND + NOT cnt/RefCnt(5) AND ram/BACTr) + OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND + NOT cnt/RefCnt(5) AND NOT fsb/ASrf) + OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND + NOT cnt/RefCnt(6) AND NOT fsb/ASrf) + OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND + NOT cnt/RefCnt(7) AND NOT fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) + OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3) + OR (cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) + OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND + NOT cnt/RefCnt(6) AND ram/BACTr) + OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND ram/BACTr AND + NOT cnt/RefCnt(7))); + +FTCPE_ram/RS_FSM_FFd3: FTCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_T,CLK_FSB,'0','0'); +ram/RS_FSM_FFd3_T <= ((TimeoutA.EXP) + OR (ram/Once AND cnt/RefDone AND NOT ram/RS_FSM_FFd2 AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) + OR (ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3 AND NOT cnt/RefCnt(5)) + OR (ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3 AND NOT cnt/RefCnt(6)) + OR (ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3 AND NOT cnt/RefCnt(7)) + OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3 AND NOT fsb/ASrf) + OR (A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3)); + +Register Legend: + FDCPE (Q,D,C,CLR,PRE,CE); + FTCPE (Q,D,C,CLR,PRE,CE); + LDCP (Q,D,G,CLR,PRE); + + ****************************** Device Pin Out ***************************** + +Device : XC95144XL-10-TQ100 + + + -------------------------------------------------- + /100 98 96 94 92 90 88 86 84 82 80 78 76 \ + | 99 97 95 93 91 89 87 85 83 81 79 77 | + | 1 75 | + | 2 74 | + | 3 73 | + | 4 72 | + | 5 71 | + | 6 70 | + | 7 69 | + | 8 68 | + | 9 67 | + | 10 66 | + | 11 65 | + | 12 64 | + | 13 XC95144XL-10-TQ100 63 | + | 14 62 | + | 15 61 | + | 16 60 | + | 17 59 | + | 18 58 | + | 19 57 | + | 20 56 | + | 21 55 | + | 22 54 | + | 23 53 | + | 24 52 | + | 25 51 | + | 27 29 31 33 35 37 39 41 43 45 47 49 | + \26 28 30 32 34 36 38 40 42 44 46 48 50 / + -------------------------------------------------- + + +Pin Signal Pin Signal +No. Name No. Name + 1 KPR 51 VCC + 2 A_FSB<5> 52 RA<7> + 3 A_FSB<6> 53 RA<0> + 4 A_FSB<7> 54 RA<8> + 5 VCC 55 RA<10> + 6 A_FSB<8> 56 RA<9> + 7 A_FSB<9> 57 VCC + 8 A_FSB<10> 58 KPR + 9 A_FSB<11> 59 KPR + 10 A_FSB<12> 60 KPR + 11 A_FSB<13> 61 KPR + 12 A_FSB<14> 62 GND + 13 A_FSB<15> 63 RA<11> + 14 A_FSB<16> 64 nRAS + 15 A_FSB<17> 65 nRAMLWE + 16 A_FSB<18> 66 nRAMUWE + 17 A_FSB<19> 67 KPR + 18 A_FSB<20> 68 KPR + 19 A_FSB<21> 69 GND + 20 A_FSB<22> 70 nBERR_FSB + 21 GND 71 KPR + 22 CLK2X_IOB 72 KPR + 23 CLK_IOB 73 KPR + 24 A_FSB<23> 74 nVMA_IOB + 25 E_IOB 75 GND + 26 VCC 76 nBERR_IOB + 27 CLK_FSB 77 nVPA_IOB + 28 nDTACK_FSB 78 nDTACK_IOB + 29 nWE_FSB 79 nLDS_IOB + 30 nLDS_FSB 80 nUDS_IOB + 31 GND 81 nAS_IOB + 32 nAS_FSB 82 nADoutLE1 + 33 nUDS_FSB 83 TDO + 34 nROMWE 84 GND + 35 nROMCS 85 nADoutLE0 + 36 nCAS 86 nDinLE + 37 nOE 87 nAoutOE + 38 VCC 88 VCC + 39 KPR 89 nDoutOE + 40 RA<4> 90 nDinOE + 41 RA<3> 91 nRES + 42 RA<5> 92 KPR + 43 RA<2> 93 nVPA_FSB + 44 GND 94 A_FSB<1> + 45 TDI 95 A_FSB<2> + 46 RA<6> 96 A_FSB<3> + 47 TMS 97 A_FSB<4> + 48 TCK 98 VCC + 49 KPR 99 KPR + 50 RA<1> 100 GND + + +Legend : NC = Not Connected, unbonded pin + PGND = Unused I/O configured as additional Ground pin + TIE = Unused I/O floating -- must tie to VCC, GND or other signal + KPR = Unused I/O with weak keeper (leave unconnected) + VCC = Dedicated Power Pin + GND = Dedicated Ground Pin + TDI = Test Data In, JTAG pin + TDO = Test Data Out, JTAG pin + TCK = Test Clock, JTAG pin + TMS = Test Mode Select, JTAG pin + PROHIBITED = User reserved pin + **************************** Compiler Options **************************** + +Following is a list of all global compiler options used by the fitter run. + +Device(s) Specified : xc95144xl-10-TQ100 +Optimization Method : SPEED +Multi-Level Logic Optimization : ON +Ignore Timing Specifications : OFF +Default Register Power Up Value : LOW +Keep User Location Constraints : ON +What-You-See-Is-What-You-Get : OFF +Exhaustive Fitting : OFF +Keep Unused Inputs : OFF +Slew Rate : FAST +Power Mode : STD +Ground on Unused IOs : OFF +Set I/O Pin Termination : KEEPER +Global Clock Optimization : ON +Global Set/Reset Optimization : ON +Global Ouput Enable Optimization : ON +Input Limit : 54 +Pterm Limit : 25 diff --git a/cpld/XC95144/MXSE.stx b/cpld/XC95144XL/MXSE.stx similarity index 100% rename from cpld/XC95144/MXSE.stx rename to cpld/XC95144XL/MXSE.stx diff --git a/cpld/XC95144/MXSE.syr b/cpld/XC95144XL/MXSE.syr similarity index 98% rename from cpld/XC95144/MXSE.syr rename to cpld/XC95144XL/MXSE.syr index fad0dbe..7994783 100644 --- a/cpld/XC95144/MXSE.syr +++ b/cpld/XC95144XL/MXSE.syr @@ -3,14 +3,14 @@ Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. --> Parameter TMPDIR set to xst/projnav.tmp -Total REAL time to Xst completion: 1.00 secs -Total CPU time to Xst completion: 0.09 secs +Total REAL time to Xst completion: 0.00 secs +Total CPU time to Xst completion: 0.84 secs --> Parameter xsthdpdir set to xst -Total REAL time to Xst completion: 1.00 secs -Total CPU time to Xst completion: 0.09 secs +Total REAL time to Xst completion: 0.00 secs +Total CPU time to Xst completion: 0.86 secs --> Reading design: MXSE.prj @@ -456,12 +456,12 @@ Cell Usage : ========================================================================= -Total REAL time to Xst completion: 3.00 secs -Total CPU time to Xst completion: 2.63 secs +Total REAL time to Xst completion: 28.00 secs +Total CPU time to Xst completion: 28.61 secs --> -Total memory usage is 232880 kilobytes +Total memory usage is 203796 kilobytes Number of errors : 0 ( 0 filtered) Number of warnings : 0 ( 0 filtered) diff --git a/cpld/XC95144/MXSE.tim b/cpld/XC95144XL/MXSE.tim similarity index 100% rename from cpld/XC95144/MXSE.tim rename to cpld/XC95144XL/MXSE.tim diff --git a/cpld/XC95144XL/MXSE.tspec b/cpld/XC95144XL/MXSE.tspec new file mode 100644 index 0000000..fd2ebde --- /dev/null +++ b/cpld/XC95144XL/MXSE.tspec @@ -0,0 +1,383 @@ +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd4.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:iobm/IOS_FSM_FFd4.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/IOS_FSM_FFd4.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/IOS_FSM_FFd4.D:666 +TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:iobm/IOS_FSM_FFd4.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd3.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:iobm/IOS_FSM_FFd3.D:666 +TS_CLK2X_IOB:FROM:iobm/ETACK.Q:TO:iobm/IOS_FSM_FFd3.D:666 +TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:iobm/IOS_FSM_FFd3.D:666 +TS_CLK2X_IOB:FROM:iobm/BERRrr.Q:TO:iobm/IOS_FSM_FFd3.D:666 +TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:iobm/IOS_FSM_FFd3.D:666 +TS_CLK2X_IOB:FROM:iobm/DTACKrr.Q:TO:iobm/IOS_FSM_FFd3.D:666 +TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:iobm/IOS_FSM_FFd3.D:666 +TS_CLK2X_IOB:FROM:iobm/RESrr.Q:TO:iobm/IOS_FSM_FFd3.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:IOACT.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:IOACT.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:IOACT.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:IOACT.D:666 +TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:IOACT.D:666 +TS_CLK2X_IOB:FROM:iobm/RESrr.Q:TO:IOACT.D:666 +TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:IOACT.D:666 +TS_CLK2X_IOB:FROM:iobm/ETACK.Q:TO:IOACT.D:666 +TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:IOACT.D:666 +TS_CLK2X_IOB:FROM:iobm/BERRrr.Q:TO:IOACT.D:666 +TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:IOACT.D:666 +TS_CLK2X_IOB:FROM:iobm/DTACKrr.Q:TO:IOACT.D:666 +TS_CLK2X_IOB:FROM:IOBERR.Q:TO:IOBERR.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:IOBERR.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:IOBERR.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:IOBERR.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:IOBERR.D:666 +TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:IOBERR.D:666 +TS_CLK2X_IOB:FROM:iobm/RESrr.Q:TO:IOBERR.D:666 +TS_CLK2X_IOB:FROM:iobm/ETACK.Q:TO:IOBERR.D:666 +TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:IOBERR.D:666 +TS_CLK2X_IOB:FROM:iobm/BERRrr.Q:TO:IOBERR.D:666 +TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:IOBERR.D:666 +TS_CLK2X_IOB:FROM:iobm/DTACKrr.Q:TO:IOBERR.D:666 +TS_CLK_FSB:FROM:cs/nOverlay0.Q:TO:cs/nOverlay1.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:cs/nOverlay1.CE:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/Once.D:400 +TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/Once.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/Once.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/Once.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/Once.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/Once.D:400 +TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:cnt/RefDone.D:400 +TS_CLK_FSB:FROM:RefAck.Q:TO:cnt/RefDone.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefDone.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/RefDone.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:cnt/RefDone.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefDone.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefDone.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefDone.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefDone.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:cnt/RefDone.D:400 +TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/Once.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/Once.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Once.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Once.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/Once.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/Once.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:BERR_IOBS.D:400 +TS_CLK_FSB:FROM:BERR_IOBS.Q:TO:BERR_IOBS.D:400 +TS_CLK_FSB:FROM:iobs/Once.Q:TO:BERR_IOBS.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:BERR_IOBS.D:400 +TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:BERR_IOBS.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:BERR_IOBS.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:IORW0.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:IORW0.D:400 +TS_CLK_FSB:FROM:iobs/Once.Q:TO:IORW0.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:IORW0.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:IORW0.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:IORW0.D:400 +TS_CLK_FSB:FROM:IORW0.Q:TO:IORW0.D:400 +TS_CLK_FSB:FROM:iobs/IORW1.Q:TO:IORW0.D:400 +TS_CLK_FSB:FROM:cs/nOverlay0.Q:TO:cs/nOverlay0.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:cs/nOverlay0.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:IOL0.D:400 +TS_CLK_FSB:FROM:iobs/IOL1.Q:TO:IOL0.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:IOL0.CE:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:IOL0.CE:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:IOU0.D:400 +TS_CLK_FSB:FROM:iobs/IOU1.Q:TO:IOU0.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:IOU0.CE:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:IOU0.CE:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:TimeoutA.D:400 +TS_CLK_FSB:FROM:TimeoutA.Q:TO:TimeoutA.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:TimeoutA.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:TimeoutA.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:TimeoutA.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:TimeoutA.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:TimeoutA.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:TimeoutA.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:TimeoutA.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:TimeoutB.D:400 +TS_CLK_FSB:FROM:TimeoutB.Q:TO:TimeoutB.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:TimeoutB.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:TimeoutB.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:TimeoutB.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:TimeoutB.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:TimeoutB.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:TimeoutB.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:TimeoutB.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:TimeoutB.D:400 +TS_CLK_FSB:FROM:cnt/TimeoutBPre.Q:TO:TimeoutB.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:cnt/TimeoutBPre.D:400 +TS_CLK_FSB:FROM:cnt/TimeoutBPre.Q:TO:cnt/TimeoutBPre.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/TimeoutBPre.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/TimeoutBPre.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:cnt/TimeoutBPre.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/TimeoutBPre.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/TimeoutBPre.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/TimeoutBPre.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/TimeoutBPre.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:cnt/TimeoutBPre.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/BERR0r.D:400 +TS_CLK_FSB:FROM:TimeoutB.Q:TO:fsb/BERR0r.D:400 +TS_CLK_FSB:FROM:fsb/BERR0r.Q:TO:fsb/BERR0r.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/BERR1r.D:400 +TS_CLK_FSB:FROM:BERR_IOBS.Q:TO:fsb/BERR1r.D:400 +TS_CLK_FSB:FROM:fsb/BERR1r.Q:TO:fsb/BERR1r.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/Ready0r.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/Ready0r.D:400 +TS_CLK_FSB:FROM:fsb/Ready0r.Q:TO:fsb/Ready0r.D:400 +TS_CLK_FSB:FROM:ram/RAMReady.Q:TO:fsb/Ready0r.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/Ready1r.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:fsb/Ready1r.D:400 +TS_CLK_FSB:FROM:fsb/Ready1r.Q:TO:fsb/Ready1r.D:400 +TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:fsb/Ready1r.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/Ready1r.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/Ready2r.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/Ready2r.D:400 +TS_CLK_FSB:FROM:TimeoutA.Q:TO:fsb/Ready2r.D:400 +TS_CLK_FSB:FROM:fsb/Ready2r.Q:TO:fsb/Ready2r.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:TimeoutA.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:fsb/Ready2r.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:fsb/VPA.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:TimeoutB.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:fsb/Ready1r.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:fsb/Ready0r.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:ram/RAMReady.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:BERR_IOBS.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:fsb/BERR0r.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:fsb/BERR1r.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:fsb/VPA.D:400 +TS_CLK_FSB:FROM:iobs/Load1.Q:TO:iobs/IOL1.CE:400 +TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/IORW1.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/IORW1.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/IORW1.D:400 +TS_CLK_FSB:FROM:iobs/IORW1.Q:TO:iobs/IORW1.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/IORW1.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/IORW1.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/IORW1.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/IOReady.D:400 +TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:iobs/IOReady.D:400 +TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/IOReady.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/IOReady.D:400 +TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:iobs/IOReady.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/IOReady.D:400 +TS_CLK_FSB:FROM:iobs/Load1.Q:TO:iobs/IOU1.CE:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RAMDIS2.D:400 +TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RAMDIS2.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMDIS2.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMDIS2.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMDIS2.D:400 +TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RAMDIS2.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RAMDIS2.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RAMDIS2.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RAMDIS2.D:400 +TS_CLK_FSB:FROM:ram/RAMDIS2.Q:TO:ram/RAMDIS2.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RAMDIS2.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:nDTACK_FSB_OBUF.D:400 +TS_CLK_FSB:FROM:TimeoutA.Q:TO:nDTACK_FSB_OBUF.D:400 +TS_CLK_FSB:FROM:fsb/Ready2r.Q:TO:nDTACK_FSB_OBUF.D:400 +TS_CLK_FSB:FROM:nDTACK_FSB_OBUF.Q:TO:nDTACK_FSB_OBUF.D:400 +TS_CLK_FSB:FROM:fsb/Ready1r.Q:TO:nDTACK_FSB_OBUF.D:400 +TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:nDTACK_FSB_OBUF.D:400 +TS_CLK_FSB:FROM:fsb/Ready0r.Q:TO:nDTACK_FSB_OBUF.D:400 +TS_CLK_FSB:FROM:ram/RAMReady.Q:TO:nDTACK_FSB_OBUF.D:400 +TS_CLK_FSB:FROM:BERR_IOBS.Q:TO:nDTACK_FSB_OBUF.D:400 +TS_CLK_FSB:FROM:fsb/BERR0r.Q:TO:nDTACK_FSB_OBUF.D:400 +TS_CLK_FSB:FROM:fsb/BERR1r.Q:TO:nDTACK_FSB_OBUF.D:400 +TS_CLK_FSB:FROM:TimeoutB.Q:TO:nDTACK_FSB_OBUF.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:nDTACK_FSB_OBUF.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:nDTACK_FSB_OBUF.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RASEL.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RASEL.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RASEL.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RASEL.D:400 +TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RASEL.D:400 +TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RASEL.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RASEL.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RASEL.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RASEL.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RASEL.D:400 +TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RASEL.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd1.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd1.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd1.D:400 +TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RS_FSM_FFd1.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd1.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd1.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd3.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd3.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd3.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd3.D:400 +TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RS_FSM_FFd3.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RS_FSM_FFd3.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RS_FSM_FFd3.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RS_FSM_FFd3.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd3.D:400 +TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RS_FSM_FFd3.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/PS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/PS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/PS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/PS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:iobs/PS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/PS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/PS_FSM_FFd2.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/PS_FSM_FFd1.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/PS_FSM_FFd1.D:400 +TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:iobs/PS_FSM_FFd1.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<5>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<5>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<5>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<5>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<5>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<6>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/RefCnt<6>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<6>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<6>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<6>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<6>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<1>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<2>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<2>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<3>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<3>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<3>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<4>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<4>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<4>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<4>.D:400 +TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/Load1.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/Load1.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/Load1.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/Load1.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Load1.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Load1.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/BACTr.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<7>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/RefCnt<7>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:cnt/RefCnt<7>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<7>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<7>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<7>.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<7>.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:RefAck.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:RefAck.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:ALE0S.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:ALE0S.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:IOREQ.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:IOREQ.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:IOREQ.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:IOREQ.D:400 +TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:IOREQ.D:400 +TS_CLK_FSB:FROM:iobs/Once.Q:TO:IOREQ.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:IOREQ.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Clear1.D:400 +TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Clear1.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/Clear1.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMDIS1.D:400 +TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RAMDIS1.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RAMDIS1.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RAMDIS1.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RAMDIS1.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RAMDIS1.D:400 +TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RAMDIS1.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RAMDIS1.D:400 +TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RAMDIS1.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMDIS1.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMDIS1.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMReady.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMReady.D:400 +TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMReady.D:400 +TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RAMReady.D:400 +TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RAMReady.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RAMReady.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RAMReady.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RAMReady.D:400 +TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RAMReady.D:400 +TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RAMReady.D:400 +TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RAMReady.D:400 +TS_CLK_FSB:FROM:ram/RASEL.Q:TO:nCAS_OBUF.D:400 +TS_CLK_FSB:FROM:iobs/Load1.Q:TO:nADoutLE1_OBUF.D:400 +TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:nADoutLE1_OBUF.D:400 +TS_CLK_FSB:FROM:iobs/Clear1.Q:TO:nADoutLE1_OBUF.D:400 +TS_CLK2X_IOB:FROM:nVMA_IOB_OBUF.Q:TO:nVMA_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:nVMA_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:nVMA_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:nVMA_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:nVMA_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:nVMA_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:IOACT.Q:TO:nVMA_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/VPArf.Q:TO:nVMA_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/VPArr.Q:TO:nVMA_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd2.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/IOS_FSM_FFd2.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/IOS_FSM_FFd2.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:iobm/IOS_FSM_FFd2.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd1.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/IOS_FSM_FFd1.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:iobm/IOS_FSM_FFd1.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/IOS_FSM_FFd1.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<0>.D:666 +TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<0>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<0>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<0>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<0>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ES<0>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<1>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<1>.D:666 +TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<1>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<2>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<2>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<2>.D:666 +TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<2>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<2>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ES<2>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<3>.D:666 +TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<3>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<3>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<3>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<3>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ES<4>.D:666 +TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<4>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<4>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<4>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<4>.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<4>.D:666 +TS_CLK2X_IOB:FROM:nVMA_IOB_OBUF.Q:TO:iobm/ETACK.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ETACK.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ETACK.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ETACK.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ETACK.D:666 +TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ETACK.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:ALE0M.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:ALE0M.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:ALE0M.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:ALE0M.D:666 +TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:ALE0M.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nAS_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:nAS_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:nAS_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:nAS_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nDinLE_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:nDinLE_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:nDinLE_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nDoutOE_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:nDoutOE_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:nDoutOE_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nLDS_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:nLDS_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:nLDS_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:nLDS_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nUDS_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:nUDS_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:nUDS_IOB_OBUF.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:nUDS_IOB_OBUF.D:666 diff --git a/cpld/XC95144XL/MXSE.vm6 b/cpld/XC95144XL/MXSE.vm6 new file mode 100644 index 0000000..0002138 --- /dev/null +++ b/cpld/XC95144XL/MXSE.vm6 @@ -0,0 +1,8034 @@ +NDS Database: version P.20131013 + +NDS_INFO | xc9500xl | 95144XL100 | XC95144XL-10-TQ100 + +DEVICE | 95144XL | 95144XL100 | + +NETWORK | MXSE | 0 | 0 | 16391 + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_9_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<9> | 9645 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 9467 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_8_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<8> | 9646 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 9468 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_15_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<15> | 9647 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 9469 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_14_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<14> | 9648 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9470 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_13_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<13> | 9649 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9471 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_12_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<12> | 9650 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 9472 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_11_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<11> | 9651 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 9473 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_10_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<10> | 9652 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 9474 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_23_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<23> | 9653 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_22_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<22> | 9654 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_21_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<21> | 9655 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_20_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<20> | 9656 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9478 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_19_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<19> | 9657 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9479 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_18_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<18> | 9658 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9480 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_17_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<17> | 9659 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9481 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_16_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<16> | 9660 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9482 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | nBERR_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nBERR_IOB | 9661 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 9483 | ? | 479 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | CLK2X_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 2 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | CLK2X_IOB | 9662 | PI | 387 | 0 | MXSE_COPY_0_COPY_0 | CLK2X_IOB;CLK2X_IOB;CLK2X_IOB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 3 | 5 | II_FCLK +NODE | CLK2X_IOB_IBUF/FCLK | 9484 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV +NODE | CLK2X_IOB_IBUF/FCLK- | 9485 | ? | 477 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | nVMA_IOB_OBUF | MXSE_COPY_0_COPY_0 | 2155877376 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVMA_IOB_OBUF | 9487 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9529 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9530 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9531 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9533 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9534 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOACT | 9547 | ? | 456 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/VPArf | 9560 | ? | 456 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/VPArr | 9561 | ? | 456 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK2X_IOB_IBUF/FCLK | 9484 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nVMA_IOB_OBUF$Q | 9486 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | nVMA_IOB_OBUF | 9487 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | nVMA_IOB_OBUF.SI | nVMA_IOB_OBUF | 0 | 9 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVMA_IOB_OBUF | 9487 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9529 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9530 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9531 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9533 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9534 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOACT | 9547 | ? | 456 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/VPArf | 9560 | ? | 456 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/VPArr | 9561 | ? | 456 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nVMA_IOB_OBUF.D1 | 9681 | ? | 456 | 4096 | nVMA_IOB_OBUF | NULL | NULL | nVMA_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nVMA_IOB_OBUF.D2 | 9682 | ? | 456 | 4096 | nVMA_IOB_OBUF | NULL | NULL | nVMA_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 6 | IV_FALSE | nVMA_IOB_OBUF | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> +SPPTERM | 9 | IV_TRUE | nVMA_IOB_OBUF | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> | IV_TRUE | IOACT | IV_TRUE | iobm/VPArf | IV_TRUE | iobm/VPArr + +SRFF_INSTANCE | nVMA_IOB_OBUF.REG | nVMA_IOB_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nVMA_IOB_OBUF.D | 9680 | ? | 456 | 0 | nVMA_IOB_OBUF | NULL | NULL | nVMA_IOB_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK2X_IOB_IBUF/FCLK | 9484 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nVMA_IOB_OBUF.Q | 9683 | ? | 473 | 0 | nVMA_IOB_OBUF | NULL | NULL | nVMA_IOB_OBUF.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +INPUT_INSTANCE | 0 | 0 | NULL | CLK_FSB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 2 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | CLK_FSB | 9663 | PI | 388 | 0 | MXSE_COPY_0_COPY_0 | CLK_FSB;CLK_FSB;CLK_FSB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 3 | 5 | II_FCLK +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV +NODE | CLK_FSB_IBUF/FCLK- | 9489 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV + +INPUT_INSTANCE | 0 | 0 | NULL | nAS_FSB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nAS_FSB | 9668 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+OptxMapped | cs/nOverlay1 | MXSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay0 | 9498 | ? | 406 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cs/nOverlay1.SI | cs/nOverlay1 | 0 | 3 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay0 | 9498 | ? | 406 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cs/nOverlay1.D1 | 9685 | ? | 398 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cs/nOverlay1.D2 | 9686 | ? | 398 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cs/nOverlay0 +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cs/nOverlay1.CE | 9687 | ? | 400 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf + +SRFF_INSTANCE | cs/nOverlay1.REG | cs/nOverlay1 | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cs/nOverlay1.D | 9684 | ? | 398 | 0 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cs/nOverlay1.CE | 9687 | ? | 400 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cs/nOverlay1.Q | 9688 | ? | 453 | 0 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | ram/Once | MXSE_COPY_0_COPY_0 | 2155877376 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9491 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9521 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9523 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9524 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/Once | 9491 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/Once.SI | ram/Once | 0 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9491 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9521 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9523 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9524 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/Once.D1 | 9690 | ? | 401 | 4096 | ram/Once | NULL | NULL | ram/Once.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/Once.D2 | 9691 | ? | 401 | 4096 | ram/Once | NULL | NULL | ram/Once.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | ram/Once | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf +SPPTERM | 9 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 9 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | ram/Once.REG | ram/Once | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/Once.D | 9689 | ? | 401 | 0 | ram/Once | NULL | NULL | ram/Once.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/Once.Q | 9692 | ? | 453 | 0 | ram/Once | NULL | NULL | ram/Once.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | cnt/RefDone | MXSE_COPY_0_COPY_0 | 2155873536 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9492 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefAck | 9553 | ? | 402 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9535 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9536 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9537 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9539 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9540 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9541 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9542 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9549 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefDone | 9492 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/RefDone.SI | cnt/RefDone | 0 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9492 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefAck | 9553 | ? | 402 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9535 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9536 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9537 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9539 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9540 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9541 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9542 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9549 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefDone.D1 | 9694 | ? | 402 | 4096 | cnt/RefDone | NULL | NULL | cnt/RefDone.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefDone.D2 | 9695 | ? | 402 | 4096 | cnt/RefDone | NULL | NULL | cnt/RefDone.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | cnt/RefDone | IV_FALSE | RefAck +SPPTERM | 8 | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_FALSE | cnt/RefCnt<7> + +SRFF_INSTANCE | cnt/RefDone.REG | cnt/RefDone | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefDone.D | 9693 | ? | 402 | 0 | cnt/RefDone | NULL | NULL | cnt/RefDone.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefDone.Q | 9696 | ? | 453 | 0 | cnt/RefDone | NULL | NULL | cnt/RefDone.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +INPUT_INSTANCE | 0 | 0 | NULL | nWE_FSB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nWE_FSB | 9664 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9496 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/Once | MXSE_COPY_0_COPY_0 | 2155873536 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9493 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9528 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9532 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9478 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP28_.EXP | 10164 | ? | 403 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP28_.EXP | EXP28_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_4_OBUF.EXP | 10165 | ? | 403 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/Once | 9493 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobs/Once.SI | iobs/Once | 0 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9493 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9528 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9532 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9478 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP28_.EXP | 10164 | ? | 403 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP28_.EXP | EXP28_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_4_OBUF.EXP | 10165 | ? | 403 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/Once.D1 | 9698 | ? | 403 | 4096 | iobs/Once | NULL | NULL | iobs/Once.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/Once.D2 | 9699 | ? | 403 | 4096 | iobs/Once | NULL | NULL | iobs/Once.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP28_.EXP +SPPTERM | 1 | IV_TRUE | RA_4_OBUF.EXP +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd2 +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/Once +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd2 +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd1 + +SRFF_INSTANCE | iobs/Once.REG | iobs/Once | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/Once.D | 9697 | ? | 403 | 0 | iobs/Once | NULL | NULL | iobs/Once.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/Once.Q | 9700 | ? | 448 | 0 | iobs/Once | NULL | NULL | iobs/Once.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | BERR_IOBS | MXSE_COPY_0_COPY_0 | 2155877376 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9494 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9493 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9528 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9538 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 9548 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9606 | ? | 455 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | BERR_IOBS | 9494 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | BERR_IOBS.SI | BERR_IOBS | 0 | 8 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9494 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9493 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9528 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9538 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 9548 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9606 | ? | 455 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | BERR_IOBS.D1 | 9702 | ? | 404 | 4096 | BERR_IOBS | NULL | NULL | BERR_IOBS.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | BERR_IOBS.D2 | 9703 | ? | 404 | 4096 | BERR_IOBS | NULL | NULL | BERR_IOBS.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | BERR_IOBS | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 6 | IV_TRUE | iobs/Once | IV_TRUE | BERR_IOBS | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_FALSE | IOBERR | IV_TRUE | ALE1 +SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | BERR_IOBS | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | IOBERR | IV_TRUE | ALE1 +SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | BERR_IOBS | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | IOBERR | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 + +SRFF_INSTANCE | BERR_IOBS.REG | BERR_IOBS | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | BERR_IOBS.D | 9701 | ? | 404 | 0 | BERR_IOBS | NULL | NULL | BERR_IOBS.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | BERR_IOBS.Q | 9704 | ? | 428 | 0 | BERR_IOBS | NULL | NULL | BERR_IOBS.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | IORW0 | MXSE_COPY_0_COPY_0 | 2155877376 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9495 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 9512 | ? | 423 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9528 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9532 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9606 | ? | 455 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9493 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9496 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP26_.EXP | 10159 | ? | 405 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP30_.EXP | 10171 | ? | 405 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP30_.EXP | EXP30_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | IORW0 | 9495 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | IORW0.SI | IORW0 | 0 | 12 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9495 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 9512 | ? | 423 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9528 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9532 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9606 | ? | 455 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9493 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9496 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP26_.EXP | 10159 | ? | 405 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP30_.EXP | 10171 | ? | 405 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP30_.EXP | EXP30_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IORW0.D1 | 9706 | ? | 405 | 4096 | IORW0 | NULL | NULL | IORW0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IORW0.D2 | 9707 | ? | 405 | 4096 | IORW0 | NULL | NULL | IORW0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP26_.EXP +SPPTERM | 1 | IV_TRUE | EXP30_.EXP +SPPTERM | 5 | IV_TRUE | IORW0 | IV_FALSE | iobs/IORW1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | ALE1 +SPPTERM | 5 | IV_FALSE | IORW0 | IV_TRUE | iobs/IORW1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | ALE1 +SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | IORW0 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 + +SRFF_INSTANCE | IORW0.REG | IORW0 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | IORW0.D | 9705 | ? | 405 | 0 | IORW0 | NULL | NULL | IORW0.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | IORW0.Q | 9708 | ? | 486 | 0 | IORW0 | NULL | NULL | IORW0.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +INPUT_INSTANCE | 0 | 0 | NULL | nRES_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nRES | 9665 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nRES_IBUF | 9497 | ? | 481 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cs/nOverlay0 | MXSE_COPY_0_COPY_0 | 2155877376 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9478 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay0 | 9498 | ? | 406 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRES_IBUF | 9497 | ? | 481 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cs/nOverlay0 | 9498 | ? | 406 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cs/nOverlay0.SI | cs/nOverlay0 | 0 | 8 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9478 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay0 | 9498 | ? | 406 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRES_IBUF | 9497 | ? | 481 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cs/nOverlay0.D1 | 9710 | ? | 406 | 4096 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cs/nOverlay0.D2 | 9711 | ? | 406 | 6144 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay0 | IV_FALSE | nAS_FSB_IBUF +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay0 | IV_TRUE | fsb/ASrf +OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | cs/nOverlay0.RSTF | 9712 | ? | 407 | 4096 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_FALSE | nRES_IBUF + +SRFF_INSTANCE | cs/nOverlay0.REG | cs/nOverlay0 | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cs/nOverlay0.D | 9709 | ? | 406 | 0 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 3 | 8 | SRFF_R +SIGNAL | NODE | cs/nOverlay0.RSTF | 9712 | ? | 407 | 4096 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_FALSE | nRES_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cs/nOverlay0.Q | 9713 | ? | 406 | 0 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +INPUT_INSTANCE | 0 | 0 | NULL | nLDS_FSB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nLDS_FSB | 9666 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 9510 | ? | 421 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+OptxMapped | IOL0 | MXSE_COPY_0_COPY_0 | 2155873280 | 6 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOL1 | 9511 | ? | 408 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9606 | ? | 455 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nLDS_FSB_IBUF | 9510 | ? | 421 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9528 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9532 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | IOL0 | 9499 | ? | 485 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | IOL0.SI | IOL0 | 0 | 5 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOL1 | 9511 | ? | 408 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9606 | ? | 455 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nLDS_FSB_IBUF | 9510 | ? | 421 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9528 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9532 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOL0.D1 | 9715 | ? | 408 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOL0.D2 | 9716 | ? | 408 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | nLDS_FSB_IBUF | IV_TRUE | ALE1 +SPPTERM | 2 | IV_TRUE | iobs/IOL1 | IV_FALSE | ALE1 +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | IOL0.CE | 9717 | ? | 409 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 + +SRFF_INSTANCE | IOL0.REG | IOL0 | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | IOL0.D | 9714 | ? | 408 | 0 | IOL0 | NULL | NULL | IOL0.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | IOL0.CE | 9717 | ? | 409 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | IOL0.Q | 9718 | ? | 485 | 0 | IOL0 | NULL | NULL | IOL0.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +INPUT_INSTANCE | 0 | 0 | NULL | nUDS_FSB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nUDS_FSB | 9667 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 9514 | ? | 425 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+OptxMapped | IOU0 | MXSE_COPY_0_COPY_0 | 2155873280 | 6 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOU1 | 9515 | ? | 410 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9606 | ? | 455 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nUDS_FSB_IBUF | 9514 | ? | 425 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9528 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9532 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | IOU0 | 9500 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | IOU0.SI | IOU0 | 0 | 5 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOU1 | 9515 | ? | 410 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9606 | ? | 455 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nUDS_FSB_IBUF | 9514 | ? | 425 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9528 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9532 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOU0.D1 | 9720 | ? | 410 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOU0.D2 | 9721 | ? | 410 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | nUDS_FSB_IBUF | IV_TRUE | ALE1 +SPPTERM | 2 | IV_TRUE | iobs/IOU1 | IV_FALSE | ALE1 +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | IOU0.CE | 9722 | ? | 411 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 + +SRFF_INSTANCE | IOU0.REG | IOU0 | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | IOU0.D | 9719 | ? | 410 | 0 | IOU0 | NULL | NULL | IOU0.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | IOU0.CE | 9722 | ? | 411 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | IOU0.Q | 9723 | ? | 486 | 0 | IOU0 | NULL | NULL | IOU0.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | TimeoutA | MXSE_COPY_0_COPY_0 | 2155877376 | 14 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9521 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9523 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9524 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9492 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9536 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9537 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9549 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_9_OBUF.EXP | 10189 | ? | 412 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.EXP | RA_9_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | TimeoutA | 9501 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | TimeoutA.EXP | 10190 | ? | 432 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.EXP | TimeoutA | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | TimeoutA.SI | TimeoutA | 0 | 13 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9521 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9523 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9524 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9492 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9536 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9537 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9549 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_9_OBUF.EXP | 10189 | ? | 412 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.EXP | RA_9_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | TimeoutA.D1 | 9725 | ? | 412 | 4096 | TimeoutA | NULL | NULL | TimeoutA.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | TimeoutA.D2 | 9726 | ? | 412 | 4096 | TimeoutA | NULL | NULL | TimeoutA.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | RA_9_OBUF.EXP +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | TimeoutA.EXP | 10181 | ? | 432 | 0 | TimeoutA | NULL | NULL | TimeoutA.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 5 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | TimeoutA.REG | TimeoutA | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | TimeoutA.D | 9724 | ? | 412 | 0 | TimeoutA | NULL | NULL | TimeoutA.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | TimeoutA.Q | 9727 | ? | 428 | 0 | TimeoutA | NULL | NULL | TimeoutA.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | TimeoutB | MXSE_COPY_0_COPY_0 | 2155877376 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9521 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9524 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9523 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9492 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9544 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_1_OBUF.EXP | 10188 | ? | 413 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.EXP | RA_1_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | TimeoutB | 9502 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | TimeoutB.EXP | 10187 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.EXP | TimeoutB | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | TimeoutB.SI | TimeoutB | 0 | 9 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9521 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9524 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9523 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9492 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9544 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_1_OBUF.EXP | 10188 | ? | 413 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.EXP | RA_1_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | TimeoutB.D1 | 9729 | ? | 413 | 4096 | TimeoutB | NULL | NULL | TimeoutB.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | TimeoutB.D2 | 9730 | ? | 413 | 4096 | TimeoutB | NULL | NULL | TimeoutB.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | RA_1_OBUF.EXP +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | TimeoutB.EXP | 10178 | ? | 453 | 0 | TimeoutB | NULL | NULL | TimeoutB.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 +SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 +SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr +SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | TimeoutB.REG | TimeoutB | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | TimeoutB.D | 9728 | ? | 413 | 0 | TimeoutB | NULL | NULL | TimeoutB.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | TimeoutB.Q | 9731 | ? | 428 | 0 | TimeoutB | NULL | NULL | TimeoutB.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/TimeoutBPre | MXSE_COPY_0_COPY_0 | 2155877376 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimeoutBPre | 9503 | ? | 414 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.Q | cnt/TimeoutBPre | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9535 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9536 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9537 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9539 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9540 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9541 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9542 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9549 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/TimeoutBPre | 9503 | ? | 414 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.Q | cnt/TimeoutBPre | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/TimeoutBPre.SI | cnt/TimeoutBPre | 0 | 11 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimeoutBPre | 9503 | ? | 414 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.Q | cnt/TimeoutBPre | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9535 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9536 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9537 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9539 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9540 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9541 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9542 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9549 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/TimeoutBPre.D1 | 9733 | ? | 414 | 4096 | cnt/TimeoutBPre | NULL | NULL | cnt/TimeoutBPre.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/TimeoutBPre.D2 | 9734 | ? | 414 | 4096 | cnt/TimeoutBPre | NULL | NULL | cnt/TimeoutBPre.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | cnt/TimeoutBPre | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 10 | IV_FALSE | cnt/TimeoutBPre | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_FALSE | cnt/RefCnt<7> +SPPTERM | 10 | IV_FALSE | cnt/TimeoutBPre | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_FALSE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | cnt/TimeoutBPre.REG | cnt/TimeoutBPre | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/TimeoutBPre.D | 9732 | ? | 414 | 0 | cnt/TimeoutBPre | NULL | NULL | cnt/TimeoutBPre.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/TimeoutBPre.Q | 9735 | ? | 414 | 0 | cnt/TimeoutBPre | NULL | NULL | cnt/TimeoutBPre.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/BERR0r | MXSE_COPY_0_COPY_0 | 2155873536 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9478 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9504 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9502 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9479 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | fsb/BERR0r | 9504 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | fsb/BERR0r.EXP | 10208 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.EXP | fsb/BERR0r | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | fsb/BERR0r.SI | fsb/BERR0r | 0 | 9 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9478 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9504 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9502 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9479 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/BERR0r.D1 | 9737 | ? | 415 | 4096 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/BERR0r.D2 | 9738 | ? | 415 | 4096 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | TimeoutB | IV_FALSE | fsb/BERR0r +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/BERR0r +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | fsb/BERR0r.EXP | 10197 | ? | 0 | 0 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | A_FSB_19_IBUF + +SRFF_INSTANCE | fsb/BERR0r.REG | fsb/BERR0r | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | fsb/BERR0r.D | 9736 | ? | 415 | 0 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | fsb/BERR0r.Q | 9739 | ? | 428 | 0 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/BERR1r | MXSE_COPY_0_COPY_0 | 2155873536 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9494 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9505 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | fsb/BERR1r | 9505 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | fsb/BERR1r.SI | fsb/BERR1r | 0 | 4 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9494 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9505 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/BERR1r.D1 | 9741 | ? | 416 | 4096 | fsb/BERR1r | NULL | NULL | fsb/BERR1r.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/BERR1r.D2 | 9742 | ? | 416 | 4096 | fsb/BERR1r | NULL | NULL | fsb/BERR1r.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR1r +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf + +SRFF_INSTANCE | fsb/BERR1r.REG | fsb/BERR1r | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | fsb/BERR1r.D | 9740 | ? | 416 | 0 | fsb/BERR1r | NULL | NULL | fsb/BERR1r.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | fsb/BERR1r.Q | 9743 | ? | 428 | 0 | fsb/BERR1r | NULL | NULL | fsb/BERR1r.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/Ready0r | MXSE_COPY_0_COPY_0 | 2155873536 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9506 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9572 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | fsb/Ready0r | 9506 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | fsb/Ready0r.SI | fsb/Ready0r | 0 | 8 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9506 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9572 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/Ready0r.D1 | 9745 | ? | 417 | 4096 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/Ready0r.D2 | 9746 | ? | 417 | 4096 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_FALSE | ram/RAMReady +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_FALSE | ram/RAMReady + +SRFF_INSTANCE | fsb/Ready0r.REG | fsb/Ready0r | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | fsb/Ready0r.D | 9744 | ? | 417 | 0 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | fsb/Ready0r.Q | 9747 | ? | 428 | 0 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/Ready1r | MXSE_COPY_0_COPY_0 | 2155873536 | 18 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9507 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9513 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9478 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9470 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9479 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9480 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9481 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9482 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9496 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9471 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP17_.EXP | 10136 | ? | 418 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | fsb/Ready1r | 9507 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | fsb/Ready1r.SI | fsb/Ready1r | 0 | 17 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9507 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9513 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9478 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9470 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9479 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9480 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9481 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9482 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9496 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9471 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP17_.EXP | 10136 | ? | 418 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/Ready1r.D1 | 9749 | ? | 418 | 4096 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/Ready1r.D2 | 9750 | ? | 418 | 4096 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP17_.EXP +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady +SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady +SPPTERM | 11 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady +SPPTERM | 11 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady + +SRFF_INSTANCE | fsb/Ready1r.REG | fsb/Ready1r | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | fsb/Ready1r.D | 9748 | ? | 418 | 0 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | fsb/Ready1r.Q | 9751 | ? | 428 | 0 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/Ready2r | MXSE_COPY_0_COPY_0 | 2155873536 | 21 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9467 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9469 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9470 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9471 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9472 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9473 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9474 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9478 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9479 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9480 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9481 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9482 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9496 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9501 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9508 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP24_.EXP | 10144 | ? | 419 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | fsb/Ready2r | 9508 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | fsb/Ready2r.EXP | 10143 | ? | 423 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.EXP | fsb/Ready2r | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | fsb/Ready2r.SI | fsb/Ready2r | 0 | 20 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9467 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9469 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9470 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9471 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9472 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9473 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9474 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9478 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9479 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9480 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9481 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9482 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9496 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9501 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9508 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP24_.EXP | 10144 | ? | 419 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/Ready2r.D1 | 9753 | ? | 419 | 4096 | fsb/Ready2r | NULL | NULL | fsb/Ready2r.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/Ready2r.D2 | 9754 | ? | 419 | 4096 | fsb/Ready2r | NULL | NULL | fsb/Ready2r.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP24_.EXP +SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | fsb/Ready2r.EXP | 10130 | ? | 423 | 0 | fsb/Ready2r | NULL | NULL | fsb/Ready2r.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF + +SRFF_INSTANCE | fsb/Ready2r.REG | fsb/Ready2r | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | fsb/Ready2r.D | 9752 | ? | 419 | 0 | fsb/Ready2r | NULL | NULL | fsb/Ready2r.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | fsb/Ready2r.Q | 9755 | ? | 428 | 0 | fsb/Ready2r | NULL | NULL | fsb/Ready2r.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | fsb/VPA | MXSE_COPY_0_COPY_0 | 2155873280 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9505 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9509 | ? | 420 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$607.UIM | 9643 | ? | 420 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$607.Q | $OpTx$FX_DC$607 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9494 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9504 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9478 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9502 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP14_.EXP | 10133 | ? | 420 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP15_.EXP | 10134 | ? | 420 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | fsb/VPA | 9509 | ? | 420 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | fsb/VPA.SI | fsb/VPA | 0 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9505 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9509 | ? | 420 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$607.UIM | 9643 | ? | 420 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$607.Q | $OpTx$FX_DC$607 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9494 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9504 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9478 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9502 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP14_.EXP | 10133 | ? | 420 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP15_.EXP | 10134 | ? | 420 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/VPA.D1 | 9757 | ? | 420 | 4096 | fsb/VPA | NULL | NULL | fsb/VPA.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/VPA.D2 | 9758 | ? | 420 | 4096 | fsb/VPA | NULL | NULL | fsb/VPA.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP14_.EXP +SPPTERM | 1 | IV_TRUE | EXP15_.EXP +SPPTERM | 3 | IV_TRUE | BERR_IOBS | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$FX_DC$607.UIM +SPPTERM | 3 | IV_TRUE | fsb/BERR0r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$FX_DC$607.UIM +SPPTERM | 3 | IV_TRUE | fsb/BERR1r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$FX_DC$607.UIM +SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | TimeoutB | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$FX_DC$607.UIM +SPPTERM | 4 | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | TimeoutB | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$FX_DC$607.UIM + +SRFF_INSTANCE | fsb/VPA.REG | fsb/VPA | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | fsb/VPA.D | 9756 | ? | 420 | 0 | fsb/VPA | NULL | NULL | fsb/VPA.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | fsb/VPA.Q | 9759 | ? | 420 | 0 | fsb/VPA | NULL | NULL | fsb/VPA.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOL1 | MXSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nLDS_FSB_IBUF | 9510 | ? | 421 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Load1 | 9543 | ? | 455 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/IOL1 | 9511 | ? | 408 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobs/IOL1.SI | iobs/IOL1 | 0 | 2 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nLDS_FSB_IBUF | 9510 | ? | 421 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Load1 | 9543 | ? | 455 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOL1.D1 | 9761 | ? | 421 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOL1.D2 | 9762 | ? | 421 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nLDS_FSB_IBUF +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOL1.CE | 9763 | ? | 422 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_TRUE | iobs/Load1 + +SRFF_INSTANCE | iobs/IOL1.REG | iobs/IOL1 | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/IOL1.D | 9760 | ? | 421 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | iobs/IOL1.CE | 9763 | ? | 422 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_TRUE | iobs/Load1 +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/IOL1.Q | 9764 | ? | 408 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | iobs/IORW1 | MXSE_COPY_0_COPY_0 | 2155877632 | 10 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 9512 | ? | 423 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9606 | ? | 455 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9493 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9478 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9496 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP22_.EXP | 10141 | ? | 423 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP23_.EXP | 10142 | ? | 423 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 9512 | ? | 423 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobs/IORW1.SI | iobs/IORW1 | 0 | 9 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 9512 | ? | 423 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9606 | ? | 455 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9493 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9478 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9496 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP22_.EXP | 10141 | ? | 423 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP23_.EXP | 10142 | ? | 423 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IORW1.D1 | 9766 | ? | 423 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IORW1.D2 | 9767 | ? | 423 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/Once +SPPTERM | 1 | IV_FALSE | ALE1 +SPPTERM | 1 | IV_TRUE | EXP22_.EXP +SPPTERM | 1 | IV_TRUE | EXP23_.EXP +SPPTERM | 2 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF +SPPTERM | 2 | IV_TRUE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/IORW1 + +SRFF_INSTANCE | iobs/IORW1.REG | iobs/IORW1 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/IORW1.D | 9765 | ? | 423 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/IORW1.Q | 9768 | ? | 423 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/IOReady | MXSE_COPY_0_COPY_0 | 2155877376 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9513 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9493 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9528 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9538 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 9548 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9606 | ? | 455 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/IOReady | 9513 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobs/IOReady.SI | iobs/IOReady | 0 | 8 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9513 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9493 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9528 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9538 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 9548 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9606 | ? | 455 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOReady.D1 | 9770 | ? | 424 | 4096 | iobs/IOReady | NULL | NULL | iobs/IOReady.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOReady.D2 | 9771 | ? | 424 | 4096 | iobs/IOReady | NULL | NULL | iobs/IOReady.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | iobs/IOReady | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 6 | IV_TRUE | iobs/Once | IV_TRUE | iobs/IOReady | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | IOBERR | IV_TRUE | ALE1 +SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_FALSE | IOBERR | IV_TRUE | ALE1 +SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | iobs/IOReady | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_FALSE | IOBERR | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 + +SRFF_INSTANCE | iobs/IOReady.REG | iobs/IOReady | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/IOReady.D | 9769 | ? | 424 | 0 | iobs/IOReady | NULL | NULL | iobs/IOReady.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/IOReady.Q | 9772 | ? | 428 | 0 | iobs/IOReady | NULL | NULL | iobs/IOReady.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOU1 | MXSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nUDS_FSB_IBUF | 9514 | ? | 425 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Load1 | 9543 | ? | 455 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/IOU1 | 9515 | ? | 410 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobs/IOU1.SI | iobs/IOU1 | 0 | 2 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nUDS_FSB_IBUF | 9514 | ? | 425 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Load1 | 9543 | ? | 455 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOU1.D1 | 9774 | ? | 425 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOU1.D2 | 9775 | ? | 425 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nUDS_FSB_IBUF +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOU1.CE | 9776 | ? | 426 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_TRUE | iobs/Load1 + +SRFF_INSTANCE | iobs/IOU1.REG | iobs/IOU1 | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/IOU1.D | 9773 | ? | 425 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | iobs/IOU1.CE | 9776 | ? | 426 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_TRUE | iobs/Load1 +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/IOU1.Q | 9777 | ? | 410 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | ram/RAMDIS2 | MXSE_COPY_0_COPY_0 | 2155877376 | 16 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9516 | ? | 427 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9491 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9492 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9521 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9523 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9524 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9536 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9537 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9549 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_FSB_OBUF.EXP | 10212 | ? | 427 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RAMDIS2 | 9516 | ? | 427 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | ram/RAMDIS2.EXP | 10213 | ? | 452 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.EXP | ram/RAMDIS2 | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | ram/RAMDIS2.SI | ram/RAMDIS2 | 0 | 15 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9516 | ? | 427 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9491 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9492 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9521 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9523 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9524 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9536 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9537 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9549 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_FSB_OBUF.EXP | 10212 | ? | 427 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RAMDIS2.D1 | 9779 | ? | 427 | 4096 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RAMDIS2.D2 | 9780 | ? | 427 | 4096 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nBERR_FSB_OBUF.EXP +SPPTERM | 3 | IV_TRUE | ram/RAMDIS2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 10 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | ram/RAMDIS2.EXP | 10202 | ? | 452 | 0 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 7 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> + +SRFF_INSTANCE | ram/RAMDIS2.REG | ram/RAMDIS2 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RAMDIS2.D | 9778 | ? | 427 | 0 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RAMDIS2.Q | 9781 | ? | 427 | 0 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | nDTACK_FSB_OBUF | MXSE_COPY_0_COPY_0 | 2155873280 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9505 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9519 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9494 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9504 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$608.UIM | 9644 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$608.Q | $OpTx$FX_DC$608 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP19_.EXP | 10138 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP20_.EXP | 10139 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nDTACK_FSB_OBUF | 9518 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | nDTACK_FSB_OBUF.UIM | 9519 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | nDTACK_FSB_OBUF.SI | nDTACK_FSB_OBUF | 0 | 9 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9505 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9519 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9494 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9504 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$608.UIM | 9644 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$608.Q | $OpTx$FX_DC$608 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP19_.EXP | 10138 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP20_.EXP | 10139 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDTACK_FSB_OBUF.D1 | 9783 | ? | 428 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nDTACK_FSB_OBUF.D2 | 9784 | ? | 428 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP19_.EXP +SPPTERM | 1 | IV_TRUE | EXP20_.EXP +SPPTERM | 2 | IV_TRUE | BERR_IOBS | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 2 | IV_TRUE | fsb/BERR0r | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 2 | IV_TRUE | fsb/BERR1r | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 2 | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | $OpTx$FX_DC$608.UIM + +SRFF_INSTANCE | nDTACK_FSB_OBUF.REG | nDTACK_FSB_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nDTACK_FSB_OBUF.D | 9782 | ? | 428 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nDTACK_FSB_OBUF.Q | 9785 | ? | 428 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RASEL | MXSE_COPY_0_COPY_0 | 2155873280 | 14 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9521 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9524 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9523 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9492 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9544 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9536 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9537 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9549 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF$BUF0.EXP | 10207 | ? | 429 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.EXP | A_FSB_19_IBUF$BUF0 | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP39_.EXP | 10217 | ? | 429 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP39_.EXP | EXP39_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9520 | ? | 454 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RASEL.SI | ram/RASEL | 0 | 13 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9521 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9524 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9523 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9492 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9544 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9536 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9537 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9549 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF$BUF0.EXP | 10207 | ? | 429 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.EXP | A_FSB_19_IBUF$BUF0 | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP39_.EXP | 10217 | ? | 429 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP39_.EXP | EXP39_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RASEL.D1 | 9787 | ? | 429 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RASEL.D2 | 9788 | ? | 429 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | A_FSB_19_IBUF$BUF0.EXP +SPPTERM | 1 | IV_TRUE | EXP39_.EXP +SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd3 +SPPTERM | 3 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr +SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> + +SRFF_INSTANCE | ram/RASEL.REG | ram/RASEL | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RASEL.D | 9786 | ? | 429 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RASEL.Q | 9789 | ? | 454 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | ram/RS_FSM_FFd2 | MXSE_COPY_0_COPY_0 | 2155877632 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9521 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9524 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9492 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9523 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9537 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9544 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9549 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9536 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP32_.EXP | 10193 | ? | 430 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP32_.EXP | EXP32_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9521 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | ram/RS_FSM_FFd2.EXP | 10194 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.EXP | ram/RS_FSM_FFd2 | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | ram/RS_FSM_FFd2.SI | ram/RS_FSM_FFd2 | 0 | 9 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9521 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9524 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9492 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9523 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9537 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9544 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9549 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9536 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP32_.EXP | 10193 | ? | 430 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP32_.EXP | EXP32_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd2.D1 | 9791 | ? | 430 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd2.D2 | 9792 | ? | 430 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP32_.EXP +SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 3 | IV_TRUE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<6> | IV_TRUE | ram/BACTr +SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/BACTr | IV_FALSE | cnt/RefCnt<7> +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | ram/RS_FSM_FFd2.EXP | 10185 | ? | 453 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_FALSE | cnt/RefDone | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> + +SRFF_INSTANCE | ram/RS_FSM_FFd2.REG | ram/RS_FSM_FFd2 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RS_FSM_FFd2.D | 9790 | ? | 430 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd2.Q | 9793 | ? | 453 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +INPUT_INSTANCE | 0 | 0 | NULL | CLK_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 2 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | CLK_IOB | 9669 | PI | 389 | 0 | MXSE_COPY_0_COPY_0 | CLK_IOB;CLK_IOB;CLK_IOB | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | CLK_IOB_IBUF | 9545 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV +NODE | CLK_IOB_IBUF/FCLK- | 9546 | ? | 474 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 7 | 5 | II_FCLKINV + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/IOS_FSM_FFd4 | MXSE_COPY_0_COPY_0 | 2155873536 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9525 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9526 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9527 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9522 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOREQr | 9552 | ? | 477 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9545 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK2X_IOB_IBUF/FCLK | 9484 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 9522 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/IOS_FSM_FFd4.SI | iobm/IOS_FSM_FFd4 | 0 | 6 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9525 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9526 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9527 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9522 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOREQr | 9552 | ? | 477 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9545 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd4.D1 | 9795 | ? | 458 | 4096 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd4.D2 | 9796 | ? | 458 | 4096 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 +SPPTERM | 3 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOS_FSM_FFd1 +SPPTERM | 4 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_TRUE | CLK_IOB_IBUF +SPPTERM | 4 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOREQr + +SRFF_INSTANCE | iobm/IOS_FSM_FFd4.REG | iobm/IOS_FSM_FFd4 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/IOS_FSM_FFd4.D | 9794 | ? | 458 | 0 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK2X_IOB_IBUF/FCLK | 9484 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd4.Q | 9797 | ? | 486 | 0 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.REG | 0 | 8 | SRFF_Q +TNAME | CLK_IOB | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | ram/RS_FSM_FFd1 | MXSE_COPY_0_COPY_0 | 2155877376 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9491 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9521 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9523 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9524 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9523 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RS_FSM_FFd1.SI | ram/RS_FSM_FFd1 | 0 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9491 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9521 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9523 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9524 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd1.D1 | 9799 | ? | 431 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd1.D2 | 9800 | ? | 431 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd3 +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf +SPPTERM | 9 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 9 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | ram/RS_FSM_FFd1.REG | ram/RS_FSM_FFd1 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RS_FSM_FFd1.D | 9798 | ? | 431 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd1.Q | 9801 | ? | 453 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | ram/RS_FSM_FFd3 | MXSE_COPY_0_COPY_0 | 2155877632 | 15 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9521 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9523 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9524 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9492 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9536 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9537 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9549 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP31_.EXP | 10191 | ? | 432 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP31_.EXP | EXP31_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9524 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | ram/RS_FSM_FFd3.EXP | 10192 | ? | 430 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.EXP | ram/RS_FSM_FFd3 | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | ram/RS_FSM_FFd3.SI | ram/RS_FSM_FFd3 | 0 | 14 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9521 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9523 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9524 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9492 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9536 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9537 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9549 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP31_.EXP | 10191 | ? | 432 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP31_.EXP | EXP31_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd3.D1 | 9803 | ? | 432 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd3.D2 | 9804 | ? | 432 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP31_.EXP +SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | ram/RS_FSM_FFd3.EXP | 10183 | ? | 430 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf +SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf + +SRFF_INSTANCE | ram/RS_FSM_FFd3.REG | ram/RS_FSM_FFd3 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RS_FSM_FFd3.D | 9802 | ? | 432 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd3.Q | 9805 | ? | 453 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/IOS_FSM_FFd3 | MXSE_COPY_0_COPY_0 | 2155873536 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9522 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9525 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9545 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ETACK | 9557 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9566 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9567 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9555 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9556 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9568 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9569 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK2X_IOB_IBUF/FCLK | 9484 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9525 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/IOS_FSM_FFd3.SI | iobm/IOS_FSM_FFd3 | 0 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9522 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9525 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9545 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ETACK | 9557 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9566 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9567 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9555 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9556 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9568 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9569 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd3.D1 | 9807 | ? | 459 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd3.D2 | 9808 | ? | 459 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 +SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/ETACK +SPPTERM | 4 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr +SPPTERM | 4 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr +SPPTERM | 4 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr + +SRFF_INSTANCE | iobm/IOS_FSM_FFd3.REG | iobm/IOS_FSM_FFd3 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/IOS_FSM_FFd3.D | 9806 | ? | 459 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK2X_IOB_IBUF/FCLK | 9484 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd3.Q | 9809 | ? | 486 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.REG | 0 | 8 | SRFF_Q +TNAME | CLK_IOB | CLK2X_IOB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/IOS_FSM_FFd2 | MXSE_COPY_0_COPY_0 | 2155873536 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9522 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9525 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9527 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9526 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK2X_IOB_IBUF/FCLK | 9484 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9526 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/IOS_FSM_FFd2.SI | iobm/IOS_FSM_FFd2 | 0 | 4 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9522 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9525 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9527 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9526 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd2.D1 | 9811 | ? | 460 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd2.D2 | 9812 | ? | 460 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 +SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOS_FSM_FFd1 + +SRFF_INSTANCE | iobm/IOS_FSM_FFd2.REG | iobm/IOS_FSM_FFd2 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/IOS_FSM_FFd2.D | 9810 | ? | 460 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK2X_IOB_IBUF/FCLK | 9484 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd2.Q | 9813 | ? | 486 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/IOS_FSM_FFd1 | MXSE_COPY_0_COPY_0 | 2155873536 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9522 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9526 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9527 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9525 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK2X_IOB_IBUF/FCLK | 9484 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9527 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/IOS_FSM_FFd1.SI | iobm/IOS_FSM_FFd1 | 0 | 4 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9522 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9526 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9527 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9525 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd1.D1 | 9815 | ? | 461 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd1.D2 | 9816 | ? | 461 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOS_FSM_FFd1 +SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 + +SRFF_INSTANCE | iobm/IOS_FSM_FFd1.REG | iobm/IOS_FSM_FFd1 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/IOS_FSM_FFd1.D | 9814 | ? | 461 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK2X_IOB_IBUF/FCLK | 9484 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd1.Q | 9817 | ? | 486 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/PS_FSM_FFd2 | MXSE_COPY_0_COPY_0 | 2155873536 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9528 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9532 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9538 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9493 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9606 | ? | 455 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9478 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP27_.EXP | 10161 | ? | 433 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP27_.EXP | EXP27_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nCAS_OBUF.EXP | 10162 | ? | 433 | 0 | MXSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.EXP | nCAS_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9528 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobs/PS_FSM_FFd2.SI | iobs/PS_FSM_FFd2 | 0 | 11 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9528 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9532 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9538 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9493 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9606 | ? | 455 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9478 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP27_.EXP | 10161 | ? | 433 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP27_.EXP | EXP27_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nCAS_OBUF.EXP | 10162 | ? | 433 | 0 | MXSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.EXP | nCAS_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/PS_FSM_FFd2.D1 | 9819 | ? | 433 | 4096 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/PS_FSM_FFd2.D2 | 9820 | ? | 433 | 4096 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP27_.EXP +SPPTERM | 1 | IV_TRUE | nCAS_OBUF.EXP +SPPTERM | 3 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/IOACTr +SPPTERM | 3 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_FALSE | iobs/IOACTr +SPPTERM | 4 | IV_TRUE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 + +SRFF_INSTANCE | iobs/PS_FSM_FFd2.REG | iobs/PS_FSM_FFd2 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/PS_FSM_FFd2.D | 9818 | ? | 433 | 0 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/PS_FSM_FFd2.Q | 9821 | ? | 451 | 0 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | iobm/ES<0> | MXSE_COPY_0_COPY_0 | 2155877632 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9529 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9551 | ? | 474 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er2 | 9558 | ? | 466 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9530 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9531 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9533 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9534 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK2X_IOB_IBUF/FCLK | 9484 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9529 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/ES<0>.SI | iobm/ES<0> | 0 | 7 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9529 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9551 | ? | 474 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er2 | 9558 | ? | 466 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9530 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9531 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9533 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9534 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<0>.D1 | 9823 | ? | 462 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<0>.D2 | 9824 | ? | 462 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | iobm/ES<0> | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 +SPPTERM | 6 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> | IV_TRUE | iobm/Er +SPPTERM | 6 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> | IV_FALSE | iobm/Er2 + +SRFF_INSTANCE | iobm/ES<0>.REG | iobm/ES<0> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/ES<0>.D | 9822 | ? | 462 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK2X_IOB_IBUF/FCLK | 9484 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/ES<0>.Q | 9825 | ? | 473 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/ES<1> | MXSE_COPY_0_COPY_0 | 2155873536 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9529 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9530 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9551 | ? | 474 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er2 | 9558 | ? | 466 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK2X_IOB_IBUF/FCLK | 9484 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9530 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/ES<1>.SI | iobm/ES<1> | 0 | 4 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9529 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9530 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9551 | ? | 474 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er2 | 9558 | ? | 466 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<1>.D1 | 9827 | ? | 463 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<1>.D2 | 9828 | ? | 463 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> +SPPTERM | 2 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> +SPPTERM | 2 | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 + +SRFF_INSTANCE | iobm/ES<1>.REG | iobm/ES<1> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/ES<1>.D | 9826 | ? | 463 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK2X_IOB_IBUF/FCLK | 9484 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/ES<1>.Q | 9829 | ? | 473 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/ES<2> | MXSE_COPY_0_COPY_0 | 2155873536 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9529 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9531 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9530 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9551 | ? | 474 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er2 | 9558 | ? | 466 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9533 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9534 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK2X_IOB_IBUF/FCLK | 9484 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9531 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/ES<2>.SI | iobm/ES<2> | 0 | 7 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9529 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9531 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9530 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9551 | ? | 474 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er2 | 9558 | ? | 466 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9533 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9534 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<2>.D1 | 9831 | ? | 464 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<2>.D2 | 9832 | ? | 464 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<2> +SPPTERM | 2 | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> +SPPTERM | 2 | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 +SPPTERM | 3 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> +SPPTERM | 3 | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_TRUE | iobm/ES<4> + +SRFF_INSTANCE | iobm/ES<2>.REG | iobm/ES<2> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/ES<2>.D | 9830 | ? | 464 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK2X_IOB_IBUF/FCLK | 9484 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/ES<2>.Q | 9833 | ? | 473 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/PS_FSM_FFd1 | MXSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9528 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9532 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9538 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9532 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobs/PS_FSM_FFd1.SI | iobs/PS_FSM_FFd1 | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9528 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9532 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9538 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/PS_FSM_FFd1.D1 | 9835 | ? | 434 | 4096 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/PS_FSM_FFd1.D2 | 9836 | ? | 434 | 4096 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/PS_FSM_FFd2 +SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/IOACTr + +SRFF_INSTANCE | iobs/PS_FSM_FFd1.REG | iobs/PS_FSM_FFd1 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/PS_FSM_FFd1.D | 9834 | ? | 434 | 0 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/PS_FSM_FFd1.Q | 9837 | ? | 451 | 0 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobm/ES<3> | MXSE_COPY_0_COPY_0 | 2155877376 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9533 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9551 | ? | 474 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er2 | 9558 | ? | 466 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9529 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9530 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9531 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK2X_IOB_IBUF/FCLK | 9484 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9533 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/ES<3>.SI | iobm/ES<3> | 0 | 6 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9533 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9551 | ? | 474 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er2 | 9558 | ? | 466 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9529 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9530 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9531 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<3>.D1 | 9839 | ? | 465 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<3>.D2 | 9840 | ? | 465 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | iobm/ES<3> | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 +SPPTERM | 4 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/Er +SPPTERM | 4 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_FALSE | iobm/Er2 + +SRFF_INSTANCE | iobm/ES<3>.REG | iobm/ES<3> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/ES<3>.D | 9838 | ? | 465 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK2X_IOB_IBUF/FCLK | 9484 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/ES<3>.Q | 9841 | ? | 473 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobm/ES<4> | MXSE_COPY_0_COPY_0 | 2155877376 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9534 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9551 | ? | 474 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er2 | 9558 | ? | 466 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9529 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9530 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9531 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9533 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK2X_IOB_IBUF/FCLK | 9484 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9534 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/ES<4>.SI | iobm/ES<4> | 0 | 7 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9534 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9551 | ? | 474 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er2 | 9558 | ? | 466 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9529 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9530 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9531 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9533 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<4>.D1 | 9843 | ? | 466 | 4096 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<4>.D2 | 9844 | ? | 466 | 4096 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | iobm/ES<4> | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 +SPPTERM | 5 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/ES<3> | IV_TRUE | iobm/Er +SPPTERM | 5 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/ES<3> | IV_FALSE | iobm/Er2 +SPPTERM | 5 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_TRUE | iobm/ES<4> + +SRFF_INSTANCE | iobm/ES<4>.REG | iobm/ES<4> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/ES<4>.D | 9842 | ? | 466 | 0 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK2X_IOB_IBUF/FCLK | 9484 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/ES<4>.Q | 9845 | ? | 473 | 0 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<0> | MXSE_COPY_0_COPY_0 | 2155877376 | 1 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<0> | 9535 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/RefCnt<0>.SI | cnt/RefCnt<0> | 0 | 0 | 2 +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<0>.D1 | 9847 | ? | 435 | 4096 | cnt/RefCnt<0> | NULL | NULL | cnt/RefCnt<0>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefCnt<0>.D2 | 9848 | ? | 435 | 4096 | cnt/RefCnt<0> | NULL | NULL | cnt/RefCnt<0>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 0 | IV_DC + +SRFF_INSTANCE | cnt/RefCnt<0>.REG | cnt/RefCnt<0> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefCnt<0>.D | 9846 | ? | 435 | 0 | cnt/RefCnt<0> | NULL | NULL | cnt/RefCnt<0>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<0>.Q | 9849 | ? | 445 | 0 | cnt/RefCnt<0> | NULL | NULL | cnt/RefCnt<0>.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<5> | MXSE_COPY_0_COPY_0 | 2155877376 | 6 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9535 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9539 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9540 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9541 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9542 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<5> | 9536 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/RefCnt<5>.SI | cnt/RefCnt<5> | 0 | 5 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9535 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9539 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9540 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9541 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9542 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<5>.D1 | 9851 | ? | 436 | 0 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefCnt<5>.D2 | 9852 | ? | 436 | 4096 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 5 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<2> | IV_TRUE | cnt/RefCnt<3> | IV_TRUE | cnt/RefCnt<4> + +SRFF_INSTANCE | cnt/RefCnt<5>.REG | cnt/RefCnt<5> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefCnt<5>.D | 9850 | ? | 436 | 0 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<5>.Q | 9853 | ? | 453 | 0 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<6> | MXSE_COPY_0_COPY_0 | 2155877376 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9535 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9536 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9539 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9540 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9541 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9542 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<6> | 9537 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/RefCnt<6>.SI | cnt/RefCnt<6> | 0 | 6 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9535 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9536 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9539 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9540 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9541 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9542 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<6>.D1 | 9855 | ? | 437 | 0 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefCnt<6>.D2 | 9856 | ? | 437 | 4096 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 6 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<2> | IV_TRUE | cnt/RefCnt<3> | IV_TRUE | cnt/RefCnt<4> + +SRFF_INSTANCE | cnt/RefCnt<6>.REG | cnt/RefCnt<6> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefCnt<6>.D | 9854 | ? | 437 | 0 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<6>.Q | 9857 | ? | 453 | 0 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOACTr | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOACT | 9547 | ? | 456 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 9538 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobs/IOACTr.SI | iobs/IOACTr | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOACT | 9547 | ? | 456 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOACTr.D1 | 9859 | ? | 438 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOACTr.D2 | 9860 | ? | 438 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | IOACT + +SRFF_INSTANCE | iobs/IOACTr.REG | iobs/IOACTr | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/IOACTr.D | 9858 | ? | 438 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/IOACTr.Q | 9861 | ? | 448 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<1> | MXSE_COPY_0_COPY_0 | 2155877376 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9535 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<1> | 9539 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/RefCnt<1>.SI | cnt/RefCnt<1> | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9535 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<1>.D1 | 9863 | ? | 439 | 0 | cnt/RefCnt<1> | NULL | NULL | cnt/RefCnt<1>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefCnt<1>.D2 | 9864 | ? | 439 | 4096 | cnt/RefCnt<1> | NULL | NULL | cnt/RefCnt<1>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cnt/RefCnt<0> + +SRFF_INSTANCE | cnt/RefCnt<1>.REG | cnt/RefCnt<1> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefCnt<1>.D | 9862 | ? | 439 | 0 | cnt/RefCnt<1> | NULL | NULL | cnt/RefCnt<1>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<1>.Q | 9865 | ? | 445 | 0 | cnt/RefCnt<1> | NULL | NULL | cnt/RefCnt<1>.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<2> | MXSE_COPY_0_COPY_0 | 2155877376 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9535 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9539 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<2> | 9540 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/RefCnt<2>.SI | cnt/RefCnt<2> | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9535 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9539 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<2>.D1 | 9867 | ? | 440 | 0 | cnt/RefCnt<2> | NULL | NULL | cnt/RefCnt<2>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefCnt<2>.D2 | 9868 | ? | 440 | 4096 | cnt/RefCnt<2> | NULL | NULL | cnt/RefCnt<2>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<1> + +SRFF_INSTANCE | cnt/RefCnt<2>.REG | cnt/RefCnt<2> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefCnt<2>.D | 9866 | ? | 440 | 0 | cnt/RefCnt<2> | NULL | NULL | cnt/RefCnt<2>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<2>.Q | 9869 | ? | 445 | 0 | cnt/RefCnt<2> | NULL | NULL | cnt/RefCnt<2>.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<3> | MXSE_COPY_0_COPY_0 | 2155877376 | 4 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9535 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9539 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9540 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<3> | 9541 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/RefCnt<3>.SI | cnt/RefCnt<3> | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9535 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9539 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9540 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<3>.D1 | 9871 | ? | 441 | 0 | cnt/RefCnt<3> | NULL | NULL | cnt/RefCnt<3>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefCnt<3>.D2 | 9872 | ? | 441 | 4096 | cnt/RefCnt<3> | NULL | NULL | cnt/RefCnt<3>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<2> + +SRFF_INSTANCE | cnt/RefCnt<3>.REG | cnt/RefCnt<3> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefCnt<3>.D | 9870 | ? | 441 | 0 | cnt/RefCnt<3> | NULL | NULL | cnt/RefCnt<3>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<3>.Q | 9873 | ? | 445 | 0 | cnt/RefCnt<3> | NULL | NULL | cnt/RefCnt<3>.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<4> | MXSE_COPY_0_COPY_0 | 2155877376 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9535 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9539 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9540 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9541 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<4> | 9542 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/RefCnt<4>.SI | cnt/RefCnt<4> | 0 | 4 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9535 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9539 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9540 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9541 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<4>.D1 | 9875 | ? | 442 | 0 | cnt/RefCnt<4> | NULL | NULL | cnt/RefCnt<4>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefCnt<4>.D2 | 9876 | ? | 442 | 4096 | cnt/RefCnt<4> | NULL | NULL | cnt/RefCnt<4>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<2> | IV_TRUE | cnt/RefCnt<3> + +SRFF_INSTANCE | cnt/RefCnt<4>.REG | cnt/RefCnt<4> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefCnt<4>.D | 9874 | ? | 442 | 0 | cnt/RefCnt<4> | NULL | NULL | cnt/RefCnt<4>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<4>.Q | 9877 | ? | 445 | 0 | cnt/RefCnt<4> | NULL | NULL | cnt/RefCnt<4>.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/Load1 | MXSE_COPY_0_COPY_0 | 2155873536 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9606 | ? | 455 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9493 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9478 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9528 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9532 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRAMUWE_OBUF.EXP | 10209 | ? | 443 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP34_.EXP | 10210 | ? | 443 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP34_.EXP | EXP34_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 9543 | ? | 455 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobs/Load1.SI | iobs/Load1 | 0 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9606 | ? | 455 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9493 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9478 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9528 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9532 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRAMUWE_OBUF.EXP | 10209 | ? | 443 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP34_.EXP | 10210 | ? | 443 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP34_.EXP | EXP34_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/Load1.D1 | 9879 | ? | 443 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/Load1.D2 | 9880 | ? | 443 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/Once +SPPTERM | 1 | IV_FALSE | ALE1 +SPPTERM | 1 | IV_TRUE | nRAMUWE_OBUF.EXP +SPPTERM | 1 | IV_TRUE | EXP34_.EXP +SPPTERM | 2 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 2 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 + +SRFF_INSTANCE | iobs/Load1.REG | iobs/Load1 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/Load1.D | 9878 | ? | 443 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/Load1.Q | 9881 | ? | 455 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/BACTr | MXSE_COPY_0_COPY_0 | 2155873536 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/BACTr | 9544 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/BACTr.SI | ram/BACTr | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/BACTr.D1 | 9883 | ? | 444 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/BACTr.D2 | 9884 | ? | 444 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf + +SRFF_INSTANCE | ram/BACTr.REG | ram/BACTr | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/BACTr.D | 9882 | ? | 444 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/BACTr.Q | 9885 | ? | 453 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | IOACT | MXSE_COPY_0_COPY_0 | 2155873536 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9522 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9525 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9527 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9526 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOREQr | 9552 | ? | 477 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9545 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ETACK | 9557 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9566 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9567 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9555 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9556 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nADoutLE0_OBUF.EXP | 10176 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.EXP | nADoutLE0_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK2X_IOB_IBUF/FCLK | 9484 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | IOACT | 9547 | ? | 456 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | IOACT.SI | IOACT | 0 | 12 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9522 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9525 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9527 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9526 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOREQr | 9552 | ? | 477 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9545 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ETACK | 9557 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9566 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9567 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9555 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9556 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nADoutLE0_OBUF.EXP | 10176 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.EXP | nADoutLE0_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOACT.D1 | 9887 | ? | 467 | 4096 | IOACT | NULL | NULL | IOACT.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOACT.D2 | 9888 | ? | 467 | 4096 | IOACT | NULL | NULL | IOACT.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nADoutLE0_OBUF.EXP +SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 +SPPTERM | 4 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOREQr +SPPTERM | 5 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/ETACK +SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr +SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr + +SRFF_INSTANCE | IOACT.REG | IOACT | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | IOACT.D | 9886 | ? | 467 | 0 | IOACT | NULL | NULL | IOACT.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK2X_IOB_IBUF/FCLK | 9484 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | IOACT.Q | 9889 | ? | 456 | 0 | IOACT | NULL | NULL | IOACT.REG | 0 | 8 | SRFF_Q +TNAME | CLK_IOB | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | IOBERR | MXSE_COPY_0_COPY_0 | 2155877376 | 17 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9522 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9525 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9526 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9527 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 9548 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 9483 | ? | 479 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9545 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ETACK | 9557 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9566 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9567 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9555 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9556 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9568 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9569 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK2X_IOB_IBUF/FCLK | 9484 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ETACK.EXP | 10175 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.EXP | iobm/ETACK | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDinLE_OBUF.EXP | 10177 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.EXP | nDinLE_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | IOBERR | 9548 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | IOBERR.SI | IOBERR | 0 | 16 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9522 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9525 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9526 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9527 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 9548 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 9483 | ? | 479 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9545 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ETACK | 9557 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9566 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9567 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9555 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9556 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9568 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9569 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ETACK.EXP | 10175 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.EXP | iobm/ETACK | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDinLE_OBUF.EXP | 10177 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.EXP | nDinLE_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOBERR.D1 | 9891 | ? | 468 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOBERR.D2 | 9892 | ? | 468 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobm/ETACK.EXP +SPPTERM | 1 | IV_TRUE | nDinLE_OBUF.EXP +SPPTERM | 5 | IV_TRUE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_TRUE | IOBERR +SPPTERM | 6 | IV_FALSE | nBERR_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | IOBERR | IV_TRUE | iobm/ETACK +SPPTERM | 7 | IV_FALSE | nBERR_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | IOBERR | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr +SPPTERM | 7 | IV_FALSE | nBERR_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | IOBERR | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr +SPPTERM | 7 | IV_FALSE | nBERR_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | IOBERR | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr + +SRFF_INSTANCE | IOBERR.REG | IOBERR | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | IOBERR.D | 9890 | ? | 468 | 0 | IOBERR | NULL | NULL | IOBERR.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK2X_IOB_IBUF/FCLK | 9484 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | IOBERR.Q | 9893 | ? | 468 | 0 | IOBERR | NULL | NULL | IOBERR.REG | 0 | 8 | SRFF_Q +TNAME | CLK_IOB | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<7> | MXSE_COPY_0_COPY_0 | 2155877376 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9535 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9536 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9537 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9539 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9540 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9541 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9542 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<7> | 9549 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/RefCnt<7>.SI | cnt/RefCnt<7> | 0 | 7 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9535 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9536 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9537 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9539 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9540 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9541 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9542 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<7>.D1 | 9895 | ? | 445 | 0 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefCnt<7>.D2 | 9896 | ? | 445 | 4096 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 7 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<2> | IV_TRUE | cnt/RefCnt<3> | IV_TRUE | cnt/RefCnt<4> + +SRFF_INSTANCE | cnt/RefCnt<7>.REG | cnt/RefCnt<7> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefCnt<7>.D | 9894 | ? | 445 | 0 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<7>.Q | 9897 | ? | 453 | 0 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +INPUT_INSTANCE | 0 | 0 | NULL | E_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | E_IOB | 9670 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | E_IOB_IBUF | 9550 | ? | 396 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | E_IOB_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/Er | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | E_IOB_IBUF | 9550 | ? | 396 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | E_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF/FCLK- | 9546 | ? | 474 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/Er | 9551 | ? | 474 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/Er.SI | iobm/Er | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | E_IOB_IBUF | 9550 | ? | 396 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | E_IOB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/Er.D1 | 9899 | ? | 396 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/Er.D2 | 9900 | ? | 396 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | E_IOB_IBUF + +SRFF_INSTANCE | iobm/Er.REG | iobm/Er | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/Er.D | 9898 | ? | 396 | 0 | iobm/Er | NULL | NULL | iobm/Er.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_IOB_IBUF/FCLK- | 9546 | ? | 474 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/Er.Q | 9901 | ? | 474 | 0 | iobm/Er | NULL | NULL | iobm/Er.REG | 0 | 8 | SRFF_Q +TNAME | CLK_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/IOREQr | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOREQ | 9564 | ? | 469 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOREQ.Q | IOREQ | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK2X_IOB_IBUF/FCLK- | 9485 | ? | 477 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/IOREQr | 9552 | ? | 477 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/IOREQr.SI | iobm/IOREQr | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOREQ | 9564 | ? | 469 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOREQ.Q | IOREQ | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOREQr.D1 | 9903 | ? | 469 | 4096 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOREQr.D2 | 9904 | ? | 469 | 4096 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | IOREQ + +SRFF_INSTANCE | iobm/IOREQr.REG | iobm/IOREQr | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/IOREQr.D | 9902 | ? | 469 | 0 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK2X_IOB_IBUF/FCLK- | 9485 | ? | 477 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/IOREQr.Q | 9905 | ? | 477 | 0 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | RefAck | MXSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9521 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9523 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | RefAck | 9553 | ? | 402 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | RefAck.SI | RefAck | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9521 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9523 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RefAck.D1 | 9907 | ? | 446 | 4096 | RefAck | NULL | NULL | RefAck.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RefAck.D2 | 9908 | ? | 446 | 4096 | RefAck | NULL | NULL | RefAck.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 + +SRFF_INSTANCE | RefAck.REG | RefAck | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RefAck.D | 9906 | ? | 446 | 0 | RefAck | NULL | NULL | RefAck.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RefAck.Q | 9909 | ? | 402 | 0 | RefAck | NULL | NULL | RefAck.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +INPUT_INSTANCE | 0 | 0 | NULL | nDTACK_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nDTACK_IOB | 9671 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nDTACK_IOB_IBUF | 9554 | ? | 472 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/DTACKrf | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_IOB_IBUF | 9554 | ? | 472 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK2X_IOB_IBUF/FCLK- | 9485 | ? | 477 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/DTACKrf | 9555 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/DTACKrf.SI | iobm/DTACKrf | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_IOB_IBUF | 9554 | ? | 472 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/DTACKrf.D1 | 9911 | ? | 471 | 4096 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/DTACKrf.D2 | 9912 | ? | 471 | 4096 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nDTACK_IOB_IBUF + +SRFF_INSTANCE | iobm/DTACKrf.REG | iobm/DTACKrf | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/DTACKrf.D | 9910 | ? | 471 | 0 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK2X_IOB_IBUF/FCLK- | 9485 | ? | 477 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/DTACKrf.Q | 9913 | ? | 468 | 0 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/DTACKrr | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_IOB_IBUF | 9554 | ? | 472 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK2X_IOB_IBUF/FCLK | 9484 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/DTACKrr | 9556 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/DTACKrr.SI | iobm/DTACKrr | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_IOB_IBUF | 9554 | ? | 472 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/DTACKrr.D1 | 9915 | ? | 472 | 4096 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/DTACKrr.D2 | 9916 | ? | 472 | 4096 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nDTACK_IOB_IBUF + +SRFF_INSTANCE | iobm/DTACKrr.REG | iobm/DTACKrr | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/DTACKrr.D | 9914 | ? | 472 | 0 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK2X_IOB_IBUF/FCLK | 9484 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/DTACKrr.Q | 9917 | ? | 468 | 0 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/ETACK | MXSE_COPY_0_COPY_0 | 2155873280 | 16 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVMA_IOB_OBUF | 9487 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9529 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9530 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9531 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9533 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9534 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK2X_IOB_IBUF/FCLK | 9484 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 9483 | ? | 479 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9522 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9525 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9526 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9527 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9545 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 9548 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9568 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9569 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/ETACK | 9557 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | iobm/ETACK.EXP | 10175 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.EXP | iobm/ETACK | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | iobm/ETACK.SI | iobm/ETACK | 0 | 15 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVMA_IOB_OBUF | 9487 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9529 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9530 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9531 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9533 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9534 | ? | 473 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 9483 | ? | 479 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9522 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9525 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9526 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9527 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9545 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 9548 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9568 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9569 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ETACK.D1 | 9919 | ? | 473 | 4096 | iobm/ETACK | NULL | NULL | iobm/ETACK.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ETACK.D2 | 9920 | ? | 473 | 4096 | iobm/ETACK | NULL | NULL | iobm/ETACK.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 6 | IV_FALSE | nVMA_IOB_OBUF | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_TRUE | iobm/ES<4> +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | iobm/ETACK.EXP | 10172 | ? | 468 | 0 | iobm/ETACK | NULL | NULL | iobm/ETACK.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 9 | IV_TRUE | nBERR_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | IOBERR | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr + +SRFF_INSTANCE | iobm/ETACK.REG | iobm/ETACK | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/ETACK.D | 9918 | ? | 473 | 0 | iobm/ETACK | NULL | NULL | iobm/ETACK.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK2X_IOB_IBUF/FCLK | 9484 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/ETACK.Q | 9921 | ? | 468 | 0 | iobm/ETACK | NULL | NULL | iobm/ETACK.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/Er2 | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9551 | ? | 474 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK2X_IOB_IBUF/FCLK | 9484 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9558 | ? | 466 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/Er2.SI | iobm/Er2 | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9551 | ? | 474 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/Er2.D1 | 9923 | ? | 474 | 4096 | iobm/Er2 | NULL | NULL | iobm/Er2.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/Er2.D2 | 9924 | ? | 474 | 4096 | iobm/Er2 | NULL | NULL | iobm/Er2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobm/Er + +SRFF_INSTANCE | iobm/Er2.REG | iobm/Er2 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/Er2.D | 9922 | ? | 474 | 0 | iobm/Er2 | NULL | NULL | iobm/Er2.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK2X_IOB_IBUF/FCLK | 9484 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/Er2.Q | 9925 | ? | 466 | 0 | iobm/Er2 | NULL | NULL | iobm/Er2.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +INPUT_INSTANCE | 0 | 0 | NULL | nVPA_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nVPA_IOB | 9672 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nVPA_IOB_IBUF | 9559 | ? | 476 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/VPArf | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVPA_IOB_IBUF | 9559 | ? | 476 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK2X_IOB_IBUF/FCLK- | 9485 | ? | 477 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/VPArf | 9560 | ? | 456 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/VPArf.SI | iobm/VPArf | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVPA_IOB_IBUF | 9559 | ? | 476 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/VPArf.D1 | 9927 | ? | 475 | 4096 | iobm/VPArf | NULL | NULL | iobm/VPArf.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/VPArf.D2 | 9928 | ? | 475 | 4096 | iobm/VPArf | NULL | NULL | iobm/VPArf.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nVPA_IOB_IBUF + +SRFF_INSTANCE | iobm/VPArf.REG | iobm/VPArf | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/VPArf.D | 9926 | ? | 475 | 0 | iobm/VPArf | NULL | NULL | iobm/VPArf.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK2X_IOB_IBUF/FCLK- | 9485 | ? | 477 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/VPArf.Q | 9929 | ? | 456 | 0 | iobm/VPArf | NULL | NULL | iobm/VPArf.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/VPArr | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVPA_IOB_IBUF | 9559 | ? | 476 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK2X_IOB_IBUF/FCLK | 9484 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/VPArr | 9561 | ? | 456 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/VPArr.SI | iobm/VPArr | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVPA_IOB_IBUF | 9559 | ? | 476 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/VPArr.D1 | 9931 | ? | 476 | 4096 | iobm/VPArr | NULL | NULL | iobm/VPArr.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/VPArr.D2 | 9932 | ? | 476 | 4096 | iobm/VPArr | NULL | NULL | iobm/VPArr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nVPA_IOB_IBUF + +SRFF_INSTANCE | iobm/VPArr.REG | iobm/VPArr | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/VPArr.D | 9930 | ? | 476 | 0 | iobm/VPArr | NULL | NULL | iobm/VPArr.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK2X_IOB_IBUF/FCLK | 9484 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/VPArr.Q | 9933 | ? | 456 | 0 | iobm/VPArr | NULL | NULL | iobm/VPArr.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ALE0M | MXSE_COPY_0_COPY_0 | 2155873536 | 6 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9522 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9525 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9527 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9526 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOREQr | 9552 | ? | 477 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK2X_IOB_IBUF/FCLK | 9484 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ALE0M | 9562 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ALE0M.SI | ALE0M | 0 | 5 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9522 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9525 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9527 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9526 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOREQr | 9552 | ? | 477 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ALE0M.D1 | 9935 | ? | 477 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ALE0M.D2 | 9936 | ? | 477 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 +SPPTERM | 4 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOREQr + +SRFF_INSTANCE | ALE0M.REG | ALE0M | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ALE0M.D | 9934 | ? | 477 | 0 | ALE0M | NULL | NULL | ALE0M.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK2X_IOB_IBUF/FCLK | 9484 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ALE0M.Q | 9937 | ? | 0 | 0 | ALE0M | NULL | NULL | ALE0M.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | ALE0S | MXSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9528 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9532 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ALE0S | 9563 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ALE0S.SI | ALE0S | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9528 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9532 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ALE0S.D1 | 9939 | ? | 447 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ALE0S.D2 | 9940 | ? | 447 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 + +SRFF_INSTANCE | ALE0S.REG | ALE0S | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ALE0S.D | 9938 | ? | 447 | 0 | ALE0S | NULL | NULL | ALE0S.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ALE0S.Q | 9941 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | IOREQ | MXSE_COPY_0_COPY_0 | 2155873536 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9528 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9532 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9538 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9493 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9606 | ? | 455 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9478 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_5_OBUF.EXP | 10168 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.EXP | RA_5_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_2_OBUF.EXP | 10169 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | IOREQ | 9564 | ? | 469 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOREQ.Q | IOREQ | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | IOREQ.SI | IOREQ | 0 | 11 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9528 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9532 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9538 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9493 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9606 | ? | 455 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9478 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_5_OBUF.EXP | 10168 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.EXP | RA_5_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_2_OBUF.EXP | 10169 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOREQ.D1 | 9943 | ? | 448 | 4096 | IOREQ | NULL | NULL | IOREQ.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOREQ.D2 | 9944 | ? | 448 | 4096 | IOREQ | NULL | NULL | IOREQ.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | RA_5_OBUF.EXP +SPPTERM | 1 | IV_TRUE | RA_2_OBUF.EXP +SPPTERM | 2 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/PS_FSM_FFd1 +SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/IOACTr +SPPTERM | 3 | IV_TRUE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 + +SRFF_INSTANCE | IOREQ.REG | IOREQ | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | IOREQ.D | 9942 | ? | 448 | 0 | IOREQ | NULL | NULL | IOREQ.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | IOREQ.Q | 9945 | ? | 469 | 0 | IOREQ | NULL | NULL | IOREQ.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | fsb/ASrf | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK- | 9489 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | fsb/ASrf.SI | fsb/ASrf | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/ASrf.D1 | 9947 | ? | 449 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/ASrf.D2 | 9948 | ? | 449 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nAS_FSB_IBUF + +SRFF_INSTANCE | fsb/ASrf.REG | fsb/ASrf | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | fsb/ASrf.D | 9946 | ? | 449 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK- | 9489 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | fsb/ASrf.Q | 9949 | ? | 453 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/BERRrf | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 9483 | ? | 479 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK2X_IOB_IBUF/FCLK- | 9485 | ? | 477 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/BERRrf | 9566 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/BERRrf.SI | iobm/BERRrf | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 9483 | ? | 479 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/BERRrf.D1 | 9951 | ? | 478 | 4096 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/BERRrf.D2 | 9952 | ? | 478 | 4096 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nBERR_IOB_IBUF + +SRFF_INSTANCE | iobm/BERRrf.REG | iobm/BERRrf | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/BERRrf.D | 9950 | ? | 478 | 0 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK2X_IOB_IBUF/FCLK- | 9485 | ? | 477 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/BERRrf.Q | 9953 | ? | 468 | 0 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/BERRrr | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 9483 | ? | 479 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK2X_IOB_IBUF/FCLK | 9484 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/BERRrr | 9567 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/BERRrr.SI | iobm/BERRrr | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 9483 | ? | 479 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/BERRrr.D1 | 9955 | ? | 479 | 4096 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/BERRrr.D2 | 9956 | ? | 479 | 4096 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nBERR_IOB_IBUF + +SRFF_INSTANCE | iobm/BERRrr.REG | iobm/BERRrr | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/BERRrr.D | 9954 | ? | 479 | 0 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK2X_IOB_IBUF/FCLK | 9484 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/BERRrr.Q | 9957 | ? | 468 | 0 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/RESrf | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRES_IBUF | 9497 | ? | 481 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK2X_IOB_IBUF/FCLK- | 9485 | ? | 477 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/RESrf | 9568 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/RESrf.SI | iobm/RESrf | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRES_IBUF | 9497 | ? | 481 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/RESrf.D1 | 9959 | ? | 480 | 4096 | iobm/RESrf | NULL | NULL | iobm/RESrf.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/RESrf.D2 | 9960 | ? | 480 | 4096 | iobm/RESrf | NULL | NULL | iobm/RESrf.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nRES_IBUF + +SRFF_INSTANCE | iobm/RESrf.REG | iobm/RESrf | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/RESrf.D | 9958 | ? | 480 | 0 | iobm/RESrf | NULL | NULL | iobm/RESrf.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK2X_IOB_IBUF/FCLK- | 9485 | ? | 477 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/RESrf.Q | 9961 | ? | 468 | 0 | iobm/RESrf | NULL | NULL | iobm/RESrf.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/RESrr | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRES_IBUF | 9497 | ? | 481 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK2X_IOB_IBUF/FCLK | 9484 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/RESrr | 9569 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/RESrr.SI | iobm/RESrr | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRES_IBUF | 9497 | ? | 481 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/RESrr.D1 | 9963 | ? | 481 | 4096 | iobm/RESrr | NULL | NULL | iobm/RESrr.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/RESrr.D2 | 9964 | ? | 481 | 4096 | iobm/RESrr | NULL | NULL | iobm/RESrr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nRES_IBUF + +SRFF_INSTANCE | iobm/RESrr.REG | iobm/RESrr | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/RESrr.D | 9962 | ? | 481 | 0 | iobm/RESrr | NULL | NULL | iobm/RESrr.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK2X_IOB_IBUF/FCLK | 9484 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/RESrr.Q | 9965 | ? | 468 | 0 | iobm/RESrr | NULL | NULL | iobm/RESrr.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/Clear1 | MXSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9528 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9532 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9606 | ? | 455 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/Clear1 | 9570 | ? | 455 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobs/Clear1.SI | iobs/Clear1 | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9528 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9532 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9606 | ? | 455 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/Clear1.D1 | 9967 | ? | 451 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/Clear1.D2 | 9968 | ? | 451 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | ALE1 + +SRFF_INSTANCE | iobs/Clear1.REG | iobs/Clear1 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/Clear1.D | 9966 | ? | 451 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/Clear1.Q | 9969 | ? | 455 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RAMDIS1 | MXSE_COPY_0_COPY_0 | 2155873280 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9521 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9523 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9524 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9492 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9544 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP36_.EXP | 10214 | ? | 452 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP36_.EXP | EXP36_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP37_.EXP | 10215 | ? | 452 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP37_.EXP | EXP37_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RAMDIS1 | 9571 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RAMDIS1.SI | ram/RAMDIS1 | 0 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9521 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9523 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9524 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9492 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9544 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP36_.EXP | 10214 | ? | 452 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP36_.EXP | EXP36_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP37_.EXP | 10215 | ? | 452 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP37_.EXP | EXP37_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RAMDIS1.D1 | 9971 | ? | 452 | 4096 | ram/RAMDIS1 | NULL | NULL | ram/RAMDIS1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RAMDIS1.D2 | 9972 | ? | 452 | 4096 | ram/RAMDIS1 | NULL | NULL | ram/RAMDIS1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP36_.EXP +SPPTERM | 1 | IV_TRUE | EXP37_.EXP +SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 +SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 +SPPTERM | 3 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr +SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | ram/RAMDIS1.REG | ram/RAMDIS1 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RAMDIS1.D | 9970 | ? | 452 | 0 | ram/RAMDIS1 | NULL | NULL | ram/RAMDIS1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RAMDIS1.Q | 9973 | ? | 0 | 0 | ram/RAMDIS1 | NULL | NULL | ram/RAMDIS1.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/RAMReady | MXSE_COPY_0_COPY_0 | 2155873536 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9492 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9523 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9544 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9491 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB.EXP | 10187 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.EXP | TimeoutB | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP33_.EXP | 10195 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP33_.EXP | EXP33_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RAMReady | 9572 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RAMReady.SI | ram/RAMReady | 0 | 12 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9492 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9523 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9544 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9491 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB.EXP | 10187 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.EXP | TimeoutB | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP33_.EXP | 10195 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP33_.EXP | EXP33_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RAMReady.D1 | 9975 | ? | 453 | 4096 | ram/RAMReady | NULL | NULL | ram/RAMReady.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RAMReady.D2 | 9976 | ? | 453 | 4096 | ram/RAMReady | NULL | NULL | ram/RAMReady.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | TimeoutB.EXP +SPPTERM | 1 | IV_TRUE | EXP33_.EXP +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr +SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr +SPPTERM | 6 | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr +SPPTERM | 6 | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 + +SRFF_INSTANCE | ram/RAMReady.REG | ram/RAMReady | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RAMReady.D | 9974 | ? | 453 | 0 | ram/RAMReady | NULL | NULL | ram/RAMReady.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RAMReady.Q | 9977 | ? | 428 | 0 | ram/RAMReady | NULL | NULL | ram/RAMReady.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_1_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<1> | 9673 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_1_IBUF | 9573 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_2_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<2> | 9674 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_2_IBUF | 9574 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_3_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<3> | 9675 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_3_IBUF | 9575 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_4_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<4> | 9676 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_4_IBUF | 9576 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_5_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<5> | 9677 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_5_IBUF | 9577 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_6_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<6> | 9678 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_6_IBUF | 9578 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_7_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<7> | 9679 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_7_IBUF | 9579 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | OptxMapped | RA_0_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9474 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9520 | ? | 454 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_1_IBUF | 9573 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_0_OBUF | 9580 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | RA_0_OBUF.SI | RA_0_OBUF | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9474 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9520 | ? | 454 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_1_IBUF | 9573 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_0_OBUF.D1 | 9979 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_0_OBUF.D2 | 9980 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_1_IBUF + +SRFF_INSTANCE | RA_0_OBUF.REG | RA_0_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_0_OBUF.D | 9978 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_0_OBUF.Q | 9981 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_1_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 15 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9473 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9520 | ? | 454 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_2_IBUF | 9574 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9502 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimeoutBPre | 9503 | ? | 414 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.Q | cnt/TimeoutBPre | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9535 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9536 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9537 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9539 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9540 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9541 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9542 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9549 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_1_OBUF | 9581 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | RA_1_OBUF.EXP | 10188 | ? | 413 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.EXP | RA_1_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | RA_1_OBUF.SI | RA_1_OBUF | 0 | 15 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9473 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9520 | ? | 454 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_2_IBUF | 9574 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9502 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimeoutBPre | 9503 | ? | 414 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.Q | cnt/TimeoutBPre | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9535 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9536 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9537 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9539 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9540 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9541 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9542 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9549 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_1_OBUF.D1 | 9983 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_1_OBUF.D2 | 9984 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_11_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_2_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_1_OBUF.EXP | 10179 | ? | 413 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_TRUE | TimeoutB | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 11 | IV_FALSE | TimeoutB | IV_TRUE | cnt/TimeoutBPre | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_FALSE | cnt/RefCnt<7> +SPPTERM | 11 | IV_FALSE | TimeoutB | IV_TRUE | cnt/TimeoutBPre | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_FALSE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | RA_1_OBUF.REG | RA_1_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_1_OBUF.D | 9982 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_1_OBUF.Q | 9985 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_2_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 13 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9472 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9520 | ? | 454 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9480 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9528 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9606 | ? | 455 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9481 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9470 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9471 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_6_OBUF.EXP | 10170 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_2_OBUF | 9582 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | RA_2_OBUF.EXP | 10169 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | RA_2_OBUF.SI | RA_2_OBUF | 0 | 13 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9472 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9520 | ? | 454 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9480 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9528 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9606 | ? | 455 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9481 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9470 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9471 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_6_OBUF.EXP | 10170 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_2_OBUF.D1 | 9987 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_2_OBUF.D2 | 9988 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | RA_6_OBUF.EXP +SPPTERM | 2 | IV_TRUE | A_FSB_12_IBUF | IV_FALSE | ram/RASEL +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_2_OBUF.EXP | 10156 | ? | 448 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 + +SRFF_INSTANCE | RA_2_OBUF.REG | RA_2_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_2_OBUF.D | 9986 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_2_OBUF.Q | 9989 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_3_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 5 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9471 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9520 | ? | 454 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_4_IBUF | 9576 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9469 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_6_IBUF | 9578 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_3_OBUF | 9583 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.Q | RA_3_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | RA_3_OBUF.EXP | 10167 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.EXP | RA_3_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | RA_3_OBUF.SI | RA_3_OBUF | 0 | 5 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9471 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9520 | ? | 454 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_4_IBUF | 9576 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9469 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_6_IBUF | 9578 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_3_OBUF.D1 | 9991 | ? | 0 | 4096 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_3_OBUF.D2 | 9992 | ? | 0 | 4096 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_4_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_3_OBUF.EXP | 10154 | ? | 0 | 0 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_6_IBUF + +SRFF_INSTANCE | RA_3_OBUF.REG | RA_3_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_3_OBUF.D | 9990 | ? | 0 | 0 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_3_OBUF.Q | 9993 | ? | 0 | 0 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_4_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 9 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9479 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9493 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9480 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9481 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9482 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9496 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP29_.EXP | 10166 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP29_.EXP | EXP29_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_4_OBUF | 9584 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | RA_4_OBUF.EXP | 10165 | ? | 403 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | RA_4_OBUF.SI | RA_4_OBUF | 0 | 9 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9479 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9493 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9480 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9481 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9482 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9496 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP29_.EXP | 10166 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP29_.EXP | EXP29_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_4_OBUF.D1 | 9995 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_4_OBUF.D2 | 9996 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP29_.EXP +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_4_OBUF.EXP | 10152 | ? | 403 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/Once +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/Once +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/Once +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/Once +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_TRUE | nWE_FSB_IBUF + +SRFF_INSTANCE | RA_4_OBUF.REG | RA_4_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_4_OBUF.D | 9994 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_4_OBUF.Q | 9997 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_5_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9528 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9606 | ? | 455 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9479 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9482 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9496 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_3_OBUF.EXP | 10167 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.EXP | RA_3_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_5_OBUF | 9585 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | RA_5_OBUF.EXP | 10168 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.EXP | RA_5_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | RA_5_OBUF.SI | RA_5_OBUF | 0 | 10 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9528 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9606 | ? | 455 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9479 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9482 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9496 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_3_OBUF.EXP | 10167 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.EXP | RA_3_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_5_OBUF.D1 | 9999 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_5_OBUF.D2 | 10000 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | RA_3_OBUF.EXP +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_5_OBUF.EXP | 10155 | ? | 448 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 + +SRFF_INSTANCE | RA_5_OBUF.REG | RA_5_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_5_OBUF.D | 9998 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_5_OBUF.Q | 10001 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_6_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 4 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9482 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9520 | ? | 454 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_7_IBUF | 9579 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_3_IBUF | 9575 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_6_OBUF | 9586 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | RA_6_OBUF.EXP | 10170 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | RA_6_OBUF.SI | RA_6_OBUF | 0 | 4 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9482 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9520 | ? | 454 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_7_IBUF | 9579 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_3_IBUF | 9575 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_6_OBUF.D1 | 10003 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_6_OBUF.D2 | 10004 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_7_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_6_OBUF.EXP | 10157 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_3_IBUF + +SRFF_INSTANCE | RA_6_OBUF.REG | RA_6_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_6_OBUF.D | 10002 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_6_OBUF.Q | 10005 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_7_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9481 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9520 | ? | 454 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9468 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_7_OBUF | 9587 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | RA_7_OBUF.SI | RA_7_OBUF | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9481 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9520 | ? | 454 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9468 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_7_OBUF.D1 | 10007 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_7_OBUF.D2 | 10008 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | ram/RASEL +SPPTERM | 2 | IV_TRUE | A_FSB_17_IBUF | IV_FALSE | ram/RASEL + +SRFF_INSTANCE | RA_7_OBUF.REG | RA_7_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_7_OBUF.D | 10006 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_7_OBUF.Q | 10009 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_8_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9480 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9520 | ? | 454 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9467 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_8_OBUF | 9588 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | RA_8_OBUF.SI | RA_8_OBUF | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9480 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9520 | ? | 454 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9467 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_8_OBUF.D1 | 10011 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_8_OBUF.D2 | 10012 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | ram/RASEL +SPPTERM | 2 | IV_TRUE | A_FSB_18_IBUF | IV_FALSE | ram/RASEL + +SRFF_INSTANCE | RA_8_OBUF.REG | RA_8_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_8_OBUF.D | 10010 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_8_OBUF.Q | 10013 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_9_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 13 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9479 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9520 | ? | 454 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9478 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9501 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9535 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9536 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9537 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9539 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9540 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9541 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9542 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_9_OBUF | 9589 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | RA_9_OBUF.EXP | 10189 | ? | 412 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.EXP | RA_9_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | RA_9_OBUF.SI | RA_9_OBUF | 0 | 13 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9479 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9520 | ? | 454 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9478 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9501 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9535 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9536 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9537 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9539 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9540 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9541 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9542 | ? | 445 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_9_OBUF.D1 | 10015 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_9_OBUF.D2 | 10016 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | ram/RASEL +SPPTERM | 2 | IV_TRUE | A_FSB_19_IBUF | IV_FALSE | ram/RASEL +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_9_OBUF.EXP | 10180 | ? | 412 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_TRUE | TimeoutA | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 9 | IV_FALSE | TimeoutA | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> +SPPTERM | 9 | IV_FALSE | TimeoutA | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | RA_9_OBUF.REG | RA_9_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_9_OBUF.D | 10014 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_9_OBUF.Q | 10017 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | nBERR_FSB_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 16 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9491 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9492 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9516 | ? | 427 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9521 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9523 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9524 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9536 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9537 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9549 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP35_.EXP | 10211 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP35_.EXP | EXP35_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nBERR_FSB_OBUF | 9590 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nBERR_FSB_OBUF.EXP | 10212 | ? | 427 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nBERR_FSB_OBUF.SI | nBERR_FSB_OBUF | 0 | 16 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9491 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9492 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9516 | ? | 427 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9521 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9523 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9524 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9536 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9537 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9549 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP35_.EXP | 10211 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP35_.EXP | EXP35_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nBERR_FSB_OBUF.D1 | 10019 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nBERR_FSB_OBUF.D2 | 10020 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP35_.EXP +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nBERR_FSB_OBUF.EXP | 10201 | ? | 427 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 10 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf +SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf +SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | nBERR_FSB_OBUF.REG | nBERR_FSB_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nBERR_FSB_OBUF.D | 10018 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nBERR_FSB_OBUF.Q | 10021 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nOE_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 9 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9496 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9470 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9471 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9493 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nOE_OBUF | 9591 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nOE_OBUF.EXP | 10163 | ? | 403 | 0 | MXSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nOE_OBUF.SI | nOE_OBUF | 0 | 9 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9496 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9470 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9471 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9493 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nOE_OBUF.D1 | 10023 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nOE_OBUF.D2 | 10024 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nOE_OBUF.EXP | 10150 | ? | 403 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Once +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once + +SRFF_INSTANCE | nOE_OBUF.REG | nOE_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nOE_OBUF.D | 10022 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nOE_OBUF.Q | 10025 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nROMWE_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9496 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nROMWE_OBUF | 9592 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nROMWE_OBUF.SI | nROMWE_OBUF | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9496 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nROMWE_OBUF.D1 | 10027 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nROMWE_OBUF.D2 | 10028 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF + +SRFF_INSTANCE | nROMWE_OBUF.REG | nROMWE_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nROMWE_OBUF.D | 10026 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nROMWE_OBUF.Q | 10029 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nVPA_FSB_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9509 | ? | 420 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nVPA_FSB_OBUF | 9593 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nVPA_FSB_OBUF.SI | nVPA_FSB_OBUF | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9509 | ? | 420 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nVPA_FSB_OBUF.D1 | 10031 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nVPA_FSB_OBUF.D2 | 10032 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF + +SRFF_INSTANCE | nVPA_FSB_OBUF.REG | nVPA_FSB_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nVPA_FSB_OBUF.D | 10030 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nVPA_FSB_OBUF.Q | 10033 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | nADoutLE0_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 8 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE0M | 9562 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE0S | 9563 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9522 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9526 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9527 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9545 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9568 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9569 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nADoutLE0_OBUF | 9594 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nADoutLE0_OBUF.EXP | 10176 | ? | 467 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.EXP | nADoutLE0_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nADoutLE0_OBUF.SI | nADoutLE0_OBUF | 0 | 8 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE0M | 9562 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE0S | 9563 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9522 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9526 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9527 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9545 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9568 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9569 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nADoutLE0_OBUF.D1 | 10035 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nADoutLE0_OBUF.D2 | 10036 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | ALE0M | IV_FALSE | ALE0S +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nADoutLE0_OBUF.EXP | 10173 | ? | 467 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr + +SRFF_INSTANCE | nADoutLE0_OBUF.REG | nADoutLE0_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nADoutLE0_OBUF.D | 10034 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nADoutLE0_OBUF.Q | 10037 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nAS_IOB_OBUF | MXSE_COPY_0_COPY_0 | 2424308736 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9522 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9525 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9527 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9526 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK2X_IOB_IBUF/FCLK- | 9485 | ? | 477 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nAS_IOB_OBUF | 9595 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAS_IOB_OBUF.Q | nAS_IOB_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nAS_IOB_OBUF.SI | nAS_IOB_OBUF | 0 | 4 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9522 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9525 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9527 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9526 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nAS_IOB_OBUF.D1 | 10039 | ? | 482 | 4096 | nAS_IOB_OBUF | NULL | NULL | nAS_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nAS_IOB_OBUF.D2 | 10040 | ? | 482 | 4096 | nAS_IOB_OBUF | NULL | NULL | nAS_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 +SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 + +SRFF_INSTANCE | nAS_IOB_OBUF.REG | nAS_IOB_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nAS_IOB_OBUF.D | 10038 | ? | 482 | 0 | nAS_IOB_OBUF | NULL | NULL | nAS_IOB_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK2X_IOB_IBUF/FCLK- | 9485 | ? | 477 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nAS_IOB_OBUF.Q | 10041 | ? | 0 | 0 | nAS_IOB_OBUF | NULL | NULL | nAS_IOB_OBUF.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nCAS_OBUF | MXSE_COPY_0_COPY_0 | 2424308736 | 13 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9520 | ? | 454 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK- | 9489 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9480 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9528 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9532 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9606 | ? | 455 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9481 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9470 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9471 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nCAS_OBUF | 9596 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nCAS_OBUF.EXP | 10162 | ? | 433 | 0 | MXSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.EXP | nCAS_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nCAS_OBUF.SI | nCAS_OBUF | 0 | 12 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9520 | ? | 454 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9480 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9528 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9532 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9606 | ? | 455 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9481 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9470 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9471 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nCAS_OBUF.D1 | 10043 | ? | 454 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nCAS_OBUF.D2 | 10044 | ? | 454 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | ram/RASEL +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nCAS_OBUF.EXP | 10149 | ? | 433 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 7 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 + +SRFF_INSTANCE | nCAS_OBUF.REG | nCAS_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nCAS_OBUF.D | 10042 | ? | 454 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK- | 9489 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nCAS_OBUF.Q | 10045 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nDinLE_OBUF | MXSE_COPY_0_COPY_0 | 2424308736 | 13 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9522 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9525 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9527 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK2X_IOB_IBUF/FCLK- | 9485 | ? | 477 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 9483 | ? | 479 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9526 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9545 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 9548 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ETACK | 9557 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9555 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9556 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9566 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9567 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nDinLE_OBUF | 9597 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nDinLE_OBUF.EXP | 10177 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.EXP | nDinLE_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nDinLE_OBUF.SI | nDinLE_OBUF | 0 | 12 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9522 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9525 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9527 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 9483 | ? | 479 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9526 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9545 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 9548 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ETACK | 9557 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9555 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9556 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9566 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9567 | ? | 468 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDinLE_OBUF.D1 | 10047 | ? | 483 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nDinLE_OBUF.D2 | 10048 | ? | 483 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd4 | IV_TRUE | iobm/IOS_FSM_FFd1 +SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | iobm/IOS_FSM_FFd3 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nDinLE_OBUF.EXP | 10174 | ? | 468 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 8 | IV_TRUE | nBERR_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | IOBERR | IV_TRUE | iobm/ETACK +SPPTERM | 9 | IV_TRUE | nBERR_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | IOBERR | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr +SPPTERM | 9 | IV_TRUE | nBERR_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | IOBERR | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr + +SRFF_INSTANCE | nDinLE_OBUF.REG | nDinLE_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nDinLE_OBUF.D | 10046 | ? | 483 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK2X_IOB_IBUF/FCLK- | 9485 | ? | 477 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nDinLE_OBUF.Q | 10049 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | OptxMapped | nDinOE_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 6 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9496 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9478 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nDinOE_OBUF | 9598 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nDinOE_OBUF.SI | nDinOE_OBUF | 0 | 6 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9496 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9478 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDinOE_OBUF.D1 | 10051 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nDinOE_OBUF.D2 | 10052 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF +SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF + +SRFF_INSTANCE | nDinOE_OBUF.REG | nDinOE_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nDinOE_OBUF.D | 10050 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nDinOE_OBUF.Q | 10053 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | nDoutOE_OBUF | MXSE_COPY_0_COPY_0 | 2155873280 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9495 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9522 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9525 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9526 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK2X_IOB_IBUF/FCLK | 9484 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nDoutOE_OBUF | 9599 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nDoutOE_OBUF.SI | nDoutOE_OBUF | 0 | 4 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9495 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9522 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9525 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9526 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDoutOE_OBUF.D1 | 10055 | ? | 484 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nDoutOE_OBUF.D2 | 10056 | ? | 484 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | IORW0 +SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 + +SRFF_INSTANCE | nDoutOE_OBUF.REG | nDoutOE_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nDoutOE_OBUF.D | 10054 | ? | 484 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK2X_IOB_IBUF/FCLK | 9484 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nDoutOE_OBUF.Q | 10057 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nLDS_IOB_OBUF | MXSE_COPY_0_COPY_0 | 2424308736 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOL0 | 9499 | ? | 485 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9522 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9525 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9527 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9526 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9495 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK2X_IOB_IBUF/FCLK- | 9485 | ? | 477 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nLDS_IOB_OBUF | 9600 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nLDS_IOB_OBUF.Q | nLDS_IOB_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nLDS_IOB_OBUF.SI | nLDS_IOB_OBUF | 0 | 6 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOL0 | 9499 | ? | 485 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9522 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9525 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9527 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9526 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9495 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nLDS_IOB_OBUF.D1 | 10059 | ? | 485 | 4096 | nLDS_IOB_OBUF | NULL | NULL | nLDS_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nLDS_IOB_OBUF.D2 | 10060 | ? | 485 | 4096 | nLDS_IOB_OBUF | NULL | NULL | nLDS_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | IOL0 +SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 +SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 +SPPTERM | 4 | IV_TRUE | IORW0 | IV_TRUE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOS_FSM_FFd1 + +SRFF_INSTANCE | nLDS_IOB_OBUF.REG | nLDS_IOB_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nLDS_IOB_OBUF.D | 10058 | ? | 485 | 0 | nLDS_IOB_OBUF | NULL | NULL | nLDS_IOB_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK2X_IOB_IBUF/FCLK- | 9485 | ? | 477 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nLDS_IOB_OBUF.Q | 10061 | ? | 0 | 0 | nLDS_IOB_OBUF | NULL | NULL | nLDS_IOB_OBUF.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | Inv+OptxMapped | nRAS_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefAck | 9553 | ? | 402 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9516 | ? | 427 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS1 | 9571 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nRAS_OBUF | 9601 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nRAS_OBUF.SI | nRAS_OBUF | 0 | 8 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefAck | 9553 | ? | 402 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9516 | ? | 427 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS1 | 9571 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRAS_OBUF.D1 | 10063 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nRAS_OBUF.D2 | 10064 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | RefAck +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMDIS1 +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMDIS1 + +SRFF_INSTANCE | nRAS_OBUF.REG | nRAS_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nRAS_OBUF.D | 10062 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nRAS_OBUF.Q | 10065 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nUDS_IOB_OBUF | MXSE_COPY_0_COPY_0 | 2424308736 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOU0 | 9500 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9522 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9525 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9527 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9526 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9495 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK2X_IOB_IBUF/FCLK- | 9485 | ? | 477 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nUDS_IOB_OBUF | 9602 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nUDS_IOB_OBUF.Q | nUDS_IOB_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nUDS_IOB_OBUF.SI | nUDS_IOB_OBUF | 0 | 6 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOU0 | 9500 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9522 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9525 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9527 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9526 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9495 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nUDS_IOB_OBUF.D1 | 10067 | ? | 486 | 4096 | nUDS_IOB_OBUF | NULL | NULL | nUDS_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nUDS_IOB_OBUF.D2 | 10068 | ? | 486 | 4096 | nUDS_IOB_OBUF | NULL | NULL | nUDS_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | IOU0 +SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 +SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 +SPPTERM | 4 | IV_TRUE | IORW0 | IV_TRUE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOS_FSM_FFd1 + +SRFF_INSTANCE | nUDS_IOB_OBUF.REG | nUDS_IOB_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nUDS_IOB_OBUF.D | 10066 | ? | 486 | 0 | nUDS_IOB_OBUF | NULL | NULL | nUDS_IOB_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK2X_IOB_IBUF/FCLK- | 9485 | ? | 477 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nUDS_IOB_OBUF.Q | 10069 | ? | 0 | 0 | nUDS_IOB_OBUF | NULL | NULL | nUDS_IOB_OBUF.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +MACROCELL_INSTANCE | OptxMapped | A_FSB_19_IBUF$BUF0 | MXSE_COPY_0_COPY_0 | 2155872256 | 13 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9492 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9521 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9523 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9544 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9536 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9537 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9549 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9524 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r.EXP | 10208 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.EXP | fsb/BERR0r | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | A_FSB_19_IBUF$BUF0 | 9603 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.Q | A_FSB_19_IBUF$BUF0 | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | A_FSB_19_IBUF$BUF0.EXP | 10207 | ? | 429 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.EXP | A_FSB_19_IBUF$BUF0 | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | A_FSB_19_IBUF$BUF0.SI | A_FSB_19_IBUF$BUF0 | 0 | 13 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9492 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9521 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9523 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9544 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9536 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9537 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9549 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9524 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r.EXP | 10208 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.EXP | fsb/BERR0r | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | A_FSB_19_IBUF$BUF0.D1 | 10071 | ? | 0 | 4096 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | A_FSB_19_IBUF$BUF0.D2 | 10072 | ? | 0 | 4096 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | fsb/BERR0r.EXP +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | A_FSB_19_IBUF$BUF0.EXP | 10196 | ? | 429 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr +SPPTERM | 7 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf +SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf + +SRFF_INSTANCE | A_FSB_19_IBUF$BUF0.REG | A_FSB_19_IBUF$BUF0 | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | A_FSB_19_IBUF$BUF0.D | 10070 | ? | 0 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | A_FSB_19_IBUF$BUF0.Q | 10073 | ? | 0 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | A_FSB_21_IBUF$BUF0 | MXSE_COPY_0_COPY_0 | 2155872256 | 1 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | A_FSB_21_IBUF$BUF0 | 9604 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.Q | A_FSB_21_IBUF$BUF0 | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | A_FSB_21_IBUF$BUF0.SI | A_FSB_21_IBUF$BUF0 | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | A_FSB_21_IBUF$BUF0.D1 | 10075 | ? | 0 | 4096 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | A_FSB_21_IBUF$BUF0.D2 | 10076 | ? | 0 | 4096 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | A_FSB_21_IBUF + +SRFF_INSTANCE | A_FSB_21_IBUF$BUF0.REG | A_FSB_21_IBUF$BUF0 | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | A_FSB_21_IBUF$BUF0.D | 10074 | ? | 0 | 0 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | A_FSB_21_IBUF$BUF0.Q | 10077 | ? | 0 | 0 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | nADoutLE1_OBUF | MXSE_COPY_0_COPY_0 | 2155873024 | 4 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Clear1 | 9570 | ? | 455 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9606 | ? | 455 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Load1 | 9543 | ? | 455 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nADoutLE1_OBUF | 9605 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ALE1 | 9606 | ? | 455 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | nADoutLE1_OBUF.SI | nADoutLE1_OBUF | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Clear1 | 9570 | ? | 455 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9606 | ? | 455 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Load1 | 9543 | ? | 455 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nADoutLE1_OBUF.D1 | 10079 | ? | 455 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nADoutLE1_OBUF.D2 | 10080 | ? | 455 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/Load1 +SPPTERM | 2 | IV_FALSE | iobs/Clear1 | IV_FALSE | ALE1 + +SRFF_INSTANCE | nADoutLE1_OBUF.REG | nADoutLE1_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nADoutLE1_OBUF.D | 10078 | ? | 455 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | CLK_FSB_IBUF/FCLK | 9488 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nADoutLE1_OBUF.Q | 10081 | ? | 455 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + +MACROCELL_INSTANCE | Inv+OptxMapped | nRAMLWE_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9496 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nLDS_FSB_IBUF | 9510 | ? | 421 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9516 | ? | 427 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS1 | 9571 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nRAMLWE_OBUF | 9607 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nRAMLWE_OBUF.SI | nRAMLWE_OBUF | 0 | 5 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9496 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nLDS_FSB_IBUF | 9510 | ? | 421 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9516 | ? | 427 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS1 | 9571 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRAMLWE_OBUF.D1 | 10083 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nRAMLWE_OBUF.D2 | 10084 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 5 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nLDS_FSB_IBUF | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMDIS1 + +SRFF_INSTANCE | nRAMLWE_OBUF.REG | nRAMLWE_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nRAMLWE_OBUF.D | 10082 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nRAMLWE_OBUF.Q | 10085 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nRAMUWE_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9496 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nUDS_FSB_IBUF | 9514 | ? | 425 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9516 | ? | 427 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS1 | 9571 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9479 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nRAMUWE_OBUF | 9608 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nRAMUWE_OBUF.EXP | 10209 | ? | 443 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nRAMUWE_OBUF.SI | nRAMUWE_OBUF | 0 | 10 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9496 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nUDS_FSB_IBUF | 9514 | ? | 425 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9516 | ? | 427 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS1 | 9571 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9479 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRAMUWE_OBUF.D1 | 10087 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nRAMUWE_OBUF.D2 | 10088 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 5 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nUDS_FSB_IBUF | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMDIS1 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nRAMUWE_OBUF.EXP | 10198 | ? | 443 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF + +SRFF_INSTANCE | nRAMUWE_OBUF.REG | nRAMUWE_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nRAMUWE_OBUF.D | 10086 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nRAMUWE_OBUF.Q | 10089 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nROMCS_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 19 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9478 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9470 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9479 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9480 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9481 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9482 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9493 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9495 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9496 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9528 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9532 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9606 | ? | 455 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9471 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nROMCS_OBUF | 9609 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nROMCS_OBUF.EXP | 10160 | ? | 405 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nROMCS_OBUF.SI | nROMCS_OBUF | 0 | 19 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9478 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9470 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9479 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9480 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9481 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9482 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9493 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9495 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9496 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9528 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9532 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9606 | ? | 455 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9471 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nROMCS_OBUF.D1 | 10091 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nROMCS_OBUF.D2 | 10092 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay1 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nROMCS_OBUF.EXP | 10147 | ? | 405 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 16 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 16 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 16 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 + +SRFF_INSTANCE | nROMCS_OBUF.REG | nROMCS_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nROMCS_OBUF.D | 10090 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nROMCS_OBUF.Q | 10093 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | FbkInv+Merge+OptxMapped | nAoutOE_OBUF | MXSE_COPY_0_COPY_0 | 2155907072 | 0 | 1 +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nAoutOE_OBUF$Q | 9610 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nAoutOE_OBUF.SI | nAoutOE_OBUF | 0 | 0 | 2 +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nAoutOE_OBUF.D1 | 10095 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nAoutOE_OBUF.D2 | 10096 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 0 | IV_ZERO + +SRFF_INSTANCE | nAoutOE_OBUF.REG | nAoutOE_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nAoutOE_OBUF.D | 10094 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nAoutOE_OBUF.Q | 10097 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.REG | 0 | 8 | SRFF_Q + +OUTPUT_INSTANCE | 0 | nVMA_IOB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nVMA_IOB_OBUF$Q | 9486 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nVMA_IOB | 9611 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVMA_IOB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nDTACK_FSB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nDTACK_FSB_OBUF | 9518 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nDTACK_FSB | 9612 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_FSB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<0> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_0_OBUF | 9580 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<0> | 9613 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<0> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<1> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_1_OBUF | 9581 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<1> | 9614 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<1> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<2> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_2_OBUF | 9582 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<2> | 9615 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<2> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<3> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_3_OBUF | 9583 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.Q | RA_3_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<3> | 9616 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<3> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<4> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_4_OBUF | 9584 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<4> | 9617 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<4> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<5> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_5_OBUF | 9585 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<5> | 9618 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<5> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<6> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_6_OBUF | 9586 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<6> | 9619 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<6> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<7> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_7_OBUF | 9587 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<7> | 9620 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<7> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<8> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_8_OBUF | 9588 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<8> | 9621 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<8> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<9> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_9_OBUF | 9589 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<9> | 9622 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<9> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nBERR_FSB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nBERR_FSB_OBUF | 9590 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nBERR_FSB | 9623 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_FSB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nOE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nOE_OBUF | 9591 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nOE | 9624 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nOE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nROMWE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nROMWE_OBUF | 9592 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nROMWE | 9625 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nROMWE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nVPA_FSB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nVPA_FSB_OBUF | 9593 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nVPA_FSB | 9626 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_FSB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nADoutLE0 | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nADoutLE0_OBUF | 9594 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nADoutLE0 | 9627 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE0 | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nAS_IOB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nAS_IOB_OBUF | 9595 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAS_IOB_OBUF.Q | nAS_IOB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nAS_IOB | 9628 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_IOB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nCAS | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nCAS_OBUF | 9596 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nCAS | 9629 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nCAS | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nDinLE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nDinLE_OBUF | 9597 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nDinLE | 9630 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDinLE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nDinOE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nDinOE_OBUF | 9598 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nDinOE | 9631 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDinOE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nDoutOE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nDoutOE_OBUF | 9599 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nDoutOE | 9632 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDoutOE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nLDS_IOB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nLDS_IOB_OBUF | 9600 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nLDS_IOB_OBUF.Q | nLDS_IOB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nLDS_IOB | 9633 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_IOB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nRAS | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nRAS_OBUF | 9601 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nRAS | 9634 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRAS | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nUDS_IOB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nUDS_IOB_OBUF | 9602 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nUDS_IOB_OBUF.Q | nUDS_IOB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nUDS_IOB | 9635 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_IOB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<11> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | A_FSB_19_IBUF$BUF0 | 9603 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.Q | A_FSB_19_IBUF$BUF0 | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<11> | 9636 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<11> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<10> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | A_FSB_21_IBUF$BUF0 | 9604 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.Q | A_FSB_21_IBUF$BUF0 | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<10> | 9637 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<10> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nADoutLE1 | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nADoutLE1_OBUF | 9605 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nADoutLE1 | 9638 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE1 | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nRAMLWE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nRAMLWE_OBUF | 9607 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nRAMLWE | 9639 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRAMLWE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nRAMUWE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nRAMUWE_OBUF | 9608 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nRAMUWE | 9640 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRAMUWE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nROMCS | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nROMCS_OBUF | 9609 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nROMCS | 9641 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nROMCS | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nAoutOE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nAoutOE_OBUF$Q | 9610 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nAoutOE | 9642 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAoutOE | 0 | 6 | OI_OUT + +MACROCELL_INSTANCE | SoftPfbk | $OpTx$FX_DC$607 | MXSE_COPY_0_COPY_0 | 2181038080 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | $OpTx$FX_DC$607.UIM | 9643 | ? | 420 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$607.Q | $OpTx$FX_DC$607 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | $OpTx$FX_DC$607.SI | $OpTx$FX_DC$607 | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | $OpTx$FX_DC$607.D1 | 10099 | ? | 420 | 4096 | $OpTx$FX_DC$607 | NULL | NULL | $OpTx$FX_DC$607.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | $OpTx$FX_DC$607.D2 | 10100 | ? | 420 | 4096 | $OpTx$FX_DC$607 | NULL | NULL | $OpTx$FX_DC$607.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf + +SRFF_INSTANCE | $OpTx$FX_DC$607.REG | $OpTx$FX_DC$607 | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | $OpTx$FX_DC$607.D | 10098 | ? | 420 | 0 | $OpTx$FX_DC$607 | NULL | NULL | $OpTx$FX_DC$607.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | $OpTx$FX_DC$607.Q | 10101 | ? | 420 | 0 | $OpTx$FX_DC$607 | NULL | NULL | $OpTx$FX_DC$607.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | SoftPfbk | $OpTx$FX_DC$608 | MXSE_COPY_0_COPY_0 | 2181038080 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9502 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9478 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | $OpTx$FX_DC$608.UIM | 9644 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$608.Q | $OpTx$FX_DC$608 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | $OpTx$FX_DC$608.SI | $OpTx$FX_DC$608 | 0 | 5 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9502 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9478 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | $OpTx$FX_DC$608.D1 | 10103 | ? | 428 | 4096 | $OpTx$FX_DC$608 | NULL | NULL | $OpTx$FX_DC$608.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | $OpTx$FX_DC$608.D2 | 10104 | ? | 428 | 4096 | $OpTx$FX_DC$608 | NULL | NULL | $OpTx$FX_DC$608.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | TimeoutB +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF + +SRFF_INSTANCE | $OpTx$FX_DC$608.REG | $OpTx$FX_DC$608 | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | $OpTx$FX_DC$608.D | 10102 | ? | 428 | 0 | $OpTx$FX_DC$608 | NULL | NULL | $OpTx$FX_DC$608.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | $OpTx$FX_DC$608.Q | 10105 | ? | 428 | 0 | $OpTx$FX_DC$608 | NULL | NULL | $OpTx$FX_DC$608.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | NULL | EXP14_ | MXSE_COPY_0_COPY_0 | 2147483648 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9502 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9509 | ? | 420 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$607.UIM | 9643 | ? | 420 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$607.Q | $OpTx$FX_DC$607 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9507 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9513 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9506 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9572 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9478 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP25_.EXP | 10145 | ? | 420 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP14_.EXP | 10133 | ? | 420 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP14_.SI | EXP14_ | 0 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9502 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9509 | ? | 420 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$607.UIM | 9643 | ? | 420 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$607.Q | $OpTx$FX_DC$607 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9507 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9513 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9506 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9572 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9478 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP25_.EXP | 10145 | ? | 420 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP14_.EXP | 10120 | ? | 420 | 0 | EXP14_ | NULL | NULL | EXP14_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | EXP25_.EXP +SPPTERM | 4 | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | TimeoutB | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$FX_DC$607.UIM +SPPTERM | 4 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | TimeoutB | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$FX_DC$607.UIM +SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | $OpTx$FX_DC$607.UIM +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | fsb/VPA | IV_FALSE | ram/RAMReady | IV_FALSE | $OpTx$FX_DC$607.UIM +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | $OpTx$FX_DC$607.UIM + +MACROCELL_INSTANCE | NULL | EXP15_ | MXSE_COPY_0_COPY_0 | 2147483648 | 20 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9506 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9509 | ? | 420 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9572 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$607.UIM | 9643 | ? | 420 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$607.Q | $OpTx$FX_DC$607 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9470 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9478 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9479 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9480 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9481 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9482 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9496 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9507 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9513 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9471 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9606 | ? | 455 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP16_.EXP | 10135 | ? | 420 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP15_.EXP | 10134 | ? | 420 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP15_.SI | EXP15_ | 0 | 20 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9506 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9509 | ? | 420 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9572 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$607.UIM | 9643 | ? | 420 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$607.Q | $OpTx$FX_DC$607 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9470 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9478 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9479 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9480 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9481 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9482 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9496 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9507 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9513 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9471 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9606 | ? | 455 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP16_.EXP | 10135 | ? | 420 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP15_.EXP | 10121 | ? | 420 | 0 | EXP15_ | NULL | NULL | EXP15_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | EXP16_.EXP +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | fsb/VPA | IV_FALSE | ram/RAMReady | IV_FALSE | $OpTx$FX_DC$607.UIM +SPPTERM | 13 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | $OpTx$FX_DC$607.UIM +SPPTERM | 13 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | $OpTx$FX_DC$607.UIM +SPPTERM | 15 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | ALE1 | IV_FALSE | $OpTx$FX_DC$607.UIM +SPPTERM | 15 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | ALE1 | IV_FALSE | $OpTx$FX_DC$607.UIM + +MACROCELL_INSTANCE | NULL | EXP16_ | MXSE_COPY_0_COPY_0 | 2147483648 | 28 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9467 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9469 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9470 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9471 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9472 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9473 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9474 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9478 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9479 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9480 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9481 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9482 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9496 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9501 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9508 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9509 | ? | 420 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$607.UIM | 9643 | ? | 420 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$607.Q | $OpTx$FX_DC$607 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9468 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9494 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9502 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9504 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9505 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9507 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9513 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP16_.EXP | 10135 | ? | 420 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP16_.SI | EXP16_ | 0 | 28 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9467 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9469 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9470 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9471 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9472 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9473 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9474 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9478 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9479 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9480 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9481 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9482 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9496 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9501 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9508 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9509 | ? | 420 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$607.UIM | 9643 | ? | 420 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$607.Q | $OpTx$FX_DC$607 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9468 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9494 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9502 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9504 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9505 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9507 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9513 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP16_.EXP | 10122 | ? | 420 | 0 | EXP16_ | NULL | NULL | EXP16_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 21 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$FX_DC$607.UIM +SPPTERM | 21 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$FX_DC$607.UIM +SPPTERM | 21 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$FX_DC$607.UIM +SPPTERM | 22 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | TimeoutB | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_TRUE | fsb/Ready1r | IV_FALSE | $OpTx$FX_DC$607.UIM +SPPTERM | 22 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | TimeoutB | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_TRUE | iobs/IOReady | IV_FALSE | $OpTx$FX_DC$607.UIM + +MACROCELL_INSTANCE | NULL | EXP17_ | MXSE_COPY_0_COPY_0 | 2147483648 | 14 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9470 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9478 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9479 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9480 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9481 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9482 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9496 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9507 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9513 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9606 | ? | 455 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9471 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP17_.EXP | 10136 | ? | 418 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP17_.SI | EXP17_ | 0 | 14 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9470 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9478 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9479 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9480 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9481 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9482 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9496 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9507 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9513 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9606 | ? | 455 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9471 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP17_.EXP | 10123 | ? | 418 | 0 | EXP17_ | NULL | NULL | EXP17_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 13 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_FALSE | ALE1 +SPPTERM | 13 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_FALSE | ALE1 + +MACROCELL_INSTANCE | NULL | EXP18_ | MXSE_COPY_0_COPY_0 | 2147483648 | 21 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9467 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9469 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9470 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9471 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9472 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9473 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9474 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9478 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9479 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9480 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9481 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9482 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9496 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9501 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9508 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9519 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9468 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP18_.EXP | 10137 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP18_.SI | EXP18_ | 0 | 21 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9467 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9469 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9470 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9471 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9472 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9473 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9474 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9478 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9479 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9480 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9481 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9482 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9496 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9501 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9508 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9519 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9468 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP18_.EXP | 10124 | ? | 428 | 0 | EXP18_ | NULL | NULL | EXP18_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 20 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 20 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 20 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM + +MACROCELL_INSTANCE | NULL | EXP19_ | MXSE_COPY_0_COPY_0 | 2147483648 | 17 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9507 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9513 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9519 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9506 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9572 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9478 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9470 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9479 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9480 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9481 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9482 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9496 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP18_.EXP | 10137 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP19_.EXP | 10138 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP19_.SI | EXP19_ | 0 | 17 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9507 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9513 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9519 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9506 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9572 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9478 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9470 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9479 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9480 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9481 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9482 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9496 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP18_.EXP | 10137 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP19_.EXP | 10125 | ? | 428 | 0 | EXP19_ | NULL | NULL | EXP19_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | EXP18_.EXP +SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | ram/RAMReady +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | ram/RAMReady +SPPTERM | 12 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM + +MACROCELL_INSTANCE | NULL | EXP20_ | MXSE_COPY_0_COPY_0 | 2147483648 | 25 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9471 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9478 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9479 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9480 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9481 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9482 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9496 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9507 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9513 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9519 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9470 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9606 | ? | 455 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9467 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9469 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9472 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9473 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9474 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9501 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9508 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9468 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP21_.EXP | 10140 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP20_.EXP | 10139 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP20_.SI | EXP20_ | 0 | 25 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9471 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9478 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9479 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9480 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9481 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9482 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9496 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9507 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9513 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9519 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9470 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9606 | ? | 455 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9467 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9469 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9472 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9473 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9474 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9501 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9508 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9468 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP21_.EXP | 10140 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP20_.EXP | 10126 | ? | 428 | 0 | EXP20_ | NULL | NULL | EXP20_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | EXP21_.EXP +SPPTERM | 12 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 14 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | ALE1 +SPPTERM | 14 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | ALE1 +SPPTERM | 20 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 20 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM + +MACROCELL_INSTANCE | NULL | EXP21_ | MXSE_COPY_0_COPY_0 | 2147483648 | 27 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9467 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9469 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9470 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9471 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9472 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9473 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9474 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9478 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9479 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9480 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9481 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9482 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9496 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9501 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9508 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9519 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9468 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9494 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9504 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9505 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9507 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$608.UIM | 9644 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$608.Q | $OpTx$FX_DC$608 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9513 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP21_.EXP | 10140 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP21_.SI | EXP21_ | 0 | 27 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9467 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9469 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9470 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9471 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9472 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9473 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9474 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9478 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9479 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9480 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9481 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9482 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9496 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9501 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9508 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9519 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9468 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9494 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9504 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9505 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9507 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$608.UIM | 9644 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$608.Q | $OpTx$FX_DC$608 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9513 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP21_.EXP | 10127 | ? | 428 | 0 | EXP21_ | NULL | NULL | EXP21_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 20 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 20 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 20 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 21 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_TRUE | fsb/Ready1r | IV_TRUE | $OpTx$FX_DC$608.UIM +SPPTERM | 21 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_TRUE | iobs/IOReady | IV_TRUE | $OpTx$FX_DC$608.UIM + +MACROCELL_INSTANCE | NULL | EXP22_ | MXSE_COPY_0_COPY_0 | 2147483648 | 10 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9496 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 9512 | ? | 423 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9528 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9532 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP22_.EXP | 10141 | ? | 423 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP22_.SI | EXP22_ | 0 | 10 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9496 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 9512 | ? | 423 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9528 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9532 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP22_.EXP | 10128 | ? | 423 | 0 | EXP22_ | NULL | NULL | EXP22_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/IORW1 +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 2 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 + +MACROCELL_INSTANCE | NULL | EXP23_ | MXSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9479 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9480 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9481 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9482 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r.EXP | 10143 | ? | 423 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.EXP | fsb/Ready2r | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP23_.EXP | 10142 | ? | 423 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP23_.SI | EXP23_ | 0 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9479 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9480 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9481 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9482 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r.EXP | 10143 | ? | 423 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.EXP | fsb/Ready2r | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP23_.EXP | 10129 | ? | 423 | 0 | EXP23_ | NULL | NULL | EXP23_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | fsb/Ready2r.EXP +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 + +MACROCELL_INSTANCE | NULL | EXP24_ | MXSE_COPY_0_COPY_0 | 2147483648 | 21 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9468 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9469 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9470 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9471 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9472 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9473 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9474 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9478 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9479 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9480 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9481 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9482 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9496 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9501 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9508 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP24_.EXP | 10144 | ? | 419 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP24_.SI | EXP24_ | 0 | 21 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9468 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9469 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9470 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9471 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9472 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9473 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9474 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9478 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9479 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9480 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9481 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9482 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9496 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9501 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9508 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP24_.EXP | 10131 | ? | 419 | 0 | EXP24_ | NULL | NULL | EXP24_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r + +MACROCELL_INSTANCE | NULL | EXP25_ | MXSE_COPY_0_COPY_0 | 2147483648 | 22 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9467 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9469 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9470 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9471 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9472 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9473 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9474 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9478 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9479 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9480 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9481 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9482 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9496 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9501 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9508 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9509 | ? | 420 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$607.UIM | 9643 | ? | 420 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$607.Q | $OpTx$FX_DC$607 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9468 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP25_.EXP | 10145 | ? | 420 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP25_.SI | EXP25_ | 0 | 22 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9467 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9469 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9470 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9471 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9472 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9473 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9474 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9478 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9479 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9480 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9481 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9482 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9496 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9501 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9508 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9509 | ? | 420 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$607.UIM | 9643 | ? | 420 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$607.Q | $OpTx$FX_DC$607 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9468 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP25_.EXP | 10132 | ? | 420 | 0 | EXP25_ | NULL | NULL | EXP25_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 21 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$FX_DC$607.UIM +SPPTERM | 21 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$FX_DC$607.UIM +SPPTERM | 21 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$FX_DC$607.UIM +SPPTERM | 21 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$FX_DC$607.UIM +SPPTERM | 21 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$FX_DC$607.UIM + +MACROCELL_INSTANCE | NULL | EXP26_ | MXSE_COPY_0_COPY_0 | 2147483648 | 19 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9470 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9478 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9479 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9480 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9481 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9482 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9493 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9495 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9496 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9528 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9532 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9606 | ? | 455 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9471 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nROMCS_OBUF.EXP | 10160 | ? | 405 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP26_.EXP | 10159 | ? | 405 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP26_.SI | EXP26_ | 0 | 19 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9470 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9478 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9479 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9480 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9481 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9482 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9493 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9495 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9496 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9528 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9532 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9606 | ? | 455 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9471 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nROMCS_OBUF.EXP | 10160 | ? | 405 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP26_.EXP | 10146 | ? | 405 | 0 | EXP26_ | NULL | NULL | EXP26_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | nROMCS_OBUF.EXP +SPPTERM | 15 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 15 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 15 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 15 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 16 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 + +MACROCELL_INSTANCE | NULL | EXP27_ | MXSE_COPY_0_COPY_0 | 2147483648 | 10 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9528 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9532 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9606 | ? | 455 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9479 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9482 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9496 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP27_.EXP | 10161 | ? | 433 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP27_.EXP | EXP27_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP27_.SI | EXP27_ | 0 | 10 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9528 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9532 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9606 | ? | 455 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9479 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9482 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9496 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP27_.EXP | 10148 | ? | 433 | 0 | EXP27_ | NULL | NULL | EXP27_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 + +MACROCELL_INSTANCE | NULL | EXP28_ | MXSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9493 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9532 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9528 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9606 | ? | 455 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nOE_OBUF.EXP | 10163 | ? | 403 | 0 | MXSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP28_.EXP | 10164 | ? | 403 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP28_.EXP | EXP28_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP28_.SI | EXP28_ | 0 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9493 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9532 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9528 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9606 | ? | 455 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nOE_OBUF.EXP | 10163 | ? | 403 | 0 | MXSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP28_.EXP | 10151 | ? | 403 | 0 | EXP28_ | NULL | NULL | EXP28_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | nOE_OBUF.EXP +SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd1 +SPPTERM | 3 | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | ALE1 +SPPTERM | 3 | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd1 | IV_FALSE | ALE1 +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/Once +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once + +MACROCELL_INSTANCE | NULL | EXP29_ | MXSE_COPY_0_COPY_0 | 2147483648 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9470 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9520 | ? | 454 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_5_IBUF | 9577 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP29_.EXP | 10166 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP29_.EXP | EXP29_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP29_.SI | EXP29_ | 0 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9470 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9520 | ? | 454 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_5_IBUF | 9577 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP29_.EXP | 10153 | ? | 0 | 0 | EXP29_ | NULL | NULL | EXP29_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_5_IBUF + +MACROCELL_INSTANCE | NULL | EXP30_ | MXSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9493 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9495 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9496 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9528 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9532 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9606 | ? | 455 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9478 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP30_.EXP | 10171 | ? | 405 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP30_.EXP | EXP30_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP30_.SI | EXP30_ | 0 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9493 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9495 | ? | 486 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9496 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9528 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9532 | ? | 451 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9606 | ? | 455 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9478 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP30_.EXP | 10158 | ? | 405 | 0 | EXP30_ | NULL | NULL | EXP30_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | IORW0 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 10 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 10 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 10 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_FALSE | IORW0 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 10 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_FALSE | IORW0 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 + +MACROCELL_INSTANCE | NULL | EXP31_ | MXSE_COPY_0_COPY_0 | 2147483648 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9491 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9492 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9521 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9523 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9524 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9536 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9537 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9549 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA.EXP | 10190 | ? | 432 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.EXP | TimeoutA | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP31_.EXP | 10191 | ? | 432 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP31_.EXP | EXP31_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP31_.SI | EXP31_ | 0 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9491 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9492 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9521 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9523 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9524 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9536 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9537 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9549 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA.EXP | 10190 | ? | 432 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.EXP | TimeoutA | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP31_.EXP | 10182 | ? | 432 | 0 | EXP31_ | NULL | NULL | EXP31_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | TimeoutA.EXP +SPPTERM | 5 | IV_TRUE | ram/Once | IV_TRUE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 5 | IV_TRUE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<5> +SPPTERM | 5 | IV_TRUE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<6> +SPPTERM | 5 | IV_TRUE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<7> +SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | fsb/ASrf + +MACROCELL_INSTANCE | NULL | EXP32_ | MXSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9523 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9524 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9536 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9544 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9537 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9549 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3.EXP | 10192 | ? | 430 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.EXP | ram/RS_FSM_FFd3 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP32_.EXP | 10193 | ? | 430 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP32_.EXP | EXP32_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP32_.SI | EXP32_ | 0 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9523 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9524 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9536 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9544 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9537 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9549 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3.EXP | 10192 | ? | 430 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.EXP | ram/RS_FSM_FFd3 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP32_.EXP | 10184 | ? | 430 | 0 | EXP32_ | NULL | NULL | EXP32_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd3.EXP +SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<5> | IV_TRUE | ram/BACTr +SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | fsb/ASrf +SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | fsb/ASrf +SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 + +MACROCELL_INSTANCE | NULL | EXP33_ | MXSE_COPY_0_COPY_0 | 2147483648 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9491 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9523 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9492 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9544 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2.EXP | 10194 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.EXP | ram/RS_FSM_FFd2 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP33_.EXP | 10195 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP33_.EXP | EXP33_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP33_.SI | EXP33_ | 0 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9491 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9523 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9492 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9544 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2.EXP | 10194 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.EXP | ram/RS_FSM_FFd2 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP33_.EXP | 10186 | ? | 453 | 0 | EXP33_ | NULL | NULL | EXP33_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd2.EXP +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf + +MACROCELL_INSTANCE | NULL | EXP34_ | MXSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9480 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9481 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9482 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9470 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9471 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP34_.EXP | 10210 | ? | 443 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP34_.EXP | EXP34_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP34_.SI | EXP34_ | 0 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9480 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9481 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9482 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9470 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9471 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP34_.EXP | 10199 | ? | 443 | 0 | EXP34_ | NULL | NULL | EXP34_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF +SPPTERM | 4 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 + +MACROCELL_INSTANCE | NULL | EXP35_ | MXSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9494 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9502 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9504 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9505 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9478 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP35_.EXP | 10211 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP35_.EXP | EXP35_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP35_.SI | EXP35_ | 0 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9494 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9502 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9504 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9505 | ? | 428 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9478 | ? | 448 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP35_.EXP | 10200 | ? | 0 | 0 | EXP35_ | NULL | NULL | EXP35_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | nAS_FSB_IBUF +SPPTERM | 4 | IV_FALSE | BERR_IOBS | IV_FALSE | TimeoutB | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r + +MACROCELL_INSTANCE | NULL | EXP36_ | MXSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9492 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9523 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9544 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2.EXP | 10213 | ? | 452 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.EXP | ram/RAMDIS2 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP36_.EXP | 10214 | ? | 452 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP36_.EXP | EXP36_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP36_.SI | EXP36_ | 0 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9492 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9523 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9544 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2.EXP | 10213 | ? | 452 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.EXP | ram/RAMDIS2 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP36_.EXP | 10203 | ? | 452 | 0 | EXP36_ | NULL | NULL | EXP36_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | ram/RAMDIS2.EXP +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr + +MACROCELL_INSTANCE | NULL | EXP37_ | MXSE_COPY_0_COPY_0 | 2147483648 | 14 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9492 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9523 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9536 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9537 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9549 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9544 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9491 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9521 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9524 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP37_.EXP | 10215 | ? | 452 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP37_.EXP | EXP37_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP37_.SI | EXP37_ | 0 | 14 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9492 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9523 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9536 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9537 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9549 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9544 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9491 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9521 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9524 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP37_.EXP | 10204 | ? | 452 | 0 | EXP37_ | NULL | NULL | EXP37_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 6 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 6 | IV_FALSE | cnt/RefDone | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 7 | IV_FALSE | cnt/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf + +MACROCELL_INSTANCE | NULL | EXP38_ | MXSE_COPY_0_COPY_0 | 2147483648 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9491 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9521 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9523 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9492 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9536 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9537 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9549 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP38_.EXP | 10216 | ? | 429 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP38_.EXP | EXP38_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP38_.SI | EXP38_ | 0 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9491 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9521 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9523 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9492 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9536 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9537 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9549 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP38_.EXP | 10205 | ? | 429 | 0 | EXP38_ | NULL | NULL | EXP38_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 8 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> + +MACROCELL_INSTANCE | NULL | EXP39_ | MXSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9491 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9521 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9523 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9492 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9544 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP38_.EXP | 10216 | ? | 429 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP38_.EXP | EXP38_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP39_.EXP | 10217 | ? | 429 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP39_.EXP | EXP39_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP39_.SI | EXP39_ | 0 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9475 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9476 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9490 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9491 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9517 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9521 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9523 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9565 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9477 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9492 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9544 | ? | 453 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP38_.EXP | 10216 | ? | 429 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP38_.EXP | EXP38_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP39_.EXP | 10206 | ? | 429 | 0 | EXP39_ | NULL | NULL | EXP39_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | EXP38_.EXP +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr + +FB_INSTANCE | FOOBAR1_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | iobs/IOACTr | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | iobm/IOREQr | 1 | A_FSB_13_IBUF | 1 | NULL | 0 | 11 | 49152 +FBPIN | 3 | iobm/Er2 | 1 | A_FSB_14_IBUF | 1 | NULL | 0 | 12 | 49152 +FBPIN | 4 | iobm/DTACKrr | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | iobm/DTACKrf | 1 | A_FSB_15_IBUF | 1 | NULL | 0 | 13 | 49152 +FBPIN | 6 | iobm/BERRrr | 1 | A_FSB_16_IBUF | 1 | NULL | 0 | 14 | 49152 +FBPIN | 7 | iobm/BERRrf | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | fsb/ASrf | 1 | A_FSB_17_IBUF | 1 | NULL | 0 | 15 | 49152 +FBPIN | 9 | cnt/RefCnt<7> | 1 | A_FSB_18_IBUF | 1 | NULL | 0 | 16 | 49152 +FBPIN | 10 | cnt/RefCnt<6> | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | cnt/RefCnt<5> | 1 | A_FSB_19_IBUF | 1 | NULL | 0 | 17 | 49152 +FBPIN | 12 | cnt/RefCnt<4> | 1 | A_FSB_20_IBUF | 1 | NULL | 0 | 18 | 49152 +FBPIN | 13 | cnt/RefCnt<3> | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | cnt/RefCnt<2> | 1 | A_FSB_21_IBUF | 1 | NULL | 0 | 19 | 49152 +FBPIN | 15 | cnt/RefCnt<1> | 1 | A_FSB_22_IBUF | 1 | NULL | 0 | 20 | 49152 +FBPIN | 16 | cnt/RefCnt<0> | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | RefAck | 1 | CLK2X_IOB_IBUF | 0 | NULL | 0 | 22 | 57344 +FBPIN | 18 | $OpTx$FX_DC$607 | 1 | NULL | 0 | NULL | 0 + +FB_INSTANCE | FOOBAR2_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 6 | NULL | 0 | A_FSB_5_IBUF | 1 | NULL | 0 | 2 | 53248 +FBPIN | 8 | NULL | 0 | A_FSB_6_IBUF | 1 | NULL | 0 | 3 | 53248 +FBPIN | 9 | NULL | 0 | A_FSB_7_IBUF | 1 | NULL | 0 | 4 | 53248 +FBPIN | 11 | NULL | 0 | A_FSB_8_IBUF | 1 | NULL | 0 | 6 | 49152 +FBPIN | 12 | NULL | 0 | A_FSB_9_IBUF | 1 | NULL | 0 | 7 | 49152 +FBPIN | 14 | iobm/VPArr | 1 | A_FSB_10_IBUF | 1 | NULL | 0 | 8 | 49152 +FBPIN | 15 | iobm/VPArf | 1 | A_FSB_11_IBUF | 1 | NULL | 0 | 9 | 49152 +FBPIN | 16 | iobm/RESrr | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | iobm/RESrf | 1 | A_FSB_12_IBUF | 1 | NULL | 0 | 10 | 49152 +FBPIN | 18 | iobm/Er | 1 | NULL | 0 | NULL | 0 + +FB_INSTANCE | FOOBAR3_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | EXP14_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | fsb/VPA | 1 | CLK_IOB_IBUF | 1 | NULL | 0 | 23 | 57344 +FBPIN | 3 | EXP15_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | EXP16_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | fsb/Ready1r | 1 | A_FSB_23_IBUF | 1 | NULL | 0 | 24 | 49152 +FBPIN | 6 | EXP17_ | 1 | E_IOB_IBUF | 1 | NULL | 0 | 25 | 49152 +FBPIN | 7 | EXP18_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | EXP19_ | 1 | CLK_FSB_IBUF | 0 | NULL | 0 | 27 | 57344 +FBPIN | 9 | nDTACK_FSB_OBUF | 1 | NULL | 0 | nDTACK_FSB | 1 | 28 | 49152 +FBPIN | 10 | EXP20_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | EXP21_ | 1 | nWE_FSB_IBUF | 1 | NULL | 0 | 29 | 49152 +FBPIN | 12 | EXP22_ | 1 | nLDS_FSB_IBUF | 1 | NULL | 0 | 30 | 49152 +FBPIN | 13 | iobs/IORW1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | EXP23_ | 1 | nAS_FSB_IBUF | 1 | NULL | 0 | 32 | 49152 +FBPIN | 15 | fsb/Ready2r | 1 | nUDS_FSB_IBUF | 1 | NULL | 0 | 33 | 49152 +FBPIN | 16 | EXP24_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | nROMWE_OBUF | 1 | NULL | 0 | nROMWE | 1 | 34 | 49152 +FBPIN | 18 | EXP25_ | 1 | NULL | 0 | NULL | 0 + +FB_INSTANCE | FOOBAR4_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | ram/BACTr | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | nAoutOE_OBUF | 1 | NULL | 0 | nAoutOE | 1 | 87 | 49152 +FBPIN | 3 | iobs/Clear1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | ALE0S | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | nDoutOE_OBUF | 1 | NULL | 0 | nDoutOE | 1 | 89 | 49152 +FBPIN | 6 | nDinOE_OBUF | 1 | NULL | 0 | nDinOE | 1 | 90 | 49152 +FBPIN | 7 | iobs/PS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | iobs/IOU1 | 1 | nRES_IBUF | 1 | NULL | 0 | 91 | 49152 +FBPIN | 9 | iobs/IOL1 | 1 | NULL | 0 | NULL | 0 | 92 | 49152 +FBPIN | 10 | iobm/IOS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | nVPA_FSB_OBUF | 1 | NULL | 0 | nVPA_FSB | 1 | 93 | 49152 +FBPIN | 12 | iobm/IOS_FSM_FFd1 | 1 | A_FSB_1_IBUF | 1 | NULL | 0 | 94 | 49152 +FBPIN | 13 | fsb/BERR1r | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | cs/nOverlay1 | 1 | A_FSB_2_IBUF | 1 | NULL | 0 | 95 | 49152 +FBPIN | 15 | $OpTx$FX_DC$608 | 1 | A_FSB_3_IBUF | 1 | NULL | 0 | 96 | 49152 +FBPIN | 16 | IOU0 | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | IOL0 | 1 | A_FSB_4_IBUF | 1 | NULL | 0 | 97 | 49152 +FBPIN | 18 | iobs/IOReady | 1 | NULL | 0 | NULL | 0 + +FB_INSTANCE | FOOBAR5_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | EXP26_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | nROMCS_OBUF | 1 | NULL | 0 | nROMCS | 1 | 35 | 49152 +FBPIN | 3 | EXP27_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | iobs/PS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | nCAS_OBUF | 1 | NULL | 0 | nCAS | 1 | 36 | 49152 +FBPIN | 6 | nOE_OBUF | 1 | NULL | 0 | nOE | 1 | 37 | 49152 +FBPIN | 7 | EXP28_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | iobs/Once | 1 | NULL | 0 | NULL | 0 | 39 | 49152 +FBPIN | 9 | RA_4_OBUF | 1 | NULL | 0 | RA<4> | 1 | 40 | 49152 +FBPIN | 10 | EXP29_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | RA_3_OBUF | 1 | NULL | 0 | RA<3> | 1 | 41 | 49152 +FBPIN | 12 | RA_5_OBUF | 1 | NULL | 0 | RA<5> | 1 | 42 | 49152 +FBPIN | 13 | IOREQ | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | RA_2_OBUF | 1 | NULL | 0 | RA<2> | 1 | 43 | 49152 +FBPIN | 15 | RA_6_OBUF | 1 | NULL | 0 | RA<6> | 1 | 46 | 49152 +FBPIN | 16 | BERR_IOBS | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | EXP30_ | 1 | NULL | 0 | NULL | 0 | 49 | 49152 +FBPIN | 18 | IORW0 | 1 | NULL | 0 | NULL | 0 + +FB_INSTANCE | FOOBAR6_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | iobm/ETACK | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | nVMA_IOB_OBUF | 1 | NULL | 0 | nVMA_IOB | 1 | 74 | 49152 +FBPIN | 3 | ALE0M | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | iobm/ES<3> | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | iobm/ES<1> | 1 | nBERR_IOB_IBUF | 1 | NULL | 0 | 76 | 49152 +FBPIN | 6 | iobm/ES<0> | 1 | nVPA_IOB_IBUF | 1 | NULL | 0 | 77 | 49152 +FBPIN | 7 | iobm/IOS_FSM_FFd4 | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | iobm/ES<4> | 1 | nDTACK_IOB_IBUF | 1 | NULL | 0 | 78 | 49152 +FBPIN | 9 | nLDS_IOB_OBUF | 1 | NULL | 0 | nLDS_IOB | 1 | 79 | 49152 +FBPIN | 10 | iobm/IOS_FSM_FFd3 | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | nUDS_IOB_OBUF | 1 | NULL | 0 | nUDS_IOB | 1 | 80 | 49152 +FBPIN | 12 | nAS_IOB_OBUF | 1 | NULL | 0 | nAS_IOB | 1 | 81 | 49152 +FBPIN | 13 | iobm/ES<2> | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | nADoutLE1_OBUF | 1 | NULL | 0 | nADoutLE1 | 1 | 82 | 49152 +FBPIN | 15 | nADoutLE0_OBUF | 1 | NULL | 0 | nADoutLE0 | 1 | 85 | 49152 +FBPIN | 16 | IOACT | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | nDinLE_OBUF | 1 | NULL | 0 | nDinLE | 1 | 86 | 49152 +FBPIN | 18 | IOBERR | 1 | NULL | 0 | NULL | 0 + +FB_INSTANCE | FOOBAR7_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | TimeoutB | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | RA_1_OBUF | 1 | NULL | 0 | RA<1> | 1 | 50 | 49152 +FBPIN | 3 | cnt/RefDone | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | fsb/Ready0r | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | RA_7_OBUF | 1 | NULL | 0 | RA<7> | 1 | 52 | 49152 +FBPIN | 6 | RA_0_OBUF | 1 | NULL | 0 | RA<0> | 1 | 53 | 49152 +FBPIN | 7 | cs/nOverlay0 | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | RA_8_OBUF | 1 | NULL | 0 | RA<8> | 1 | 54 | 49152 +FBPIN | 9 | A_FSB_21_IBUF$BUF0 | 1 | NULL | 0 | RA<10> | 1 | 55 | 49152 +FBPIN | 10 | cnt/TimeoutBPre | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | RA_9_OBUF | 1 | NULL | 0 | RA<9> | 1 | 56 | 49152 +FBPIN | 12 | TimeoutA | 1 | NULL | 0 | NULL | 0 | 58 | 49152 +FBPIN | 13 | EXP31_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | ram/RS_FSM_FFd3 | 1 | NULL | 0 | NULL | 0 | 59 | 49152 +FBPIN | 15 | EXP32_ | 1 | NULL | 0 | NULL | 0 | 60 | 49152 +FBPIN | 16 | ram/RS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | EXP33_ | 1 | NULL | 0 | NULL | 0 | 61 | 49152 +FBPIN | 18 | ram/RAMReady | 1 | NULL | 0 | NULL | 0 + +FB_INSTANCE | FOOBAR8_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | ram/RASEL | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | A_FSB_19_IBUF$BUF0 | 1 | NULL | 0 | RA<11> | 1 | 63 | 49152 +FBPIN | 3 | fsb/BERR0r | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | ram/RS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | nRAS_OBUF | 1 | NULL | 0 | nRAS | 1 | 64 | 49152 +FBPIN | 6 | nRAMLWE_OBUF | 1 | NULL | 0 | nRAMLWE | 1 | 65 | 49152 +FBPIN | 7 | ram/Once | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | nRAMUWE_OBUF | 1 | NULL | 0 | nRAMUWE | 1 | 66 | 49152 +FBPIN | 9 | iobs/Load1 | 1 | NULL | 0 | NULL | 0 | 67 | 49152 +FBPIN | 10 | EXP34_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | EXP35_ | 1 | NULL | 0 | NULL | 0 | 68 | 49152 +FBPIN | 12 | nBERR_FSB_OBUF | 1 | NULL | 0 | nBERR_FSB | 1 | 70 | 49152 +FBPIN | 13 | ram/RAMDIS2 | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | EXP36_ | 1 | NULL | 0 | NULL | 0 | 71 | 49152 +FBPIN | 15 | ram/RAMDIS1 | 1 | NULL | 0 | NULL | 0 | 72 | 49152 +FBPIN | 16 | EXP37_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | EXP38_ | 1 | NULL | 0 | NULL | 0 | 73 | 49152 +FBPIN | 18 | EXP39_ | 1 | NULL | 0 | NULL | 0 + +FB_INSTANCE | INPUTPINS_FOOBAR9_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 + +BUSINFO | A_FSB<23:1> | 23 | 0 | 0 | A_FSB<10> | 13 | A_FSB<11> | 12 | A_FSB<12> | 11 | A_FSB<13> | 10 | A_FSB<14> | 9 | A_FSB<15> | 8 | A_FSB<16> | 7 | A_FSB<17> | 6 | A_FSB<18> | 5 | A_FSB<19> | 4 | A_FSB<1> | 22 | A_FSB<20> | 3 | A_FSB<21> | 2 | A_FSB<22> | 1 | A_FSB<23> | 0 | A_FSB<2> | 21 | A_FSB<3> | 20 | A_FSB<4> | 19 | A_FSB<5> | 18 | A_FSB<6> | 17 | A_FSB<7> | 16 | A_FSB<8> | 15 | A_FSB<9> | 14 +BUSINFO | RA<11:0> | 12 | 0 | 1 | RA<0> | 11 | RA<10> | 1 | RA<11> | 0 | RA<1> | 10 | RA<2> | 9 | RA<3> | 8 | RA<4> | 7 | RA<5> | 6 | RA<6> | 5 | RA<7> | 4 | RA<8> | 3 | RA<9> | 2 + +FB_ORDER_OF_INPUTS | FOOBAR1_ | 3 | ram/RS_FSM_FFd1 | NULL | 7 | nDTACK_IOB | 78 | 8 | nAS_FSB | 32 | 9 | cnt/RefCnt<6> | NULL | 10 | cnt/RefCnt<5> | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 12 | IOREQ | NULL | 15 | IOACT | NULL | 23 | nBERR_IOB | 76 | 33 | ram/RS_FSM_FFd2 | NULL | 40 | iobm/Er | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 41 | cnt/RefCnt<4> | NULL | 48 | cnt/RefCnt<2> | NULL | 49 | cnt/RefCnt<1> | NULL | 50 | cnt/RefCnt<0> | NULL | 52 | cnt/RefCnt<3> | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 53 | fsb/ASrf | NULL + +FB_IMUX_INDEX | FOOBAR1_ | -1 | -1 | -1 | 129 | -1 | -1 | -1 | 167 | 268 | 9 | 10 | -1 | 84 | -1 | -1 | 105 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 175 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 123 | -1 | -1 | -1 | -1 | -1 | -1 | 35 | 11 | -1 | -1 | -1 | -1 | -1 | -1 | 13 | 14 | 15 | -1 | 12 | 7 + + +FB_ORDER_OF_INPUTS | FOOBAR2_ | 11 | nVPA_IOB | 77 | 16 | E_IOB | 25 | 51 | nRES | 91 + +FB_IMUX_INDEX | FOOBAR2_ | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 171 | -1 | -1 | -1 | -1 | 242 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 148 | -1 | -1 + + +FB_ORDER_OF_INPUTS | FOOBAR3_ | 0 | TimeoutB | NULL | 1 | fsb/VPA | NULL | 2 | A_FSB<8> | 6 | 3 | iobs/PS_FSM_FFd2 | NULL | 4 | fsb/Ready1r | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 5 | A_FSB<16> | 14 | 6 | iobs/PS_FSM_FFd1 | NULL | 7 | fsb/ASrf | NULL | 8 | nDTACK_FSB_OBUF.UIM | NULL | 9 | A_FSB<18> | 16 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 10 | A_FSB<11> | 9 | 11 | TimeoutA | NULL | 12 | iobs/IORW1 | NULL | 13 | cs/nOverlay1 | NULL | 14 | fsb/Ready2r | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 15 | BERR_IOBS | NULL | 16 | A_FSB<13> | 11 | 17 | $OpTx$FX_DC$607.UIM | NULL | 21 | A_FSB<19> | 17 | 24 | A_FSB<15> | 13 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 28 | nWE_FSB | 29 | 30 | A_FSB<20> | 18 | 31 | $OpTx$FX_DC$608.UIM | NULL | 33 | nAS_FSB | 32 | 34 | A_FSB<17> | 15 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 36 | A_FSB<23> | 24 | 37 | fsb/BERR0r | NULL | 39 | A_FSB<22> | 20 | 40 | ram/RAMReady | NULL | 42 | A_FSB<12> | 10 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 43 | A_FSB<10> | 8 | 44 | A_FSB<14> | 12 | 45 | A_FSB<21> | 19 | 46 | ALE1 | NULL | 47 | iobs/IOReady | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 48 | iobs/Once | NULL | 49 | fsb/BERR1r | NULL | 51 | fsb/Ready0r | NULL | 53 | A_FSB<9> | 7 + +FB_IMUX_INDEX | FOOBAR3_ | 108 | 37 | 194 | 75 | 40 | 214 | 60 | 7 | 44 | 218 | 202 | 119 | 48 | 67 | 50 | 87 | 208 | 17 | -1 | -1 | -1 | 222 | -1 | -1 | 212 | -1 | -1 | -1 | 256 | -1 | 226 | 68 | -1 | 268 | 216 | -1 | 240 | 128 | -1 | 230 | 125 | -1 | 206 | 198 | 210 | 228 | 103 | 71 | 79 | 66 | -1 | 111 | -1 | 196 + + +FB_ORDER_OF_INPUTS | FOOBAR4_ | 0 | A_FSB<20> | 18 | 3 | iobs/PS_FSM_FFd2 | NULL | 6 | iobm/IOS_FSM_FFd4 | NULL | 7 | fsb/ASrf | NULL | 8 | iobs/IOL1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 9 | iobm/IOS_FSM_FFd3 | NULL | 12 | nUDS_FSB | 33 | 13 | ALE1 | NULL | 14 | A_FSB<23> | 24 | 17 | iobs/IOReady | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 24 | A_FSB<22> | 20 | 27 | fsb/VPA | NULL | 28 | nWE_FSB | 29 | 33 | nAS_FSB | 32 | 34 | iobs/IOU1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 35 | iobs/PS_FSM_FFd1 | NULL | 36 | iobm/IOS_FSM_FFd2 | NULL | 37 | nLDS_FSB | 30 | 41 | iobs/Load1 | NULL | 42 | iobs/IOACTr | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 43 | BERR_IOBS | NULL | 45 | IOBERR | NULL | 46 | IORW0 | NULL | 47 | A_FSB<21> | 19 | 48 | iobs/Once | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 49 | fsb/BERR1r | NULL | 50 | TimeoutB | NULL | 52 | cs/nOverlay0 | NULL | 53 | iobm/IOS_FSM_FFd1 | NULL + +FB_IMUX_INDEX | FOOBAR4_ | 226 | -1 | -1 | 75 | -1 | -1 | 96 | 7 | 62 | 99 | -1 | -1 | 272 | 103 | 240 | -1 | -1 | 71 | -1 | -1 | -1 | -1 | -1 | -1 | 230 | -1 | -1 | 37 | 256 | -1 | -1 | -1 | -1 | 268 | 61 | 60 | 63 | 260 | -1 | -1 | -1 | 134 | 0 | 87 | -1 | 107 | 89 | 228 | 79 | 66 | 108 | -1 | 114 | 65 + + +FB_ORDER_OF_INPUTS | FOOBAR5_ | 0 | iobs/IOACTr | NULL | 1 | A_FSB<14> | 12 | 2 | A_FSB<21> | 19 | 3 | A_FSB<15> | 13 | 4 | A_FSB<22> | 20 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 5 | A_FSB<16> | 14 | 6 | iobs/PS_FSM_FFd1 | NULL | 7 | iobs/Once | NULL | 8 | A_FSB<3> | 96 | 10 | A_FSB<6> | 3 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 12 | A_FSB<4> | 97 | 13 | ALE1 | NULL | 14 | A_FSB<7> | 4 | 15 | BERR_IOBS | NULL | 17 | IORW0 | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 18 | A_FSB<19> | 17 | 24 | iobs/IORW1 | NULL | 27 | ram/RASEL | NULL | 28 | nWE_FSB | 29 | 30 | A_FSB<20> | 18 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 33 | nAS_FSB | 32 | 34 | A_FSB<17> | 15 | 36 | A_FSB<23> | 24 | 39 | A_FSB<5> | 2 | 41 | cs/nOverlay1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 42 | A_FSB<12> | 10 | 44 | A_FSB<13> | 11 | 45 | IOBERR | NULL | 50 | A_FSB<18> | 16 | 52 | iobs/PS_FSM_FFd2 | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 53 | fsb/ASrf | NULL + +FB_IMUX_INDEX | FOOBAR5_ | 0 | 210 | 228 | 212 | 230 | 214 | 60 | 79 | 168 | -1 | 186 | -1 | 172 | 103 | 190 | 87 | -1 | 89 | 222 | -1 | -1 | -1 | -1 | -1 | 48 | -1 | -1 | 126 | 256 | -1 | 226 | -1 | -1 | 268 | 216 | -1 | 240 | -1 | -1 | 182 | -1 | 67 | 206 | -1 | 208 | 107 | -1 | -1 | -1 | -1 | 218 | -1 | 75 | 7 + + +FB_ORDER_OF_INPUTS | FOOBAR6_ | 0 | iobm/ETACK | NULL | 1 | iobm/IOREQr | NULL | 2 | iobm/Er2 | NULL | 3 | iobm/DTACKrr | NULL | 4 | iobm/DTACKrf | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 5 | iobm/BERRrr | NULL | 6 | iobm/BERRrf | NULL | 7 | iobm/ES<4> | NULL | 8 | iobs/Load1 | NULL | 9 | iobm/IOS_FSM_FFd2 | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 11 | iobm/IOS_FSM_FFd1 | NULL | 12 | iobm/ES<2> | NULL | 13 | iobm/VPArr | NULL | 14 | iobm/VPArf | NULL | 15 | iobm/RESrr | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 16 | iobm/RESrf | NULL | 17 | iobm/Er | NULL | 20 | iobm/ES<3> | NULL | 23 | nBERR_IOB | 76 | 28 | iobm/ES<1> | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 32 | iobm/IOS_FSM_FFd4 | NULL | 33 | iobs/Clear1 | NULL | 37 | IOL0 | NULL | 38 | IOACT | NULL | 39 | iobm/ES<0> | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 42 | ALE0S | NULL | 44 | nVMA_IOB_OBUF | NULL | 46 | IORW0 | NULL | 47 | iobm/IOS_FSM_FFd3 | NULL | 48 | CLK_IOB | 23 +FB_ORDER_OF_INPUTS | FOOBAR6_ | 49 | ALE1 | NULL | 50 | IOU0 | NULL | 51 | ALE0M | NULL | 53 | IOBERR | NULL + +FB_IMUX_INDEX | FOOBAR6_ | 90 | 1 | 2 | 3 | 4 | 5 | 6 | 97 | 134 | 63 | -1 | 65 | 102 | 31 | 32 | 33 | 34 | 35 | -1 | -1 | 93 | -1 | -1 | 175 | -1 | -1 | -1 | -1 | 94 | -1 | -1 | -1 | 96 | 56 | -1 | -1 | -1 | 70 | 105 | 95 | -1 | -1 | 57 | -1 | 91 | -1 | 89 | 99 | 238 | 103 | 69 | 92 | -1 | 107 + + +FB_ORDER_OF_INPUTS | FOOBAR7_ | 0 | ram/BACTr | NULL | 2 | cnt/RefDone | NULL | 3 | fsb/Ready0r | NULL | 4 | nRES | 91 | 6 | cs/nOverlay0 | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 7 | A_FSB<17> | 15 | 8 | nAS_FSB | 32 | 9 | cnt/RefCnt<6> | NULL | 10 | cnt/RefCnt<5> | NULL | 11 | cnt/RefCnt<4> | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 12 | cnt/RefCnt<3> | NULL | 13 | cnt/RefCnt<2> | NULL | 14 | cnt/RefCnt<1> | NULL | 15 | cnt/RefCnt<0> | NULL | 16 | RefAck | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 17 | ram/RAMReady | NULL | 18 | A_FSB<19> | 17 | 21 | TimeoutA | NULL | 24 | A_FSB<22> | 20 | 26 | A_FSB<9> | 7 +FB_ORDER_OF_INPUTS | FOOBAR7_ | 27 | ram/RASEL | NULL | 30 | A_FSB<20> | 18 | 31 | cnt/RefCnt<7> | NULL | 33 | ram/RS_FSM_FFd2 | NULL | 34 | ram/RS_FSM_FFd1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 36 | A_FSB<23> | 24 | 39 | A_FSB<1> | 94 | 40 | A_FSB<8> | 6 | 41 | cs/nOverlay1 | NULL | 43 | A_FSB<10> | 8 +FB_ORDER_OF_INPUTS | FOOBAR7_ | 45 | A_FSB<18> | 16 | 46 | ram/RS_FSM_FFd3 | NULL | 47 | A_FSB<21> | 19 | 48 | A_FSB<2> | 95 | 49 | cnt/TimeoutBPre | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 50 | TimeoutB | NULL | 51 | ram/Once | NULL | 52 | A_FSB<11> | 9 | 53 | fsb/ASrf | NULL + +FB_IMUX_INDEX | FOOBAR7_ | 54 | -1 | 110 | 111 | 148 | -1 | 114 | 216 | 268 | 9 | 10 | 11 | 12 | 13 | 14 | 15 | 16 | 125 | 222 | -1 | -1 | 119 | -1 | -1 | 230 | -1 | 196 | 126 | -1 | -1 | 226 | 8 | -1 | 123 | 129 | -1 | 240 | -1 | -1 | 156 | 194 | 67 | -1 | 198 | -1 | 218 | 121 | 228 | 164 | 117 | 108 | 132 | 202 | 7 + + +FB_ORDER_OF_INPUTS | FOOBAR8_ | 0 | TimeoutB | NULL | 1 | A_FSB<14> | 12 | 2 | fsb/BERR0r | NULL | 3 | iobs/PS_FSM_FFd2 | NULL | 4 | A_FSB<22> | 20 +FB_ORDER_OF_INPUTS | FOOBAR8_ | 6 | ram/Once | NULL | 7 | fsb/ASrf | NULL | 8 | nAS_FSB | 32 | 12 | fsb/BERR1r | NULL | 13 | cs/nOverlay1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 14 | ram/RAMDIS1 | NULL | 15 | ram/RS_FSM_FFd2 | NULL | 16 | A_FSB<13> | 11 | 18 | A_FSB<19> | 17 | 27 | nUDS_FSB | 33 +FB_ORDER_OF_INPUTS | FOOBAR8_ | 28 | nWE_FSB | 29 | 30 | A_FSB<20> | 18 | 32 | ram/RAMDIS2 | NULL | 33 | cnt/RefCnt<7> | NULL | 34 | ram/RS_FSM_FFd1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 35 | iobs/PS_FSM_FFd1 | NULL | 36 | A_FSB<23> | 24 | 37 | nLDS_FSB | 30 | 39 | cnt/RefCnt<5> | NULL | 42 | ram/RS_FSM_FFd3 | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 43 | BERR_IOBS | NULL | 44 | cnt/RefDone | NULL | 45 | RefAck | NULL | 46 | ALE1 | NULL | 47 | A_FSB<21> | 19 +FB_ORDER_OF_INPUTS | FOOBAR8_ | 48 | iobs/Once | NULL | 49 | A_FSB<17> | 15 | 50 | A_FSB<18> | 16 | 51 | cnt/RefCnt<6> | NULL | 52 | ram/BACTr | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 53 | A_FSB<16> | 14 + +FB_IMUX_INDEX | FOOBAR8_ | 108 | 210 | 128 | 75 | 230 | -1 | 132 | 7 | 268 | -1 | -1 | -1 | 66 | 67 | 140 | 123 | 208 | -1 | 222 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 272 | 256 | -1 | 226 | -1 | 138 | 8 | 129 | 60 | 240 | 260 | -1 | 10 | -1 | -1 | 121 | 87 | 110 | 16 | 103 | 228 | 79 | 216 | 218 | 9 | 54 | 214 + + +GLOBAL_FCLK | CLK2X_IOB | 0 | 0 | CLK_IOB | 1 | 1 | CLK_FSB | 2 | 2 + +TIME_TSPEC | TS_CLK_IOB | PERIOD:CLK_IOB:142.857nS:HIGH:71.428nS | CLK_IOB | 10003 | 1428 | 714 | NULL + +TIME_TSPEC | TS_CLK_FSB | PERIOD:CLK_FSB:40.000nS:HIGH:20.000nS | CLK_FSB | 10003 | 400 | 200 | NULL + +TIME_TSPEC | TS_CLK2X_IOB | PERIOD:CLK2X_IOB:66.666nS:HIGH:33.333nS | CLK2X_IOB | 10003 | 666 | 333 | NULL diff --git a/cpld/XC95144/MXSE.xst b/cpld/XC95144XL/MXSE.xst similarity index 94% rename from cpld/XC95144/MXSE.xst rename to cpld/XC95144XL/MXSE.xst index a180951..de9ca6e 100644 --- a/cpld/XC95144/MXSE.xst +++ b/cpld/XC95144XL/MXSE.xst @@ -1,29 +1,29 @@ -set -tmpdir "xst/projnav.tmp" -set -xsthdpdir "xst" -run --ifn MXSE.prj --ifmt mixed --ofn MXSE --ofmt NGC --p xc9500xl --top MXSE --opt_mode Speed --opt_level 1 --iuc NO --keep_hierarchy Yes --netlist_hierarchy As_Optimized --rtlview Yes --hierarchy_separator / --bus_delimiter <> --case Maintain --verilog2001 YES --fsm_extract YES -fsm_encoding Auto --safe_implementation No --mux_extract Yes --resource_sharing YES --iobuf YES --pld_mp YES --pld_xp YES --pld_ce YES --wysiwyg NO --equivalent_register_removal YES +set -tmpdir "xst/projnav.tmp" +set -xsthdpdir "xst" +run +-ifn MXSE.prj +-ifmt mixed +-ofn MXSE +-ofmt NGC +-p xc9500xl +-top MXSE +-opt_mode Speed +-opt_level 1 +-iuc NO +-keep_hierarchy Yes +-netlist_hierarchy As_Optimized +-rtlview Yes +-hierarchy_separator / +-bus_delimiter <> +-case Maintain +-verilog2001 YES +-fsm_extract YES -fsm_encoding Auto +-safe_implementation No +-mux_extract Yes +-resource_sharing YES +-iobuf YES +-pld_mp YES +-pld_xp YES +-pld_ce YES +-wysiwyg NO +-equivalent_register_removal YES diff --git a/cpld/XC95144/MXSE_envsettings.html b/cpld/XC95144XL/MXSE_envsettings 2.html similarity index 94% rename from cpld/XC95144/MXSE_envsettings.html rename to cpld/XC95144XL/MXSE_envsettings 2.html index 2c71ee5..7fa20b0 100644 --- a/cpld/XC95144/MXSE_envsettings.html +++ b/cpld/XC95144XL/MXSE_envsettings 2.html @@ -18,8 +18,8 @@ Path -C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt;
C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt;
C:\Xilinx\14.7\ISE_DS\ISE\bin\nt;
C:\Xilinx\14.7\ISE_DS\ISE\lib\nt;
C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;
C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;
C:\Xilinx\14.7\ISE_DS\EDK\bin\nt;
C:\Xilinx\14.7\ISE_DS\EDK\lib\nt;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt_be\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt_le\bin;
C:\Xilinx\14.7\ISE_DS\common\bin\nt;
C:\Xilinx\14.7\ISE_DS\common\lib\nt;
C:\Program Files (x86)\Common Files\Oracle\Java\javapath;
C:\ispLEVER_Classic2_0\ispcpld\bin;
C:\ispLEVER_Classic2_0\ispFPGA\bin\nt;
C:\ispLEVER_Classic2_0\active-hdl\BIN;
C:\WinAVR-20100110\bin;
C:\WinAVR-20100110\utils\bin;
C:\Windows\system32;
C:\Windows;
C:\Windows\System32\Wbem;
C:\Windows\System32\WindowsPowerShell\v1.0\;
C:\Windows\System32\OpenSSH\;
C:\Program Files\Microchip\xc8\v2.31\bin;
C:\Program Files\PuTTY\;
C:\Program Files\WinMerge;
C:\Program Files\dotnet\;
C:\Program Files (x86)\NVIDIA Corporation\PhysX\Common;
C:\Users\zanek\AppData\Local\Microsoft\WindowsApps;
C:\Users\zanek\AppData\Local\GitHubDesktop\bin;
C:\altera\13.0sp1\modelsim_ase\win32aloem;
C:\Users\zanek\.dotnet\tools;
C:\Program Files (x86)\Skyworks\ClockBuilder Pro\Bin -C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt;
C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt;
C:\Xilinx\14.7\ISE_DS\ISE\bin\nt;
C:\Xilinx\14.7\ISE_DS\ISE\lib\nt;
C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;
C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;
C:\Xilinx\14.7\ISE_DS\EDK\bin\nt;
C:\Xilinx\14.7\ISE_DS\EDK\lib\nt;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt_be\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt_le\bin;
C:\Xilinx\14.7\ISE_DS\common\bin\nt;
C:\Xilinx\14.7\ISE_DS\common\lib\nt;
C:\Program Files (x86)\Common Files\Oracle\Java\javapath;
C:\ispLEVER_Classic2_0\ispcpld\bin;
C:\ispLEVER_Classic2_0\ispFPGA\bin\nt;
C:\ispLEVER_Classic2_0\active-hdl\BIN;
C:\WinAVR-20100110\bin;
C:\WinAVR-20100110\utils\bin;
C:\Windows\system32;
C:\Windows;
C:\Windows\System32\Wbem;
C:\Windows\System32\WindowsPowerShell\v1.0\;
C:\Windows\System32\OpenSSH\;
C:\Program Files\Microchip\xc8\v2.31\bin;
C:\Program Files\PuTTY\;
C:\Program Files\WinMerge;
C:\Program Files\dotnet\;
C:\Program Files (x86)\NVIDIA Corporation\PhysX\Common;
C:\Users\zanek\AppData\Local\Microsoft\WindowsApps;
C:\Users\zanek\AppData\Local\GitHubDesktop\bin;
C:\altera\13.0sp1\modelsim_ase\win32aloem;
C:\Users\zanek\.dotnet\tools;
C:\Program Files (x86)\Skyworks\ClockBuilder Pro\Bin +C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt;
C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt;
C:\Xilinx\14.7\ISE_DS\ISE\bin\nt;
C:\Xilinx\14.7\ISE_DS\ISE\lib\nt;
C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;
C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;
C:\Xilinx\14.7\ISE_DS\EDK\bin\nt;
C:\Xilinx\14.7\ISE_DS\EDK\lib\nt;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt_be\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt_le\bin;
C:\Xilinx\14.7\ISE_DS\common\bin\nt;
C:\Xilinx\14.7\ISE_DS\common\lib\nt;
C:\Program Files (x86)\Common Files\Oracle\Java\javapath;
C:\ispLEVER_Classic2_0\ispcpld\bin;
C:\ispLEVER_Classic2_0\ispFPGA\bin\nt;
C:\ispLEVER_Classic2_0\active-hdl\BIN;
C:\WinAVR-20100110\bin;
C:\WinAVR-20100110\utils\bin;
C:\Windows\system32;
C:\Windows;
C:\Windows\System32\Wbem;
C:\Windows\System32\WindowsPowerShell\v1.0\;
C:\Windows\System32\OpenSSH\;
C:\Program Files\Microchip\xc8\v2.31\bin;
C:\Program Files (x86)\NVIDIA Corporation\PhysX\Common;
C:\Program Files\PuTTY\;
C:\Program Files\WinMerge;
C:\Program Files\dotnet\;
C:\Users\zanek\AppData\Local\Microsoft\WindowsApps;
C:\Users\zanek\AppData\Local\GitHubDesktop\bin;
C:\altera\13.0sp1\modelsim_ase\win32aloem;
C:\Users\zanek\.dotnet\tools;
C:\Program Files (x86)\Skyworks\ClockBuilder Pro\Bin +C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt;
C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt;
C:\Xilinx\14.7\ISE_DS\ISE\bin\nt;
C:\Xilinx\14.7\ISE_DS\ISE\lib\nt;
C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;
C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;
C:\Xilinx\14.7\ISE_DS\EDK\bin\nt;
C:\Xilinx\14.7\ISE_DS\EDK\lib\nt;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt_be\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt_le\bin;
C:\Xilinx\14.7\ISE_DS\common\bin\nt;
C:\Xilinx\14.7\ISE_DS\common\lib\nt;
C:\Program Files (x86)\Common Files\Oracle\Java\javapath;
C:\ispLEVER_Classic2_0\ispcpld\bin;
C:\ispLEVER_Classic2_0\ispFPGA\bin\nt;
C:\ispLEVER_Classic2_0\active-hdl\BIN;
C:\WinAVR-20100110\bin;
C:\WinAVR-20100110\utils\bin;
C:\Windows\system32;
C:\Windows;
C:\Windows\System32\Wbem;
C:\Windows\System32\WindowsPowerShell\v1.0\;
C:\Windows\System32\OpenSSH\;
C:\Program Files\Microchip\xc8\v2.31\bin;
C:\Program Files (x86)\NVIDIA Corporation\PhysX\Common;
C:\Program Files\PuTTY\;
C:\Program Files\WinMerge;
C:\Program Files\dotnet\;
C:\Users\zanek\AppData\Local\Microsoft\WindowsApps;
C:\Users\zanek\AppData\Local\GitHubDesktop\bin;
C:\altera\13.0sp1\modelsim_ase\win32aloem;
C:\Users\zanek\.dotnet\tools;
C:\Program Files (x86)\Skyworks\ClockBuilder Pro\Bin XILINX @@ -206,7 +206,7 @@ -uc   -MXSE.ucf +C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/MXSE.ucf None diff --git a/cpld/XC95144XL/MXSE_envsettings.html b/cpld/XC95144XL/MXSE_envsettings.html new file mode 100644 index 0000000..e84a8e8 --- /dev/null +++ b/cpld/XC95144XL/MXSE_envsettings.html @@ -0,0 +1,244 @@ +Xilinx System Settings Report + +
System Settings

+

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Environment Settings
Environment Variablexstngdbuild
PATHEXT.COM;
.EXE;
.BAT;
.CMD;
.VBS;
.VBE;
.JS;
.JSE;
.WSF;
.WSH;
.MSC
.COM;
.EXE;
.BAT;
.CMD;
.VBS;
.VBE;
.JS;
.JSE;
.WSF;
.WSH;
.MSC
PathC:\Xilinx\14.7\ISE_DS\ISE\\lib\nt;
C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt;
C:\Xilinx\14.7\ISE_DS\ISE\bin\nt;
C:\Xilinx\14.7\ISE_DS\ISE\lib\nt;
C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;
C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;
C:\Xilinx\14.7\ISE_DS\EDK\bin\nt;
C:\Xilinx\14.7\ISE_DS\EDK\lib\nt;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt_be\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt_le\bin;
C:\Xilinx\14.7\ISE_DS\common\bin\nt;
C:\Xilinx\14.7\ISE_DS\common\lib\nt;
C:\Windows\system32;
C:\Windows;
C:\Windows\System32\Wbem;
C:\Windows\System32\WindowsPowerShell\v1.0\;
C:\altera\13.0sp1\modelsim_ase\win32aloem
C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt;
C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt;
C:\Xilinx\14.7\ISE_DS\ISE\bin\nt;
C:\Xilinx\14.7\ISE_DS\ISE\lib\nt;
C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;
C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;
C:\Xilinx\14.7\ISE_DS\EDK\bin\nt;
C:\Xilinx\14.7\ISE_DS\EDK\lib\nt;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt_be\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt_le\bin;
C:\Xilinx\14.7\ISE_DS\common\bin\nt;
C:\Xilinx\14.7\ISE_DS\common\lib\nt;
C:\Windows\system32;
C:\Windows;
C:\Windows\System32\Wbem;
C:\Windows\System32\WindowsPowerShell\v1.0\;
C:\altera\13.0sp1\modelsim_ase\win32aloem
XILINXC:\Xilinx\14.7\ISE_DS\ISE\C:\Xilinx\14.7\ISE_DS\ISE\
XILINX_DSPC:\Xilinx\14.7\ISE_DS\ISEC:\Xilinx\14.7\ISE_DS\ISE
XILINX_EDKC:\Xilinx\14.7\ISE_DS\EDKC:\Xilinx\14.7\ISE_DS\EDK
XILINX_PLANAHEADC:\Xilinx\14.7\ISE_DS\PlanAheadC:\Xilinx\14.7\ISE_DS\PlanAhead
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Synthesis Property Settings
Switch NameProperty NameValueDefault Value
-ifn MXSE.prj 
-ifmt mixedMIXED
-ofn MXSE 
-ofmt NGCNGC
-p xc9500xl 
-top MXSE 
-opt_modeOptimization GoalSpeedSPEED
-opt_levelOptimization Effort11
-iucUse synthesis Constraints FileNONO
-keep_hierarchyKeep HierarchyYesYES
-netlist_hierarchyNetlist HierarchyAs_Optimizedas_optimized
-rtlviewGenerate RTL SchematicYesNO
-bus_delimiterBus Delimiter<><>
-verilog2001Verilog 2001YESYES
-fsm_extract YESYES
-fsm_encoding AutoAUTO
-safe_implementation NoNO
-resource_sharing YESYES
-iobuf YESYES
-equivalent_register_removal YESYES
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Translation Property Settings
Switch NameProperty NameValueDefault Value
-intstyle iseNone
-dd _ngoNone
-p xc95144xl-TQ100-10None
-uc //192.168.64.1/Repos/Warp-SE/cpld/MXSE.ucfNone
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Operating System Information
Operating System Informationxstngdbuild
CPU Architecture/SpeedQEMU Virtual CPU version 2.5+/1000 MHzQEMU Virtual CPU version 2.5+/1000 MHz
HostZaneMacWin7ZaneMacWin7
OS NameMicrosoft Windows 7 , 32-bitMicrosoft Windows 7 , 32-bit
OS ReleaseService Pack 1 (build 7601)Service Pack 1 (build 7601)
+ \ No newline at end of file diff --git a/cpld/XC95144/MXSE_html/fit/applet.js b/cpld/XC95144XL/MXSE_html/fit/applet.js similarity index 100% rename from cpld/XC95144/MXSE_html/fit/applet.js rename to cpld/XC95144XL/MXSE_html/fit/applet.js diff --git a/cpld/XC95144/MXSE_html/fit/appletref.htm b/cpld/XC95144XL/MXSE_html/fit/appletref.htm similarity index 100% rename from cpld/XC95144/MXSE_html/fit/appletref.htm rename to cpld/XC95144XL/MXSE_html/fit/appletref.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/ascii 2.htm b/cpld/XC95144XL/MXSE_html/fit/ascii 2.htm new file mode 100644 index 0000000..9fad9ed --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/ascii 2.htm @@ -0,0 +1,1805 @@ + +
+ 
+cpldfit:  version P.20131013                        Xilinx Inc.
+                                  Fitter Report
+Design Name: MXSE                                Date: 12-11-2021,  6:24AM
+Device Used: XC95144XL-10-TQ100
+Fitting Status: Successful
+
+*************************  Mapped Resource Summary  **************************
+
+Macrocells     Product Terms    Function Block   Registers      Pins           
+Used/Tot       Used/Tot         Inps Used/Tot    Used/Tot       Used/Tot       
+110/144 ( 76%) 439 /720  ( 61%) 244/432 ( 56%)   84 /144 ( 58%) 67 /81  ( 83%)
+
+** Function Block Resources **
+
+Function    Mcells      FB Inps     Pterms      IO          
+Block       Used/Tot    Used/Tot    Used/Tot    Used/Tot    
+FB1           9/18       36/54       80/90      11/11*
+FB2          18/18*      35/54       34/90       6/10
+FB3          14/18       35/54       77/90       6/10
+FB4          10/18       36/54       82/90      10/10*
+FB5          17/18       35/54       47/90       4/10
+FB6          18/18*      24/54       37/90      10/10*
+FB7          17/18       35/54       76/90      10/10*
+FB8           7/18        8/54        6/90      10/10*
+             -----       -----       -----      -----    
+            110/144     244/432     439/720     67/81 
+
+* - Resource is exhausted
+
+** Global Control Resources **
+
+Signal 'CLK2X_IOB' mapped onto global clock net GCK1.
+Signal 'CLK_FSB' mapped onto global clock net GCK2.
+Signal 'CLK_IOB' mapped onto global clock net GCK3.
+Global output enable net(s) unused.
+Signal 'nRES' mapped onto global set/reset net GSR.
+
+** Pin Resources **
+
+Signal Type    Required     Mapped  |  Pin Type            Used    Total 
+------------------------------------|------------------------------------
+Input         :   31          31    |  I/O              :    63      73
+Output        :   32          32    |  GCK/IO           :     3       3
+Bidirectional :    0           0    |  GTS/IO           :     0       4
+GCK           :    3           3    |  GSR/IO           :     1       1
+GTS           :    0           0    |
+GSR           :    1           1    |
+                 ----        ----
+        Total     67          67
+
+** Power Data **
+
+There are 110 macrocells in high performance mode (MCHP).
+There are 0 macrocells in low power mode (MCLP).
+End of Mapped Resource Summary
+**************************  Errors and Warnings  ***************************
+
+WARNING:Cpld - Unable to retrieve the path to the iSE Project Repository. Will
+   use the default filename of 'MXSE.ise'.
+*************************  Summary of Mapped Logic  ************************
+
+** 32 Outputs **
+
+Signal                                Total Total Loc     Pin  Pin     Pin     Pwr  Slew Reg Init
+Name                                  Pts   Inps          No.  Type    Use     Mode Rate State
+nDTACK_FSB                            23    32    FB1_2   11   I/O     O       STD  FAST RESET
+nBERR_FSB                             3     9     FB1_6   14   I/O     O       STD  FAST 
+nOE                                   1     2     FB1_11  17   I/O     O       STD  FAST 
+nLDS_IOB                              3     7     FB2_11  6    I/O     O       STD  FAST RESET
+nUDS_IOB                              3     7     FB2_12  7    I/O     O       STD  FAST RESET
+nDoutOE                               2     7     FB2_14  8    I/O     O       STD  FAST RESET
+nAS_IOB                               1     5     FB2_17  10   I/O     O       STD  FAST RESET
+nRAS                                  3     8     FB3_5   24   I/O     O       STD  FAST 
+nADoutLE1                             2     3     FB3_11  29   I/O     O       STD  FAST SET
+nVMA_IOB                              2     9     FB3_15  33   I/O     O       STD  FAST RESET
+RA<0>                                 2     3     FB4_2   87   I/O     O       STD  FAST 
+RA<2>                                 2     3     FB4_8   91   I/O     O       STD  FAST 
+RA<4>                                 2     3     FB4_12  94   I/O     O       STD  FAST 
+nDinOE                                2     6     FB4_17  97   I/O     O       STD  FAST 
+RA<1>                                 2     3     FB5_2   35   I/O     O       STD  FAST 
+RA<3>                                 2     3     FB5_8   39   I/O     O       STD  FAST 
+nROMCS                                2     5     FB5_12  42   I/O     O       STD  FAST 
+nCAS                                  1     1     FB6_2   74   I/O     O       STD  FAST RESET
+nRAMLWE                               1     5     FB6_6   77   I/O     O       STD  FAST 
+nRAMUWE                               1     5     FB6_9   79   I/O     O       STD  FAST 
+nROMWE                                1     2     FB6_12  81   I/O     O       STD  FAST 
+nVPA_FSB                              1     2     FB6_15  85   I/O     O       STD  FAST 
+RA<5>                                 2     3     FB7_2   50   I/O     O       STD  FAST 
+RA<6>                                 2     3     FB7_6   53   I/O     O       STD  FAST 
+RA<7>                                 2     3     FB7_9   55   I/O     O       STD  FAST 
+RA<8>                                 2     3     FB7_12  58   I/O     O       STD  FAST 
+RA<9>                                 2     3     FB7_15  60   I/O     O       STD  FAST 
+RA<11>                                1     1     FB8_2   63   I/O     O       STD  FAST 
+RA<10>                                1     1     FB8_6   65   I/O     O       STD  FAST 
+nADoutLE0                             1     2     FB8_9   67   I/O     O       STD  FAST 
+nAoutOE                               0     0     FB8_12  70   I/O     O       STD  FAST 
+nDinLE                                1     2     FB8_15  72   I/O     O       STD  FAST RESET
+
+** 78 Buried Nodes **
+
+Signal                                Total Total Loc     Pwr  Reg Init
+Name                                  Pts   Inps          Mode State
+$OpTx$FX_DC$606                       5     12    FB1_4   STD  
+fsb/Ready1r                           7     17    FB1_7   STD  RESET
+fsb/VPA__or00001/fsb/VPA__or00001_D2  8     20    FB1_10  STD  
+fsb/Ready2r                           9     22    FB1_12  STD  RESET
+fsb/VPA                               22    30    FB1_15  STD  RESET
+$OpTx$FX_DC$602                       2     5     FB1_17  STD  
+iobm/VPArr                            1     1     FB2_1   STD  RESET
+iobm/VPArf                            1     1     FB2_2   STD  RESET
+iobm/RESrr                            1     1     FB2_3   STD  RESET
+iobm/RESrf                            1     1     FB2_4   STD  RESET
+iobm/IOREQr                           1     1     FB2_5   STD  RESET
+cnt/RefCnt<3>                         1     3     FB2_6   STD  RESET
+cnt/RefCnt<2>                         1     2     FB2_7   STD  RESET
+cnt/RefCnt<1>                         1     1     FB2_8   STD  RESET
+fsb/BERR1r                            2     4     FB2_9   STD  RESET
+cnt/RefDone                           2     10    FB2_10  STD  RESET
+cnt/TimeoutBPre                       3     11    FB2_13  STD  RESET
+TimeoutB                              3     12    FB2_15  STD  RESET
+TimeoutA                              3     10    FB2_16  STD  RESET
+iobs/IOReady                          4     8     FB2_18  STD  RESET
+IORW0                                 18    20    FB3_3   STD  RESET
+iobs/IOACTr                           1     1     FB3_4   STD  RESET
+iobs/Clear1                           1     3     FB3_6   STD  RESET
+fsb/ASrf                              1     1     FB3_7   STD  RESET
+ALE0S                                 1     2     FB3_8   STD  RESET
+iobs/PS_FSM_FFd2                      14    19    FB3_9   STD  RESET
+iobs/PS_FSM_FFd1                      2     3     FB3_12  STD  RESET
+IOREQ                                 14    19    FB3_13  STD  RESET
+iobm/ETACK                            1     6     FB3_14  STD  RESET
+iobs/IORW1                            16    19    FB3_17  STD  RESET
+ram/BACTr                             1     2     FB3_18  STD  RESET
+ram/RASEL                             20    15    FB4_1   STD  RESET
+cs/nOverlay1                          2     3     FB4_3   STD  RESET
+iobs/Load1                            14    18    FB4_6   STD  RESET
+iobs/Once                             17    18    FB4_10  STD  RESET
+ram/RAMDIS1                           18    15    FB4_14  STD  RESET
+fsb/BERR0r                            3     8     FB4_16  STD  RESET
+IOACT                                 10    15    FB5_1   STD  RESET
+iobm/IOS_FSM_FFd7                     1     3     FB5_3   STD  RESET
+iobm/IOS_FSM_FFd6                     1     1     FB5_4   STD  RESET
+
+Signal                                Total Total Loc     Pwr  Reg Init
+Name                                  Pts   Inps          Mode State
+iobm/IOS_FSM_FFd5                     1     1     FB5_5   STD  RESET
+iobm/IOS_FSM_FFd4                     1     1     FB5_6   STD  RESET
+iobm/BERRrr                           1     1     FB5_7   STD  RESET
+iobm/BERRrf                           1     1     FB5_9   STD  RESET
+cnt/RefCnt<0>                         0     0     FB5_10  STD  RESET
+iobm/IOS_FSM_FFd8                     2     4     FB5_11  STD  SET
+ALE0M                                 2     7     FB5_13  STD  RESET
+iobm/IOS_FSM_FFd2                     4     9     FB5_14  STD  RESET
+BERR_IOBS                             4     8     FB5_15  STD  RESET
+iobm/IOS_FSM_FFd3                     5     10    FB5_16  STD  RESET
+IOBERR                                8     11    FB5_18  STD  RESET
+iobm/Er2                              1     1     FB6_1   STD  RESET
+iobm/DTACKrr                          1     1     FB6_3   STD  RESET
+iobm/DTACKrf                          1     1     FB6_4   STD  RESET
+RefAck                                1     2     FB6_5   STD  RESET
+iobs/IOU1                             2     2     FB6_7   STD  RESET
+iobs/IOL1                             2     2     FB6_8   STD  RESET
+iobm/ES<3>                            3     6     FB6_10  STD  RESET
+iobm/ES<1>                            3     4     FB6_11  STD  RESET
+iobm/ES<0>                            3     7     FB6_13  STD  RESET
+IOU0                                  3     5     FB6_14  STD  RESET
+IOL0                                  3     5     FB6_16  STD  RESET
+iobm/ES<4>                            4     7     FB6_17  STD  RESET
+iobm/ES<2>                            5     7     FB6_18  STD  RESET
+cnt/RefCnt<5>                         1     5     FB7_1   STD  RESET
+cnt/RefCnt<4>                         1     4     FB7_3   STD  RESET
+cs/nOverlay0                          2     7     FB7_4   STD  RESET
+ram/RS_FSM_FFd3                       11    14    FB7_5   STD  RESET
+fsb/Ready0r                           3     8     FB7_7   STD  RESET
+ram/RS_FSM_FFd1                       5     10    FB7_8   STD  RESET
+ram/Once                              5     10    FB7_10  STD  RESET
+ram/RAMDIS2                           7     15    FB7_11  STD  RESET
+ram/RS_FSM_FFd2                       13    14    FB7_13  STD  RESET
+cnt/RefCnt<7>                         1     7     FB7_14  STD  RESET
+cnt/RefCnt<6>                         1     6     FB7_16  STD  RESET
+ram/RAMReady                          16    15    FB7_17  STD  RESET
+iobm/IOS_FSM_FFd1                     1     1     FB8_17  STD  RESET
+iobm/Er                               1     1     FB8_18  STD  RESET
+
+** 35 Inputs **
+
+Signal                                Loc     Pin  Pin     Pin     
+Name                                          No.  Type    Use     
+A_FSB<9>                              FB1_3   12   I/O     I
+nAS_FSB                               FB1_5   13   I/O     I
+A_FSB<5>                              FB1_8   15   I/O     I
+A_FSB<2>                              FB1_9   16   I/O     I
+nBERR_IOB                             FB1_12  18   I/O     I
+A_FSB<6>                              FB1_14  19   I/O     I
+A_FSB<7>                              FB1_15  20   I/O     I
+CLK2X_IOB                             FB1_17  22~  GCK/I/O GCK
+nRES                                  FB2_2   99~  GSR/I/O GSR/I
+A_FSB<22>                             FB2_15  9    I/O     I
+CLK_FSB                               FB3_2   23~  GCK/I/O GCK
+nLDS_FSB                              FB3_6   25   I/O     I
+CLK_IOB                               FB3_8   27~  GCK/I/O GCK/I
+A_FSB<10>                             FB4_5   89   I/O     I
+A_FSB<1>                              FB4_6   90   I/O     I
+A_FSB<12>                             FB4_9   92   I/O     I
+A_FSB<14>                             FB4_11  93   I/O     I
+A_FSB<16>                             FB4_14  95   I/O     I
+A_FSB<17>                             FB4_15  96   I/O     I
+E_IOB                                 FB5_17  49   I/O     I
+A_FSB<18>                             FB6_5   76   I/O     I
+A_FSB<3>                              FB6_8   78   I/O     I
+A_FSB<15>                             FB6_11  80   I/O     I
+A_FSB<13>                             FB6_14  82   I/O     I
+A_FSB<11>                             FB6_17  86   I/O     I
+nUDS_FSB                              FB7_5   52   I/O     I
+nDTACK_IOB                            FB7_8   54   I/O     I
+A_FSB<4>                              FB7_11  56   I/O     I
+nVPA_IOB                              FB7_14  59   I/O     I
+nWE_FSB                               FB7_17  61   I/O     I
+A_FSB<8>                              FB8_5   64   I/O     I
+A_FSB<23>                             FB8_8   66   I/O     I
+A_FSB<21>                             FB8_11  68   I/O     I
+A_FSB<20>                             FB8_14  71   I/O     I
+A_FSB<19>                             FB8_17  73   I/O     I
+
+Legend:
+Pin No. - ~ - User Assigned
+**************************  Function Block Details  ************************
+Legend:
+Total Pt     - Total product terms used by the macrocell signal
+Imp Pt       - Product terms imported from other macrocells
+Exp Pt       - Product terms exported to other macrocells
+               in direction shown
+Unused Pt    - Unused local product terms remaining in macrocell
+Loc          - Location where logic was mapped in device
+Pin Type/Use - I  - Input             GCK - Global Clock
+               O  - Output            GTS - Global Output Enable
+              (b) - Buried macrocell  GSR - Global Set/Reset
+X            - Signal used as input to the macrocell logic.
+Pin No.      - ~  - User Assigned
+*********************************** FB1  ***********************************
+Number of function block inputs used/remaining:               36/18
+Number of signals used by logic mapping into function block:  36
+Signal              Total   Imp   Exp Unused  Loc     Pin   Pin     Pin
+Name                Pt      Pt    Pt  Pt               #    Type    Use
+(unused)              0       0   \/5   0     FB1_1         (b)     (b)
+nDTACK_FSB           23      18<-   0   0     FB1_2   11    I/O     O
+(unused)              0       0   /\5   0     FB1_3   12    I/O     I
+$OpTx$FX_DC$606       5       3<- /\3   0     FB1_4         (b)     (b)
+(unused)              0       0   /\3   2     FB1_5   13    I/O     I
+nBERR_FSB             3       0   \/2   0     FB1_6   14    I/O     O
+fsb/Ready1r           7       2<-   0   0     FB1_7         (b)     (b)
+(unused)              0       0     0   5     FB1_8   15    I/O     I
+(unused)              0       0   \/3   2     FB1_9   16    I/O     I
+fsb/VPA__or00001/fsb/VPA__or00001_D2
+                      8       3<-   0   0     FB1_10        (b)     (b)
+nOE                   1       0   \/4   0     FB1_11  17    I/O     O
+fsb/Ready2r           9       4<-   0   0     FB1_12  18    I/O     I
+(unused)              0       0   \/5   0     FB1_13        (b)     (b)
+(unused)              0       0   \/5   0     FB1_14  19    I/O     I
+fsb/VPA              22      17<-   0   0     FB1_15  20    I/O     I
+(unused)              0       0   /\5   0     FB1_16        (b)     (b)
+$OpTx$FX_DC$602       2       0   /\2   1     FB1_17  22    GCK/I/O GCK
+(unused)              0       0   \/5   0     FB1_18        (b)     (b)
+
+Signals Used by Logic in Function Block
+  1: $OpTx$FX_DC$602   13: A_FSB<20>         25: fsb/BERR1r 
+  2: $OpTx$FX_DC$606   14: A_FSB<21>         26: fsb/Ready0r 
+  3: A_FSB<10>         15: A_FSB<22>         27: fsb/Ready1r 
+  4: A_FSB<11>         16: A_FSB<23>         28: fsb/Ready2r 
+  5: A_FSB<12>         17: A_FSB<8>          29: fsb/VPA 
+  6: A_FSB<13>         18: A_FSB<9>          30: fsb/VPA__or00001/fsb/VPA__or00001_D2 
+  7: A_FSB<14>         19: BERR_IOBS         31: iobs/IOReady 
+  8: A_FSB<15>         20: TimeoutA          32: nADoutLE1 
+  9: A_FSB<16>         21: TimeoutB          33: nAS_FSB 
+ 10: A_FSB<17>         22: cs/nOverlay1      34: nDTACK_FSB 
+ 11: A_FSB<18>         23: fsb/ASrf          35: nWE_FSB 
+ 12: A_FSB<19>         24: fsb/BERR0r        36: ram/RAMReady 
+
+Signal                        1         2         3         4 FB
+Name                0----+----0----+----0----+----0----+----0 Inputs
+nDTACK_FSB           X.XXXXXXXXXXXXXXXXXX.XXXXXXX..XXXXXX.... 32
+$OpTx$FX_DC$606      .....XX.XXXXXXX......X.........X..X..... 12
+nBERR_FSB            ............XXXX..X.X..XX.......X....... 9
+fsb/Ready1r          .....XX.XXXXXXXX.....XX...X...XXX.X..... 17
+fsb/VPA__or00001/fsb/VPA__or00001_D2 
+                     ..XXXXXXXXXXXXXXXX.X.X.....X......X..... 20
+nOE                  ................................X.X..... 2
+fsb/Ready2r          ..XXXXXXXXXXXXXXXX.X.XX....X....X.X..... 22
+fsb/VPA              XXXXXXXXXXXXXXXXXXX..XXXXXX.XXX.X..X.... 30
+$OpTx$FX_DC$602      ............XXXX....X................... 5
+                    0----+----1----+----2----+----3----+----4
+                              0         0         0         0
+*********************************** FB2  ***********************************
+Number of function block inputs used/remaining:               35/19
+Number of signals used by logic mapping into function block:  35
+Signal              Total   Imp   Exp Unused  Loc     Pin   Pin     Pin
+Name                Pt      Pt    Pt  Pt               #    Type    Use
+iobm/VPArr            1       0     0   4     FB2_1         (b)     (b)
+iobm/VPArf            1       0     0   4     FB2_2   99    GSR/I/O GSR/I
+iobm/RESrr            1       0     0   4     FB2_3         (b)     (b)
+iobm/RESrf            1       0     0   4     FB2_4         (b)     (b)
+iobm/IOREQr           1       0     0   4     FB2_5   1     GTS/I/O (b)
+cnt/RefCnt<3>         1       0     0   4     FB2_6   2     GTS/I/O (b)
+cnt/RefCnt<2>         1       0     0   4     FB2_7         (b)     (b)
+cnt/RefCnt<1>         1       0     0   4     FB2_8   3     GTS/I/O (b)
+fsb/BERR1r            2       0     0   3     FB2_9   4     GTS/I/O (b)
+cnt/RefDone           2       0     0   3     FB2_10        (b)     (b)
+nLDS_IOB              3       0     0   2     FB2_11  6     I/O     O
+nUDS_IOB              3       0     0   2     FB2_12  7     I/O     O
+cnt/TimeoutBPre       3       0     0   2     FB2_13        (b)     (b)
+nDoutOE               2       0     0   3     FB2_14  8     I/O     O
+TimeoutB              3       0     0   2     FB2_15  9     I/O     I
+TimeoutA              3       0     0   2     FB2_16        (b)     (b)
+nAS_IOB               1       0     0   4     FB2_17  10    I/O     O
+iobs/IOReady          4       0     0   1     FB2_18        (b)     (b)
+
+Signals Used by Logic in Function Block
+  1: BERR_IOBS         13: cnt/RefCnt<3>      25: iobm/IOS_FSM_FFd5 
+  2: IOBERR            14: cnt/RefCnt<4>      26: iobm/IOS_FSM_FFd6 
+  3: IOL0              15: cnt/RefCnt<5>      27: iobm/IOS_FSM_FFd7 
+  4: IOREQ             16: cnt/RefCnt<6>      28: iobs/IOACTr 
+  5: IORW0             17: cnt/RefCnt<7>      29: iobs/IOReady 
+  6: IOU0              18: cnt/RefDone        30: iobs/Once 
+  7: RefAck            19: cnt/TimeoutBPre    31: iobs/PS_FSM_FFd2 
+  8: TimeoutA          20: fsb/ASrf           32: nADoutLE1 
+  9: TimeoutB          21: fsb/BERR1r         33: nAS_FSB 
+ 10: cnt/RefCnt<0>     22: iobm/IOS_FSM_FFd2  34: nRES 
+ 11: cnt/RefCnt<1>     23: iobm/IOS_FSM_FFd3  35: nVPA_IOB 
+ 12: cnt/RefCnt<2>     24: iobm/IOS_FSM_FFd4 
+
+Signal                        1         2         3         4 FB
+Name                0----+----0----+----0----+----0----+----0 Inputs
+iobm/VPArr           ..................................X..... 1
+iobm/VPArf           ..................................X..... 1
+iobm/RESrr           .................................X...... 1
+iobm/RESrf           .................................X...... 1
+iobm/IOREQr          ...X.................................... 1
+cnt/RefCnt<3>        .........XXX............................ 3
+cnt/RefCnt<2>        .........XX............................. 2
+cnt/RefCnt<1>        .........X.............................. 1
+fsb/BERR1r           X..................XX...........X....... 4
+cnt/RefDone          ......X..XXXXXXXXX...................... 10
+nLDS_IOB             ..X.X.................XXXXX............. 7
+nUDS_IOB             ....XX................XXXXX............. 7
+cnt/TimeoutBPre      .........XXXXXXXX.XX............X....... 11
+nDoutOE              ....X................XXXXXX............. 7
+TimeoutB             ........XXXXXXXXX.XX............X....... 12
+TimeoutA             .......X.XXXXXXX...X............X....... 10
+nAS_IOB              ......................XXXXX............. 5
+iobs/IOReady         .X.................X.......XXXXXX....... 8
+                    0----+----1----+----2----+----3----+----4
+                              0         0         0         0
+*********************************** FB3  ***********************************
+Number of function block inputs used/remaining:               35/19
+Number of signals used by logic mapping into function block:  35
+Signal              Total   Imp   Exp Unused  Loc     Pin   Pin     Pin
+Name                Pt      Pt    Pt  Pt               #    Type    Use
+(unused)              0       0   \/4   1     FB3_1         (b)     (b)
+(unused)              0       0   \/5   0     FB3_2   23    GCK/I/O GCK
+IORW0                18      13<-   0   0     FB3_3         (b)     (b)
+iobs/IOACTr           1       0   /\4   0     FB3_4         (b)     (b)
+nRAS                  3       0     0   2     FB3_5   24    I/O     O
+iobs/Clear1           1       0     0   4     FB3_6   25    I/O     I
+fsb/ASrf              1       0     0   4     FB3_7         (b)     (b)
+ALE0S                 1       0   \/4   0     FB3_8   27    GCK/I/O GCK/I
+iobs/PS_FSM_FFd2     14       9<-   0   0     FB3_9   28    I/O     (b)
+(unused)              0       0   /\5   0     FB3_10        (b)     (b)
+nADoutLE1             2       0   \/2   1     FB3_11  29    I/O     O
+iobs/PS_FSM_FFd1      2       2<- \/5   0     FB3_12  30    I/O     (b)
+IOREQ                14       9<-   0   0     FB3_13        (b)     (b)
+iobm/ETACK            1       0   /\4   0     FB3_14  32    I/O     (b)
+nVMA_IOB              2       0   \/2   1     FB3_15  33    I/O     O
+(unused)              0       0   \/5   0     FB3_16        (b)     (b)
+iobs/IORW1           16      11<-   0   0     FB3_17  34    I/O     (b)
+ram/BACTr             1       0   /\4   0     FB3_18        (b)     (b)
+
+Signals Used by Logic in Function Block
+  1: A_FSB<13>         13: RefAck            25: iobs/IORW1 
+  2: A_FSB<14>         14: cs/nOverlay1      26: iobs/Load1 
+  3: A_FSB<16>         15: fsb/ASrf          27: iobs/Once 
+  4: A_FSB<17>         16: iobm/ES<0>        28: iobs/PS_FSM_FFd1 
+  5: A_FSB<18>         17: iobm/ES<1>        29: iobs/PS_FSM_FFd2 
+  6: A_FSB<19>         18: iobm/ES<2>        30: nADoutLE1 
+  7: A_FSB<20>         19: iobm/ES<3>        31: nAS_FSB 
+  8: A_FSB<21>         20: iobm/ES<4>        32: nVMA_IOB 
+  9: A_FSB<22>         21: iobm/VPArf        33: nWE_FSB 
+ 10: A_FSB<23>         22: iobm/VPArr        34: ram/RAMDIS1 
+ 11: IOACT             23: iobs/Clear1       35: ram/RAMDIS2 
+ 12: IORW0             24: iobs/IOACTr      
+
+Signal                        1         2         3         4 FB
+Name                0----+----0----+----0----+----0----+----0 Inputs
+IORW0                XXXXXXXXXX.X.XX.........X.XXXXX.X....... 20
+iobs/IOACTr          ..........X............................. 1
+nRAS                 .......XXX..XX................X..XX..... 8
+iobs/Clear1          ...........................XXX.......... 3
+fsb/ASrf             ..............................X......... 1
+ALE0S                ...........................XX........... 2
+iobs/PS_FSM_FFd2     XXXXXXXXXX...XX........X..XXXXX.X....... 19
+nADoutLE1            ......................X..X...X.......... 3
+iobs/PS_FSM_FFd1     .......................X...XX........... 3
+IOREQ                XXXXXXXXXX...XX........X..XXXXX.X....... 19
+iobm/ETACK           ...............XXXXX...........X........ 6
+nVMA_IOB             ..........X....XXXXXXX.........X........ 9
+iobs/IORW1           XXXXXXXXXX...XX.........X.XXXXX.X....... 19
+ram/BACTr            ..............X...............X......... 2
+                    0----+----1----+----2----+----3----+----4
+                              0         0         0         0
+*********************************** FB4  ***********************************
+Number of function block inputs used/remaining:               36/18
+Number of signals used by logic mapping into function block:  36
+Signal              Total   Imp   Exp Unused  Loc     Pin   Pin     Pin
+Name                Pt      Pt    Pt  Pt               #    Type    Use
+ram/RASEL            20      15<-   0   0     FB4_1         (b)     (b)
+RA<0>                 2       2<- /\5   0     FB4_2   87    I/O     O
+cs/nOverlay1          2       0   /\2   1     FB4_3         (b)     (b)
+(unused)              0       0     0   5     FB4_4         (b)     
+(unused)              0       0   \/4   1     FB4_5   89    I/O     I
+iobs/Load1           14       9<-   0   0     FB4_6   90    I/O     I
+(unused)              0       0   /\5   0     FB4_7         (b)     (b)
+RA<2>                 2       0   \/2   1     FB4_8   91    I/O     O
+(unused)              0       0   \/5   0     FB4_9   92    I/O     I
+iobs/Once            17      12<-   0   0     FB4_10        (b)     (b)
+(unused)              0       0   /\5   0     FB4_11  93    I/O     I
+RA<4>                 2       0   \/3   0     FB4_12  94    I/O     O
+(unused)              0       0   \/5   0     FB4_13        (b)     (b)
+ram/RAMDIS1          18      13<-   0   0     FB4_14  95    I/O     I
+(unused)              0       0   /\5   0     FB4_15  96    I/O     I
+fsb/BERR0r            3       0   \/2   0     FB4_16        (b)     (b)
+nDinOE                2       2<- \/5   0     FB4_17  97    I/O     O
+(unused)              0       0   \/5   0     FB4_18        (b)     (b)
+
+Signals Used by Logic in Function Block
+  1: A_FSB<10>         13: A_FSB<23>         25: iobs/Once 
+  2: A_FSB<12>         14: A_FSB<3>          26: iobs/PS_FSM_FFd1 
+  3: A_FSB<13>         15: A_FSB<5>          27: iobs/PS_FSM_FFd2 
+  4: A_FSB<14>         16: TimeoutB          28: nADoutLE1 
+  5: A_FSB<16>         17: cnt/RefCnt<5>     29: nAS_FSB 
+  6: A_FSB<17>         18: cnt/RefCnt<6>     30: nWE_FSB 
+  7: A_FSB<18>         19: cnt/RefCnt<7>     31: ram/BACTr 
+  8: A_FSB<19>         20: cnt/RefDone       32: ram/Once 
+  9: A_FSB<1>          21: cs/nOverlay0      33: ram/RASEL 
+ 10: A_FSB<20>         22: cs/nOverlay1      34: ram/RS_FSM_FFd1 
+ 11: A_FSB<21>         23: fsb/ASrf          35: ram/RS_FSM_FFd2 
+ 12: A_FSB<22>         24: fsb/BERR0r        36: ram/RS_FSM_FFd3 
+
+Signal                        1         2         3         4 FB
+Name                0----+----0----+----0----+----0----+----0 Inputs
+ram/RASEL            ..........XXX...XXXX.XX.....X.XX.XXX.... 15
+RA<0>                X.......X.......................X....... 3
+cs/nOverlay1         ....................X.X.....X........... 3
+iobs/Load1           ..XXXXXX.XXXX........XX.XXXXXX.......... 18
+RA<2>                .X...........X..................X....... 3
+iobs/Once            ..XXXXXX.XXXX........XX.XXXXXX.......... 18
+RA<4>                ...X..........X.................X....... 3
+ram/RAMDIS1          ..........XXX...XXXX.XX.....X.XX.XXX.... 15
+fsb/BERR0r           .........XXXX..X......XX....X........... 8
+nDinOE               .........XXXX...............XX.......... 6
+                    0----+----1----+----2----+----3----+----4
+                              0         0         0         0
+*********************************** FB5  ***********************************
+Number of function block inputs used/remaining:               35/19
+Number of signals used by logic mapping into function block:  35
+Signal              Total   Imp   Exp Unused  Loc     Pin   Pin     Pin
+Name                Pt      Pt    Pt  Pt               #    Type    Use
+IOACT                10       5<-   0   0     FB5_1         (b)     (b)
+RA<1>                 2       0   /\3   0     FB5_2   35    I/O     O
+iobm/IOS_FSM_FFd7     1       0     0   4     FB5_3         (b)     (b)
+iobm/IOS_FSM_FFd6     1       0     0   4     FB5_4         (b)     (b)
+iobm/IOS_FSM_FFd5     1       0     0   4     FB5_5   36    I/O     (b)
+iobm/IOS_FSM_FFd4     1       0     0   4     FB5_6   37    I/O     (b)
+iobm/BERRrr           1       0     0   4     FB5_7         (b)     (b)
+RA<3>                 2       0     0   3     FB5_8   39    I/O     O
+iobm/BERRrf           1       0     0   4     FB5_9   40    I/O     (b)
+cnt/RefCnt<0>         0       0     0   5     FB5_10        (b)     (b)
+iobm/IOS_FSM_FFd8     2       0     0   3     FB5_11  41    I/O     (b)
+nROMCS                2       0     0   3     FB5_12  42    I/O     O
+ALE0M                 2       0     0   3     FB5_13        (b)     (b)
+iobm/IOS_FSM_FFd2     4       0     0   1     FB5_14  43    I/O     (b)
+BERR_IOBS             4       0     0   1     FB5_15  46    I/O     (b)
+iobm/IOS_FSM_FFd3     5       0     0   0     FB5_16        (b)     (b)
+(unused)              0       0   \/5   0     FB5_17  49    I/O     I
+IOBERR                8       5<- \/2   0     FB5_18        (b)     (b)
+
+Signals Used by Logic in Function Block
+  1: A_FSB<11>         13: fsb/ASrf           25: iobm/IOS_FSM_FFd7 
+  2: A_FSB<13>         14: iobm/BERRrf        26: iobm/IOS_FSM_FFd8 
+  3: A_FSB<20>         15: iobm/BERRrr        27: iobm/RESrf 
+  4: A_FSB<21>         16: iobm/DTACKrf       28: iobm/RESrr 
+  5: A_FSB<22>         17: iobm/DTACKrr       29: iobs/IOACTr 
+  6: A_FSB<23>         18: iobm/ETACK         30: iobs/Once 
+  7: A_FSB<2>          19: iobm/IOREQr        31: iobs/PS_FSM_FFd2 
+  8: A_FSB<4>          20: iobm/IOS_FSM_FFd1  32: nADoutLE1 
+  9: BERR_IOBS         21: iobm/IOS_FSM_FFd3  33: nAS_FSB 
+ 10: CLK_IOB           22: iobm/IOS_FSM_FFd4  34: nBERR_IOB 
+ 11: IOBERR            23: iobm/IOS_FSM_FFd5  35: ram/RASEL 
+ 12: cs/nOverlay1      24: iobm/IOS_FSM_FFd6 
+
+Signal                        1         2         3         4 FB
+Name                0----+----0----+----0----+----0----+----0 Inputs
+IOACT                .........X...XXXXXX.XXXXXXXX............ 15
+RA<1>                X.....X...........................X..... 3
+iobm/IOS_FSM_FFd7    .........X........X......X.............. 3
+iobm/IOS_FSM_FFd6    ........................X............... 1
+iobm/IOS_FSM_FFd5    .......................X................ 1
+iobm/IOS_FSM_FFd4    ......................X................. 1
+iobm/BERRrr          .................................X...... 1
+RA<3>                .X.....X..........................X..... 3
+iobm/BERRrf          .................................X...... 1
+cnt/RefCnt<0>        ........................................ 0
+iobm/IOS_FSM_FFd8    .........X........XX.....X.............. 4
+nROMCS               ..XXXX.....X............................ 5
+ALE0M                ..................X.XXXXXX.............. 7
+iobm/IOS_FSM_FFd2    .........X...XXXXX..X.....XX............ 9
+BERR_IOBS            ........X.X.X...............XXXXX....... 8
+iobm/IOS_FSM_FFd3    .........X...XXXXX..XX....XX............ 10
+IOBERR               .........XX..XXXXX..X.....XX.....X...... 11
+                    0----+----1----+----2----+----3----+----4
+                              0         0         0         0
+*********************************** FB6  ***********************************
+Number of function block inputs used/remaining:               24/30
+Number of signals used by logic mapping into function block:  24
+Signal              Total   Imp   Exp Unused  Loc     Pin   Pin     Pin
+Name                Pt      Pt    Pt  Pt               #    Type    Use
+iobm/Er2              1       0     0   4     FB6_1         (b)     (b)
+nCAS                  1       0     0   4     FB6_2   74    I/O     O
+iobm/DTACKrr          1       0     0   4     FB6_3         (b)     (b)
+iobm/DTACKrf          1       0     0   4     FB6_4         (b)     (b)
+RefAck                1       0     0   4     FB6_5   76    I/O     I
+nRAMLWE               1       0     0   4     FB6_6   77    I/O     O
+iobs/IOU1             2       0     0   3     FB6_7         (b)     (b)
+iobs/IOL1             2       0     0   3     FB6_8   78    I/O     I
+nRAMUWE               1       0     0   4     FB6_9   79    I/O     O
+iobm/ES<3>            3       0     0   2     FB6_10        (b)     (b)
+iobm/ES<1>            3       0     0   2     FB6_11  80    I/O     I
+nROMWE                1       0     0   4     FB6_12  81    I/O     O
+iobm/ES<0>            3       0     0   2     FB6_13        (b)     (b)
+IOU0                  3       0     0   2     FB6_14  82    I/O     I
+nVPA_FSB              1       0     0   4     FB6_15  85    I/O     O
+IOL0                  3       0     0   2     FB6_16        (b)     (b)
+iobm/ES<4>            4       0     0   1     FB6_17  86    I/O     I
+iobm/ES<2>            5       0     0   0     FB6_18        (b)     (b)
+
+Signals Used by Logic in Function Block
+  1: fsb/VPA            9: iobs/IOL1         17: nLDS_FSB 
+  2: iobm/ES<0>        10: iobs/IOU1         18: nUDS_FSB 
+  3: iobm/ES<1>        11: iobs/Load1        19: nWE_FSB 
+  4: iobm/ES<2>        12: iobs/PS_FSM_FFd1  20: ram/RAMDIS1 
+  5: iobm/ES<3>        13: iobs/PS_FSM_FFd2  21: ram/RAMDIS2 
+  6: iobm/ES<4>        14: nADoutLE1         22: ram/RASEL 
+  7: iobm/Er           15: nAS_FSB           23: ram/RS_FSM_FFd1 
+  8: iobm/Er2          16: nDTACK_IOB        24: ram/RS_FSM_FFd2 
+
+Signal                        1         2         3         4 FB
+Name                0----+----0----+----0----+----0----+----0 Inputs
+iobm/Er2             ......X................................. 1
+nCAS                 .....................X.................. 1
+iobm/DTACKrr         ...............X........................ 1
+iobm/DTACKrf         ...............X........................ 1
+RefAck               ......................XX................ 2
+nRAMLWE              ..............X.X.XXX................... 5
+iobs/IOU1            ..........X......X...................... 2
+iobs/IOL1            ..........X.....X....................... 2
+nRAMUWE              ..............X..XXXX................... 5
+iobm/ES<3>           .XXXX.XX................................ 6
+iobm/ES<1>           .XX...XX................................ 4
+nROMWE               ..............X...X..................... 2
+iobm/ES<0>           .XXXXXXX................................ 7
+IOU0                 .........X.XXX...X...................... 5
+nVPA_FSB             X.............X......................... 2
+IOL0                 ........X..XXX..X....................... 5
+iobm/ES<4>           .XXXXXXX................................ 7
+iobm/ES<2>           .XXXXXXX................................ 7
+                    0----+----1----+----2----+----3----+----4
+                              0         0         0         0
+*********************************** FB7  ***********************************
+Number of function block inputs used/remaining:               35/19
+Number of signals used by logic mapping into function block:  35
+Signal              Total   Imp   Exp Unused  Loc     Pin   Pin     Pin
+Name                Pt      Pt    Pt  Pt               #    Type    Use
+cnt/RefCnt<5>         1       0   /\1   3     FB7_1         (b)     (b)
+RA<5>                 2       0     0   3     FB7_2   50    I/O     O
+cnt/RefCnt<4>         1       0     0   4     FB7_3         (b)     (b)
+cs/nOverlay0          2       0   \/3   0     FB7_4         (b)     (b)
+ram/RS_FSM_FFd3      11       6<-   0   0     FB7_5   52    I/O     I
+RA<6>                 2       0   /\3   0     FB7_6   53    I/O     O
+fsb/Ready0r           3       0     0   2     FB7_7         (b)     (b)
+ram/RS_FSM_FFd1       5       0     0   0     FB7_8   54    I/O     I
+RA<7>                 2       0   \/3   0     FB7_9   55    I/O     O
+ram/Once              5       3<- \/3   0     FB7_10        (b)     (b)
+ram/RAMDIS2           7       3<- \/1   0     FB7_11  56    I/O     I
+RA<8>                 2       1<- \/4   0     FB7_12  58    I/O     O
+ram/RS_FSM_FFd2      13       8<-   0   0     FB7_13        (b)     (b)
+cnt/RefCnt<7>         1       0   /\4   0     FB7_14  59    I/O     I
+RA<9>                 2       0   \/1   2     FB7_15  60    I/O     O
+cnt/RefCnt<6>         1       1<- \/5   0     FB7_16        (b)     (b)
+ram/RAMReady         16      11<-   0   0     FB7_17  61    I/O     I
+(unused)              0       0   /\5   0     FB7_18        (b)     (b)
+
+Signals Used by Logic in Function Block
+  1: A_FSB<15>         13: A_FSB<9>          25: fsb/ASrf 
+  2: A_FSB<16>         14: cnt/RefCnt<0>     26: fsb/Ready0r 
+  3: A_FSB<17>         15: cnt/RefCnt<1>     27: nAS_FSB 
+  4: A_FSB<18>         16: cnt/RefCnt<2>     28: ram/BACTr 
+  5: A_FSB<19>         17: cnt/RefCnt<3>     29: ram/Once 
+  6: A_FSB<20>         18: cnt/RefCnt<4>     30: ram/RAMDIS2 
+  7: A_FSB<21>         19: cnt/RefCnt<5>     31: ram/RAMReady 
+  8: A_FSB<22>         20: cnt/RefCnt<6>     32: ram/RASEL 
+  9: A_FSB<23>         21: cnt/RefCnt<7>     33: ram/RS_FSM_FFd1 
+ 10: A_FSB<6>          22: cnt/RefDone       34: ram/RS_FSM_FFd2 
+ 11: A_FSB<7>          23: cs/nOverlay0      35: ram/RS_FSM_FFd3 
+ 12: A_FSB<8>          24: cs/nOverlay1     
+
+Signal                        1         2         3         4 FB
+Name                0----+----0----+----0----+----0----+----0 Inputs
+cnt/RefCnt<5>        .............XXXXX...................... 5
+RA<5>                X........X.....................X........ 3
+cnt/RefCnt<4>        .............XXXX....................... 4
+cs/nOverlay0         .....XXXX.............X.X.X............. 7
+ram/RS_FSM_FFd3      ......XXX.........XXXX.XX.X.X...XXX..... 14
+RA<6>                .X........X....................X........ 3
+fsb/Ready0r          ......XXX..............XXXX...X......... 8
+ram/RS_FSM_FFd1      ......XXX..............XX.X.X...XXX..... 10
+RA<7>                ..X........X...................X........ 3
+ram/Once             ......XXX..............XX.X.X...XXX..... 10
+ram/RAMDIS2          ......XXX.........XXXX.XX.X.XX..XXX..... 15
+RA<8>                ...X........X..................X........ 3
+ram/RS_FSM_FFd2      ......XXX.........XXXX.XX.XX....XXX..... 14
+cnt/RefCnt<7>        .............XXXXXXX.................... 7
+RA<9>                ....XX.........................X........ 3
+cnt/RefCnt<6>        .............XXXXXX..................... 6
+ram/RAMReady         ......XXX.........XXXX.XX.XXX...XXX..... 15
+                    0----+----1----+----2----+----3----+----4
+                              0         0         0         0
+*********************************** FB8  ***********************************
+Number of function block inputs used/remaining:               8/46
+Number of signals used by logic mapping into function block:  8
+Signal              Total   Imp   Exp Unused  Loc     Pin   Pin     Pin
+Name                Pt      Pt    Pt  Pt               #    Type    Use
+(unused)              0       0     0   5     FB8_1         (b)     
+RA<11>                1       0     0   4     FB8_2   63    I/O     O
+(unused)              0       0     0   5     FB8_3         (b)     
+(unused)              0       0     0   5     FB8_4         (b)     
+(unused)              0       0     0   5     FB8_5   64    I/O     I
+RA<10>                1       0     0   4     FB8_6   65    I/O     O
+(unused)              0       0     0   5     FB8_7         (b)     
+(unused)              0       0     0   5     FB8_8   66    I/O     I
+nADoutLE0             1       0     0   4     FB8_9   67    I/O     O
+(unused)              0       0     0   5     FB8_10        (b)     
+(unused)              0       0     0   5     FB8_11  68    I/O     I
+nAoutOE               0       0     0   5     FB8_12  70    I/O     O
+(unused)              0       0     0   5     FB8_13        (b)     
+(unused)              0       0     0   5     FB8_14  71    I/O     I
+nDinLE                1       0     0   4     FB8_15  72    I/O     O
+(unused)              0       0     0   5     FB8_16        (b)     
+iobm/IOS_FSM_FFd1     1       0     0   4     FB8_17  73    I/O     I
+iobm/Er               1       0     0   4     FB8_18        (b)     (b)
+
+Signals Used by Logic in Function Block
+  1: ALE0M              4: A_FSB<21>           7: iobm/IOS_FSM_FFd3 
+  2: ALE0S              5: E_IOB               8: iobm/IOS_FSM_FFd4 
+  3: A_FSB<19>          6: iobm/IOS_FSM_FFd2 
+
+Signal                        1         2         3         4 FB
+Name                0----+----0----+----0----+----0----+----0 Inputs
+RA<11>               ..X..................................... 1
+RA<10>               ...X.................................... 1
+nADoutLE0            XX...................................... 2
+nAoutOE              ........................................ 0
+nDinLE               ......XX................................ 2
+iobm/IOS_FSM_FFd1    .....X.................................. 1
+iobm/Er              ....X................................... 1
+                    0----+----1----+----2----+----3----+----4
+                              0         0         0         0
+*******************************  Equations  ********************************
+
+********** Mapped Logic **********
+
+
+$OpTx$FX_DC$602 <= ((NOT TimeoutB)
+	OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20)));
+
+
+$OpTx$FX_DC$606 <= ((A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND 
+	A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB)
+	OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND 
+	A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND 
+	cs/nOverlay1 AND NOT nWE_FSB AND NOT nADoutLE1)
+	OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND 
+	A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND 
+	cs/nOverlay1 AND NOT nWE_FSB AND NOT nADoutLE1)
+	OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20))
+	OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND 
+	A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB));
+
+FDCPE_ALE0M: FDCPE port map (ALE0M,ALE0M_D,CLK2X_IOB,'0','0');
+ALE0M_D <= ((NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND 
+	NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND 
+	NOT iobm/IOREQr)
+	OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND 
+	NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND 
+	NOT iobm/IOS_FSM_FFd8));
+
+FDCPE_ALE0S: FDCPE port map (ALE0S,ALE0S_D,CLK_FSB,'0','0');
+ALE0S_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1);
+
+FTCPE_BERR_IOBS: FTCPE port map (BERR_IOBS,BERR_IOBS_T,CLK_FSB,'0','0');
+BERR_IOBS_T <= ((BERR_IOBS AND nAS_FSB AND NOT fsb/ASrf)
+	OR (iobs/Once AND BERR_IOBS AND NOT IOBERR AND 
+	NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1)
+	OR (iobs/Once AND NOT BERR_IOBS AND IOBERR AND NOT nAS_FSB AND 
+	NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1)
+	OR (iobs/Once AND NOT BERR_IOBS AND IOBERR AND 
+	NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND fsb/ASrf AND nADoutLE1));
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+FDCPE_IOACT: FDCPE port map (IOACT,IOACT_D,CLK2X_IOB,'0','0');
+IOACT_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND 
+	NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd8 AND 
+	iobm/BERRrf AND iobm/BERRrr)
+	OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND 
+	NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd8 AND 
+	iobm/DTACKrf AND iobm/DTACKrr)
+	OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND 
+	NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd8 AND 
+	iobm/RESrf AND iobm/RESrr)
+	OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND 
+	NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOREQr AND 
+	iobm/DTACKrf AND iobm/DTACKrr)
+	OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND 
+	NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOREQr AND 
+	iobm/RESrf AND iobm/RESrr)
+	OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND 
+	NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND 
+	NOT iobm/IOREQr)
+	OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND 
+	NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND 
+	NOT iobm/IOS_FSM_FFd8)
+	OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND 
+	NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND iobm/ETACK AND 
+	NOT iobm/IOREQr)
+	OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND 
+	NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND iobm/ETACK AND 
+	NOT iobm/IOS_FSM_FFd8)
+	OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND 
+	NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOREQr AND 
+	iobm/BERRrf AND iobm/BERRrr));
+
+FTCPE_IOBERR: FTCPE port map (IOBERR,IOBERR_T,CLK2X_IOB,'0','0');
+IOBERR_T <= ((nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND 
+	CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr)
+	OR (nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND 
+	CLK_IOB AND iobm/RESrf AND iobm/RESrr)
+	OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND 
+	CLK_IOB AND iobm/BERRrf AND iobm/BERRrr)
+	OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND 
+	CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr)
+	OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND 
+	CLK_IOB AND iobm/RESrf AND iobm/RESrr)
+	OR (nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND 
+	CLK_IOB AND iobm/ETACK)
+	OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND 
+	CLK_IOB AND iobm/ETACK)
+	OR (nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND 
+	CLK_IOB AND iobm/BERRrf AND iobm/BERRrr));
+
+FDCPE_IOL0: FDCPE port map (IOL0,IOL0_D,CLK_FSB,'0','0',IOL0_CE);
+IOL0_D <= ((NOT nLDS_FSB AND nADoutLE1)
+	OR (iobs/IOL1 AND NOT nADoutLE1));
+IOL0_CE <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1);
+
+FDCPE_IOREQ: FDCPE port map (IOREQ,IOREQ_D,CLK_FSB,'0','0');
+IOREQ_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND 
+	NOT iobs/PS_FSM_FFd2 AND nADoutLE1)
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND 
+	NOT iobs/PS_FSM_FFd2 AND nADoutLE1)
+	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND 
+	NOT iobs/PS_FSM_FFd2 AND nADoutLE1)
+	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND 
+	NOT iobs/PS_FSM_FFd2 AND nADoutLE1)
+	OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB AND 
+	NOT iobs/PS_FSM_FFd2 AND nADoutLE1)
+	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND 
+	NOT iobs/PS_FSM_FFd2 AND nADoutLE1)
+	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND 
+	NOT iobs/PS_FSM_FFd2 AND nADoutLE1)
+	OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21) AND 
+	NOT iobs/PS_FSM_FFd2 AND nADoutLE1)
+	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
+	cs/nOverlay1 AND NOT iobs/PS_FSM_FFd2 AND nADoutLE1)
+	OR (NOT iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1)
+	OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr)
+	OR (iobs/Once AND NOT iobs/PS_FSM_FFd2 AND nADoutLE1)
+	OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/PS_FSM_FFd2 AND 
+	nADoutLE1)
+	OR (nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT fsb/ASrf AND 
+	nADoutLE1));
+
+FTCPE_IORW0: FTCPE port map (IORW0,IORW0_T,CLK_FSB,'0','0');
+IORW0_T <= ((EXP22_.EXP)
+	OR (A_FSB(23) AND NOT iobs/Once AND NOT IORW0 AND nWE_FSB AND 
+	NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1)
+	OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND 
+	IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND 
+	NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
+	OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND 
+	IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND 
+	fsb/ASrf AND nADoutLE1)
+	OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND 
+	NOT IORW0 AND nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND 
+	NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
+	OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND 
+	NOT IORW0 AND nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND 
+	fsb/ASrf AND nADoutLE1)
+	OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND 
+	A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND 
+	NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND 
+	NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
+	OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND 
+	A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND 
+	NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND 
+	NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1)
+	OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND 
+	A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND 
+	NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND 
+	NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
+	OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND 
+	A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND 
+	NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND 
+	NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1)
+	OR (IORW0 AND NOT iobs/IORW1 AND NOT iobs/PS_FSM_FFd2 AND 
+	NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1)
+	OR (NOT IORW0 AND iobs/IORW1 AND NOT iobs/PS_FSM_FFd2 AND 
+	NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1)
+	OR (A_FSB(23) AND NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND 
+	NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
+	OR (A_FSB(23) AND NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND 
+	NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1)
+	OR (A_FSB(23) AND NOT iobs/Once AND NOT IORW0 AND nWE_FSB AND 
+	NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1));
+
+FDCPE_IOU0: FDCPE port map (IOU0,IOU0_D,CLK_FSB,'0','0',IOU0_CE);
+IOU0_D <= ((NOT nUDS_FSB AND nADoutLE1)
+	OR (iobs/IOU1 AND NOT nADoutLE1));
+IOU0_CE <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1);
+
+
+RA(0) <= ((A_FSB(10) AND NOT ram/RASEL)
+	OR (ram/RASEL AND A_FSB(1)));
+
+
+RA(1) <= ((A_FSB(11) AND NOT ram/RASEL)
+	OR (ram/RASEL AND A_FSB(2)));
+
+
+RA(2) <= ((A_FSB(12) AND NOT ram/RASEL)
+	OR (ram/RASEL AND A_FSB(3)));
+
+
+RA(3) <= ((A_FSB(13) AND NOT ram/RASEL)
+	OR (ram/RASEL AND A_FSB(4)));
+
+
+RA(4) <= ((A_FSB(14) AND NOT ram/RASEL)
+	OR (ram/RASEL AND A_FSB(5)));
+
+
+RA(5) <= ((A_FSB(15) AND NOT ram/RASEL)
+	OR (ram/RASEL AND A_FSB(6)));
+
+
+RA(6) <= ((A_FSB(16) AND NOT ram/RASEL)
+	OR (ram/RASEL AND A_FSB(7)));
+
+
+RA(7) <= ((A_FSB(8) AND ram/RASEL)
+	OR (A_FSB(17) AND NOT ram/RASEL));
+
+
+RA(8) <= ((A_FSB(9) AND ram/RASEL)
+	OR (A_FSB(18) AND NOT ram/RASEL));
+
+
+RA(9) <= ((A_FSB(20) AND ram/RASEL)
+	OR (A_FSB(19) AND NOT ram/RASEL));
+
+
+RA(10) <= A_FSB(21);
+
+
+RA(11) <= A_FSB(19);
+
+FDCPE_RefAck: FDCPE port map (RefAck,RefAck_D,CLK_FSB,'0','0');
+RefAck_D <= (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1);
+
+FTCPE_TimeoutA: FTCPE port map (TimeoutA,TimeoutA_T,CLK_FSB,'0','0');
+TimeoutA_T <= ((TimeoutA AND nAS_FSB AND NOT fsb/ASrf)
+	OR (NOT TimeoutA AND NOT nAS_FSB AND NOT cnt/RefCnt(0) AND 
+	NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND 
+	NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4))
+	OR (NOT TimeoutA AND NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND 
+	NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND 
+	NOT cnt/RefCnt(4) AND fsb/ASrf));
+
+FTCPE_TimeoutB: FTCPE port map (TimeoutB,TimeoutB_T,CLK_FSB,'0','0');
+TimeoutB_T <= ((TimeoutB AND nAS_FSB AND NOT fsb/ASrf)
+	OR (NOT TimeoutB AND cnt/TimeoutBPre AND NOT nAS_FSB AND 
+	NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND 
+	NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7))
+	OR (NOT TimeoutB AND cnt/TimeoutBPre AND NOT cnt/RefCnt(0) AND 
+	NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND 
+	NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7) AND fsb/ASrf));
+
+FTCPE_cnt/RefCnt0: FTCPE port map (cnt/RefCnt(0),'1',CLK_FSB,'0','0');
+
+FTCPE_cnt/RefCnt1: FTCPE port map (cnt/RefCnt(1),cnt/RefCnt(0),CLK_FSB,'0','0');
+
+FTCPE_cnt/RefCnt2: FTCPE port map (cnt/RefCnt(2),cnt/RefCnt_T(2),CLK_FSB,'0','0');
+cnt/RefCnt_T(2) <= (cnt/RefCnt(0) AND cnt/RefCnt(1));
+
+FTCPE_cnt/RefCnt3: FTCPE port map (cnt/RefCnt(3),cnt/RefCnt_T(3),CLK_FSB,'0','0');
+cnt/RefCnt_T(3) <= (cnt/RefCnt(0) AND cnt/RefCnt(1) AND cnt/RefCnt(2));
+
+FTCPE_cnt/RefCnt4: FTCPE port map (cnt/RefCnt(4),cnt/RefCnt_T(4),CLK_FSB,'0','0');
+cnt/RefCnt_T(4) <= (cnt/RefCnt(0) AND cnt/RefCnt(1) AND cnt/RefCnt(2) AND 
+	cnt/RefCnt(3));
+
+FTCPE_cnt/RefCnt5: FTCPE port map (cnt/RefCnt(5),cnt/RefCnt_T(5),CLK_FSB,'0','0');
+cnt/RefCnt_T(5) <= (cnt/RefCnt(0) AND cnt/RefCnt(1) AND cnt/RefCnt(2) AND 
+	cnt/RefCnt(3) AND cnt/RefCnt(4));
+
+FTCPE_cnt/RefCnt6: FTCPE port map (cnt/RefCnt(6),cnt/RefCnt_T(6),CLK_FSB,'0','0');
+cnt/RefCnt_T(6) <= (cnt/RefCnt(0) AND cnt/RefCnt(5) AND cnt/RefCnt(1) AND 
+	cnt/RefCnt(2) AND cnt/RefCnt(3) AND cnt/RefCnt(4));
+
+FTCPE_cnt/RefCnt7: FTCPE port map (cnt/RefCnt(7),cnt/RefCnt_T(7),CLK_FSB,'0','0');
+cnt/RefCnt_T(7) <= (cnt/RefCnt(0) AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND 
+	cnt/RefCnt(1) AND cnt/RefCnt(2) AND cnt/RefCnt(3) AND cnt/RefCnt(4));
+
+FDCPE_cnt/RefDone: FDCPE port map (cnt/RefDone,cnt/RefDone_D,CLK_FSB,'0','0');
+cnt/RefDone_D <= ((NOT cnt/RefDone AND NOT RefAck)
+	OR (NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND 
+	NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND 
+	NOT cnt/RefCnt(7)));
+
+FTCPE_cnt/TimeoutBPre: FTCPE port map (cnt/TimeoutBPre,cnt/TimeoutBPre_T,CLK_FSB,'0','0');
+cnt/TimeoutBPre_T <= ((cnt/TimeoutBPre AND nAS_FSB AND NOT fsb/ASrf)
+	OR (NOT cnt/TimeoutBPre AND NOT nAS_FSB AND NOT cnt/RefCnt(0) AND 
+	NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND 
+	NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7))
+	OR (NOT cnt/TimeoutBPre AND NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND 
+	NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND 
+	NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7) AND fsb/ASrf));
+
+FTCPE_cs/nOverlay0: FTCPE port map (cs/nOverlay0,cs/nOverlay0_T,CLK_FSB,NOT nRES,'0');
+cs/nOverlay0_T <= ((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND 
+	NOT cs/nOverlay0 AND NOT nAS_FSB)
+	OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND 
+	NOT cs/nOverlay0 AND fsb/ASrf));
+
+FDCPE_cs/nOverlay1: FDCPE port map (cs/nOverlay1,cs/nOverlay0,CLK_FSB,'0','0',cs/nOverlay1_CE);
+cs/nOverlay1_CE <= (nAS_FSB AND NOT fsb/ASrf);
+
+FDCPE_fsb/ASrf: FDCPE port map (fsb/ASrf,NOT nAS_FSB,NOT CLK_FSB,'0','0');
+
+FDCPE_fsb/BERR0r: FDCPE port map (fsb/BERR0r,fsb/BERR0r_D,CLK_FSB,'0','0');
+fsb/BERR0r_D <= ((NOT TimeoutB AND NOT fsb/BERR0r)
+	OR (nAS_FSB AND NOT fsb/ASrf)
+	OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND 
+	NOT fsb/BERR0r));
+
+FDCPE_fsb/BERR1r: FDCPE port map (fsb/BERR1r,fsb/BERR1r_D,CLK_FSB,'0','0');
+fsb/BERR1r_D <= ((NOT BERR_IOBS AND NOT fsb/BERR1r)
+	OR (nAS_FSB AND NOT fsb/ASrf));
+
+FDCPE_fsb/Ready0r: FDCPE port map (fsb/Ready0r,fsb/Ready0r_D,CLK_FSB,'0','0');
+fsb/Ready0r_D <= ((nAS_FSB AND NOT fsb/ASrf)
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND 
+	NOT fsb/Ready0r AND NOT ram/RAMReady)
+	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
+	NOT cs/nOverlay1 AND NOT fsb/Ready0r AND NOT ram/RAMReady));
+
+FDCPE_fsb/Ready1r: FDCPE port map (fsb/Ready1r,fsb/Ready1r_D,CLK_FSB,'0','0');
+fsb/Ready1r_D <= ((A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND 
+	A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND 
+	cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND 
+	NOT nADoutLE1)
+	OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND 
+	A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND 
+	cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND 
+	NOT nADoutLE1)
+	OR (nAS_FSB AND NOT fsb/ASrf)
+	OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady)
+	OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND 
+	NOT iobs/IOReady)
+	OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND 
+	A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND 
+	NOT fsb/Ready1r AND NOT iobs/IOReady)
+	OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND 
+	A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND 
+	NOT fsb/Ready1r AND NOT iobs/IOReady));
+
+FDCPE_fsb/Ready2r: FDCPE port map (fsb/Ready2r,fsb/Ready2r_D,CLK_FSB,'0','0');
+fsb/Ready2r_D <= ((nAS_FSB AND NOT fsb/ASrf)
+	OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND 
+	A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND 
+	A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
+	A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)
+	OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND 
+	A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND 
+	A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
+	A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)
+	OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND 
+	NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND 
+	A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
+	A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)
+	OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND 
+	A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND 
+	A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
+	A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)
+	OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND 
+	A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND 
+	A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
+	A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)
+	OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND 
+	NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND 
+	A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
+	A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)
+	OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND 
+	NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND 
+	A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
+	A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)
+	OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND 
+	NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND 
+	A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
+	A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r));
+
+FDCPE_fsb/VPA: FDCPE port map (fsb/VPA,fsb/VPA_D,CLK_FSB,'0','0');
+fsb/VPA_D <= ((EXP18_.EXP)
+	OR (BERR_IOBS AND fsb/VPA AND fsb/ASrf)
+	OR (fsb/BERR0r AND fsb/VPA AND fsb/ASrf)
+	OR (fsb/BERR1r AND fsb/VPA AND fsb/ASrf)
+	OR (fsb/VPA AND fsb/ASrf AND 
+	fsb/VPA__or00001/fsb/VPA__or00001_D2)
+	OR (fsb/VPA AND fsb/ASrf AND NOT $OpTx$FX_DC$602)
+	OR ($OpTx$FX_DC$602.EXP)
+	OR (NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND NOT nAS_FSB AND 
+	$OpTx$FX_DC$606)
+	OR (NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND fsb/ASrf AND 
+	$OpTx$FX_DC$606)
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND 
+	NOT fsb/Ready0r AND fsb/VPA AND NOT nAS_FSB AND NOT ram/RAMReady)
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND 
+	NOT fsb/Ready0r AND fsb/VPA AND fsb/ASrf AND NOT ram/RAMReady)
+	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
+	NOT cs/nOverlay1 AND NOT fsb/Ready0r AND fsb/VPA AND NOT nAS_FSB AND NOT ram/RAMReady)
+	OR (BERR_IOBS AND fsb/VPA AND NOT nAS_FSB)
+	OR (fsb/BERR0r AND fsb/VPA AND NOT nAS_FSB)
+	OR (fsb/BERR1r AND fsb/VPA AND NOT nAS_FSB)
+	OR (fsb/VPA AND NOT nAS_FSB AND 
+	fsb/VPA__or00001/fsb/VPA__or00001_D2)
+	OR (fsb/VPA AND NOT nAS_FSB AND NOT $OpTx$FX_DC$602));
+
+
+fsb/VPA__or00001/fsb/VPA__or00001_D2 <= ((A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND 
+	A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND 
+	A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
+	A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)
+	OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND 
+	NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND 
+	A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
+	A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)
+	OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND 
+	NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND 
+	A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
+	A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)
+	OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND 
+	A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND 
+	A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
+	A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)
+	OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND 
+	NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND 
+	A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
+	A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)
+	OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND 
+	A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND 
+	A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
+	A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)
+	OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND 
+	A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND 
+	A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
+	A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)
+	OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND 
+	NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND 
+	A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
+	A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r));
+
+FDCPE_iobm/BERRrf: FDCPE port map (iobm/BERRrf,NOT nBERR_IOB,NOT CLK2X_IOB,'0','0');
+
+FDCPE_iobm/BERRrr: FDCPE port map (iobm/BERRrr,NOT nBERR_IOB,CLK2X_IOB,'0','0');
+
+FDCPE_iobm/DTACKrf: FDCPE port map (iobm/DTACKrf,NOT nDTACK_IOB,NOT CLK2X_IOB,'0','0');
+
+FDCPE_iobm/DTACKrr: FDCPE port map (iobm/DTACKrr,NOT nDTACK_IOB,CLK2X_IOB,'0','0');
+
+FTCPE_iobm/ES0: FTCPE port map (iobm/ES(0),iobm/ES_T(0),CLK2X_IOB,'0','0');
+iobm/ES_T(0) <= ((iobm/ES(0) AND NOT iobm/Er AND iobm/Er2)
+	OR (NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND 
+	NOT iobm/ES(3) AND NOT iobm/ES(4) AND iobm/Er)
+	OR (NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND 
+	NOT iobm/ES(3) AND NOT iobm/ES(4) AND NOT iobm/Er2));
+
+FDCPE_iobm/ES1: FDCPE port map (iobm/ES(1),iobm/ES_D(1),CLK2X_IOB,'0','0');
+iobm/ES_D(1) <= ((iobm/ES(0) AND iobm/ES(1))
+	OR (NOT iobm/ES(0) AND NOT iobm/ES(1))
+	OR (NOT iobm/Er AND iobm/Er2));
+
+FDCPE_iobm/ES2: FDCPE port map (iobm/ES(2),iobm/ES_D(2),CLK2X_IOB,'0','0');
+iobm/ES_D(2) <= ((NOT iobm/ES(0) AND NOT iobm/ES(2))
+	OR (NOT iobm/ES(1) AND NOT iobm/ES(2))
+	OR (NOT iobm/Er AND iobm/Er2)
+	OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2))
+	OR (NOT iobm/ES(2) AND NOT iobm/ES(3) AND iobm/ES(4)));
+
+FTCPE_iobm/ES3: FTCPE port map (iobm/ES(3),iobm/ES_T(3),CLK2X_IOB,'0','0');
+iobm/ES_T(3) <= ((iobm/ES(3) AND NOT iobm/Er AND iobm/Er2)
+	OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND iobm/Er)
+	OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND NOT iobm/Er2));
+
+FTCPE_iobm/ES4: FTCPE port map (iobm/ES(4),iobm/ES_T(4),CLK2X_IOB,'0','0');
+iobm/ES_T(4) <= ((iobm/ES(4) AND NOT iobm/Er AND iobm/Er2)
+	OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND 
+	iobm/ES(3) AND iobm/Er)
+	OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND 
+	iobm/ES(3) AND NOT iobm/Er2)
+	OR (iobm/ES(0) AND iobm/ES(1) AND NOT iobm/ES(2) AND 
+	NOT iobm/ES(3) AND iobm/ES(4)));
+
+FDCPE_iobm/ETACK: FDCPE port map (iobm/ETACK,iobm/ETACK_D,CLK2X_IOB,'0','0');
+iobm/ETACK_D <= (NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND 
+	NOT iobm/ES(3) AND iobm/ES(4));
+
+FDCPE_iobm/Er: FDCPE port map (iobm/Er,E_IOB,NOT CLK_IOB,'0','0');
+
+FDCPE_iobm/Er2: FDCPE port map (iobm/Er2,iobm/Er,CLK2X_IOB,'0','0');
+
+FDCPE_iobm/IOREQr: FDCPE port map (iobm/IOREQr,IOREQ,NOT CLK2X_IOB,'0','0');
+
+FDCPE_iobm/IOS_FSM_FFd1: FDCPE port map (iobm/IOS_FSM_FFd1,iobm/IOS_FSM_FFd2,CLK2X_IOB,'0','0');
+
+FDCPE_iobm/IOS_FSM_FFd2: FDCPE port map (iobm/IOS_FSM_FFd2,iobm/IOS_FSM_FFd2_D,CLK2X_IOB,'0','0');
+iobm/IOS_FSM_FFd2_D <= ((iobm/IOS_FSM_FFd3 AND CLK_IOB AND iobm/ETACK)
+	OR (iobm/IOS_FSM_FFd3 AND CLK_IOB AND iobm/BERRrf AND 
+	iobm/BERRrr)
+	OR (iobm/IOS_FSM_FFd3 AND CLK_IOB AND iobm/DTACKrf AND 
+	iobm/DTACKrr)
+	OR (iobm/IOS_FSM_FFd3 AND CLK_IOB AND iobm/RESrf AND 
+	iobm/RESrr));
+
+FDCPE_iobm/IOS_FSM_FFd3: FDCPE port map (iobm/IOS_FSM_FFd3,iobm/IOS_FSM_FFd3_D,CLK2X_IOB,'0','0');
+iobm/IOS_FSM_FFd3_D <= ((NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4)
+	OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/ETACK)
+	OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/BERRrf AND 
+	iobm/BERRrr)
+	OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/DTACKrf AND 
+	iobm/DTACKrr)
+	OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/RESrf AND 
+	iobm/RESrr));
+
+FDCPE_iobm/IOS_FSM_FFd4: FDCPE port map (iobm/IOS_FSM_FFd4,iobm/IOS_FSM_FFd5,CLK2X_IOB,'0','0');
+
+FDCPE_iobm/IOS_FSM_FFd5: FDCPE port map (iobm/IOS_FSM_FFd5,iobm/IOS_FSM_FFd6,CLK2X_IOB,'0','0');
+
+FDCPE_iobm/IOS_FSM_FFd6: FDCPE port map (iobm/IOS_FSM_FFd6,iobm/IOS_FSM_FFd7,CLK2X_IOB,'0','0');
+
+FDCPE_iobm/IOS_FSM_FFd7: FDCPE port map (iobm/IOS_FSM_FFd7,iobm/IOS_FSM_FFd7_D,CLK2X_IOB,'0','0');
+iobm/IOS_FSM_FFd7_D <= (NOT CLK_IOB AND iobm/IOREQr AND iobm/IOS_FSM_FFd8);
+
+FDCPE_iobm/IOS_FSM_FFd8: FDCPE port map (iobm/IOS_FSM_FFd8,iobm/IOS_FSM_FFd8_D,CLK2X_IOB,'0','0');
+iobm/IOS_FSM_FFd8_D <= ((NOT iobm/IOS_FSM_FFd8 AND NOT iobm/IOS_FSM_FFd1)
+	OR (NOT CLK_IOB AND iobm/IOREQr AND NOT iobm/IOS_FSM_FFd1));
+
+FDCPE_iobm/RESrf: FDCPE port map (iobm/RESrf,NOT nRES,NOT CLK2X_IOB,'0','0');
+
+FDCPE_iobm/RESrr: FDCPE port map (iobm/RESrr,NOT nRES,CLK2X_IOB,'0','0');
+
+FDCPE_iobm/VPArf: FDCPE port map (iobm/VPArf,NOT nVPA_IOB,NOT CLK2X_IOB,'0','0');
+
+FDCPE_iobm/VPArr: FDCPE port map (iobm/VPArr,NOT nVPA_IOB,CLK2X_IOB,'0','0');
+
+FDCPE_iobs/Clear1: FDCPE port map (iobs/Clear1,iobs/Clear1_D,CLK_FSB,'0','0');
+iobs/Clear1_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1);
+
+FDCPE_iobs/IOACTr: FDCPE port map (iobs/IOACTr,IOACT,CLK_FSB,'0','0');
+
+FDCPE_iobs/IOL1: FDCPE port map (iobs/IOL1,NOT nLDS_FSB,CLK_FSB,'0','0',iobs/Load1);
+
+FTCPE_iobs/IORW1: FTCPE port map (iobs/IORW1,iobs/IORW1_T,CLK_FSB,'0','0');
+iobs/IORW1_T <= ((iobs/Once)
+	OR (NOT nADoutLE1)
+	OR (nVMA_IOB_OBUF.EXP)
+	OR (NOT nWE_FSB AND NOT iobs/IORW1)
+	OR (nAS_FSB AND NOT fsb/ASrf)
+	OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21))
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1)
+	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19))
+	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18))
+	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17))
+	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16))
+	OR (NOT A_FSB(23) AND NOT A_FSB(20))
+	OR (nWE_FSB AND iobs/IORW1)
+	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT iobs/IORW1));
+
+FTCPE_iobs/IOReady: FTCPE port map (iobs/IOReady,iobs/IOReady_T,CLK_FSB,'0','0');
+iobs/IOReady_T <= ((iobs/IOReady AND nAS_FSB AND NOT fsb/ASrf)
+	OR (iobs/Once AND IOBERR AND iobs/IOReady AND 
+	NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1)
+	OR (iobs/Once AND NOT IOBERR AND NOT iobs/IOReady AND NOT nAS_FSB AND 
+	NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1)
+	OR (iobs/Once AND NOT IOBERR AND NOT iobs/IOReady AND 
+	NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND fsb/ASrf AND nADoutLE1));
+
+FDCPE_iobs/IOU1: FDCPE port map (iobs/IOU1,NOT nUDS_FSB,CLK_FSB,'0','0',iobs/Load1);
+
+FDCPE_iobs/Load1: FDCPE port map (iobs/Load1,iobs/Load1_D,CLK_FSB,'0','0');
+iobs/Load1_D <= ((iobs/Once)
+	OR (NOT nADoutLE1)
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21))
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1)
+	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19))
+	OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB)
+	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18))
+	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17))
+	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16))
+	OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21))
+	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
+	cs/nOverlay1)
+	OR (NOT A_FSB(23) AND NOT A_FSB(20))
+	OR (nAS_FSB AND NOT fsb/ASrf)
+	OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1));
+
+FDCPE_iobs/Once: FDCPE port map (iobs/Once,iobs/Once_D,CLK_FSB,'0','0');
+iobs/Once_D <= ((RA_2_OBUF.EXP)
+	OR (A_FSB(23) AND NOT iobs/Once AND iobs/PS_FSM_FFd1)
+	OR (NOT iobs/Once AND iobs/PS_FSM_FFd2 AND NOT nADoutLE1)
+	OR (NOT iobs/Once AND iobs/PS_FSM_FFd1 AND NOT nADoutLE1)
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once)
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND 
+	NOT iobs/Once)
+	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND NOT iobs/Once)
+	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND NOT iobs/Once)
+	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND NOT iobs/Once)
+	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND NOT iobs/Once)
+	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT iobs/Once AND nWE_FSB)
+	OR (nAS_FSB AND NOT fsb/ASrf)
+	OR (A_FSB(23) AND NOT iobs/Once AND iobs/PS_FSM_FFd2)
+	OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/Once)
+	OR (A_FSB(22) AND NOT iobs/Once AND iobs/PS_FSM_FFd2)
+	OR (A_FSB(22) AND NOT iobs/Once AND iobs/PS_FSM_FFd1));
+
+FDCPE_iobs/PS_FSM_FFd1: FDCPE port map (iobs/PS_FSM_FFd1,iobs/PS_FSM_FFd1_D,CLK_FSB,'0','0');
+iobs/PS_FSM_FFd1_D <= ((iobs/PS_FSM_FFd2)
+	OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr));
+
+FDCPE_iobs/PS_FSM_FFd2: FDCPE port map (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_D,CLK_FSB,'0','0');
+iobs/PS_FSM_FFd2_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND 
+	NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND 
+	NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
+	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND 
+	NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
+	OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB AND 
+	NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
+	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND 
+	NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
+	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND 
+	NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
+	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND 
+	NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
+	OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21) AND 
+	NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
+	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
+	cs/nOverlay1 AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
+	OR (iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1 AND 
+	iobs/IOACTr)
+	OR (NOT iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1 AND 
+	NOT iobs/IOACTr)
+	OR (iobs/Once AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND 
+	nADoutLE1)
+	OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/PS_FSM_FFd2 AND 
+	NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
+	OR (nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND 
+	NOT fsb/ASrf AND nADoutLE1));
+
+
+nADoutLE0 <= (NOT ALE0M AND NOT ALE0S);
+
+FDCPE_nADoutLE1: FDCPE port map (nADoutLE1,nADoutLE1_D,CLK_FSB,'0','0');
+nADoutLE1_D <= ((iobs/Load1)
+	OR (NOT iobs/Clear1 AND NOT nADoutLE1));
+
+FDCPE_nAS_IOB: FDCPE port map (nAS_IOB,nAS_IOB_D,NOT CLK2X_IOB,'0','0');
+nAS_IOB_D <= (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND 
+	NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7);
+
+
+nAoutOE <= '0';
+
+
+nBERR_FSB <= ((nAS_FSB)
+	OR (NOT BERR_IOBS AND NOT TimeoutB AND NOT fsb/BERR0r AND NOT fsb/BERR1r)
+	OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND 
+	NOT BERR_IOBS AND NOT fsb/BERR0r AND NOT fsb/BERR1r));
+
+FDCPE_nCAS: FDCPE port map (nCAS,NOT ram/RASEL,NOT CLK_FSB,'0','0');
+
+FDCPE_nDTACK_FSB: FDCPE port map (nDTACK_FSB,nDTACK_FSB_D,CLK_FSB,'0','0');
+nDTACK_FSB_D <= ((EXP21_.EXP)
+	OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady AND 
+	nDTACK_FSB)
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND 
+	NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady)
+	OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND 
+	NOT iobs/IOReady AND nDTACK_FSB)
+	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
+	NOT cs/nOverlay1 AND NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady)
+	OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND 
+	A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND 
+	NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB)
+	OR ($OpTx$FX_DC$606.EXP)
+	OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND 
+	A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND 
+	NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB)
+	OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND 
+	A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND 
+	cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND 
+	nDTACK_FSB AND NOT nADoutLE1)
+	OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND 
+	A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND 
+	cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND 
+	nDTACK_FSB AND NOT nADoutLE1)
+	OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND 
+	A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND 
+	A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
+	A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r AND 
+	nDTACK_FSB)
+	OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND 
+	A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND 
+	A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
+	A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r AND 
+	nDTACK_FSB)
+	OR (BERR_IOBS AND nDTACK_FSB)
+	OR (fsb/BERR0r AND nDTACK_FSB)
+	OR (fsb/BERR1r AND nDTACK_FSB)
+	OR (nAS_FSB AND NOT fsb/ASrf)
+	OR (nDTACK_FSB AND NOT $OpTx$FX_DC$602));
+
+FDCPE_nDinLE: FDCPE port map (nDinLE,nDinLE_D,NOT CLK2X_IOB,'0','0');
+nDinLE_D <= (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4);
+
+
+nDinOE <= ((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB)
+	OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND nWE_FSB AND 
+	NOT nAS_FSB));
+
+FDCPE_nDoutOE: FDCPE port map (nDoutOE,nDoutOE_D,CLK2X_IOB,'0','0');
+nDoutOE_D <= ((NOT IORW0)
+	OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND 
+	NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND 
+	NOT iobm/IOS_FSM_FFd2));
+
+FDCPE_nLDS_IOB: FDCPE port map (nLDS_IOB,nLDS_IOB_D,NOT CLK2X_IOB,'0','0');
+nLDS_IOB_D <= ((NOT IOL0)
+	OR (IORW0 AND NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND 
+	NOT iobm/IOS_FSM_FFd5)
+	OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND 
+	NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7));
+
+
+nOE <= NOT ((nWE_FSB AND NOT nAS_FSB));
+
+
+nRAMLWE <= NOT ((NOT nWE_FSB AND NOT nLDS_FSB AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND 
+	NOT ram/RAMDIS1));
+
+
+nRAMUWE <= NOT ((NOT nWE_FSB AND NOT nUDS_FSB AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND 
+	NOT ram/RAMDIS1));
+
+
+nRAS <= NOT (((RefAck)
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND 
+	NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RAMDIS1)
+	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
+	NOT cs/nOverlay1 AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RAMDIS1)));
+
+
+nROMCS <= NOT (((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20))
+	OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND 
+	NOT cs/nOverlay1)));
+
+
+nROMWE <= NOT ((NOT nWE_FSB AND NOT nAS_FSB));
+
+FDCPE_nUDS_IOB: FDCPE port map (nUDS_IOB,nUDS_IOB_D,NOT CLK2X_IOB,'0','0');
+nUDS_IOB_D <= ((NOT IOU0)
+	OR (IORW0 AND NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND 
+	NOT iobm/IOS_FSM_FFd5)
+	OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND 
+	NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7));
+
+FTCPE_nVMA_IOB: FTCPE port map (nVMA_IOB,nVMA_IOB_T,CLK2X_IOB,'0','0');
+nVMA_IOB_T <= ((NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND 
+	NOT iobm/ES(3) AND NOT iobm/ES(4))
+	OR (nVMA_IOB AND iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND 
+	NOT iobm/ES(3) AND NOT iobm/ES(4) AND IOACT AND iobm/VPArf AND iobm/VPArr));
+
+
+nVPA_FSB <= NOT ((fsb/VPA AND NOT nAS_FSB));
+
+FDCPE_ram/BACTr: FDCPE port map (ram/BACTr,ram/BACTr_D,CLK_FSB,'0','0');
+ram/BACTr_D <= (nAS_FSB AND NOT fsb/ASrf);
+
+FTCPE_ram/Once: FTCPE port map (ram/Once,ram/Once_T,CLK_FSB,'0','0');
+ram/Once_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND 
+	NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf)
+	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
+	NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND 
+	NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
+	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
+	NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND 
+	NOT ram/RS_FSM_FFd3 AND fsb/ASrf)
+	OR (ram/Once AND nAS_FSB AND NOT fsb/ASrf)
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND 
+	NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND 
+	NOT ram/RS_FSM_FFd3));
+
+FDCPE_ram/RAMDIS1: FDCPE port map (ram/RAMDIS1,ram/RAMDIS1_D,CLK_FSB,'0','0');
+ram/RAMDIS1_D <= ((RA_4_OBUF.EXP)
+	OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND 
+	NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
+	OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND 
+	NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
+	OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND 
+	NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
+	OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND 
+	NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
+	OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND 
+	NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
+	OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND 
+	cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7))
+	OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND 
+	NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
+	OR (ram/Once AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND 
+	cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7))
+	OR (NOT cnt/RefDone AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3 AND 
+	cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7))
+	OR (NOT cnt/RefDone AND nAS_FSB AND NOT ram/RS_FSM_FFd1 AND 
+	cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND NOT fsb/ASrf)
+	OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1)
+	OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3)
+	OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND 
+	NOT ram/RS_FSM_FFd3)
+	OR (A_FSB(23) AND NOT cnt/RefDone AND NOT nAS_FSB AND 
+	NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
+	OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND 
+	NOT ram/BACTr AND fsb/ASrf));
+
+FTCPE_ram/RAMDIS2: FTCPE port map (ram/RAMDIS2,ram/RAMDIS2_T,CLK_FSB,'0','0');
+ram/RAMDIS2_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND ram/Once AND 
+	NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND 
+	NOT ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND 
+	fsb/ASrf)
+	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
+	NOT cs/nOverlay1 AND ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND 
+	NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND 
+	cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7))
+	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
+	NOT cs/nOverlay1 AND ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND 
+	NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND 
+	cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND fsb/ASrf)
+	OR (ram/RAMDIS2 AND nAS_FSB AND NOT fsb/ASrf)
+	OR (ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND 
+	ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND 
+	cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7))
+	OR (ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND 
+	ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND 
+	cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND fsb/ASrf)
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND ram/Once AND 
+	NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND 
+	NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND 
+	cnt/RefCnt(7)));
+
+FDCPE_ram/RAMReady: FDCPE port map (ram/RAMReady,ram/RAMReady_D,CLK_FSB,'0','0');
+ram/RAMReady_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND 
+	NOT nAS_FSB AND NOT ram/RS_FSM_FFd1)
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND 
+	NOT ram/RS_FSM_FFd1 AND fsb/ASrf)
+	OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND 
+	NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
+	OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND 
+	NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
+	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
+	NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf)
+	OR (cnt/RefCnt(5).EXP)
+	OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3)
+	OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1)
+	OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3)
+	OR (A_FSB(23) AND NOT cnt/RefDone AND NOT nAS_FSB AND 
+	NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
+	OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND 
+	NOT ram/BACTr AND fsb/ASrf)
+	OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND 
+	NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
+	OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND 
+	NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
+	OR (NOT A_FSB(21) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND 
+	NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
+	OR (NOT A_FSB(21) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND 
+	NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
+	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
+	NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd1));
+
+FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,CLK_FSB,'0','0');
+ram/RASEL_D <= ((A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND 
+	NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
+	OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND 
+	NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
+	OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND 
+	NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
+	OR (NOT cnt/RefDone AND nAS_FSB AND NOT ram/RS_FSM_FFd2 AND 
+	NOT ram/RS_FSM_FFd1 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND 
+	NOT fsb/ASrf)
+	OR (NOT cnt/RefDone AND nAS_FSB AND ram/RS_FSM_FFd1 AND 
+	ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND 
+	NOT fsb/ASrf)
+	OR (nDinOE_OBUF.EXP)
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND 
+	NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1)
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND 
+	NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf)
+	OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND 
+	NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
+	OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND 
+	NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
+	OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND 
+	NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
+	OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3)
+	OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND 
+	NOT ram/RS_FSM_FFd3)
+	OR (A_FSB(23) AND NOT cnt/RefDone AND NOT nAS_FSB AND 
+	NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
+	OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd2 AND 
+	NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
+	OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd2 AND 
+	NOT ram/RS_FSM_FFd1 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)));
+
+FTCPE_ram/RS_FSM_FFd1: FTCPE port map (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_T,CLK_FSB,'0','0');
+ram/RS_FSM_FFd1_T <= ((ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3)
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND 
+	NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND 
+	NOT ram/RS_FSM_FFd3)
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND 
+	NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf)
+	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
+	NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND 
+	NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
+	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
+	NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND 
+	NOT ram/RS_FSM_FFd3 AND fsb/ASrf));
+
+FTCPE_ram/RS_FSM_FFd2: FTCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_T,CLK_FSB,'0','0');
+ram/RS_FSM_FFd2_T <= ((nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND 
+	NOT cnt/RefCnt(5) AND NOT fsb/ASrf)
+	OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND 
+	NOT cnt/RefCnt(6) AND NOT fsb/ASrf)
+	OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND 
+	NOT cnt/RefCnt(7) AND NOT fsb/ASrf)
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT nAS_FSB AND 
+	NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND 
+	NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf)
+	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
+	NOT cs/nOverlay1 AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
+	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
+	NOT cs/nOverlay1 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf)
+	OR (NOT cnt/RefDone AND nAS_FSB AND ram/RS_FSM_FFd2 AND 
+	ram/RS_FSM_FFd1 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND 
+	NOT fsb/ASrf)
+	OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3)
+	OR (cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
+	OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND 
+	NOT cnt/RefCnt(5) AND ram/BACTr)
+	OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND 
+	NOT cnt/RefCnt(6) AND ram/BACTr)
+	OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND ram/BACTr AND 
+	NOT cnt/RefCnt(7)));
+
+FTCPE_ram/RS_FSM_FFd3: FTCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_T,CLK_FSB,'0','0');
+ram/RS_FSM_FFd3_T <= ((A_FSB(22) AND NOT A_FSB(21) AND NOT ram/RS_FSM_FFd2 AND 
+	NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
+	OR (A_FSB(22) AND cs/nOverlay1 AND NOT ram/RS_FSM_FFd2 AND 
+	NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
+	OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND 
+	NOT ram/RS_FSM_FFd3 AND NOT fsb/ASrf)
+	OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT ram/RS_FSM_FFd2 AND 
+	NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
+	OR (NOT cnt/RefDone AND NOT nAS_FSB AND ram/RS_FSM_FFd2 AND 
+	ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND 
+	cnt/RefCnt(7))
+	OR (NOT cnt/RefDone AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND 
+	ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND 
+	fsb/ASrf)
+	OR (A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND 
+	NOT ram/RS_FSM_FFd3)
+	OR (ram/Once AND cnt/RefDone AND NOT ram/RS_FSM_FFd2 AND 
+	NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
+	OR (ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND 
+	NOT ram/RS_FSM_FFd3 AND NOT cnt/RefCnt(5))
+	OR (ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND 
+	NOT ram/RS_FSM_FFd3 AND NOT cnt/RefCnt(6))
+	OR (ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND 
+	NOT ram/RS_FSM_FFd3 AND NOT cnt/RefCnt(7)));
+
+Register Legend:
+ FDCPE (Q,D,C,CLR,PRE,CE); 
+ FTCPE (Q,D,C,CLR,PRE,CE); 
+ LDCP  (Q,D,G,CLR,PRE); 
+
+******************************  Device Pin Out *****************************
+
+Device : XC95144XL-10-TQ100
+
+
+   --------------------------------------------------  
+  /100 98  96  94  92  90  88  86  84  82  80  78  76  \
+ |   99  97  95  93  91  89  87  85  83  81  79  77    |
+ | 1                                               75  | 
+ | 2                                               74  | 
+ | 3                                               73  | 
+ | 4                                               72  | 
+ | 5                                               71  | 
+ | 6                                               70  | 
+ | 7                                               69  | 
+ | 8                                               68  | 
+ | 9                                               67  | 
+ | 10                                              66  | 
+ | 11                                              65  | 
+ | 12                                              64  | 
+ | 13              XC95144XL-10-TQ100              63  | 
+ | 14                                              62  | 
+ | 15                                              61  | 
+ | 16                                              60  | 
+ | 17                                              59  | 
+ | 18                                              58  | 
+ | 19                                              57  | 
+ | 20                                              56  | 
+ | 21                                              55  | 
+ | 22                                              54  | 
+ | 23                                              53  | 
+ | 24                                              52  | 
+ | 25                                              51  | 
+ |   27  29  31  33  35  37  39  41  43  45  47  49    |
+  \26  28  30  32  34  36  38  40  42  44  46  48  50  /
+   --------------------------------------------------  
+
+
+Pin Signal                         Pin Signal                        
+No. Name                           No. Name                          
+  1 KPR                              51 VCC                           
+  2 KPR                              52 nUDS_FSB                      
+  3 KPR                              53 RA<6>                         
+  4 KPR                              54 nDTACK_IOB                    
+  5 VCC                              55 RA<7>                         
+  6 nLDS_IOB                         56 A_FSB<4>                      
+  7 nUDS_IOB                         57 VCC                           
+  8 nDoutOE                          58 RA<8>                         
+  9 A_FSB<22>                        59 nVPA_IOB                      
+ 10 nAS_IOB                          60 RA<9>                         
+ 11 nDTACK_FSB                       61 nWE_FSB                       
+ 12 A_FSB<9>                         62 GND                           
+ 13 nAS_FSB                          63 RA<11>                        
+ 14 nBERR_FSB                        64 A_FSB<8>                      
+ 15 A_FSB<5>                         65 RA<10>                        
+ 16 A_FSB<2>                         66 A_FSB<23>                     
+ 17 nOE                              67 nADoutLE0                     
+ 18 nBERR_IOB                        68 A_FSB<21>                     
+ 19 A_FSB<6>                         69 GND                           
+ 20 A_FSB<7>                         70 nAoutOE                       
+ 21 GND                              71 A_FSB<20>                     
+ 22 CLK2X_IOB                        72 nDinLE                        
+ 23 CLK_FSB                          73 A_FSB<19>                     
+ 24 nRAS                             74 nCAS                          
+ 25 nLDS_FSB                         75 GND                           
+ 26 VCC                              76 A_FSB<18>                     
+ 27 CLK_IOB                          77 nRAMLWE                       
+ 28 KPR                              78 A_FSB<3>                      
+ 29 nADoutLE1                        79 nRAMUWE                       
+ 30 KPR                              80 A_FSB<15>                     
+ 31 GND                              81 nROMWE                        
+ 32 KPR                              82 A_FSB<13>                     
+ 33 nVMA_IOB                         83 TDO                           
+ 34 KPR                              84 GND                           
+ 35 RA<1>                            85 nVPA_FSB                      
+ 36 KPR                              86 A_FSB<11>                     
+ 37 KPR                              87 RA<0>                         
+ 38 VCC                              88 VCC                           
+ 39 RA<3>                            89 A_FSB<10>                     
+ 40 KPR                              90 A_FSB<1>                      
+ 41 KPR                              91 RA<2>                         
+ 42 nROMCS                           92 A_FSB<12>                     
+ 43 KPR                              93 A_FSB<14>                     
+ 44 GND                              94 RA<4>                         
+ 45 TDI                              95 A_FSB<16>                     
+ 46 KPR                              96 A_FSB<17>                     
+ 47 TMS                              97 nDinOE                        
+ 48 TCK                              98 VCC                           
+ 49 E_IOB                            99 nRES                          
+ 50 RA<5>                           100 GND                           
+
+
+Legend :  NC  = Not Connected, unbonded pin
+         PGND = Unused I/O configured as additional Ground pin
+         TIE  = Unused I/O floating -- must tie to VCC, GND or other signal
+         KPR  = Unused I/O with weak keeper (leave unconnected)
+         VCC  = Dedicated Power Pin
+         GND  = Dedicated Ground Pin
+         TDI  = Test Data In, JTAG pin
+         TDO  = Test Data Out, JTAG pin
+         TCK  = Test Clock, JTAG pin
+         TMS  = Test Mode Select, JTAG pin
+  PROHIBITED  = User reserved pin
+****************************  Compiler Options  ****************************
+
+Following is a list of all global compiler options used by the fitter run.
+
+Device(s) Specified                         : xc95144xl-10-TQ100
+Optimization Method                         : SPEED
+Multi-Level Logic Optimization              : ON
+Ignore Timing Specifications                : OFF
+Default Register Power Up Value             : LOW
+Keep User Location Constraints              : ON
+What-You-See-Is-What-You-Get                : OFF
+Exhaustive Fitting                          : OFF
+Keep Unused Inputs                          : OFF
+Slew Rate                                   : FAST
+Power Mode                                  : STD
+Ground on Unused IOs                        : OFF
+Set I/O Pin Termination                     : KEEPER
+Global Clock Optimization                   : ON
+Global Set/Reset Optimization               : ON
+Global Ouput Enable Optimization            : ON
+Input Limit                                 : 54
+Pterm Limit                                 : 25
+
+
+ + +
+ diff --git a/cpld/XC95144/MXSE_html/fit/ascii.htm b/cpld/XC95144XL/MXSE_html/fit/ascii.htm similarity index 56% rename from cpld/XC95144/MXSE_html/fit/ascii.htm rename to cpld/XC95144XL/MXSE_html/fit/ascii.htm index 31bfc48..aacb792 100644 --- a/cpld/XC95144/MXSE_html/fit/ascii.htm +++ b/cpld/XC95144XL/MXSE_html/fit/ascii.htm @@ -1,1751 +1,1758 @@ - -
- 
-cpldfit:  version P.20131013                        Xilinx Inc.
-                                  Fitter Report
-Design Name: MXSE                                Date:  2- 7-2022,  0:04AM
-Device Used: XC95144XL-10-TQ100
-Fitting Status: Successful
-
-*************************  Mapped Resource Summary  **************************
-
-Macrocells     Product Terms    Function Block   Registers      Pins           
-Used/Tot       Used/Tot         Inps Used/Tot    Used/Tot       Used/Tot       
-105/144 ( 73%) 427 /720  ( 59%) 234/432 ( 54%)   80 /144 ( 56%) 67 /81  ( 83%)
-
-** Function Block Resources **
-
-Function    Mcells      FB Inps     Pterms      IO          
-Block       Used/Tot    Used/Tot    Used/Tot    Used/Tot    
-FB1          12/18       36/54       81/90      11/11*
-FB2          18/18*      19/54       39/90       6/10
-FB3           8/18       33/54       81/90       6/10
-FB4          18/18*      29/54       29/90      10/10*
-FB5          18/18*      30/54       45/90       5/10
-FB6          18/18*      38/54       63/90      10/10*
-FB7           7/18       11/54        9/90       9/10
-FB8           6/18       38/54       80/90      10/10*
-             -----       -----       -----      -----    
-            105/144     234/432     427/720     67/81 
-
-* - Resource is exhausted
-
-** Global Control Resources **
-
-Signal 'CLK2X_IOB' mapped onto global clock net GCK1.
-Signal 'CLK_FSB' mapped onto global clock net GCK2.
-Signal 'CLK_IOB' mapped onto global clock net GCK3.
-Global output enable net(s) unused.
-Signal 'nRES' mapped onto global set/reset net GSR.
-
-** Pin Resources **
-
-Signal Type    Required     Mapped  |  Pin Type            Used    Total 
-------------------------------------|------------------------------------
-Input         :   31          31    |  I/O              :    63      73
-Output        :   32          32    |  GCK/IO           :     3       3
-Bidirectional :    0           0    |  GTS/IO           :     0       4
-GCK           :    3           3    |  GSR/IO           :     1       1
-GTS           :    0           0    |
-GSR           :    1           1    |
-                 ----        ----
-        Total     67          67
-
-** Power Data **
-
-There are 105 macrocells in high performance mode (MCHP).
-There are 0 macrocells in low power mode (MCLP).
-End of Mapped Resource Summary
-**************************  Errors and Warnings  ***************************
-
-WARNING:Cpld - Unable to retrieve the path to the iSE Project Repository. Will
-   use the default filename of 'MXSE.ise'.
-*************************  Summary of Mapped Logic  ************************
-
-** 32 Outputs **
-
-Signal                         Total Total Loc     Pin  Pin     Pin     Pwr  Slew Reg Init
-Name                           Pts   Inps          No.  Type    Use     Mode Rate State
-nBERR_FSB                      3     9     FB1_2   11   I/O     O       STD  FAST 
-nDinOE                         2     6     FB1_5   13   I/O     O       STD  FAST 
-nROMCS                         2     5     FB1_8   15   I/O     O       STD  FAST 
-nVMA_IOB                       2     9     FB1_11  17   I/O     O       STD  FAST RESET
-RA<10>                         1     1     FB1_14  19   I/O     O       STD  FAST 
-nLDS_IOB                       4     6     FB2_11  6    I/O     O       STD  FAST RESET
-nUDS_IOB                       4     6     FB2_12  7    I/O     O       STD  FAST RESET
-nAS_IOB                        2     4     FB2_14  8    I/O     O       STD  FAST RESET
-nDoutOE                        2     4     FB2_17  10   I/O     O       STD  FAST RESET
-nRAS                           3     8     FB3_5   24   I/O     O       STD  FAST 
-RA<0>                          2     3     FB3_11  29   I/O     O       STD  FAST 
-RA<11>                         1     1     FB3_15  33   I/O     O       STD  FAST 
-RA<1>                          2     3     FB4_2   87   I/O     O       STD  FAST 
-nOE                            1     2     FB4_6   90   I/O     O       STD  FAST 
-nRAMLWE                        1     5     FB4_9   92   I/O     O       STD  FAST 
-nRAMUWE                        1     5     FB4_12  94   I/O     O       STD  FAST 
-nROMWE                         1     2     FB4_15  96   I/O     O       STD  FAST 
-RA<2>                          2     3     FB5_2   35   I/O     O       STD  FAST 
-RA<3>                          2     3     FB5_6   37   I/O     O       STD  FAST 
-RA<4>                          2     3     FB5_9   40   I/O     O       STD  FAST 
-RA<9>                          2     3     FB5_12  42   I/O     O       STD  FAST 
-nCAS                           1     1     FB5_15  46   I/O     O       STD  FAST RESET
-RA<5>                          2     3     FB6_2   74   I/O     O       STD  FAST 
-RA<6>                          2     3     FB6_6   77   I/O     O       STD  FAST 
-RA<7>                          2     3     FB6_9   79   I/O     O       STD  FAST 
-RA<8>                          2     3     FB6_12  81   I/O     O       STD  FAST 
-nADoutLE1                      2     3     FB6_15  85   I/O     O       STD  FAST SET
-nDinLE                         2     3     FB7_2   50   I/O     O       STD  FAST RESET
-nADoutLE0                      1     2     FB7_8   54   I/O     O       STD  FAST 
-nVPA_FSB                       1     2     FB7_12  58   I/O     O       STD  FAST 
-nDTACK_FSB                     22    33    FB8_5   64   I/O     O       STD  FAST RESET
-nAoutOE                        0     0     FB8_11  68   I/O     O       STD  FAST 
-
-** 73 Buried Nodes **
-
-Signal                         Total Total Loc     Pwr  Reg Init
-Name                           Pts   Inps          Mode State
-iobs/IORW1                     16    19    FB1_4   STD  RESET
-IOREQ                          14    19    FB1_7   STD  RESET
-iobs/PS_FSM_FFd2               14    19    FB1_9   STD  RESET
-BERR_IOBS                      4     8     FB1_12  STD  RESET
-fsb/BERR0r                     3     8     FB1_13  STD  RESET
-cs/nOverlay0                   2     7     FB1_15  STD  RESET
-IORW0                          18    20    FB1_16  STD  RESET
-iobm/RESrr                     1     1     FB2_1   STD  RESET
-iobm/RESrf                     1     1     FB2_2   STD  RESET
-iobm/IOREQr                    1     1     FB2_3   STD  RESET
-iobm/Er2                       1     1     FB2_4   STD  RESET
-iobm/ETACK                     1     6     FB2_5   STD  RESET
-iobm/DTACKrr                   1     1     FB2_6   STD  RESET
-iobm/DTACKrf                   1     1     FB2_7   STD  RESET
-iobm/BERRrr                    1     1     FB2_8   STD  RESET
-iobm/BERRrf                    1     1     FB2_9   STD  RESET
-iobm/ES<3>                     3     6     FB2_10  STD  RESET
-iobm/ES<1>                     3     4     FB2_13  STD  RESET
-iobm/ES<0>                     3     7     FB2_15  STD  RESET
-iobm/ES<4>                     4     7     FB2_16  STD  RESET
-iobm/ES<2>                     5     7     FB2_18  STD  RESET
-ram/RASEL                      20    15    FB3_4   STD  RESET
-ram/RAMDIS2                    7     15    FB3_8   STD  RESET
-iobs/Load1                     14    18    FB3_10  STD  RESET
-ram/RAMReady                   16    15    FB3_13  STD  RESET
-ram/RAMDIS1                    18    15    FB3_17  STD  RESET
-ram/BACTr                      1     2     FB4_1   STD  RESET
-cnt/RefCnt<7>                  1     7     FB4_3   STD  RESET
-cnt/RefCnt<6>                  1     6     FB4_4   STD  RESET
-cnt/RefCnt<5>                  1     5     FB4_5   STD  RESET
-cnt/RefCnt<4>                  1     4     FB4_7   STD  RESET
-cnt/RefCnt<3>                  1     3     FB4_8   STD  RESET
-cnt/RefCnt<2>                  1     2     FB4_10  STD  RESET
-fsb/BERR1r                     2     4     FB4_11  STD  RESET
-cnt/RefDone                    2     10    FB4_13  STD  RESET
-cnt/TimeoutBPre                3     11    FB4_14  STD  RESET
-TimeoutB                       3     12    FB4_16  STD  RESET
-TimeoutA                       3     10    FB4_17  STD  RESET
-IOU0                           3     5     FB4_18  STD  RESET
-iobs/IOACTr                    1     1     FB5_1   STD  RESET
-
-Signal                         Total Total Loc     Pwr  Reg Init
-Name                           Pts   Inps          Mode State
-fsb/ASrf                       1     1     FB5_3   STD  RESET
-cnt/RefCnt<1>                  1     1     FB5_4   STD  RESET
-cnt/RefCnt<0>                  0     0     FB5_5   STD  RESET
-$OpTx$$OpTx$FX_DC$355_INV$439  1     2     FB5_7   STD  
-iobs/IOU1                      2     2     FB5_8   STD  RESET
-iobm/IOS_FSM_FFd2              2     4     FB5_10  STD  RESET
-iobm/IOS_FSM_FFd1              2     4     FB5_11  STD  RESET
-ALE0M                          2     5     FB5_13  STD  RESET
-iobm/IOS_FSM_FFd4              4     6     FB5_14  STD  RESET
-iobm/IOS_FSM_FFd3              5     10    FB5_16  STD  RESET
-IOACT                          6     13    FB5_17  STD  RESET
-IOBERR                         9     14    FB5_18  STD  RESET
-ram/Once                       5     10    FB6_1   STD  RESET
-ALE0S                          1     2     FB6_3   STD  RESET
-ram/RS_FSM_FFd3                11    14    FB6_4   STD  RESET
-iobs/PS_FSM_FFd1               2     3     FB6_5   STD  RESET
-iobs/IOL1                      2     2     FB6_7   STD  RESET
-cs/nOverlay1                   2     3     FB6_8   STD  RESET
-fsb/Ready0r                    3     8     FB6_10  STD  RESET
-IOL0                           3     5     FB6_11  STD  RESET
-iobs/IOReady                   4     8     FB6_13  STD  RESET
-ram/RS_FSM_FFd1                5     10    FB6_14  STD  RESET
-iobs/Clear1                    1     3     FB6_16  STD  RESET
-ram/RS_FSM_FFd2                13    14    FB6_17  STD  RESET
-RefAck                         1     2     FB6_18  STD  RESET
-iobm/VPArr                     1     1     FB7_15  STD  RESET
-iobm/VPArf                     1     1     FB7_16  STD  RESET
-iobm/Er                        1     1     FB7_17  STD  RESET
-$OpTx$FX_DC$360                2     2     FB7_18  STD  
-fsb/Ready2r                    9     22    FB8_8   STD  RESET
-fsb/Ready1r                    7     17    FB8_9   STD  RESET
-iobs/Once                      17    18    FB8_13  STD  RESET
-fsb/VPA                        25    31    FB8_18  STD  RESET
-
-** 35 Inputs **
-
-Signal                         Loc     Pin  Pin     Pin     
-Name                                   No.  Type    Use     
-A_FSB<13>                      FB1_3   12   I/O     I
-A_FSB<20>                      FB1_6   14   I/O     I
-A_FSB<5>                       FB1_9   16   I/O     I
-nUDS_FSB                       FB1_12  18   I/O     I
-nDTACK_IOB                     FB1_15  20   I/O     I
-CLK2X_IOB                      FB1_17  22~  GCK/I/O GCK
-nRES                           FB2_2   99~  GSR/I/O GSR/I
-nLDS_FSB                       FB2_15  9    I/O     I
-CLK_FSB                        FB3_2   23~  GCK/I/O GCK
-nVPA_IOB                       FB3_6   25   I/O     I
-CLK_IOB                        FB3_8   27~  GCK/I/O GCK/I
-A_FSB<10>                      FB4_5   89   I/O     I
-A_FSB<16>                      FB4_8   91   I/O     I
-A_FSB<18>                      FB4_11  93   I/O     I
-A_FSB<22>                      FB4_14  95   I/O     I
-A_FSB<6>                       FB4_17  97   I/O     I
-A_FSB<7>                       FB6_5   76   I/O     I
-A_FSB<23>                      FB6_8   78   I/O     I
-A_FSB<21>                      FB6_11  80   I/O     I
-A_FSB<17>                      FB6_14  82   I/O     I
-A_FSB<15>                      FB6_17  86   I/O     I
-E_IOB                          FB7_6   53   I/O     I
-A_FSB<2>                       FB7_9   55   I/O     I
-nBERR_IOB                      FB7_11  56   I/O     I
-A_FSB<4>                       FB7_14  59   I/O     I
-nWE_FSB                        FB7_15  60   I/O     I
-A_FSB<19>                      FB7_17  61   I/O     I
-A_FSB<14>                      FB8_2   63   I/O     I
-A_FSB<12>                      FB8_6   65   I/O     I
-A_FSB<11>                      FB8_8   66   I/O     I
-A_FSB<3>                       FB8_9   67   I/O     I
-nAS_FSB                        FB8_12  70   I/O     I
-A_FSB<9>                       FB8_14  71   I/O     I
-A_FSB<1>                       FB8_15  72   I/O     I
-A_FSB<8>                       FB8_17  73   I/O     I
-
-Legend:
-Pin No. - ~ - User Assigned
-**************************  Function Block Details  ************************
-Legend:
-Total Pt     - Total product terms used by the macrocell signal
-Imp Pt       - Product terms imported from other macrocells
-Exp Pt       - Product terms exported to other macrocells
-               in direction shown
-Unused Pt    - Unused local product terms remaining in macrocell
-Loc          - Location where logic was mapped in device
-Pin Type/Use - I  - Input             GCK - Global Clock
-               O  - Output            GTS - Global Output Enable
-              (b) - Buried macrocell  GSR - Global Set/Reset
-X            - Signal used as input to the macrocell logic.
-Pin No.      - ~  - User Assigned
-*********************************** FB1  ***********************************
-Number of function block inputs used/remaining:               36/18
-Number of signals used by logic mapping into function block:  36
-Signal              Total   Imp   Exp Unused  Loc     Pin   Pin     Pin
-Name                Pt      Pt    Pt  Pt               #    Type    Use
-(unused)              0       0   \/1   4     FB1_1         (b)     (b)
-nBERR_FSB             3       1<- \/3   0     FB1_2   11    I/O     O
-(unused)              0       0   \/5   0     FB1_3   12    I/O     I
-iobs/IORW1           16      11<-   0   0     FB1_4         (b)     (b)
-nDinOE                2       0   /\3   0     FB1_5   13    I/O     O
-(unused)              0       0   \/5   0     FB1_6   14    I/O     I
-IOREQ                14       9<-   0   0     FB1_7         (b)     (b)
-nROMCS                2       1<- /\4   0     FB1_8   15    I/O     O
-iobs/PS_FSM_FFd2     14      10<- /\1   0     FB1_9   16    I/O     I
-(unused)              0       0   /\5   0     FB1_10        (b)     (b)
-nVMA_IOB              2       2<- /\5   0     FB1_11  17    I/O     O
-BERR_IOBS             4       1<- /\2   0     FB1_12  18    I/O     I
-fsb/BERR0r            3       0   /\1   1     FB1_13        (b)     (b)
-RA<10>                1       0   \/2   2     FB1_14  19    I/O     O
-cs/nOverlay0          2       2<- \/5   0     FB1_15  20    I/O     I
-IORW0                18      13<-   0   0     FB1_16        (b)     (b)
-(unused)              0       0   /\5   0     FB1_17  22    GCK/I/O GCK
-(unused)              0       0   /\3   2     FB1_18        (b)     (b)
-
-Signals Used by Logic in Function Block
-  1: A_FSB<13>         13: IOBERR            25: iobm/ES<4> 
-  2: A_FSB<14>         14: IORW0             26: iobm/VPArf 
-  3: A_FSB<16>         15: TimeoutB          27: iobm/VPArr 
-  4: A_FSB<17>         16: cs/nOverlay0      28: iobs/IOACTr 
-  5: A_FSB<18>         17: cs/nOverlay1      29: iobs/IORW1 
-  6: A_FSB<19>         18: fsb/ASrf          30: iobs/Once 
-  7: A_FSB<20>         19: fsb/BERR0r        31: iobs/PS_FSM_FFd1 
-  8: A_FSB<21>         20: fsb/BERR1r        32: iobs/PS_FSM_FFd2 
-  9: A_FSB<22>         21: iobm/ES<0>        33: nADoutLE1 
- 10: A_FSB<23>         22: iobm/ES<1>        34: nAS_FSB 
- 11: BERR_IOBS         23: iobm/ES<2>        35: nVMA_IOB 
- 12: IOACT             24: iobm/ES<3>        36: nWE_FSB 
-
-Signal                        1         2         3         4 FB
-Name                0----+----0----+----0----+----0----+----0 Inputs
-nBERR_FSB            ......XXXXX...X...XX.............X...... 9
-iobs/IORW1           XXXXXXXXXX......XX..........XXXXXX.X.... 19
-nDinOE               ......XXXX.......................X.X.... 6
-IOREQ                XXXXXXXXXX......XX.........X.XXXXX.X.... 19
-nROMCS               ......XXXX......X....................... 5
-iobs/PS_FSM_FFd2     XXXXXXXXXX......XX.........X.XXXXX.X.... 19
-nVMA_IOB             ...........X........XXXXXXX.......X..... 9
-BERR_IOBS            ..........X.X....X.........X.X.XXX...... 8
-fsb/BERR0r           ......XXXX....X..XX..............X...... 8
-RA<10>               .......X................................ 1
-cs/nOverlay0         ......XXXX.....X.X...............X...... 7
-IORW0                XXXXXXXXXX...X..XX..........XXXXXX.X.... 20
-                    0----+----1----+----2----+----3----+----4
-                              0         0         0         0
-*********************************** FB2  ***********************************
-Number of function block inputs used/remaining:               19/35
-Number of signals used by logic mapping into function block:  19
-Signal              Total   Imp   Exp Unused  Loc     Pin   Pin     Pin
-Name                Pt      Pt    Pt  Pt               #    Type    Use
-iobm/RESrr            1       0     0   4     FB2_1         (b)     (b)
-iobm/RESrf            1       0     0   4     FB2_2   99    GSR/I/O GSR/I
-iobm/IOREQr           1       0     0   4     FB2_3         (b)     (b)
-iobm/Er2              1       0     0   4     FB2_4         (b)     (b)
-iobm/ETACK            1       0     0   4     FB2_5   1     GTS/I/O (b)
-iobm/DTACKrr          1       0     0   4     FB2_6   2     GTS/I/O (b)
-iobm/DTACKrf          1       0     0   4     FB2_7         (b)     (b)
-iobm/BERRrr           1       0     0   4     FB2_8   3     GTS/I/O (b)
-iobm/BERRrf           1       0     0   4     FB2_9   4     GTS/I/O (b)
-iobm/ES<3>            3       0     0   2     FB2_10        (b)     (b)
-nLDS_IOB              4       0     0   1     FB2_11  6     I/O     O
-nUDS_IOB              4       0     0   1     FB2_12  7     I/O     O
-iobm/ES<1>            3       0     0   2     FB2_13        (b)     (b)
-nAS_IOB               2       0     0   3     FB2_14  8     I/O     O
-iobm/ES<0>            3       0     0   2     FB2_15  9     I/O     I
-iobm/ES<4>            4       0     0   1     FB2_16        (b)     (b)
-nDoutOE               2       0     0   3     FB2_17  10    I/O     O
-iobm/ES<2>            5       0     0   0     FB2_18        (b)     (b)
-
-Signals Used by Logic in Function Block
-  1: IOL0               8: iobm/ES<3>         14: iobm/IOS_FSM_FFd3 
-  2: IOREQ              9: iobm/ES<4>         15: iobm/IOS_FSM_FFd4 
-  3: IORW0             10: iobm/Er            16: nBERR_IOB 
-  4: IOU0              11: iobm/Er2           17: nDTACK_IOB 
-  5: iobm/ES<0>        12: iobm/IOS_FSM_FFd1  18: nRES 
-  6: iobm/ES<1>        13: iobm/IOS_FSM_FFd2  19: nVMA_IOB 
-  7: iobm/ES<2>       
-
-Signal                        1         2         3         4 FB
-Name                0----+----0----+----0----+----0----+----0 Inputs
-iobm/RESrr           .................X...................... 1
-iobm/RESrf           .................X...................... 1
-iobm/IOREQr          .X...................................... 1
-iobm/Er2             .........X.............................. 1
-iobm/ETACK           ....XXXXX.........X..................... 6
-iobm/DTACKrr         ................X....................... 1
-iobm/DTACKrf         ................X....................... 1
-iobm/BERRrr          ...............X........................ 1
-iobm/BERRrf          ...............X........................ 1
-iobm/ES<3>           ....XXXX.XX............................. 6
-nLDS_IOB             X.X........XXXX......................... 6
-nUDS_IOB             ..XX.......XXXX......................... 6
-iobm/ES<1>           ....XX...XX............................. 4
-nAS_IOB              ...........XXXX......................... 4
-iobm/ES<0>           ....XXXXXXX............................. 7
-iobm/ES<4>           ....XXXXXXX............................. 7
-nDoutOE              ..X.........XXX......................... 4
-iobm/ES<2>           ....XXXXXXX............................. 7
-                    0----+----1----+----2----+----3----+----4
-                              0         0         0         0
-*********************************** FB3  ***********************************
-Number of function block inputs used/remaining:               33/21
-Number of signals used by logic mapping into function block:  33
-Signal              Total   Imp   Exp Unused  Loc     Pin   Pin     Pin
-Name                Pt      Pt    Pt  Pt               #    Type    Use
-(unused)              0       0   /\3   2     FB3_1         (b)     (b)
-(unused)              0       0   \/5   0     FB3_2   23    GCK/I/O GCK
-(unused)              0       0   \/5   0     FB3_3         (b)     (b)
-ram/RASEL            20      15<-   0   0     FB3_4         (b)     (b)
-nRAS                  3       3<- /\5   0     FB3_5   24    I/O     O
-(unused)              0       0   /\3   2     FB3_6   25    I/O     I
-(unused)              0       0   \/3   2     FB3_7         (b)     (b)
-ram/RAMDIS2           7       3<- \/1   0     FB3_8   27    GCK/I/O GCK/I
-(unused)              0       0   \/5   0     FB3_9   28    I/O     (b)
-iobs/Load1           14       9<-   0   0     FB3_10        (b)     (b)
-RA<0>                 2       0   /\3   0     FB3_11  29    I/O     O
-(unused)              0       0   \/5   0     FB3_12  30    I/O     (b)
-ram/RAMReady         16      11<-   0   0     FB3_13        (b)     (b)
-(unused)              0       0   /\5   0     FB3_14  32    I/O     (b)
-RA<11>                1       0   /\1   3     FB3_15  33    I/O     O
-(unused)              0       0   \/5   0     FB3_16        (b)     (b)
-ram/RAMDIS1          18      13<-   0   0     FB3_17  34    I/O     (b)
-(unused)              0       0   /\5   0     FB3_18        (b)     (b)
-
-Signals Used by Logic in Function Block
-  1: A_FSB<10>         12: A_FSB<23>         23: nADoutLE1 
-  2: A_FSB<13>         13: RefAck            24: nAS_FSB 
-  3: A_FSB<14>         14: cnt/RefCnt<5>     25: nWE_FSB 
-  4: A_FSB<16>         15: cnt/RefCnt<6>     26: ram/BACTr 
-  5: A_FSB<17>         16: cnt/RefCnt<7>     27: ram/Once 
-  6: A_FSB<18>         17: cnt/RefDone       28: ram/RAMDIS1 
-  7: A_FSB<19>         18: cs/nOverlay1      29: ram/RAMDIS2 
-  8: A_FSB<1>          19: fsb/ASrf          30: ram/RASEL 
-  9: A_FSB<20>         20: iobs/Once         31: ram/RS_FSM_FFd1 
- 10: A_FSB<21>         21: iobs/PS_FSM_FFd1  32: ram/RS_FSM_FFd2 
- 11: A_FSB<22>         22: iobs/PS_FSM_FFd2  33: ram/RS_FSM_FFd3 
-
-Signal                        1         2         3         4 FB
-Name                0----+----0----+----0----+----0----+----0 Inputs
-ram/RASEL            .........XXX.XXXXXX....X.XX...XXX....... 15
-nRAS                 .........XXXX....X.....X...XX........... 8
-ram/RAMDIS2          .........XXX.XXXXXX....X..X.X.XXX....... 15
-iobs/Load1           .XXXXXX.XXXX.....XXXXXXXX............... 18
-RA<0>                X......X.....................X.......... 3
-ram/RAMReady         .........XXX.XXXXXX....X.XX...XXX....... 15
-RA<11>               ......X................................. 1
-ram/RAMDIS1          .........XXX.XXXXXX....X.XX...XXX....... 15
-                    0----+----1----+----2----+----3----+----4
-                              0         0         0         0
-*********************************** FB4  ***********************************
-Number of function block inputs used/remaining:               29/25
-Number of signals used by logic mapping into function block:  29
-Signal              Total   Imp   Exp Unused  Loc     Pin   Pin     Pin
-Name                Pt      Pt    Pt  Pt               #    Type    Use
-ram/BACTr             1       0     0   4     FB4_1         (b)     (b)
-RA<1>                 2       0     0   3     FB4_2   87    I/O     O
-cnt/RefCnt<7>         1       0     0   4     FB4_3         (b)     (b)
-cnt/RefCnt<6>         1       0     0   4     FB4_4         (b)     (b)
-cnt/RefCnt<5>         1       0     0   4     FB4_5   89    I/O     I
-nOE                   1       0     0   4     FB4_6   90    I/O     O
-cnt/RefCnt<4>         1       0     0   4     FB4_7         (b)     (b)
-cnt/RefCnt<3>         1       0     0   4     FB4_8   91    I/O     I
-nRAMLWE               1       0     0   4     FB4_9   92    I/O     O
-cnt/RefCnt<2>         1       0     0   4     FB4_10        (b)     (b)
-fsb/BERR1r            2       0     0   3     FB4_11  93    I/O     I
-nRAMUWE               1       0     0   4     FB4_12  94    I/O     O
-cnt/RefDone           2       0     0   3     FB4_13        (b)     (b)
-cnt/TimeoutBPre       3       0     0   2     FB4_14  95    I/O     I
-nROMWE                1       0     0   4     FB4_15  96    I/O     O
-TimeoutB              3       0     0   2     FB4_16        (b)     (b)
-TimeoutA              3       0     0   2     FB4_17  97    I/O     I
-IOU0                  3       0     0   2     FB4_18        (b)     (b)
-
-Signals Used by Logic in Function Block
-  1: A_FSB<11>         11: cnt/RefCnt<4>     21: iobs/PS_FSM_FFd2 
-  2: A_FSB<2>          12: cnt/RefCnt<5>     22: nADoutLE1 
-  3: BERR_IOBS         13: cnt/RefCnt<6>     23: nAS_FSB 
-  4: RefAck            14: cnt/RefCnt<7>     24: nLDS_FSB 
-  5: TimeoutA          15: cnt/RefDone       25: nUDS_FSB 
-  6: TimeoutB          16: cnt/TimeoutBPre   26: nWE_FSB 
-  7: cnt/RefCnt<0>     17: fsb/ASrf          27: ram/RAMDIS1 
-  8: cnt/RefCnt<1>     18: fsb/BERR1r        28: ram/RAMDIS2 
-  9: cnt/RefCnt<2>     19: iobs/IOU1         29: ram/RASEL 
- 10: cnt/RefCnt<3>     20: iobs/PS_FSM_FFd1 
-
-Signal                        1         2         3         4 FB
-Name                0----+----0----+----0----+----0----+----0 Inputs
-ram/BACTr            ................X.....X................. 2
-RA<1>                XX..........................X........... 3
-cnt/RefCnt<7>        ......XXXXXXX........................... 7
-cnt/RefCnt<6>        ......XXXXXX............................ 6
-cnt/RefCnt<5>        ......XXXXX............................. 5
-nOE                  ......................X..X.............. 2
-cnt/RefCnt<4>        ......XXXX.............................. 4
-cnt/RefCnt<3>        ......XXX............................... 3
-nRAMLWE              ......................XX.XXX............ 5
-cnt/RefCnt<2>        ......XX................................ 2
-fsb/BERR1r           ..X.............XX....X................. 4
-nRAMUWE              ......................X.XXXX............ 5
-cnt/RefDone          ...X..XXXXXXXXX......................... 10
-cnt/TimeoutBPre      ......XXXXXXXX.XX.....X................. 11
-nROMWE               ......................X..X.............. 2
-TimeoutB             .....XXXXXXXXX.XX.....X................. 12
-TimeoutA             ....X.XXXXXXX...X.....X................. 10
-IOU0                 ..................XXXX..X............... 5
-                    0----+----1----+----2----+----3----+----4
-                              0         0         0         0
-*********************************** FB5  ***********************************
-Number of function block inputs used/remaining:               30/24
-Number of signals used by logic mapping into function block:  30
-Signal              Total   Imp   Exp Unused  Loc     Pin   Pin     Pin
-Name                Pt      Pt    Pt  Pt               #    Type    Use
-iobs/IOACTr           1       0   /\4   0     FB5_1         (b)     (b)
-RA<2>                 2       0     0   3     FB5_2   35    I/O     O
-fsb/ASrf              1       0     0   4     FB5_3         (b)     (b)
-cnt/RefCnt<1>         1       0     0   4     FB5_4         (b)     (b)
-cnt/RefCnt<0>         0       0     0   5     FB5_5   36    I/O     (b)
-RA<3>                 2       0     0   3     FB5_6   37    I/O     O
-$OpTx$$OpTx$FX_DC$355_INV$439
-                      1       0     0   4     FB5_7         (b)     (b)
-iobs/IOU1             2       0     0   3     FB5_8   39    I/O     (b)
-RA<4>                 2       0     0   3     FB5_9   40    I/O     O
-iobm/IOS_FSM_FFd2     2       0     0   3     FB5_10        (b)     (b)
-iobm/IOS_FSM_FFd1     2       0     0   3     FB5_11  41    I/O     (b)
-RA<9>                 2       0     0   3     FB5_12  42    I/O     O
-ALE0M                 2       0     0   3     FB5_13        (b)     (b)
-iobm/IOS_FSM_FFd4     4       0     0   1     FB5_14  43    I/O     (b)
-nCAS                  1       0   \/1   3     FB5_15  46    I/O     O
-iobm/IOS_FSM_FFd3     5       1<- \/1   0     FB5_16        (b)     (b)
-IOACT                 6       1<-   0   0     FB5_17  49    I/O     (b)
-IOBERR                9       4<-   0   0     FB5_18        (b)     (b)
-
-Signals Used by Logic in Function Block
-  1: A_FSB<12>         11: IOBERR             21: iobm/IOS_FSM_FFd2 
-  2: A_FSB<13>         12: cnt/RefCnt<0>      22: iobm/IOS_FSM_FFd3 
-  3: A_FSB<14>         13: fsb/ASrf           23: iobm/IOS_FSM_FFd4 
-  4: A_FSB<19>         14: iobm/BERRrf        24: iobm/RESrf 
-  5: A_FSB<20>         15: iobm/BERRrr        25: iobm/RESrr 
-  6: A_FSB<3>          16: iobm/DTACKrf       26: iobs/Load1 
-  7: A_FSB<4>          17: iobm/DTACKrr       27: nAS_FSB 
-  8: A_FSB<5>          18: iobm/ETACK         28: nBERR_IOB 
-  9: CLK_IOB           19: iobm/IOREQr        29: nUDS_FSB 
- 10: IOACT             20: iobm/IOS_FSM_FFd1  30: ram/RASEL 
-
-Signal                        1         2         3         4 FB
-Name                0----+----0----+----0----+----0----+----0 Inputs
-iobs/IOACTr          .........X.............................. 1
-RA<2>                X....X.......................X.......... 3
-fsb/ASrf             ..........................X............. 1
-cnt/RefCnt<1>        ...........X............................ 1
-cnt/RefCnt<0>        ........................................ 0
-RA<3>                .X....X......................X.......... 3
-$OpTx$$OpTx$FX_DC$355_INV$439 
-                     ............X.............X............. 2
-iobs/IOU1            .........................X..X........... 2
-RA<4>                ..X....X.....................X.......... 3
-iobm/IOS_FSM_FFd2    ...................XXXX................. 4
-iobm/IOS_FSM_FFd1    ...................XXXX................. 4
-RA<9>                ...XX........................X.......... 3
-ALE0M                ..................XXXXX................. 5
-iobm/IOS_FSM_FFd4    ........X.........XXXXX................. 6
-nCAS                 .............................X.......... 1
-iobm/IOS_FSM_FFd3    ........X....XXXXX...XXXX............... 10
-IOACT                ........X....XXXXXXXXXXXX............... 13
-IOBERR               ........X.X..XXXXX.XXXXXX..X............ 14
-                    0----+----1----+----2----+----3----+----4
-                              0         0         0         0
-*********************************** FB6  ***********************************
-Number of function block inputs used/remaining:               38/16
-Number of signals used by logic mapping into function block:  38
-Signal              Total   Imp   Exp Unused  Loc     Pin   Pin     Pin
-Name                Pt      Pt    Pt  Pt               #    Type    Use
-ram/Once              5       0     0   0     FB6_1         (b)     (b)
-RA<5>                 2       0     0   3     FB6_2   74    I/O     O
-ALE0S                 1       0   \/4   0     FB6_3         (b)     (b)
-ram/RS_FSM_FFd3      11       6<-   0   0     FB6_4         (b)     (b)
-iobs/PS_FSM_FFd1      2       0   /\2   1     FB6_5   76    I/O     I
-RA<6>                 2       0     0   3     FB6_6   77    I/O     O
-iobs/IOL1             2       0     0   3     FB6_7         (b)     (b)
-cs/nOverlay1          2       0     0   3     FB6_8   78    I/O     I
-RA<7>                 2       0     0   3     FB6_9   79    I/O     O
-fsb/Ready0r           3       0     0   2     FB6_10        (b)     (b)
-IOL0                  3       0     0   2     FB6_11  80    I/O     I
-RA<8>                 2       0     0   3     FB6_12  81    I/O     O
-iobs/IOReady          4       0     0   1     FB6_13        (b)     (b)
-ram/RS_FSM_FFd1       5       0     0   0     FB6_14  82    I/O     I
-nADoutLE1             2       0     0   3     FB6_15  85    I/O     O
-iobs/Clear1           1       0   \/4   0     FB6_16        (b)     (b)
-ram/RS_FSM_FFd2      13       8<-   0   0     FB6_17  86    I/O     I
-RefAck                1       0   /\4   0     FB6_18        (b)     (b)
-
-Signals Used by Logic in Function Block
-  1: A_FSB<15>         14: cnt/RefCnt<6>     27: iobs/PS_FSM_FFd1 
-  2: A_FSB<16>         15: cnt/RefCnt<7>     28: iobs/PS_FSM_FFd2 
-  3: A_FSB<17>         16: cnt/RefDone       29: nADoutLE1 
-  4: A_FSB<18>         17: cs/nOverlay0      30: nAS_FSB 
-  5: A_FSB<21>         18: cs/nOverlay1      31: nLDS_FSB 
-  6: A_FSB<22>         19: fsb/ASrf          32: ram/BACTr 
-  7: A_FSB<23>         20: fsb/Ready0r       33: ram/Once 
-  8: A_FSB<6>          21: iobs/Clear1       34: ram/RAMReady 
-  9: A_FSB<7>          22: iobs/IOACTr       35: ram/RASEL 
- 10: A_FSB<8>          23: iobs/IOL1         36: ram/RS_FSM_FFd1 
- 11: A_FSB<9>          24: iobs/IOReady      37: ram/RS_FSM_FFd2 
- 12: IOBERR            25: iobs/Load1        38: ram/RS_FSM_FFd3 
- 13: cnt/RefCnt<5>     26: iobs/Once        
-
-Signal                        1         2         3         4 FB
-Name                0----+----0----+----0----+----0----+----0 Inputs
-ram/Once             ....XXX..........XX..........X..X..XXX.. 10
-RA<5>                X......X..........................X..... 3
-ALE0S                ..........................XX............ 2
-ram/RS_FSM_FFd3      ....XXX.....XXXX.XX..........X..X..XXX.. 14
-iobs/PS_FSM_FFd1     .....................X....XX............ 3
-RA<6>                .X......X.........................X..... 3
-iobs/IOL1            ........................X.....X......... 2
-cs/nOverlay1         ................X.X..........X.......... 3
-RA<7>                ..X......X........................X..... 3
-fsb/Ready0r          ....XXX..........XXX.........X...X...... 8
-IOL0                 ......................X...XXX.X......... 5
-RA<8>                ...X......X.......................X..... 3
-iobs/IOReady         ...........X......X..X.X.X.XXX.......... 8
-ram/RS_FSM_FFd1      ....XXX..........XX..........X..X..XXX.. 10
-nADoutLE1            ....................X...X...X........... 3
-iobs/Clear1          ..........................XXX........... 3
-ram/RS_FSM_FFd2      ....XXX.....XXXX.XX..........X.X...XXX.. 14
-RefAck               ...................................XX... 2
-                    0----+----1----+----2----+----3----+----4
-                              0         0         0         0
-*********************************** FB7  ***********************************
-Number of function block inputs used/remaining:               11/43
-Number of signals used by logic mapping into function block:  11
-Signal              Total   Imp   Exp Unused  Loc     Pin   Pin     Pin
-Name                Pt      Pt    Pt  Pt               #    Type    Use
-(unused)              0       0     0   5     FB7_1         (b)     
-nDinLE                2       0     0   3     FB7_2   50    I/O     O
-(unused)              0       0     0   5     FB7_3         (b)     
-(unused)              0       0     0   5     FB7_4         (b)     
-(unused)              0       0     0   5     FB7_5   52    I/O     
-(unused)              0       0     0   5     FB7_6   53    I/O     I
-(unused)              0       0     0   5     FB7_7         (b)     
-nADoutLE0             1       0     0   4     FB7_8   54    I/O     O
-(unused)              0       0     0   5     FB7_9   55    I/O     I
-(unused)              0       0     0   5     FB7_10        (b)     
-(unused)              0       0     0   5     FB7_11  56    I/O     I
-nVPA_FSB              1       0     0   4     FB7_12  58    I/O     O
-(unused)              0       0     0   5     FB7_13        (b)     
-(unused)              0       0     0   5     FB7_14  59    I/O     I
-iobm/VPArr            1       0     0   4     FB7_15  60    I/O     I
-iobm/VPArf            1       0     0   4     FB7_16        (b)     (b)
-iobm/Er               1       0     0   4     FB7_17  61    I/O     I
-$OpTx$FX_DC$360       2       0     0   3     FB7_18        (b)     (b)
-
-Signals Used by Logic in Function Block
-  1: ALE0M              5: cs/nOverlay1        9: iobm/IOS_FSM_FFd4 
-  2: ALE0S              6: fsb/VPA            10: nAS_FSB 
-  3: A_FSB<22>          7: iobm/IOS_FSM_FFd1  11: nVPA_IOB 
-  4: E_IOB              8: iobm/IOS_FSM_FFd3 
-
-Signal                        1         2         3         4 FB
-Name                0----+----0----+----0----+----0----+----0 Inputs
-nDinLE               ......XXX............................... 3
-nADoutLE0            XX...................................... 2
-nVPA_FSB             .....X...X.............................. 2
-iobm/VPArr           ..........X............................. 1
-iobm/VPArf           ..........X............................. 1
-iobm/Er              ...X.................................... 1
-$OpTx$FX_DC$360      ..X.X................................... 2
-                    0----+----1----+----2----+----3----+----4
-                              0         0         0         0
-*********************************** FB8  ***********************************
-Number of function block inputs used/remaining:               38/16
-Number of signals used by logic mapping into function block:  38
-Signal              Total   Imp   Exp Unused  Loc     Pin   Pin     Pin
-Name                Pt      Pt    Pt  Pt               #    Type    Use
-(unused)              0       0   /\5   0     FB8_1         (b)     (b)
-(unused)              0       0   /\5   0     FB8_2   63    I/O     I
-(unused)              0       0   \/2   3     FB8_3         (b)     (b)
-(unused)              0       0   \/5   0     FB8_4         (b)     (b)
-nDTACK_FSB           22      17<-   0   0     FB8_5   64    I/O     O
-(unused)              0       0   /\5   0     FB8_6   65    I/O     I
-(unused)              0       0   /\5   0     FB8_7         (b)     (b)
-fsb/Ready2r           9       4<-   0   0     FB8_8   66    I/O     I
-fsb/Ready1r           7       6<- /\4   0     FB8_9   67    I/O     I
-(unused)              0       0   /\5   0     FB8_10        (b)     (b)
-nAoutOE               0       0   /\1   4     FB8_11  68    I/O     O
-(unused)              0       0   \/5   0     FB8_12  70    I/O     I
-iobs/Once            17      12<-   0   0     FB8_13        (b)     (b)
-(unused)              0       0   /\5   0     FB8_14  71    I/O     I
-(unused)              0       0   /\2   3     FB8_15  72    I/O     I
-(unused)              0       0   \/5   0     FB8_16        (b)     (b)
-(unused)              0       0   \/5   0     FB8_17  73    I/O     I
-fsb/VPA              25      20<-   0   0     FB8_18        (b)     (b)
-
-Signals Used by Logic in Function Block
-  1: $OpTx$$OpTx$FX_DC$355_INV$439  14: A_FSB<21>         27: fsb/Ready1r 
-  2: $OpTx$FX_DC$360                15: A_FSB<22>         28: fsb/Ready2r 
-  3: A_FSB<10>                      16: A_FSB<23>         29: fsb/VPA 
-  4: A_FSB<11>                      17: A_FSB<8>          30: iobs/IOReady 
-  5: A_FSB<12>                      18: A_FSB<9>          31: iobs/Once 
-  6: A_FSB<13>                      19: BERR_IOBS         32: iobs/PS_FSM_FFd1 
-  7: A_FSB<14>                      20: TimeoutA          33: iobs/PS_FSM_FFd2 
-  8: A_FSB<15>                      21: TimeoutB          34: nADoutLE1 
-  9: A_FSB<16>                      22: cs/nOverlay1      35: nAS_FSB 
- 10: A_FSB<17>                      23: fsb/ASrf          36: nDTACK_FSB 
- 11: A_FSB<18>                      24: fsb/BERR0r        37: nWE_FSB 
- 12: A_FSB<19>                      25: fsb/BERR1r        38: ram/RAMReady 
- 13: A_FSB<20>                      26: fsb/Ready0r      
-
-Signal                        1         2         3         4 FB
-Name                0----+----0----+----0----+----0----+----0 Inputs
-nDTACK_FSB           .XXXXXXXXXXXXXXXXXXXXXXXXXXX.X...XXXXX.. 33
-fsb/Ready2r          ..XXXXXXXXXXXXXXXX.X.XX....X......X.X... 22
-fsb/Ready1r          .....XX.XXXXXXXX.....XX...X..X...XX.X... 17
-nAoutOE              ........................................ 0
-iobs/Once            .....XX.XXXXXXXX.....XX.......XXXXX.X... 18
-fsb/VPA              X.XXXXXXXXXXXXXXXXXXXX.XXXXXXX...X..XX.. 31
-                    0----+----1----+----2----+----3----+----4
-                              0         0         0         0
-*******************************  Equations  ********************************
-
-********** Mapped Logic **********
-
-
-$OpTx$$OpTx$FX_DC$355_INV$439 <= (nAS_FSB AND NOT fsb/ASrf);
-
-
-$OpTx$FX_DC$360 <= NOT (A_FSB(22)
-	 XOR 
-$OpTx$FX_DC$360 <= NOT (cs/nOverlay1);
-
-FDCPE_ALE0M: FDCPE port map (ALE0M,ALE0M_D,CLK2X_IOB,'0','0');
-ALE0M_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND 
-	iobm/IOS_FSM_FFd1)
-	OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND 
-	NOT iobm/IOS_FSM_FFd2 AND NOT iobm/IOREQr));
-
-FDCPE_ALE0S: FDCPE port map (ALE0S,ALE0S_D,CLK_FSB,'0','0');
-ALE0S_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1);
-
-FTCPE_BERR_IOBS: FTCPE port map (BERR_IOBS,BERR_IOBS_T,CLK_FSB,'0','0');
-BERR_IOBS_T <= ((iobs/Once AND NOT BERR_IOBS AND NOT iobs/PS_FSM_FFd2 AND 
-	NOT iobs/IOACTr AND IOBERR AND fsb/ASrf AND nADoutLE1)
-	OR (BERR_IOBS AND nAS_FSB AND NOT fsb/ASrf)
-	OR (iobs/Once AND BERR_IOBS AND NOT iobs/PS_FSM_FFd2 AND 
-	NOT iobs/IOACTr AND NOT IOBERR AND nADoutLE1)
-	OR (iobs/Once AND NOT BERR_IOBS AND NOT nAS_FSB AND 
-	NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND IOBERR AND nADoutLE1));
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-FDCPE_IOACT: FDCPE port map (IOACT,IOACT_D,CLK2X_IOB,'0','0');
-IOACT_D <= ((NOT iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd2 AND 
-	iobm/IOS_FSM_FFd1 AND CLK_IOB AND iobm/RESrf AND iobm/RESrr)
-	OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND 
-	iobm/IOS_FSM_FFd1)
-	OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND 
-	NOT iobm/IOS_FSM_FFd2 AND NOT iobm/IOREQr)
-	OR (NOT iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd2 AND 
-	iobm/IOS_FSM_FFd1 AND CLK_IOB AND iobm/ETACK)
-	OR (NOT iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd2 AND 
-	iobm/IOS_FSM_FFd1 AND CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr)
-	OR (NOT iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd2 AND 
-	iobm/IOS_FSM_FFd1 AND CLK_IOB AND iobm/BERRrf AND iobm/BERRrr));
-
-FTCPE_IOBERR: FTCPE port map (IOBERR,IOBERR_T,CLK2X_IOB,'0','0');
-IOBERR_T <= ((nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND 
-	iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND iobm/IOS_FSM_FFd1 AND IOBERR AND 
-	CLK_IOB AND iobm/ETACK)
-	OR (nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND 
-	iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND iobm/IOS_FSM_FFd1 AND IOBERR AND 
-	CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr)
-	OR (nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND 
-	iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND iobm/IOS_FSM_FFd1 AND IOBERR AND 
-	CLK_IOB AND iobm/BERRrf AND iobm/BERRrr)
-	OR (nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND 
-	iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND iobm/IOS_FSM_FFd1 AND IOBERR AND 
-	CLK_IOB AND iobm/RESrf AND iobm/RESrr)
-	OR (iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND 
-	NOT iobm/IOS_FSM_FFd2 AND NOT iobm/IOS_FSM_FFd1 AND IOBERR)
-	OR (NOT nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND 
-	iobm/IOS_FSM_FFd3 AND NOT IOBERR AND CLK_IOB AND iobm/ETACK)
-	OR (NOT nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND 
-	iobm/IOS_FSM_FFd3 AND NOT IOBERR AND CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr)
-	OR (NOT nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND 
-	iobm/IOS_FSM_FFd3 AND NOT IOBERR AND CLK_IOB AND iobm/BERRrf AND iobm/BERRrr)
-	OR (NOT nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND 
-	iobm/IOS_FSM_FFd3 AND NOT IOBERR AND CLK_IOB AND iobm/RESrf AND iobm/RESrr));
-
-FDCPE_IOL0: FDCPE port map (IOL0,IOL0_D,CLK_FSB,'0','0',IOL0_CE);
-IOL0_D <= ((NOT nLDS_FSB AND nADoutLE1)
-	OR (iobs/IOL1 AND NOT nADoutLE1));
-IOL0_CE <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1);
-
-FDCPE_IOREQ: FDCPE port map (IOREQ,IOREQ_D,CLK_FSB,'0','0');
-IOREQ_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND 
-	NOT iobs/PS_FSM_FFd2 AND nADoutLE1)
-	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND 
-	NOT iobs/PS_FSM_FFd2 AND nADoutLE1)
-	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND 
-	NOT iobs/PS_FSM_FFd2 AND nADoutLE1)
-	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND 
-	NOT iobs/PS_FSM_FFd2 AND nADoutLE1)
-	OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB AND 
-	NOT iobs/PS_FSM_FFd2 AND nADoutLE1)
-	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND 
-	NOT iobs/PS_FSM_FFd2 AND nADoutLE1)
-	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND 
-	NOT iobs/PS_FSM_FFd2 AND nADoutLE1)
-	OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21) AND 
-	NOT iobs/PS_FSM_FFd2 AND nADoutLE1)
-	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
-	cs/nOverlay1 AND NOT iobs/PS_FSM_FFd2 AND nADoutLE1)
-	OR (NOT iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1)
-	OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr)
-	OR (iobs/Once AND NOT iobs/PS_FSM_FFd2 AND nADoutLE1)
-	OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/PS_FSM_FFd2 AND 
-	nADoutLE1)
-	OR (nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT fsb/ASrf AND 
-	nADoutLE1));
-
-FTCPE_IORW0: FTCPE port map (IORW0,IORW0_T,CLK_FSB,'0','0');
-IORW0_T <= ((A_FSB(23) AND NOT iobs/Once AND NOT IORW0 AND nWE_FSB AND 
-	NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1)
-	OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND 
-	IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND 
-	NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
-	OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND 
-	IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND 
-	fsb/ASrf AND nADoutLE1)
-	OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND 
-	NOT IORW0 AND nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND 
-	NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
-	OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND 
-	NOT IORW0 AND nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND 
-	fsb/ASrf AND nADoutLE1)
-	OR (EXP15_.EXP)
-	OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND 
-	A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND 
-	NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND 
-	NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
-	OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND 
-	A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND 
-	NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND 
-	NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1)
-	OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND 
-	A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND 
-	NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND 
-	NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
-	OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND 
-	A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND 
-	NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND 
-	NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1)
-	OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND 
-	A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND 
-	cs/nOverlay1 AND NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND 
-	NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
-	OR (IORW0 AND NOT iobs/IORW1 AND NOT iobs/PS_FSM_FFd2 AND 
-	NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1)
-	OR (NOT IORW0 AND iobs/IORW1 AND NOT iobs/PS_FSM_FFd2 AND 
-	NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1)
-	OR (A_FSB(23) AND NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND 
-	NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
-	OR (A_FSB(23) AND NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND 
-	NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1)
-	OR (A_FSB(23) AND NOT iobs/Once AND NOT IORW0 AND nWE_FSB AND 
-	NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1));
-
-FDCPE_IOU0: FDCPE port map (IOU0,IOU0_D,CLK_FSB,'0','0',IOU0_CE);
-IOU0_D <= ((NOT nUDS_FSB AND nADoutLE1)
-	OR (iobs/IOU1 AND NOT nADoutLE1));
-IOU0_CE <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1);
-
-
-RA(0) <= ((A_FSB(10) AND NOT ram/RASEL)
-	OR (ram/RASEL AND A_FSB(1)));
-
-
-RA(1) <= ((A_FSB(11) AND NOT ram/RASEL)
-	OR (ram/RASEL AND A_FSB(2)));
-
-
-RA(2) <= ((A_FSB(12) AND NOT ram/RASEL)
-	OR (ram/RASEL AND A_FSB(3)));
-
-
-RA(3) <= ((A_FSB(13) AND NOT ram/RASEL)
-	OR (ram/RASEL AND A_FSB(4)));
-
-
-RA(4) <= ((A_FSB(14) AND NOT ram/RASEL)
-	OR (ram/RASEL AND A_FSB(5)));
-
-
-RA(5) <= ((A_FSB(15) AND NOT ram/RASEL)
-	OR (ram/RASEL AND A_FSB(6)));
-
-
-RA(6) <= ((A_FSB(16) AND NOT ram/RASEL)
-	OR (ram/RASEL AND A_FSB(7)));
-
-
-RA(7) <= ((A_FSB(8) AND ram/RASEL)
-	OR (A_FSB(17) AND NOT ram/RASEL));
-
-
-RA(8) <= ((A_FSB(9) AND ram/RASEL)
-	OR (A_FSB(18) AND NOT ram/RASEL));
-
-
-RA(9) <= ((A_FSB(20) AND ram/RASEL)
-	OR (A_FSB(19) AND NOT ram/RASEL));
-
-
-RA(10) <= A_FSB(21);
-
-
-RA(11) <= A_FSB(19);
-
-FDCPE_RefAck: FDCPE port map (RefAck,RefAck_D,CLK_FSB,'0','0');
-RefAck_D <= (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1);
-
-FTCPE_TimeoutA: FTCPE port map (TimeoutA,TimeoutA_T,CLK_FSB,'0','0');
-TimeoutA_T <= ((TimeoutA AND nAS_FSB AND NOT fsb/ASrf)
-	OR (NOT TimeoutA AND NOT nAS_FSB AND NOT cnt/RefCnt(0) AND 
-	NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND 
-	NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4))
-	OR (NOT TimeoutA AND NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND 
-	NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND 
-	NOT cnt/RefCnt(4) AND fsb/ASrf));
-
-FTCPE_TimeoutB: FTCPE port map (TimeoutB,TimeoutB_T,CLK_FSB,'0','0');
-TimeoutB_T <= ((TimeoutB AND nAS_FSB AND NOT fsb/ASrf)
-	OR (NOT TimeoutB AND cnt/TimeoutBPre AND NOT nAS_FSB AND 
-	NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND 
-	NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7))
-	OR (NOT TimeoutB AND cnt/TimeoutBPre AND NOT cnt/RefCnt(0) AND 
-	NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND 
-	NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7) AND fsb/ASrf));
-
-FTCPE_cnt/RefCnt0: FTCPE port map (cnt/RefCnt(0),'1',CLK_FSB,'0','0');
-
-FTCPE_cnt/RefCnt1: FTCPE port map (cnt/RefCnt(1),cnt/RefCnt(0),CLK_FSB,'0','0');
-
-FTCPE_cnt/RefCnt2: FTCPE port map (cnt/RefCnt(2),cnt/RefCnt_T(2),CLK_FSB,'0','0');
-cnt/RefCnt_T(2) <= (cnt/RefCnt(0) AND cnt/RefCnt(1));
-
-FTCPE_cnt/RefCnt3: FTCPE port map (cnt/RefCnt(3),cnt/RefCnt_T(3),CLK_FSB,'0','0');
-cnt/RefCnt_T(3) <= (cnt/RefCnt(0) AND cnt/RefCnt(1) AND cnt/RefCnt(2));
-
-FTCPE_cnt/RefCnt4: FTCPE port map (cnt/RefCnt(4),cnt/RefCnt_T(4),CLK_FSB,'0','0');
-cnt/RefCnt_T(4) <= (cnt/RefCnt(0) AND cnt/RefCnt(1) AND cnt/RefCnt(2) AND 
-	cnt/RefCnt(3));
-
-FTCPE_cnt/RefCnt5: FTCPE port map (cnt/RefCnt(5),cnt/RefCnt_T(5),CLK_FSB,'0','0');
-cnt/RefCnt_T(5) <= (cnt/RefCnt(0) AND cnt/RefCnt(1) AND cnt/RefCnt(2) AND 
-	cnt/RefCnt(3) AND cnt/RefCnt(4));
-
-FTCPE_cnt/RefCnt6: FTCPE port map (cnt/RefCnt(6),cnt/RefCnt_T(6),CLK_FSB,'0','0');
-cnt/RefCnt_T(6) <= (cnt/RefCnt(0) AND cnt/RefCnt(5) AND cnt/RefCnt(1) AND 
-	cnt/RefCnt(2) AND cnt/RefCnt(3) AND cnt/RefCnt(4));
-
-FTCPE_cnt/RefCnt7: FTCPE port map (cnt/RefCnt(7),cnt/RefCnt_T(7),CLK_FSB,'0','0');
-cnt/RefCnt_T(7) <= (cnt/RefCnt(0) AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND 
-	cnt/RefCnt(1) AND cnt/RefCnt(2) AND cnt/RefCnt(3) AND cnt/RefCnt(4));
-
-FDCPE_cnt/RefDone: FDCPE port map (cnt/RefDone,cnt/RefDone_D,CLK_FSB,'0','0');
-cnt/RefDone_D <= ((NOT cnt/RefDone AND NOT RefAck)
-	OR (NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND 
-	NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND 
-	NOT cnt/RefCnt(7)));
-
-FTCPE_cnt/TimeoutBPre: FTCPE port map (cnt/TimeoutBPre,cnt/TimeoutBPre_T,CLK_FSB,'0','0');
-cnt/TimeoutBPre_T <= ((cnt/TimeoutBPre AND nAS_FSB AND NOT fsb/ASrf)
-	OR (NOT cnt/TimeoutBPre AND NOT nAS_FSB AND NOT cnt/RefCnt(0) AND 
-	NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND 
-	NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7))
-	OR (NOT cnt/TimeoutBPre AND NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND 
-	NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND 
-	NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7) AND fsb/ASrf));
-
-FTCPE_cs/nOverlay0: FTCPE port map (cs/nOverlay0,cs/nOverlay0_T,CLK_FSB,NOT nRES,'0');
-cs/nOverlay0_T <= ((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND 
-	NOT cs/nOverlay0 AND NOT nAS_FSB)
-	OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND 
-	NOT cs/nOverlay0 AND fsb/ASrf));
-
-FDCPE_cs/nOverlay1: FDCPE port map (cs/nOverlay1,cs/nOverlay0,CLK_FSB,'0','0',cs/nOverlay1_CE);
-cs/nOverlay1_CE <= (nAS_FSB AND NOT fsb/ASrf);
-
-FDCPE_fsb/ASrf: FDCPE port map (fsb/ASrf,NOT nAS_FSB,NOT CLK_FSB,'0','0');
-
-FDCPE_fsb/BERR0r: FDCPE port map (fsb/BERR0r,fsb/BERR0r_D,CLK_FSB,'0','0');
-fsb/BERR0r_D <= ((NOT TimeoutB AND NOT fsb/BERR0r)
-	OR (nAS_FSB AND NOT fsb/ASrf)
-	OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND 
-	NOT fsb/BERR0r));
-
-FDCPE_fsb/BERR1r: FDCPE port map (fsb/BERR1r,fsb/BERR1r_D,CLK_FSB,'0','0');
-fsb/BERR1r_D <= ((NOT BERR_IOBS AND NOT fsb/BERR1r)
-	OR (nAS_FSB AND NOT fsb/ASrf));
-
-FDCPE_fsb/Ready0r: FDCPE port map (fsb/Ready0r,fsb/Ready0r_D,CLK_FSB,'0','0');
-fsb/Ready0r_D <= ((nAS_FSB AND NOT fsb/ASrf)
-	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND 
-	NOT fsb/Ready0r AND NOT ram/RAMReady)
-	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
-	NOT cs/nOverlay1 AND NOT fsb/Ready0r AND NOT ram/RAMReady));
-
-FDCPE_fsb/Ready1r: FDCPE port map (fsb/Ready1r,fsb/Ready1r_D,CLK_FSB,'0','0');
-fsb/Ready1r_D <= ((nAoutOE_OBUF.EXP)
-	OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady)
-	OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND 
-	NOT iobs/IOReady)
-	OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND 
-	A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND 
-	NOT fsb/Ready1r AND NOT iobs/IOReady)
-	OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND 
-	A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND 
-	NOT fsb/Ready1r AND NOT iobs/IOReady)
-	OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND 
-	A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND 
-	cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND 
-	NOT nADoutLE1)
-	OR (nAS_FSB AND NOT fsb/ASrf));
-
-FDCPE_fsb/Ready2r: FDCPE port map (fsb/Ready2r,fsb/Ready2r_D,CLK_FSB,'0','0');
-fsb/Ready2r_D <= ((nAS_FSB AND NOT fsb/ASrf)
-	OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND 
-	A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND 
-	A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
-	A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)
-	OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND 
-	A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND 
-	A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
-	A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)
-	OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND 
-	NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND 
-	A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
-	A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)
-	OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND 
-	A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND 
-	A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
-	A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)
-	OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND 
-	A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND 
-	A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
-	A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)
-	OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND 
-	NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND 
-	A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
-	A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)
-	OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND 
-	NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND 
-	A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
-	A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)
-	OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND 
-	NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND 
-	A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
-	A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r));
-
-FDCPE_fsb/VPA: FDCPE port map (fsb/VPA,fsb/VPA_D,CLK_FSB,'0','0');
-fsb/VPA_D <= ((EXP27_.EXP)
-	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
-	NOT cs/nOverlay1 AND NOT fsb/Ready0r AND fsb/VPA AND NOT ram/RAMReady AND 
-	NOT $OpTx$$OpTx$FX_DC$355_INV$439)
-	OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND 
-	A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND 
-	NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND 
-	NOT $OpTx$$OpTx$FX_DC$355_INV$439)
-	OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND 
-	A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND 
-	NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND 
-	NOT $OpTx$$OpTx$FX_DC$355_INV$439)
-	OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND 
-	A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND 
-	cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND 
-	NOT nADoutLE1 AND NOT $OpTx$$OpTx$FX_DC$355_INV$439)
-	OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND 
-	A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND 
-	cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND 
-	NOT nADoutLE1 AND NOT $OpTx$$OpTx$FX_DC$355_INV$439)
-	OR (EXP36_.EXP)
-	OR (NOT A_FSB(22) AND TimeoutB AND fsb/VPA AND 
-	NOT $OpTx$$OpTx$FX_DC$355_INV$439)
-	OR (A_FSB(21) AND TimeoutB AND fsb/VPA AND 
-	NOT $OpTx$$OpTx$FX_DC$355_INV$439)
-	OR (A_FSB(23) AND NOT fsb/Ready1r AND fsb/VPA AND 
-	NOT iobs/IOReady AND NOT $OpTx$$OpTx$FX_DC$355_INV$439)
-	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND 
-	NOT fsb/Ready0r AND fsb/VPA AND NOT ram/RAMReady AND 
-	NOT $OpTx$$OpTx$FX_DC$355_INV$439)
-	OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND 
-	fsb/VPA AND NOT iobs/IOReady AND NOT $OpTx$$OpTx$FX_DC$355_INV$439)
-	OR (BERR_IOBS AND fsb/VPA AND 
-	NOT $OpTx$$OpTx$FX_DC$355_INV$439)
-	OR (fsb/BERR0r AND fsb/VPA AND 
-	NOT $OpTx$$OpTx$FX_DC$355_INV$439)
-	OR (fsb/BERR1r AND fsb/VPA AND 
-	NOT $OpTx$$OpTx$FX_DC$355_INV$439)
-	OR (A_FSB(23) AND TimeoutB AND fsb/VPA AND 
-	NOT $OpTx$$OpTx$FX_DC$355_INV$439)
-	OR (NOT A_FSB(20) AND TimeoutB AND fsb/VPA AND 
-	NOT $OpTx$$OpTx$FX_DC$355_INV$439));
-
-FDCPE_iobm/BERRrf: FDCPE port map (iobm/BERRrf,NOT nBERR_IOB,NOT CLK2X_IOB,'0','0');
-
-FDCPE_iobm/BERRrr: FDCPE port map (iobm/BERRrr,NOT nBERR_IOB,CLK2X_IOB,'0','0');
-
-FDCPE_iobm/DTACKrf: FDCPE port map (iobm/DTACKrf,NOT nDTACK_IOB,NOT CLK2X_IOB,'0','0');
-
-FDCPE_iobm/DTACKrr: FDCPE port map (iobm/DTACKrr,NOT nDTACK_IOB,CLK2X_IOB,'0','0');
-
-FTCPE_iobm/ES0: FTCPE port map (iobm/ES(0),iobm/ES_T(0),CLK2X_IOB,'0','0');
-iobm/ES_T(0) <= ((iobm/ES(0) AND NOT iobm/Er AND iobm/Er2)
-	OR (NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND 
-	NOT iobm/ES(3) AND NOT iobm/ES(4) AND iobm/Er)
-	OR (NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND 
-	NOT iobm/ES(3) AND NOT iobm/ES(4) AND NOT iobm/Er2));
-
-FDCPE_iobm/ES1: FDCPE port map (iobm/ES(1),iobm/ES_D(1),CLK2X_IOB,'0','0');
-iobm/ES_D(1) <= ((iobm/ES(0) AND iobm/ES(1))
-	OR (NOT iobm/ES(0) AND NOT iobm/ES(1))
-	OR (NOT iobm/Er AND iobm/Er2));
-
-FDCPE_iobm/ES2: FDCPE port map (iobm/ES(2),iobm/ES_D(2),CLK2X_IOB,'0','0');
-iobm/ES_D(2) <= ((NOT iobm/ES(0) AND NOT iobm/ES(2))
-	OR (NOT iobm/ES(1) AND NOT iobm/ES(2))
-	OR (NOT iobm/Er AND iobm/Er2)
-	OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2))
-	OR (NOT iobm/ES(2) AND NOT iobm/ES(3) AND iobm/ES(4)));
-
-FTCPE_iobm/ES3: FTCPE port map (iobm/ES(3),iobm/ES_T(3),CLK2X_IOB,'0','0');
-iobm/ES_T(3) <= ((iobm/ES(3) AND NOT iobm/Er AND iobm/Er2)
-	OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND iobm/Er)
-	OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND NOT iobm/Er2));
-
-FTCPE_iobm/ES4: FTCPE port map (iobm/ES(4),iobm/ES_T(4),CLK2X_IOB,'0','0');
-iobm/ES_T(4) <= ((iobm/ES(4) AND NOT iobm/Er AND iobm/Er2)
-	OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND 
-	iobm/ES(3) AND iobm/Er)
-	OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND 
-	iobm/ES(3) AND NOT iobm/Er2)
-	OR (iobm/ES(0) AND iobm/ES(1) AND NOT iobm/ES(2) AND 
-	NOT iobm/ES(3) AND iobm/ES(4)));
-
-FDCPE_iobm/ETACK: FDCPE port map (iobm/ETACK,iobm/ETACK_D,CLK2X_IOB,'0','0');
-iobm/ETACK_D <= (NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND 
-	NOT iobm/ES(3) AND iobm/ES(4));
-
-FDCPE_iobm/Er: FDCPE port map (iobm/Er,E_IOB,NOT CLK_IOB,'0','0');
-
-FDCPE_iobm/Er2: FDCPE port map (iobm/Er2,iobm/Er,CLK2X_IOB,'0','0');
-
-FDCPE_iobm/IOREQr: FDCPE port map (iobm/IOREQr,IOREQ,NOT CLK2X_IOB,'0','0');
-
-FDCPE_iobm/IOS_FSM_FFd1: FDCPE port map (iobm/IOS_FSM_FFd1,iobm/IOS_FSM_FFd1_D,CLK2X_IOB,'0','0');
-iobm/IOS_FSM_FFd1_D <= ((iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd2 AND 
-	NOT iobm/IOS_FSM_FFd1)
-	OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND 
-	NOT iobm/IOS_FSM_FFd2));
-
-FDCPE_iobm/IOS_FSM_FFd2: FDCPE port map (iobm/IOS_FSM_FFd2,iobm/IOS_FSM_FFd2_D,CLK2X_IOB,'0','0');
-iobm/IOS_FSM_FFd2_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND 
-	iobm/IOS_FSM_FFd1)
-	OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd2 AND 
-	NOT iobm/IOS_FSM_FFd1));
-
-FDCPE_iobm/IOS_FSM_FFd3: FDCPE port map (iobm/IOS_FSM_FFd3,iobm/IOS_FSM_FFd3_D,CLK2X_IOB,'0','0');
-iobm/IOS_FSM_FFd3_D <= ((NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/RESrf AND 
-	iobm/RESrr)
-	OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3)
-	OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/ETACK)
-	OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/DTACKrf AND 
-	iobm/DTACKrr)
-	OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/BERRrf AND 
-	iobm/BERRrr));
-
-FDCPE_iobm/IOS_FSM_FFd4: FDCPE port map (iobm/IOS_FSM_FFd4,iobm/IOS_FSM_FFd4_D,CLK2X_IOB,'0','0');
-iobm/IOS_FSM_FFd4_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND 
-	iobm/IOS_FSM_FFd1)
-	OR (iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND 
-	iobm/IOS_FSM_FFd1)
-	OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND 
-	NOT iobm/IOS_FSM_FFd2 AND CLK_IOB)
-	OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND 
-	NOT iobm/IOS_FSM_FFd2 AND NOT iobm/IOREQr));
-
-FDCPE_iobm/RESrf: FDCPE port map (iobm/RESrf,NOT nRES,NOT CLK2X_IOB,'0','0');
-
-FDCPE_iobm/RESrr: FDCPE port map (iobm/RESrr,NOT nRES,CLK2X_IOB,'0','0');
-
-FDCPE_iobm/VPArf: FDCPE port map (iobm/VPArf,NOT nVPA_IOB,NOT CLK2X_IOB,'0','0');
-
-FDCPE_iobm/VPArr: FDCPE port map (iobm/VPArr,NOT nVPA_IOB,CLK2X_IOB,'0','0');
-
-FDCPE_iobs/Clear1: FDCPE port map (iobs/Clear1,iobs/Clear1_D,CLK_FSB,'0','0');
-iobs/Clear1_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1);
-
-FDCPE_iobs/IOACTr: FDCPE port map (iobs/IOACTr,IOACT,CLK_FSB,'0','0');
-
-FDCPE_iobs/IOL1: FDCPE port map (iobs/IOL1,NOT nLDS_FSB,CLK_FSB,'0','0',iobs/Load1);
-
-FTCPE_iobs/IORW1: FTCPE port map (iobs/IORW1,iobs/IORW1_T,CLK_FSB,'0','0');
-iobs/IORW1_T <= ((iobs/Once)
-	OR (NOT nADoutLE1)
-	OR (nBERR_FSB_OBUF.EXP)
-	OR (NOT nWE_FSB AND NOT iobs/IORW1)
-	OR (nAS_FSB AND NOT fsb/ASrf)
-	OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)
-	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21))
-	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1)
-	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19))
-	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17))
-	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16))
-	OR (NOT A_FSB(23) AND NOT A_FSB(20))
-	OR (nWE_FSB AND iobs/IORW1)
-	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT iobs/IORW1));
-
-FTCPE_iobs/IOReady: FTCPE port map (iobs/IOReady,iobs/IOReady_T,CLK_FSB,'0','0');
-iobs/IOReady_T <= ((iobs/IOReady AND nAS_FSB AND NOT fsb/ASrf)
-	OR (iobs/Once AND iobs/IOReady AND NOT iobs/PS_FSM_FFd2 AND 
-	NOT iobs/IOACTr AND IOBERR AND nADoutLE1)
-	OR (iobs/Once AND NOT iobs/IOReady AND NOT nAS_FSB AND 
-	NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND NOT IOBERR AND nADoutLE1)
-	OR (iobs/Once AND NOT iobs/IOReady AND NOT iobs/PS_FSM_FFd2 AND 
-	NOT iobs/IOACTr AND NOT IOBERR AND fsb/ASrf AND nADoutLE1));
-
-FDCPE_iobs/IOU1: FDCPE port map (iobs/IOU1,NOT nUDS_FSB,CLK_FSB,'0','0',iobs/Load1);
-
-FDCPE_iobs/Load1: FDCPE port map (iobs/Load1,iobs/Load1_D,CLK_FSB,'0','0');
-iobs/Load1_D <= ((iobs/Once)
-	OR (NOT nADoutLE1)
-	OR (ram/RAMDIS2.EXP)
-	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21))
-	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1)
-	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19))
-	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16))
-	OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB)
-	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18))
-	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17))
-	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
-	cs/nOverlay1)
-	OR (NOT A_FSB(23) AND NOT A_FSB(20))
-	OR (nAS_FSB AND NOT fsb/ASrf)
-	OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1));
-
-FDCPE_iobs/Once: FDCPE port map (iobs/Once,iobs/Once_D,CLK_FSB,'0','0');
-iobs/Once_D <= ((A_FSB(23) AND NOT iobs/Once AND iobs/PS_FSM_FFd1)
-	OR (NOT iobs/Once AND iobs/PS_FSM_FFd2 AND NOT nADoutLE1)
-	OR (NOT iobs/Once AND iobs/PS_FSM_FFd1 AND NOT nADoutLE1)
-	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once)
-	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND 
-	NOT iobs/Once)
-	OR (EXP35_.EXP)
-	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND NOT iobs/Once)
-	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND NOT iobs/Once)
-	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND NOT iobs/Once)
-	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND NOT iobs/Once)
-	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT iobs/Once AND nWE_FSB)
-	OR (nAS_FSB AND NOT fsb/ASrf)
-	OR (A_FSB(23) AND NOT iobs/Once AND iobs/PS_FSM_FFd2)
-	OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/Once)
-	OR (A_FSB(22) AND NOT iobs/Once AND iobs/PS_FSM_FFd2)
-	OR (A_FSB(22) AND NOT iobs/Once AND iobs/PS_FSM_FFd1));
-
-FDCPE_iobs/PS_FSM_FFd1: FDCPE port map (iobs/PS_FSM_FFd1,iobs/PS_FSM_FFd1_D,CLK_FSB,'0','0');
-iobs/PS_FSM_FFd1_D <= ((iobs/PS_FSM_FFd2)
-	OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr));
-
-FDCPE_iobs/PS_FSM_FFd2: FDCPE port map (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_D,CLK_FSB,'0','0');
-iobs/PS_FSM_FFd2_D <= ((nVMA_IOB_OBUF.EXP)
-	OR (nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND 
-	NOT fsb/ASrf AND nADoutLE1)
-	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND 
-	NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
-	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND 
-	NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
-	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND 
-	NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
-	OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB AND 
-	NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
-	OR (iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1 AND 
-	iobs/IOACTr)
-	OR (NOT iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1 AND 
-	NOT iobs/IOACTr)
-	OR (iobs/Once AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND 
-	nADoutLE1)
-	OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/PS_FSM_FFd2 AND 
-	NOT iobs/PS_FSM_FFd1 AND nADoutLE1));
-
-
-nADoutLE0 <= (NOT ALE0M AND NOT ALE0S);
-
-FDCPE_nADoutLE1: FDCPE port map (nADoutLE1,nADoutLE1_D,CLK_FSB,'0','0');
-nADoutLE1_D <= ((iobs/Load1)
-	OR (NOT iobs/Clear1 AND NOT nADoutLE1));
-
-FDCPE_nAS_IOB: FDCPE port map (nAS_IOB,nAS_IOB_D,NOT CLK2X_IOB,'0','0');
-nAS_IOB_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND 
-	NOT iobm/IOS_FSM_FFd2)
-	OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND 
-	iobm/IOS_FSM_FFd1));
-
-
-nAoutOE <= '0';
-
-
-nBERR_FSB <= ((nAS_FSB)
-	OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND 
-	NOT BERR_IOBS AND NOT fsb/BERR0r AND NOT fsb/BERR1r)
-	OR (NOT BERR_IOBS AND NOT TimeoutB AND NOT fsb/BERR0r AND NOT fsb/BERR1r));
-
-FDCPE_nCAS: FDCPE port map (nCAS,NOT ram/RASEL,NOT CLK_FSB,'0','0');
-
-FDCPE_nDTACK_FSB: FDCPE port map (nDTACK_FSB,nDTACK_FSB_D,CLK_FSB,'0','0');
-nDTACK_FSB_D <= ((EXP28_.EXP)
-	OR (A_FSB(23) AND TimeoutB AND nDTACK_FSB)
-	OR (NOT A_FSB(22) AND TimeoutB AND nDTACK_FSB)
-	OR (A_FSB(21) AND TimeoutB AND nDTACK_FSB)
-	OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady AND 
-	nDTACK_FSB)
-	OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND 
-	NOT iobs/IOReady AND nDTACK_FSB)
-	OR (EXP31_.EXP)
-	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND 
-	NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady)
-	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
-	NOT cs/nOverlay1 AND NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady)
-	OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND 
-	A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND 
-	NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB)
-	OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND 
-	A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND 
-	NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB)
-	OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND 
-	A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND 
-	cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND 
-	nDTACK_FSB AND NOT nADoutLE1)
-	OR (BERR_IOBS AND nDTACK_FSB)
-	OR (fsb/BERR0r AND nDTACK_FSB)
-	OR (fsb/BERR1r AND nDTACK_FSB)
-	OR (nAS_FSB AND NOT fsb/ASrf)
-	OR (NOT A_FSB(20) AND TimeoutB AND nDTACK_FSB));
-
-FDCPE_nDinLE: FDCPE port map (nDinLE,nDinLE_D,NOT CLK2X_IOB,'0','0');
-nDinLE_D <= ((iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd1)
-	OR (NOT iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd3));
-
-
-nDinOE <= ((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB)
-	OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND nWE_FSB AND 
-	NOT nAS_FSB));
-
-FDCPE_nDoutOE: FDCPE port map (nDoutOE,nDoutOE_D,CLK2X_IOB,'0','0');
-nDoutOE_D <= ((NOT IORW0)
-	OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND 
-	NOT iobm/IOS_FSM_FFd2));
-
-FDCPE_nLDS_IOB: FDCPE port map (nLDS_IOB,nLDS_IOB_D,NOT CLK2X_IOB,'0','0');
-nLDS_IOB_D <= ((NOT IOL0)
-	OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND 
-	NOT iobm/IOS_FSM_FFd2)
-	OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND 
-	iobm/IOS_FSM_FFd1)
-	OR (IORW0 AND iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd2 AND 
-	NOT iobm/IOS_FSM_FFd1));
-
-
-nOE <= NOT ((nWE_FSB AND NOT nAS_FSB));
-
-
-nRAMLWE <= NOT ((NOT nWE_FSB AND NOT nLDS_FSB AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND 
-	NOT ram/RAMDIS1));
-
-
-nRAMUWE <= NOT ((NOT nWE_FSB AND NOT nUDS_FSB AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND 
-	NOT ram/RAMDIS1));
-
-
-nRAS <= NOT (((RefAck)
-	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND 
-	NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RAMDIS1)
-	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
-	NOT cs/nOverlay1 AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RAMDIS1)));
-
-
-nROMCS <= NOT (((NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND 
-	NOT cs/nOverlay1)
-	OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20))));
-
-
-nROMWE <= NOT ((NOT nWE_FSB AND NOT nAS_FSB));
-
-FDCPE_nUDS_IOB: FDCPE port map (nUDS_IOB,nUDS_IOB_D,NOT CLK2X_IOB,'0','0');
-nUDS_IOB_D <= ((NOT IOU0)
-	OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND 
-	NOT iobm/IOS_FSM_FFd2)
-	OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND 
-	iobm/IOS_FSM_FFd1)
-	OR (IORW0 AND iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd2 AND 
-	NOT iobm/IOS_FSM_FFd1));
-
-FTCPE_nVMA_IOB: FTCPE port map (nVMA_IOB,nVMA_IOB_T,CLK2X_IOB,'0','0');
-nVMA_IOB_T <= ((NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND 
-	NOT iobm/ES(3) AND NOT iobm/ES(4))
-	OR (nVMA_IOB AND iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND 
-	NOT iobm/ES(3) AND NOT iobm/ES(4) AND IOACT AND iobm/VPArf AND iobm/VPArr));
-
-
-nVPA_FSB <= NOT ((fsb/VPA AND NOT nAS_FSB));
-
-FDCPE_ram/BACTr: FDCPE port map (ram/BACTr,ram/BACTr_D,CLK_FSB,'0','0');
-ram/BACTr_D <= (nAS_FSB AND NOT fsb/ASrf);
-
-FTCPE_ram/Once: FTCPE port map (ram/Once,ram/Once_T,CLK_FSB,'0','0');
-ram/Once_T <= ((ram/Once AND nAS_FSB AND NOT fsb/ASrf)
-	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND 
-	NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND 
-	NOT ram/RS_FSM_FFd3)
-	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND 
-	NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf)
-	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
-	NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND 
-	NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
-	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
-	NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND 
-	NOT ram/RS_FSM_FFd3 AND fsb/ASrf));
-
-FDCPE_ram/RAMDIS1: FDCPE port map (ram/RAMDIS1,ram/RAMDIS1_D,CLK_FSB,'0','0');
-ram/RAMDIS1_D <= ((A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND 
-	NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
-	OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND 
-	NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
-	OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND 
-	NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
-	OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND 
-	NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
-	OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND 
-	NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
-	OR (EXP16_.EXP)
-	OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND 
-	cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7))
-	OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND 
-	NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
-	OR (ram/Once AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND 
-	cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7))
-	OR (NOT cnt/RefDone AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3 AND 
-	cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7))
-	OR (NOT cnt/RefDone AND nAS_FSB AND NOT ram/RS_FSM_FFd1 AND 
-	cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND NOT fsb/ASrf)
-	OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1)
-	OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3)
-	OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND 
-	NOT ram/RS_FSM_FFd3)
-	OR (A_FSB(23) AND NOT cnt/RefDone AND NOT nAS_FSB AND 
-	NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
-	OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND 
-	NOT ram/BACTr AND fsb/ASrf));
-
-FTCPE_ram/RAMDIS2: FTCPE port map (ram/RAMDIS2,ram/RAMDIS2_T,CLK_FSB,'0','0');
-ram/RAMDIS2_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND ram/Once AND 
-	NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND 
-	NOT ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND 
-	fsb/ASrf)
-	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
-	NOT cs/nOverlay1 AND ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND 
-	NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND 
-	cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7))
-	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
-	NOT cs/nOverlay1 AND ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND 
-	NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND 
-	cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND fsb/ASrf)
-	OR (ram/RAMDIS2 AND nAS_FSB AND NOT fsb/ASrf)
-	OR (ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND 
-	ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND 
-	cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7))
-	OR (ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND 
-	ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND 
-	cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND fsb/ASrf)
-	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND ram/Once AND 
-	NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND 
-	NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND 
-	cnt/RefCnt(7)));
-
-FDCPE_ram/RAMReady: FDCPE port map (ram/RAMReady,ram/RAMReady_D,CLK_FSB,'0','0');
-ram/RAMReady_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND 
-	NOT nAS_FSB AND NOT ram/RS_FSM_FFd1)
-	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND 
-	NOT ram/RS_FSM_FFd1 AND fsb/ASrf)
-	OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND 
-	NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
-	OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND 
-	NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
-	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
-	NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf)
-	OR (A_FSB_19_IBUF$BUF0.EXP)
-	OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3)
-	OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1)
-	OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3)
-	OR (A_FSB(23) AND NOT cnt/RefDone AND NOT nAS_FSB AND 
-	NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
-	OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND 
-	NOT ram/BACTr AND fsb/ASrf)
-	OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND 
-	NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
-	OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND 
-	NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
-	OR (NOT A_FSB(21) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND 
-	NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
-	OR (NOT A_FSB(21) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND 
-	NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
-	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
-	NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd1));
-
-FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,CLK_FSB,'0','0');
-ram/RASEL_D <= ((EXP17_.EXP)
-	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND 
-	NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1)
-	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND 
-	NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf)
-	OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND 
-	NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
-	OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND 
-	NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
-	OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND 
-	NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
-	OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND 
-	NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
-	OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND 
-	NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
-	OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND 
-	NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
-	OR (NOT cnt/RefDone AND nAS_FSB AND NOT ram/RS_FSM_FFd2 AND 
-	NOT ram/RS_FSM_FFd1 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND 
-	NOT fsb/ASrf)
-	OR (NOT cnt/RefDone AND nAS_FSB AND ram/RS_FSM_FFd1 AND 
-	ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND 
-	NOT fsb/ASrf)
-	OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3)
-	OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND 
-	NOT ram/RS_FSM_FFd3)
-	OR (A_FSB(23) AND NOT cnt/RefDone AND NOT nAS_FSB AND 
-	NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
-	OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd2 AND 
-	NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
-	OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd2 AND 
-	NOT ram/RS_FSM_FFd1 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)));
-
-FTCPE_ram/RS_FSM_FFd1: FTCPE port map (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_T,CLK_FSB,'0','0');
-ram/RS_FSM_FFd1_T <= ((ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3)
-	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND 
-	NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND 
-	NOT ram/RS_FSM_FFd3)
-	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND 
-	NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf)
-	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
-	NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND 
-	NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
-	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
-	NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND 
-	NOT ram/RS_FSM_FFd3 AND fsb/ASrf));
-
-FTCPE_ram/RS_FSM_FFd2: FTCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_T,CLK_FSB,'0','0');
-ram/RS_FSM_FFd2_T <= ((nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND 
-	NOT cnt/RefCnt(5) AND NOT fsb/ASrf)
-	OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND 
-	NOT cnt/RefCnt(6) AND NOT fsb/ASrf)
-	OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND 
-	NOT cnt/RefCnt(7) AND NOT fsb/ASrf)
-	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT nAS_FSB AND 
-	NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
-	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND 
-	NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf)
-	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
-	NOT cs/nOverlay1 AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
-	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
-	NOT cs/nOverlay1 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf)
-	OR (NOT cnt/RefDone AND nAS_FSB AND ram/RS_FSM_FFd2 AND 
-	ram/RS_FSM_FFd1 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND 
-	NOT fsb/ASrf)
-	OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3)
-	OR (cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
-	OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND 
-	NOT cnt/RefCnt(5) AND ram/BACTr)
-	OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND 
-	NOT cnt/RefCnt(6) AND ram/BACTr)
-	OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND ram/BACTr AND 
-	NOT cnt/RefCnt(7)));
-
-FTCPE_ram/RS_FSM_FFd3: FTCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_T,CLK_FSB,'0','0');
-ram/RS_FSM_FFd3_T <= ((A_FSB(22) AND NOT A_FSB(21) AND NOT ram/RS_FSM_FFd2 AND 
-	NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
-	OR (A_FSB(22) AND cs/nOverlay1 AND NOT ram/RS_FSM_FFd2 AND 
-	NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
-	OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT ram/RS_FSM_FFd2 AND 
-	NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
-	OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND 
-	NOT ram/RS_FSM_FFd3 AND NOT fsb/ASrf)
-	OR (NOT cnt/RefDone AND NOT nAS_FSB AND ram/RS_FSM_FFd2 AND 
-	ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND 
-	cnt/RefCnt(7))
-	OR (NOT cnt/RefDone AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND 
-	ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND 
-	fsb/ASrf)
-	OR (A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND 
-	NOT ram/RS_FSM_FFd3)
-	OR (ram/Once AND cnt/RefDone AND NOT ram/RS_FSM_FFd2 AND 
-	NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
-	OR (ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND 
-	NOT ram/RS_FSM_FFd3 AND NOT cnt/RefCnt(5))
-	OR (ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND 
-	NOT ram/RS_FSM_FFd3 AND NOT cnt/RefCnt(6))
-	OR (ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND 
-	NOT ram/RS_FSM_FFd3 AND NOT cnt/RefCnt(7)));
-
-Register Legend:
- FDCPE (Q,D,C,CLR,PRE,CE); 
- FTCPE (Q,D,C,CLR,PRE,CE); 
- LDCP  (Q,D,G,CLR,PRE); 
-
-******************************  Device Pin Out *****************************
-
-Device : XC95144XL-10-TQ100
-
-
-   --------------------------------------------------  
-  /100 98  96  94  92  90  88  86  84  82  80  78  76  \
- |   99  97  95  93  91  89  87  85  83  81  79  77    |
- | 1                                               75  | 
- | 2                                               74  | 
- | 3                                               73  | 
- | 4                                               72  | 
- | 5                                               71  | 
- | 6                                               70  | 
- | 7                                               69  | 
- | 8                                               68  | 
- | 9                                               67  | 
- | 10                                              66  | 
- | 11                                              65  | 
- | 12                                              64  | 
- | 13              XC95144XL-10-TQ100              63  | 
- | 14                                              62  | 
- | 15                                              61  | 
- | 16                                              60  | 
- | 17                                              59  | 
- | 18                                              58  | 
- | 19                                              57  | 
- | 20                                              56  | 
- | 21                                              55  | 
- | 22                                              54  | 
- | 23                                              53  | 
- | 24                                              52  | 
- | 25                                              51  | 
- |   27  29  31  33  35  37  39  41  43  45  47  49    |
-  \26  28  30  32  34  36  38  40  42  44  46  48  50  /
-   --------------------------------------------------  
-
-
-Pin Signal                         Pin Signal                        
-No. Name                           No. Name                          
-  1 KPR                              51 VCC                           
-  2 KPR                              52 KPR                           
-  3 KPR                              53 E_IOB                         
-  4 KPR                              54 nADoutLE0                     
-  5 VCC                              55 A_FSB<2>                      
-  6 nLDS_IOB                         56 nBERR_IOB                     
-  7 nUDS_IOB                         57 VCC                           
-  8 nAS_IOB                          58 nVPA_FSB                      
-  9 nLDS_FSB                         59 A_FSB<4>                      
- 10 nDoutOE                          60 nWE_FSB                       
- 11 nBERR_FSB                        61 A_FSB<19>                     
- 12 A_FSB<13>                        62 GND                           
- 13 nDinOE                           63 A_FSB<14>                     
- 14 A_FSB<20>                        64 nDTACK_FSB                    
- 15 nROMCS                           65 A_FSB<12>                     
- 16 A_FSB<5>                         66 A_FSB<11>                     
- 17 nVMA_IOB                         67 A_FSB<3>                      
- 18 nUDS_FSB                         68 nAoutOE                       
- 19 RA<10>                           69 GND                           
- 20 nDTACK_IOB                       70 nAS_FSB                       
- 21 GND                              71 A_FSB<9>                      
- 22 CLK2X_IOB                        72 A_FSB<1>                      
- 23 CLK_FSB                          73 A_FSB<8>                      
- 24 nRAS                             74 RA<5>                         
- 25 nVPA_IOB                         75 GND                           
- 26 VCC                              76 A_FSB<7>                      
- 27 CLK_IOB                          77 RA<6>                         
- 28 KPR                              78 A_FSB<23>                     
- 29 RA<0>                            79 RA<7>                         
- 30 KPR                              80 A_FSB<21>                     
- 31 GND                              81 RA<8>                         
- 32 KPR                              82 A_FSB<17>                     
- 33 RA<11>                           83 TDO                           
- 34 KPR                              84 GND                           
- 35 RA<2>                            85 nADoutLE1                     
- 36 KPR                              86 A_FSB<15>                     
- 37 RA<3>                            87 RA<1>                         
- 38 VCC                              88 VCC                           
- 39 KPR                              89 A_FSB<10>                     
- 40 RA<4>                            90 nOE                           
- 41 KPR                              91 A_FSB<16>                     
- 42 RA<9>                            92 nRAMLWE                       
- 43 KPR                              93 A_FSB<18>                     
- 44 GND                              94 nRAMUWE                       
- 45 TDI                              95 A_FSB<22>                     
- 46 nCAS                             96 nROMWE                        
- 47 TMS                              97 A_FSB<6>                      
- 48 TCK                              98 VCC                           
- 49 KPR                              99 nRES                          
- 50 nDinLE                          100 GND                           
-
-
-Legend :  NC  = Not Connected, unbonded pin
-         PGND = Unused I/O configured as additional Ground pin
-         TIE  = Unused I/O floating -- must tie to VCC, GND or other signal
-         KPR  = Unused I/O with weak keeper (leave unconnected)
-         VCC  = Dedicated Power Pin
-         GND  = Dedicated Ground Pin
-         TDI  = Test Data In, JTAG pin
-         TDO  = Test Data Out, JTAG pin
-         TCK  = Test Clock, JTAG pin
-         TMS  = Test Mode Select, JTAG pin
-  PROHIBITED  = User reserved pin
-****************************  Compiler Options  ****************************
-
-Following is a list of all global compiler options used by the fitter run.
-
-Device(s) Specified                         : xc95144xl-10-TQ100
-Optimization Method                         : SPEED
-Multi-Level Logic Optimization              : ON
-Ignore Timing Specifications                : OFF
-Default Register Power Up Value             : LOW
-Keep User Location Constraints              : ON
-What-You-See-Is-What-You-Get                : OFF
-Exhaustive Fitting                          : OFF
-Keep Unused Inputs                          : OFF
-Slew Rate                                   : FAST
-Power Mode                                  : STD
-Ground on Unused IOs                        : OFF
-Set I/O Pin Termination                     : KEEPER
-Global Clock Optimization                   : ON
-Global Set/Reset Optimization               : ON
-Global Ouput Enable Optimization            : ON
-Input Limit                                 : 54
-Pterm Limit                                 : 25
-
-
- - -
- + +
+ 
+cpldfit:  version P.20131013                        Xilinx Inc.
+                                  Fitter Report
+Design Name: MXSE                                Date:  2- 7-2022,  4:04AM
+Device Used: XC95144XL-10-TQ100
+Fitting Status: Successful
+
+*************************  Mapped Resource Summary  **************************
+
+Macrocells     Product Terms    Function Block   Registers      Pins           
+Used/Tot       Used/Tot         Inps Used/Tot    Used/Tot       Used/Tot       
+105/144 ( 73%) 429 /720  ( 60%) 227/432 ( 53%)   80 /144 ( 56%) 67 /81  ( 83%)
+
+** Function Block Resources **
+
+Function    Mcells      FB Inps     Pterms      IO          
+Block       Used/Tot    Used/Tot    Used/Tot    Used/Tot    
+FB1          18/18*      16/54       17/90      11/11*
+FB2           5/18        3/54        5/90       8/10
+FB3           6/18       39/54       81/90      10/10*
+FB4          18/18*      29/54       34/90       9/10
+FB5          13/18       31/54       81/90       8/10
+FB6          18/18*      34/54       62/90      10/10*
+FB7          15/18       39/54       68/90       6/10
+FB8          12/18       36/54       81/90       5/10
+             -----       -----       -----      -----    
+            105/144     227/432     429/720     67/81 
+
+* - Resource is exhausted
+
+** Global Control Resources **
+
+Signal 'CLK2X_IOB' mapped onto global clock net GCK1.
+Signal 'CLK_IOB' mapped onto global clock net GCK2.
+Signal 'CLK_FSB' mapped onto global clock net GCK3.
+Global output enable net(s) unused.
+Global set/reset net(s) unused.
+
+** Pin Resources **
+
+Signal Type    Required     Mapped  |  Pin Type            Used    Total 
+------------------------------------|------------------------------------
+Input         :   32          32    |  I/O              :    61      73
+Output        :   32          32    |  GCK/IO           :     3       3
+Bidirectional :    0           0    |  GTS/IO           :     3       4
+GCK           :    3           3    |  GSR/IO           :     0       1
+GTS           :    0           0    |
+GSR           :    0           0    |
+                 ----        ----
+        Total     67          67
+
+** Power Data **
+
+There are 105 macrocells in high performance mode (MCHP).
+There are 0 macrocells in low power mode (MCLP).
+End of Mapped Resource Summary
+**************************  Errors and Warnings  ***************************
+
+WARNING:Cpld - Unable to retrieve the path to the iSE Project Repository. Will
+   use the default filename of 'MXSE.ise'.
+INFO:Cpld - Inferring BUFG constraint for signal 'CLK2X_IOB' based upon the LOC
+   constraint 'P22'. It is recommended that you declare this BUFG explicitedly
+   in your design. Note that for certain device families the output of a BUFG
+   constraint can not drive a gated clock, and the BUFG constraint will be
+   ignored.
+INFO:Cpld - Inferring BUFG constraint for signal 'CLK_FSB' based upon the LOC
+   constraint 'P27'. It is recommended that you declare this BUFG explicitedly
+   in your design. Note that for certain device families the output of a BUFG
+   constraint can not drive a gated clock, and the BUFG constraint will be
+   ignored.
+INFO:Cpld - Inferring BUFG constraint for signal 'CLK_IOB' based upon the LOC
+   constraint 'P23'. It is recommended that you declare this BUFG explicitedly
+   in your design. Note that for certain device families the output of a BUFG
+   constraint can not drive a gated clock, and the BUFG constraint will be
+   ignored.
+*************************  Summary of Mapped Logic  ************************
+
+** 32 Outputs **
+
+Signal              Total Total Loc     Pin  Pin     Pin     Pwr  Slew Reg Init
+Name                Pts   Inps          No.  Type    Use     Mode Rate State
+nDTACK_FSB          23    32    FB3_9   28   I/O     O       STD  FAST RESET
+nROMWE              1     2     FB3_17  34   I/O     O       STD  FAST 
+nAoutOE             0     0     FB4_2   87   I/O     O       STD  FAST 
+nDoutOE             2     4     FB4_5   89   I/O     O       STD  FAST RESET
+nDinOE              2     6     FB4_6   90   I/O     O       STD  FAST 
+nVPA_FSB            1     2     FB4_11  93   I/O     O       STD  FAST 
+nROMCS              2     5     FB5_2   35   I/O     O       STD  FAST 
+nCAS                1     1     FB5_5   36   I/O     O       STD  FAST RESET
+nOE                 1     2     FB5_6   37   I/O     O       STD  FAST 
+RA<4>               2     3     FB5_9   40   I/O     O       STD  FAST 
+RA<3>               2     3     FB5_11  41   I/O     O       STD  FAST 
+RA<5>               2     3     FB5_12  42   I/O     O       STD  FAST 
+RA<2>               2     3     FB5_14  43   I/O     O       STD  FAST 
+RA<6>               2     3     FB5_15  46   I/O     O       STD  FAST 
+nVMA_IOB            2     9     FB6_2   74   I/O     O       STD  FAST RESET
+nLDS_IOB            4     6     FB6_9   79   I/O     O       STD  FAST RESET
+nUDS_IOB            4     6     FB6_11  80   I/O     O       STD  FAST RESET
+nAS_IOB             2     4     FB6_12  81   I/O     O       STD  FAST RESET
+nADoutLE1           2     3     FB6_14  82   I/O     O       STD  FAST SET
+nADoutLE0           1     2     FB6_15  85   I/O     O       STD  FAST 
+nDinLE              2     3     FB6_17  86   I/O     O       STD  FAST RESET
+RA<1>               2     3     FB7_2   50   I/O     O       STD  FAST 
+RA<7>               2     3     FB7_5   52   I/O     O       STD  FAST 
+RA<0>               2     3     FB7_6   53   I/O     O       STD  FAST 
+RA<8>               2     3     FB7_8   54   I/O     O       STD  FAST 
+RA<10>              1     1     FB7_9   55   I/O     O       STD  FAST 
+RA<9>               2     3     FB7_11  56   I/O     O       STD  FAST 
+RA<11>              1     1     FB8_2   63   I/O     O       STD  FAST 
+nRAS                3     8     FB8_5   64   I/O     O       STD  FAST 
+nRAMLWE             1     5     FB8_6   65   I/O     O       STD  FAST 
+nRAMUWE             1     5     FB8_8   66   I/O     O       STD  FAST 
+nBERR_FSB           3     9     FB8_12  70   I/O     O       STD  FAST 
+
+** 73 Buried Nodes **
+
+Signal              Total Total Loc     Pwr  Reg Init
+Name                Pts   Inps          Mode State
+iobs/IOACTr         1     1     FB1_1   STD  RESET
+iobm/IOREQr         1     1     FB1_2   STD  RESET
+iobm/Er2            1     1     FB1_3   STD  RESET
+iobm/DTACKrr        1     1     FB1_4   STD  RESET
+iobm/DTACKrf        1     1     FB1_5   STD  RESET
+iobm/BERRrr         1     1     FB1_6   STD  RESET
+iobm/BERRrf         1     1     FB1_7   STD  RESET
+fsb/ASrf            1     1     FB1_8   STD  RESET
+cnt/RefCnt<7>       1     7     FB1_9   STD  RESET
+cnt/RefCnt<6>       1     6     FB1_10  STD  RESET
+cnt/RefCnt<5>       1     5     FB1_11  STD  RESET
+cnt/RefCnt<4>       1     4     FB1_12  STD  RESET
+cnt/RefCnt<3>       1     3     FB1_13  STD  RESET
+cnt/RefCnt<2>       1     2     FB1_14  STD  RESET
+cnt/RefCnt<1>       1     1     FB1_15  STD  RESET
+cnt/RefCnt<0>       0     0     FB1_16  STD  RESET
+RefAck              1     2     FB1_17  STD  RESET
+$OpTx$FX_DC$607     1     2     FB1_18  STD  
+iobm/VPArr          1     1     FB2_14  STD  RESET
+iobm/VPArf          1     1     FB2_15  STD  RESET
+iobm/RESrr          1     1     FB2_16  STD  RESET
+iobm/RESrf          1     1     FB2_17  STD  RESET
+iobm/Er             1     1     FB2_18  STD  RESET
+fsb/VPA             25    31    FB3_2   STD  RESET
+fsb/Ready1r         7     17    FB3_5   STD  RESET
+iobs/IORW1          16    19    FB3_13  STD  RESET
+fsb/Ready2r         9     22    FB3_15  STD  RESET
+ram/BACTr           1     2     FB4_1   STD  RESET
+iobs/Clear1         1     3     FB4_3   STD  RESET
+ALE0S               1     2     FB4_4   STD  RESET
+iobs/PS_FSM_FFd1    2     3     FB4_7   STD  RESET
+iobs/IOU1           2     2     FB4_8   STD  RESET
+iobs/IOL1           2     2     FB4_9   STD  RESET
+iobm/IOS_FSM_FFd2   2     4     FB4_10  STD  RESET
+iobm/IOS_FSM_FFd1   2     4     FB4_12  STD  RESET
+fsb/BERR1r          2     4     FB4_13  STD  RESET
+cs/nOverlay1        2     3     FB4_14  STD  RESET
+$OpTx$FX_DC$608     2     5     FB4_15  STD  
+IOU0                3     5     FB4_16  STD  RESET
+IOL0                3     5     FB4_17  STD  RESET
+
+Signal              Total Total Loc     Pwr  Reg Init
+Name                Pts   Inps          Mode State
+iobs/IOReady        4     8     FB4_18  STD  RESET
+iobs/PS_FSM_FFd2    14    19    FB5_4   STD  RESET
+iobs/Once           17    18    FB5_8   STD  RESET
+IOREQ               14    19    FB5_13  STD  RESET
+BERR_IOBS           4     8     FB5_16  STD  RESET
+IORW0               18    20    FB5_18  STD  RESET
+iobm/ETACK          1     6     FB6_1   STD  RESET
+ALE0M               2     5     FB6_3   STD  RESET
+iobm/ES<3>          3     6     FB6_4   STD  RESET
+iobm/ES<1>          3     4     FB6_5   STD  RESET
+iobm/ES<0>          3     7     FB6_6   STD  RESET
+iobm/IOS_FSM_FFd4   4     6     FB6_7   STD  RESET
+iobm/ES<4>          4     7     FB6_8   STD  RESET
+iobm/IOS_FSM_FFd3   5     10    FB6_10  STD  RESET
+iobm/ES<2>          5     7     FB6_13  STD  RESET
+IOACT               6     13    FB6_16  STD  RESET
+IOBERR              9     14    FB6_18  STD  RESET
+TimeoutB            3     12    FB7_1   STD  RESET
+cnt/RefDone         2     10    FB7_3   STD  RESET
+fsb/Ready0r         3     8     FB7_4   STD  RESET
+cs/nOverlay0        3     8     FB7_7   STD  RESET
+cnt/TimeoutBPre     3     11    FB7_10  STD  RESET
+TimeoutA            3     10    FB7_12  STD  RESET
+ram/RS_FSM_FFd3     11    14    FB7_14  STD  RESET
+ram/RS_FSM_FFd2     13    14    FB7_16  STD  RESET
+ram/RAMReady        16    15    FB7_18  STD  RESET
+ram/RASEL           20    15    FB8_1   STD  RESET
+fsb/BERR0r          3     8     FB8_3   STD  RESET
+ram/RS_FSM_FFd1     5     10    FB8_4   STD  RESET
+ram/Once            5     10    FB8_7   STD  RESET
+iobs/Load1          14    18    FB8_9   STD  RESET
+ram/RAMDIS2         7     15    FB8_13  STD  RESET
+ram/RAMDIS1         18    15    FB8_15  STD  RESET
+
+** 35 Inputs **
+
+Signal              Loc     Pin  Pin     Pin     
+Name                        No.  Type    Use     
+A_FSB<13>           FB1_2   11   I/O     I
+A_FSB<14>           FB1_3   12   I/O     I
+A_FSB<15>           FB1_5   13   I/O     I
+A_FSB<16>           FB1_6   14   I/O     I
+A_FSB<17>           FB1_8   15   I/O     I
+A_FSB<18>           FB1_9   16   I/O     I
+A_FSB<19>           FB1_11  17   I/O     I
+A_FSB<20>           FB1_12  18   I/O     I
+A_FSB<21>           FB1_14  19   I/O     I
+A_FSB<22>           FB1_15  20   I/O     I
+CLK2X_IOB           FB1_17  22   GCK/I/O GCK
+A_FSB<5>            FB2_6   2    GTS/I/O I
+A_FSB<6>            FB2_8   3    GTS/I/O I
+A_FSB<7>            FB2_9   4    GTS/I/O I
+A_FSB<8>            FB2_11  6    I/O     I
+A_FSB<9>            FB2_12  7    I/O     I
+A_FSB<10>           FB2_14  8    I/O     I
+A_FSB<11>           FB2_15  9    I/O     I
+A_FSB<12>           FB2_17  10   I/O     I
+CLK_IOB             FB3_2   23   GCK/I/O GCK/I
+A_FSB<23>           FB3_5   24   I/O     I
+E_IOB               FB3_6   25   I/O     I
+CLK_FSB             FB3_8   27   GCK/I/O GCK
+nWE_FSB             FB3_11  29   I/O     I
+nLDS_FSB            FB3_12  30   I/O     I
+nAS_FSB             FB3_14  32   I/O     I
+nUDS_FSB            FB3_15  33   I/O     I
+nRES                FB4_8   91   I/O     I
+A_FSB<1>            FB4_12  94   I/O     I
+A_FSB<2>            FB4_14  95   I/O     I
+A_FSB<3>            FB4_15  96   I/O     I
+A_FSB<4>            FB4_17  97   I/O     I
+nBERR_IOB           FB6_5   76   I/O     I
+nVPA_IOB            FB6_6   77   I/O     I
+nDTACK_IOB          FB6_8   78   I/O     I
+
+Legend:
+Pin No. - ~ - User Assigned
+**************************  Function Block Details  ************************
+Legend:
+Total Pt     - Total product terms used by the macrocell signal
+Imp Pt       - Product terms imported from other macrocells
+Exp Pt       - Product terms exported to other macrocells
+               in direction shown
+Unused Pt    - Unused local product terms remaining in macrocell
+Loc          - Location where logic was mapped in device
+Pin Type/Use - I  - Input             GCK - Global Clock
+               O  - Output            GTS - Global Output Enable
+              (b) - Buried macrocell  GSR - Global Set/Reset
+X            - Signal used as input to the macrocell logic.
+Pin No.      - ~  - User Assigned
+*********************************** FB1  ***********************************
+Number of function block inputs used/remaining:               16/38
+Number of signals used by logic mapping into function block:  16
+Signal              Total   Imp   Exp Unused  Loc     Pin   Pin     Pin
+Name                Pt      Pt    Pt  Pt               #    Type    Use
+iobs/IOACTr           1       0     0   4     FB1_1         (b)     (b)
+iobm/IOREQr           1       0     0   4     FB1_2   11    I/O     I
+iobm/Er2              1       0     0   4     FB1_3   12    I/O     I
+iobm/DTACKrr          1       0     0   4     FB1_4         (b)     (b)
+iobm/DTACKrf          1       0     0   4     FB1_5   13    I/O     I
+iobm/BERRrr           1       0     0   4     FB1_6   14    I/O     I
+iobm/BERRrf           1       0     0   4     FB1_7         (b)     (b)
+fsb/ASrf              1       0     0   4     FB1_8   15    I/O     I
+cnt/RefCnt<7>         1       0     0   4     FB1_9   16    I/O     I
+cnt/RefCnt<6>         1       0     0   4     FB1_10        (b)     (b)
+cnt/RefCnt<5>         1       0     0   4     FB1_11  17    I/O     I
+cnt/RefCnt<4>         1       0     0   4     FB1_12  18    I/O     I
+cnt/RefCnt<3>         1       0     0   4     FB1_13        (b)     (b)
+cnt/RefCnt<2>         1       0     0   4     FB1_14  19    I/O     I
+cnt/RefCnt<1>         1       0     0   4     FB1_15  20    I/O     I
+cnt/RefCnt<0>         0       0     0   5     FB1_16        (b)     (b)
+RefAck                1       0     0   4     FB1_17  22    GCK/I/O GCK
+$OpTx$FX_DC$607       1       0     0   4     FB1_18        (b)     (b)
+
+Signals Used by Logic in Function Block
+  1: IOACT              7: cnt/RefCnt<4>     12: nAS_FSB 
+  2: IOREQ              8: cnt/RefCnt<5>     13: nBERR_IOB 
+  3: cnt/RefCnt<0>      9: cnt/RefCnt<6>     14: nDTACK_IOB 
+  4: cnt/RefCnt<1>     10: fsb/ASrf          15: ram/RS_FSM_FFd1 
+  5: cnt/RefCnt<2>     11: iobm/Er           16: ram/RS_FSM_FFd2 
+  6: cnt/RefCnt<3>    
+
+Signal                        1         2         3         4 FB
+Name                0----+----0----+----0----+----0----+----0 Inputs
+iobs/IOACTr          X....................................... 1
+iobm/IOREQr          .X...................................... 1
+iobm/Er2             ..........X............................. 1
+iobm/DTACKrr         .............X.......................... 1
+iobm/DTACKrf         .............X.......................... 1
+iobm/BERRrr          ............X........................... 1
+iobm/BERRrf          ............X........................... 1
+fsb/ASrf             ...........X............................ 1
+cnt/RefCnt<7>        ..XXXXXXX............................... 7
+cnt/RefCnt<6>        ..XXXXXX................................ 6
+cnt/RefCnt<5>        ..XXXXX................................. 5
+cnt/RefCnt<4>        ..XXXX.................................. 4
+cnt/RefCnt<3>        ..XXX................................... 3
+cnt/RefCnt<2>        ..XX.................................... 2
+cnt/RefCnt<1>        ..X..................................... 1
+cnt/RefCnt<0>        ........................................ 0
+RefAck               ..............XX........................ 2
+$OpTx$FX_DC$607      .........X.X............................ 2
+                    0----+----1----+----2----+----3----+----4
+                              0         0         0         0
+*********************************** FB2  ***********************************
+Number of function block inputs used/remaining:               3/51
+Number of signals used by logic mapping into function block:  3
+Signal              Total   Imp   Exp Unused  Loc     Pin   Pin     Pin
+Name                Pt      Pt    Pt  Pt               #    Type    Use
+(unused)              0       0     0   5     FB2_1         (b)     
+(unused)              0       0     0   5     FB2_2   99    GSR/I/O 
+(unused)              0       0     0   5     FB2_3         (b)     
+(unused)              0       0     0   5     FB2_4         (b)     
+(unused)              0       0     0   5     FB2_5   1     GTS/I/O 
+(unused)              0       0     0   5     FB2_6   2     GTS/I/O I
+(unused)              0       0     0   5     FB2_7         (b)     
+(unused)              0       0     0   5     FB2_8   3     GTS/I/O I
+(unused)              0       0     0   5     FB2_9   4     GTS/I/O I
+(unused)              0       0     0   5     FB2_10        (b)     
+(unused)              0       0     0   5     FB2_11  6     I/O     I
+(unused)              0       0     0   5     FB2_12  7     I/O     I
+(unused)              0       0     0   5     FB2_13        (b)     
+iobm/VPArr            1       0     0   4     FB2_14  8     I/O     I
+iobm/VPArf            1       0     0   4     FB2_15  9     I/O     I
+iobm/RESrr            1       0     0   4     FB2_16        (b)     (b)
+iobm/RESrf            1       0     0   4     FB2_17  10    I/O     I
+iobm/Er               1       0     0   4     FB2_18        (b)     (b)
+
+Signals Used by Logic in Function Block
+  1: E_IOB              2: nRES               3: nVPA_IOB 
+
+Signal                        1         2         3         4 FB
+Name                0----+----0----+----0----+----0----+----0 Inputs
+iobm/VPArr           ..X..................................... 1
+iobm/VPArf           ..X..................................... 1
+iobm/RESrr           .X...................................... 1
+iobm/RESrf           .X...................................... 1
+iobm/Er              X....................................... 1
+                    0----+----1----+----2----+----3----+----4
+                              0         0         0         0
+*********************************** FB3  ***********************************
+Number of function block inputs used/remaining:               39/15
+Number of signals used by logic mapping into function block:  39
+Signal              Total   Imp   Exp Unused  Loc     Pin   Pin     Pin
+Name                Pt      Pt    Pt  Pt               #    Type    Use
+(unused)              0       0   \/5   0     FB3_1         (b)     (b)
+fsb/VPA              25      20<-   0   0     FB3_2   23    GCK/I/O GCK/I
+(unused)              0       0   /\5   0     FB3_3         (b)     (b)
+(unused)              0       0   /\5   0     FB3_4         (b)     (b)
+fsb/Ready1r           7       2<-   0   0     FB3_5   24    I/O     I
+(unused)              0       0   /\2   3     FB3_6   25    I/O     I
+(unused)              0       0   \/3   2     FB3_7         (b)     (b)
+(unused)              0       0   \/5   0     FB3_8   27    GCK/I/O GCK
+nDTACK_FSB           23      18<-   0   0     FB3_9   28    I/O     O
+(unused)              0       0   /\5   0     FB3_10        (b)     (b)
+(unused)              0       0   /\5   0     FB3_11  29    I/O     I
+(unused)              0       0   \/5   0     FB3_12  30    I/O     I
+iobs/IORW1           16      11<-   0   0     FB3_13        (b)     (b)
+(unused)              0       0   /\5   0     FB3_14  32    I/O     I
+fsb/Ready2r           9       5<- /\1   0     FB3_15  33    I/O     I
+(unused)              0       0   /\5   0     FB3_16        (b)     (b)
+nROMWE                1       0     0   4     FB3_17  34    I/O     O
+(unused)              0       0   \/5   0     FB3_18        (b)     (b)
+
+Signals Used by Logic in Function Block
+  1: $OpTx$FX_DC$607   14: A_FSB<21>         27: fsb/Ready1r 
+  2: $OpTx$FX_DC$608   15: A_FSB<22>         28: fsb/Ready2r 
+  3: A_FSB<10>         16: A_FSB<23>         29: fsb/VPA 
+  4: A_FSB<11>         17: A_FSB<8>          30: iobs/IORW1 
+  5: A_FSB<12>         18: A_FSB<9>          31: iobs/IOReady 
+  6: A_FSB<13>         19: BERR_IOBS         32: iobs/Once 
+  7: A_FSB<14>         20: TimeoutA          33: iobs/PS_FSM_FFd1 
+  8: A_FSB<15>         21: TimeoutB          34: iobs/PS_FSM_FFd2 
+  9: A_FSB<16>         22: cs/nOverlay1      35: nADoutLE1 
+ 10: A_FSB<17>         23: fsb/ASrf          36: nAS_FSB 
+ 11: A_FSB<18>         24: fsb/BERR0r        37: nDTACK_FSB 
+ 12: A_FSB<19>         25: fsb/BERR1r        38: nWE_FSB 
+ 13: A_FSB<20>         26: fsb/Ready0r       39: ram/RAMReady 
+
+Signal                        1         2         3         4 FB
+Name                0----+----0----+----0----+----0----+----0 Inputs
+fsb/VPA              X.XXXXXXXXXXXXXXXXXXXX.XXXXXX.X...X..XX. 31
+fsb/Ready1r          .....XX.XXXXXXXX.....XX...X...X...XX.X.. 17
+nDTACK_FSB           .XXXXXXXXXXXXXXXXXXX.XXXXXXX..X...XXXXX. 32
+iobs/IORW1           .....XX.XXXXXXXX.....XX......X.XXXXX.X.. 19
+fsb/Ready2r          ..XXXXXXXXXXXXXXXX.X.XX....X.......X.X.. 22
+nROMWE               ...................................X.X.. 2
+                    0----+----1----+----2----+----3----+----4
+                              0         0         0         0
+*********************************** FB4  ***********************************
+Number of function block inputs used/remaining:               29/25
+Number of signals used by logic mapping into function block:  29
+Signal              Total   Imp   Exp Unused  Loc     Pin   Pin     Pin
+Name                Pt      Pt    Pt  Pt               #    Type    Use
+ram/BACTr             1       0     0   4     FB4_1         (b)     (b)
+nAoutOE               0       0     0   5     FB4_2   87    I/O     O
+iobs/Clear1           1       0     0   4     FB4_3         (b)     (b)
+ALE0S                 1       0     0   4     FB4_4         (b)     (b)
+nDoutOE               2       0     0   3     FB4_5   89    I/O     O
+nDinOE                2       0     0   3     FB4_6   90    I/O     O
+iobs/PS_FSM_FFd1      2       0     0   3     FB4_7         (b)     (b)
+iobs/IOU1             2       0     0   3     FB4_8   91    I/O     I
+iobs/IOL1             2       0     0   3     FB4_9   92    I/O     (b)
+iobm/IOS_FSM_FFd2     2       0     0   3     FB4_10        (b)     (b)
+nVPA_FSB              1       0     0   4     FB4_11  93    I/O     O
+iobm/IOS_FSM_FFd1     2       0     0   3     FB4_12  94    I/O     I
+fsb/BERR1r            2       0     0   3     FB4_13        (b)     (b)
+cs/nOverlay1          2       0     0   3     FB4_14  95    I/O     I
+$OpTx$FX_DC$608       2       0     0   3     FB4_15  96    I/O     I
+IOU0                  3       0     0   2     FB4_16        (b)     (b)
+IOL0                  3       0     0   2     FB4_17  97    I/O     I
+iobs/IOReady          4       0     0   1     FB4_18        (b)     (b)
+
+Signals Used by Logic in Function Block
+  1: A_FSB<20>         11: fsb/BERR1r         21: iobs/Load1 
+  2: A_FSB<21>         12: fsb/VPA            22: iobs/Once 
+  3: A_FSB<22>         13: iobm/IOS_FSM_FFd1  23: iobs/PS_FSM_FFd1 
+  4: A_FSB<23>         14: iobm/IOS_FSM_FFd2  24: iobs/PS_FSM_FFd2 
+  5: BERR_IOBS         15: iobm/IOS_FSM_FFd3  25: nADoutLE1 
+  6: IOBERR            16: iobm/IOS_FSM_FFd4  26: nAS_FSB 
+  7: IORW0             17: iobs/IOACTr        27: nLDS_FSB 
+  8: TimeoutB          18: iobs/IOL1          28: nUDS_FSB 
+  9: cs/nOverlay0      19: iobs/IOReady       29: nWE_FSB 
+ 10: fsb/ASrf          20: iobs/IOU1         
+
+Signal                        1         2         3         4 FB
+Name                0----+----0----+----0----+----0----+----0 Inputs
+ram/BACTr            .........X...............X.............. 2
+nAoutOE              ........................................ 0
+iobs/Clear1          ......................XXX............... 3
+ALE0S                ......................XX................ 2
+nDoutOE              ......X......XXX........................ 4
+nDinOE               XXXX.....................X..X........... 6
+iobs/PS_FSM_FFd1     ................X.....XX................ 3
+iobs/IOU1            ....................X......X............ 2
+iobs/IOL1            ....................X.....X............. 2
+iobm/IOS_FSM_FFd2    ............XXXX........................ 4
+nVPA_FSB             ...........X.............X.............. 2
+iobm/IOS_FSM_FFd1    ............XXXX........................ 4
+fsb/BERR1r           ....X....XX..............X.............. 4
+cs/nOverlay1         ........XX...............X.............. 3
+$OpTx$FX_DC$608      XXXX...X................................ 5
+IOU0                 ...................X..XXX..X............ 5
+IOL0                 .................X....XXX.X............. 5
+iobs/IOReady         .....X...X......X.X..X.XXX.............. 8
+                    0----+----1----+----2----+----3----+----4
+                              0         0         0         0
+*********************************** FB5  ***********************************
+Number of function block inputs used/remaining:               31/23
+Number of signals used by logic mapping into function block:  31
+Signal              Total   Imp   Exp Unused  Loc     Pin   Pin     Pin
+Name                Pt      Pt    Pt  Pt               #    Type    Use
+(unused)              0       0   /\5   0     FB5_1         (b)     (b)
+nROMCS                2       0   /\3   0     FB5_2   35    I/O     O
+(unused)              0       0   \/5   0     FB5_3         (b)     (b)
+iobs/PS_FSM_FFd2     14       9<-   0   0     FB5_4         (b)     (b)
+nCAS                  1       0   /\4   0     FB5_5   36    I/O     O
+nOE                   1       0   \/2   2     FB5_6   37    I/O     O
+(unused)              0       0   \/5   0     FB5_7         (b)     (b)
+iobs/Once            17      12<-   0   0     FB5_8   39    I/O     (b)
+RA<4>                 2       2<- /\5   0     FB5_9   40    I/O     O
+(unused)              0       0   /\2   3     FB5_10        (b)     (b)
+RA<3>                 2       0   \/2   1     FB5_11  41    I/O     O
+RA<5>                 2       2<- \/5   0     FB5_12  42    I/O     O
+IOREQ                14       9<-   0   0     FB5_13        (b)     (b)
+RA<2>                 2       1<- /\4   0     FB5_14  43    I/O     O
+RA<6>                 2       0   /\1   2     FB5_15  46    I/O     O
+BERR_IOBS             4       0     0   1     FB5_16        (b)     (b)
+(unused)              0       0   \/5   0     FB5_17  49    I/O     (b)
+IORW0                18      13<-   0   0     FB5_18        (b)     (b)
+
+Signals Used by Logic in Function Block
+  1: A_FSB<12>         12: A_FSB<23>         22: fsb/ASrf 
+  2: A_FSB<13>         13: A_FSB<3>          23: iobs/IOACTr 
+  3: A_FSB<14>         14: A_FSB<4>          24: iobs/IORW1 
+  4: A_FSB<15>         15: A_FSB<5>          25: iobs/Once 
+  5: A_FSB<16>         16: A_FSB<6>          26: iobs/PS_FSM_FFd1 
+  6: A_FSB<17>         17: A_FSB<7>          27: iobs/PS_FSM_FFd2 
+  7: A_FSB<18>         18: BERR_IOBS         28: nADoutLE1 
+  8: A_FSB<19>         19: IOBERR            29: nAS_FSB 
+  9: A_FSB<20>         20: IORW0             30: nWE_FSB 
+ 10: A_FSB<21>         21: cs/nOverlay1      31: ram/RASEL 
+ 11: A_FSB<22>        
+
+Signal                        1         2         3         4 FB
+Name                0----+----0----+----0----+----0----+----0 Inputs
+nROMCS               ........XXXX........X................... 5
+iobs/PS_FSM_FFd2     .XX.XXXXXXXX........XXX.XXXXXX.......... 19
+nCAS                 ..............................X......... 1
+nOE                  ............................XX.......... 2
+iobs/Once            .XX.XXXXXXXX........XX..XXXXXX.......... 18
+RA<4>                ..X...........X...............X......... 3
+RA<3>                .X...........X................X......... 3
+RA<5>                ...X...........X..............X......... 3
+IOREQ                .XX.XXXXXXXX........XXX.XXXXXX.......... 19
+RA<2>                X...........X.................X......... 3
+RA<6>                ....X...........X.............X......... 3
+BERR_IOBS            .................XX..XX.X.XXX........... 8
+IORW0                .XX.XXXXXXXX.......XXX.XXXXXXX.......... 20
+                    0----+----1----+----2----+----3----+----4
+                              0         0         0         0
+*********************************** FB6  ***********************************
+Number of function block inputs used/remaining:               34/20
+Number of signals used by logic mapping into function block:  34
+Signal              Total   Imp   Exp Unused  Loc     Pin   Pin     Pin
+Name                Pt      Pt    Pt  Pt               #    Type    Use
+iobm/ETACK            1       0   /\1   3     FB6_1         (b)     (b)
+nVMA_IOB              2       0     0   3     FB6_2   74    I/O     O
+ALE0M                 2       0     0   3     FB6_3         (b)     (b)
+iobm/ES<3>            3       0     0   2     FB6_4         (b)     (b)
+iobm/ES<1>            3       0     0   2     FB6_5   76    I/O     I
+iobm/ES<0>            3       0     0   2     FB6_6   77    I/O     I
+iobm/IOS_FSM_FFd4     4       0     0   1     FB6_7         (b)     (b)
+iobm/ES<4>            4       0     0   1     FB6_8   78    I/O     I
+nLDS_IOB              4       0     0   1     FB6_9   79    I/O     O
+iobm/IOS_FSM_FFd3     5       0     0   0     FB6_10        (b)     (b)
+nUDS_IOB              4       0     0   1     FB6_11  80    I/O     O
+nAS_IOB               2       0     0   3     FB6_12  81    I/O     O
+iobm/ES<2>            5       0     0   0     FB6_13        (b)     (b)
+nADoutLE1             2       0     0   3     FB6_14  82    I/O     O
+nADoutLE0             1       0   \/1   3     FB6_15  85    I/O     O
+IOACT                 6       1<-   0   0     FB6_16        (b)     (b)
+nDinLE                2       0   \/3   0     FB6_17  86    I/O     O
+IOBERR                9       4<-   0   0     FB6_18        (b)     (b)
+
+Signals Used by Logic in Function Block
+  1: ALE0M             13: iobm/ES<0>         24: iobm/IOS_FSM_FFd3 
+  2: ALE0S             14: iobm/ES<1>         25: iobm/IOS_FSM_FFd4 
+  3: CLK_IOB           15: iobm/ES<2>         26: iobm/RESrf 
+  4: IOACT             16: iobm/ES<3>         27: iobm/RESrr 
+  5: IOBERR            17: iobm/ES<4>         28: iobm/VPArf 
+  6: IOL0              18: iobm/ETACK         29: iobm/VPArr 
+  7: IORW0             19: iobm/Er            30: iobs/Clear1 
+  8: IOU0              20: iobm/Er2           31: iobs/Load1 
+  9: iobm/BERRrf       21: iobm/IOREQr        32: nADoutLE1 
+ 10: iobm/BERRrr       22: iobm/IOS_FSM_FFd1  33: nBERR_IOB 
+ 11: iobm/DTACKrf      23: iobm/IOS_FSM_FFd2  34: nVMA_IOB 
+ 12: iobm/DTACKrr     
+
+Signal                        1         2         3         4 FB
+Name                0----+----0----+----0----+----0----+----0 Inputs
+iobm/ETACK           ............XXXXX................X...... 6
+nVMA_IOB             ...X........XXXXX..........XX....X...... 9
+ALE0M                ....................XXXXX............... 5
+iobm/ES<3>           ............XXXX..XX.................... 6
+iobm/ES<1>           ............XX....XX.................... 4
+iobm/ES<0>           ............XXXXX.XX.................... 7
+iobm/IOS_FSM_FFd4    ..X.................XXXXX............... 6
+iobm/ES<4>           ............XXXXX.XX.................... 7
+nLDS_IOB             .....XX..............XXXX............... 6
+iobm/IOS_FSM_FFd3    ..X.....XXXX.....X.....XXXX............. 10
+nUDS_IOB             ......XX.............XXXX............... 6
+nAS_IOB              .....................XXXX............... 4
+iobm/ES<2>           ............XXXXX.XX.................... 7
+nADoutLE1            .............................XXX........ 3
+nADoutLE0            XX...................................... 2
+IOACT                ..X.....XXXX.....X..XXXXXXX............. 13
+nDinLE               .....................X.XX............... 3
+IOBERR               ..X.X...XXXX.....X...XXXXXX.....X....... 14
+                    0----+----1----+----2----+----3----+----4
+                              0         0         0         0
+*********************************** FB7  ***********************************
+Number of function block inputs used/remaining:               39/15
+Number of signals used by logic mapping into function block:  39
+Signal              Total   Imp   Exp Unused  Loc     Pin   Pin     Pin
+Name                Pt      Pt    Pt  Pt               #    Type    Use
+TimeoutB              3       3<- /\5   0     FB7_1         (b)     (b)
+RA<1>                 2       0   /\3   0     FB7_2   50    I/O     O
+cnt/RefDone           2       0     0   3     FB7_3         (b)     (b)
+fsb/Ready0r           3       0     0   2     FB7_4         (b)     (b)
+RA<7>                 2       0     0   3     FB7_5   52    I/O     O
+RA<0>                 2       0     0   3     FB7_6   53    I/O     O
+cs/nOverlay0          3       0     0   2     FB7_7         (b)     (b)
+RA<8>                 2       0     0   3     FB7_8   54    I/O     O
+RA<10>                1       0     0   4     FB7_9   55    I/O     O
+cnt/TimeoutBPre       3       0     0   2     FB7_10        (b)     (b)
+RA<9>                 2       0   \/3   0     FB7_11  56    I/O     O
+TimeoutA              3       3<- \/5   0     FB7_12  58    I/O     (b)
+(unused)              0       0   \/5   0     FB7_13        (b)     (b)
+ram/RS_FSM_FFd3      11      10<- \/4   0     FB7_14  59    I/O     (b)
+(unused)              0       0   \/5   0     FB7_15  60    I/O     (b)
+ram/RS_FSM_FFd2      13       9<- \/1   0     FB7_16        (b)     (b)
+(unused)              0       0   \/5   0     FB7_17  61    I/O     (b)
+ram/RAMReady         16      11<-   0   0     FB7_18        (b)     (b)
+
+Signals Used by Logic in Function Block
+  1: A_FSB<10>         14: RefAck            27: cs/nOverlay0 
+  2: A_FSB<11>         15: TimeoutA          28: cs/nOverlay1 
+  3: A_FSB<17>         16: TimeoutB          29: fsb/ASrf 
+  4: A_FSB<18>         17: cnt/RefCnt<0>     30: fsb/Ready0r 
+  5: A_FSB<19>         18: cnt/RefCnt<1>     31: nAS_FSB 
+  6: A_FSB<1>          19: cnt/RefCnt<2>     32: nRES 
+  7: A_FSB<20>         20: cnt/RefCnt<3>     33: ram/BACTr 
+  8: A_FSB<21>         21: cnt/RefCnt<4>     34: ram/Once 
+  9: A_FSB<22>         22: cnt/RefCnt<5>     35: ram/RAMReady 
+ 10: A_FSB<23>         23: cnt/RefCnt<6>     36: ram/RASEL 
+ 11: A_FSB<2>          24: cnt/RefCnt<7>     37: ram/RS_FSM_FFd1 
+ 12: A_FSB<8>          25: cnt/RefDone       38: ram/RS_FSM_FFd2 
+ 13: A_FSB<9>          26: cnt/TimeoutBPre   39: ram/RS_FSM_FFd3 
+
+Signal                        1         2         3         4 FB
+Name                0----+----0----+----0----+----0----+----0 Inputs
+TimeoutB             ...............XXXXXXXXX.X..X.X......... 12
+RA<1>                .X........X........................X.... 3
+cnt/RefDone          .............X..XXXXXXXXX............... 10
+fsb/Ready0r          .......XXX.................XXXX...X..... 8
+RA<7>                ..X........X.......................X.... 3
+RA<0>                X....X.............................X.... 3
+cs/nOverlay0         ......XXXX................X.X.XX........ 8
+RA<8>                ...X........X......................X.... 3
+RA<10>               .......X................................ 1
+cnt/TimeoutBPre      ................XXXXXXXX.X..X.X......... 11
+RA<9>                ....X.X............................X.... 3
+TimeoutA             ..............X.XXXXXXX.....X.X......... 10
+ram/RS_FSM_FFd3      .......XXX...........XXXX..XX.X..X..XXX. 14
+ram/RS_FSM_FFd2      .......XXX...........XXXX..XX.X.X...XXX. 14
+ram/RAMReady         .......XXX...........XXXX..XX.X.XX..XXX. 15
+                    0----+----1----+----2----+----3----+----4
+                              0         0         0         0
+*********************************** FB8  ***********************************
+Number of function block inputs used/remaining:               36/18
+Number of signals used by logic mapping into function block:  36
+Signal              Total   Imp   Exp Unused  Loc     Pin   Pin     Pin
+Name                Pt      Pt    Pt  Pt               #    Type    Use
+ram/RASEL            20      15<-   0   0     FB8_1         (b)     (b)
+RA<11>                1       1<- /\5   0     FB8_2   63    I/O     O
+fsb/BERR0r            3       0   /\1   1     FB8_3         (b)     (b)
+ram/RS_FSM_FFd1       5       0     0   0     FB8_4         (b)     (b)
+nRAS                  3       0     0   2     FB8_5   64    I/O     O
+nRAMLWE               1       0     0   4     FB8_6   65    I/O     O
+ram/Once              5       0     0   0     FB8_7         (b)     (b)
+nRAMUWE               1       0   \/4   0     FB8_8   66    I/O     O
+iobs/Load1           14       9<-   0   0     FB8_9   67    I/O     (b)
+(unused)              0       0   /\5   0     FB8_10        (b)     (b)
+(unused)              0       0   \/3   2     FB8_11  68    I/O     (b)
+nBERR_FSB             3       3<- \/5   0     FB8_12  70    I/O     O
+ram/RAMDIS2           7       5<- \/3   0     FB8_13        (b)     (b)
+(unused)              0       0   \/5   0     FB8_14  71    I/O     (b)
+ram/RAMDIS1          18      13<-   0   0     FB8_15  72    I/O     (b)
+(unused)              0       0   /\5   0     FB8_16        (b)     (b)
+(unused)              0       0   \/5   0     FB8_17  73    I/O     (b)
+(unused)              0       0   \/5   0     FB8_18        (b)     (b)
+
+Signals Used by Logic in Function Block
+  1: A_FSB<13>         13: TimeoutB          25: nADoutLE1 
+  2: A_FSB<14>         14: cnt/RefCnt<5>     26: nAS_FSB 
+  3: A_FSB<16>         15: cnt/RefCnt<6>     27: nLDS_FSB 
+  4: A_FSB<17>         16: cnt/RefCnt<7>     28: nUDS_FSB 
+  5: A_FSB<18>         17: cnt/RefDone       29: nWE_FSB 
+  6: A_FSB<19>         18: cs/nOverlay1      30: ram/BACTr 
+  7: A_FSB<20>         19: fsb/ASrf          31: ram/Once 
+  8: A_FSB<21>         20: fsb/BERR0r        32: ram/RAMDIS1 
+  9: A_FSB<22>         21: fsb/BERR1r        33: ram/RAMDIS2 
+ 10: A_FSB<23>         22: iobs/Once         34: ram/RS_FSM_FFd1 
+ 11: BERR_IOBS         23: iobs/PS_FSM_FFd1  35: ram/RS_FSM_FFd2 
+ 12: RefAck            24: iobs/PS_FSM_FFd2  36: ram/RS_FSM_FFd3 
+
+Signal                        1         2         3         4 FB
+Name                0----+----0----+----0----+----0----+----0 Inputs
+ram/RASEL            .......XXX...XXXXXX......X...XX..XXX.... 15
+RA<11>               .....X.................................. 1
+fsb/BERR0r           ......XXXX..X.....XX.....X.............. 8
+ram/RS_FSM_FFd1      .......XXX.......XX......X....X..XXX.... 10
+nRAS                 .......XXX.X.....X.......X.....XX....... 8
+nRAMLWE              .........................XX.X..XX....... 5
+ram/Once             .......XXX.......XX......X....X..XXX.... 10
+nRAMUWE              .........................X.XX..XX....... 5
+iobs/Load1           XXXXXXXXXX.......XX..XXXXX..X........... 18
+nBERR_FSB            ......XXXXX.X......XX....X.............. 9
+ram/RAMDIS2          .......XXX...XXXXXX......X....X.XXXX.... 15
+ram/RAMDIS1          .......XXX...XXXXXX......X...XX..XXX.... 15
+                    0----+----1----+----2----+----3----+----4
+                              0         0         0         0
+*******************************  Equations  ********************************
+
+********** Mapped Logic **********
+
+
+$OpTx$FX_DC$607 <= (nAS_FSB AND NOT fsb/ASrf);
+
+
+$OpTx$FX_DC$608 <= ((NOT TimeoutB)
+	OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20)));
+
+FDCPE_ALE0M: FDCPE port map (ALE0M,ALE0M_D,CLK2X_IOB,'0','0');
+ALE0M_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND 
+	iobm/IOS_FSM_FFd1)
+	OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND 
+	NOT iobm/IOS_FSM_FFd2 AND NOT iobm/IOREQr));
+
+FDCPE_ALE0S: FDCPE port map (ALE0S,ALE0S_D,CLK_FSB,'0','0');
+ALE0S_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1);
+
+FTCPE_BERR_IOBS: FTCPE port map (BERR_IOBS,BERR_IOBS_T,CLK_FSB,'0','0');
+BERR_IOBS_T <= ((BERR_IOBS AND nAS_FSB AND NOT fsb/ASrf)
+	OR (iobs/Once AND BERR_IOBS AND NOT iobs/PS_FSM_FFd2 AND 
+	NOT iobs/IOACTr AND NOT IOBERR AND nADoutLE1)
+	OR (iobs/Once AND NOT BERR_IOBS AND NOT nAS_FSB AND 
+	NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND IOBERR AND nADoutLE1)
+	OR (iobs/Once AND NOT BERR_IOBS AND NOT iobs/PS_FSM_FFd2 AND 
+	NOT iobs/IOACTr AND IOBERR AND fsb/ASrf AND nADoutLE1));
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+FDCPE_IOACT: FDCPE port map (IOACT,IOACT_D,CLK2X_IOB,'0','0');
+IOACT_D <= ((NOT iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd2 AND 
+	iobm/IOS_FSM_FFd1 AND CLK_IOB AND iobm/RESrf AND iobm/RESrr)
+	OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND 
+	iobm/IOS_FSM_FFd1)
+	OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND 
+	NOT iobm/IOS_FSM_FFd2 AND NOT iobm/IOREQr)
+	OR (NOT iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd2 AND 
+	iobm/IOS_FSM_FFd1 AND CLK_IOB AND iobm/ETACK)
+	OR (NOT iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd2 AND 
+	iobm/IOS_FSM_FFd1 AND CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr)
+	OR (NOT iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd2 AND 
+	iobm/IOS_FSM_FFd1 AND CLK_IOB AND iobm/BERRrf AND iobm/BERRrr));
+
+FTCPE_IOBERR: FTCPE port map (IOBERR,IOBERR_T,CLK2X_IOB,'0','0');
+IOBERR_T <= ((nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND 
+	iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND iobm/IOS_FSM_FFd1 AND CLK_IOB AND 
+	IOBERR AND iobm/RESrf AND iobm/RESrr)
+	OR (nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND 
+	iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND iobm/IOS_FSM_FFd1 AND CLK_IOB AND 
+	IOBERR AND iobm/ETACK)
+	OR (nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND 
+	iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND iobm/IOS_FSM_FFd1 AND CLK_IOB AND 
+	IOBERR AND iobm/DTACKrf AND iobm/DTACKrr)
+	OR (nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND 
+	iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND iobm/IOS_FSM_FFd1 AND CLK_IOB AND 
+	IOBERR AND iobm/BERRrf AND iobm/BERRrr)
+	OR (iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND 
+	NOT iobm/IOS_FSM_FFd2 AND NOT iobm/IOS_FSM_FFd1 AND IOBERR)
+	OR (NOT nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND 
+	iobm/IOS_FSM_FFd3 AND CLK_IOB AND NOT IOBERR AND iobm/ETACK)
+	OR (NOT nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND 
+	iobm/IOS_FSM_FFd3 AND CLK_IOB AND NOT IOBERR AND iobm/DTACKrf AND iobm/DTACKrr)
+	OR (NOT nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND 
+	iobm/IOS_FSM_FFd3 AND CLK_IOB AND NOT IOBERR AND iobm/BERRrf AND iobm/BERRrr)
+	OR (NOT nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND 
+	iobm/IOS_FSM_FFd3 AND CLK_IOB AND NOT IOBERR AND iobm/RESrf AND iobm/RESrr));
+
+FDCPE_IOL0: FDCPE port map (IOL0,IOL0_D,CLK_FSB,'0','0',IOL0_CE);
+IOL0_D <= ((NOT nLDS_FSB AND nADoutLE1)
+	OR (iobs/IOL1 AND NOT nADoutLE1));
+IOL0_CE <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1);
+
+FDCPE_IOREQ: FDCPE port map (IOREQ,IOREQ_D,CLK_FSB,'0','0');
+IOREQ_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND 
+	NOT iobs/PS_FSM_FFd2 AND nADoutLE1)
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND 
+	NOT iobs/PS_FSM_FFd2 AND nADoutLE1)
+	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND 
+	NOT iobs/PS_FSM_FFd2 AND nADoutLE1)
+	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND 
+	NOT iobs/PS_FSM_FFd2 AND nADoutLE1)
+	OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB AND 
+	NOT iobs/PS_FSM_FFd2 AND nADoutLE1)
+	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND 
+	NOT iobs/PS_FSM_FFd2 AND nADoutLE1)
+	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND 
+	NOT iobs/PS_FSM_FFd2 AND nADoutLE1)
+	OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21) AND 
+	NOT iobs/PS_FSM_FFd2 AND nADoutLE1)
+	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
+	cs/nOverlay1 AND NOT iobs/PS_FSM_FFd2 AND nADoutLE1)
+	OR (NOT iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1)
+	OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr)
+	OR (iobs/Once AND NOT iobs/PS_FSM_FFd2 AND nADoutLE1)
+	OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/PS_FSM_FFd2 AND 
+	nADoutLE1)
+	OR (nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT fsb/ASrf AND 
+	nADoutLE1));
+
+FTCPE_IORW0: FTCPE port map (IORW0,IORW0_T,CLK_FSB,'0','0');
+IORW0_T <= ((nROMCS_OBUF.EXP)
+	OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND 
+	A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND 
+	NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND 
+	NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
+	OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND 
+	A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND 
+	NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND 
+	NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1)
+	OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND 
+	A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND 
+	NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND 
+	NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
+	OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND 
+	A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND 
+	NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND 
+	NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1)
+	OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND 
+	A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND 
+	cs/nOverlay1 AND NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND 
+	NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
+	OR (A_FSB(23) AND NOT iobs/Once AND NOT IORW0 AND nWE_FSB AND 
+	NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1)
+	OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND 
+	IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND 
+	NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
+	OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND 
+	IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND 
+	fsb/ASrf AND nADoutLE1)
+	OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND 
+	NOT IORW0 AND nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND 
+	NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
+	OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND 
+	NOT IORW0 AND nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND 
+	fsb/ASrf AND nADoutLE1)
+	OR (IORW0 AND NOT iobs/IORW1 AND NOT iobs/PS_FSM_FFd2 AND 
+	NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1)
+	OR (NOT IORW0 AND iobs/IORW1 AND NOT iobs/PS_FSM_FFd2 AND 
+	NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1)
+	OR (A_FSB(23) AND NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND 
+	NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
+	OR (A_FSB(23) AND NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND 
+	NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1)
+	OR (A_FSB(23) AND NOT iobs/Once AND NOT IORW0 AND nWE_FSB AND 
+	NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1));
+
+FDCPE_IOU0: FDCPE port map (IOU0,IOU0_D,CLK_FSB,'0','0',IOU0_CE);
+IOU0_D <= ((NOT nUDS_FSB AND nADoutLE1)
+	OR (iobs/IOU1 AND NOT nADoutLE1));
+IOU0_CE <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1);
+
+
+RA(0) <= ((A_FSB(10) AND NOT ram/RASEL)
+	OR (ram/RASEL AND A_FSB(1)));
+
+
+RA(1) <= ((A_FSB(11) AND NOT ram/RASEL)
+	OR (ram/RASEL AND A_FSB(2)));
+
+
+RA(2) <= ((ram/RASEL AND A_FSB(3))
+	OR (A_FSB(12) AND NOT ram/RASEL));
+
+
+RA(3) <= ((A_FSB(13) AND NOT ram/RASEL)
+	OR (ram/RASEL AND A_FSB(4)));
+
+
+RA(4) <= ((A_FSB(14) AND NOT ram/RASEL)
+	OR (ram/RASEL AND A_FSB(5)));
+
+
+RA(5) <= ((A_FSB(15) AND NOT ram/RASEL)
+	OR (ram/RASEL AND A_FSB(6)));
+
+
+RA(6) <= ((A_FSB(16) AND NOT ram/RASEL)
+	OR (ram/RASEL AND A_FSB(7)));
+
+
+RA(7) <= ((A_FSB(8) AND ram/RASEL)
+	OR (A_FSB(17) AND NOT ram/RASEL));
+
+
+RA(8) <= ((A_FSB(9) AND ram/RASEL)
+	OR (A_FSB(18) AND NOT ram/RASEL));
+
+
+RA(9) <= ((A_FSB(20) AND ram/RASEL)
+	OR (A_FSB(19) AND NOT ram/RASEL));
+
+
+RA(10) <= A_FSB(21);
+
+
+RA(11) <= A_FSB(19);
+
+FDCPE_RefAck: FDCPE port map (RefAck,RefAck_D,CLK_FSB,'0','0');
+RefAck_D <= (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1);
+
+FTCPE_TimeoutA: FTCPE port map (TimeoutA,TimeoutA_T,CLK_FSB,'0','0');
+TimeoutA_T <= ((TimeoutA AND nAS_FSB AND NOT fsb/ASrf)
+	OR (NOT TimeoutA AND NOT nAS_FSB AND NOT cnt/RefCnt(0) AND 
+	NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND 
+	NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4))
+	OR (NOT TimeoutA AND NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND 
+	NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND 
+	NOT cnt/RefCnt(4) AND fsb/ASrf));
+
+FTCPE_TimeoutB: FTCPE port map (TimeoutB,TimeoutB_T,CLK_FSB,'0','0');
+TimeoutB_T <= ((TimeoutB AND nAS_FSB AND NOT fsb/ASrf)
+	OR (NOT TimeoutB AND cnt/TimeoutBPre AND NOT nAS_FSB AND 
+	NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND 
+	NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7))
+	OR (NOT TimeoutB AND cnt/TimeoutBPre AND NOT cnt/RefCnt(0) AND 
+	NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND 
+	NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7) AND fsb/ASrf));
+
+FTCPE_cnt/RefCnt0: FTCPE port map (cnt/RefCnt(0),'1',CLK_FSB,'0','0');
+
+FTCPE_cnt/RefCnt1: FTCPE port map (cnt/RefCnt(1),cnt/RefCnt(0),CLK_FSB,'0','0');
+
+FTCPE_cnt/RefCnt2: FTCPE port map (cnt/RefCnt(2),cnt/RefCnt_T(2),CLK_FSB,'0','0');
+cnt/RefCnt_T(2) <= (cnt/RefCnt(0) AND cnt/RefCnt(1));
+
+FTCPE_cnt/RefCnt3: FTCPE port map (cnt/RefCnt(3),cnt/RefCnt_T(3),CLK_FSB,'0','0');
+cnt/RefCnt_T(3) <= (cnt/RefCnt(0) AND cnt/RefCnt(1) AND cnt/RefCnt(2));
+
+FTCPE_cnt/RefCnt4: FTCPE port map (cnt/RefCnt(4),cnt/RefCnt_T(4),CLK_FSB,'0','0');
+cnt/RefCnt_T(4) <= (cnt/RefCnt(0) AND cnt/RefCnt(1) AND cnt/RefCnt(2) AND 
+	cnt/RefCnt(3));
+
+FTCPE_cnt/RefCnt5: FTCPE port map (cnt/RefCnt(5),cnt/RefCnt_T(5),CLK_FSB,'0','0');
+cnt/RefCnt_T(5) <= (cnt/RefCnt(0) AND cnt/RefCnt(1) AND cnt/RefCnt(2) AND 
+	cnt/RefCnt(3) AND cnt/RefCnt(4));
+
+FTCPE_cnt/RefCnt6: FTCPE port map (cnt/RefCnt(6),cnt/RefCnt_T(6),CLK_FSB,'0','0');
+cnt/RefCnt_T(6) <= (cnt/RefCnt(0) AND cnt/RefCnt(5) AND cnt/RefCnt(1) AND 
+	cnt/RefCnt(2) AND cnt/RefCnt(3) AND cnt/RefCnt(4));
+
+FTCPE_cnt/RefCnt7: FTCPE port map (cnt/RefCnt(7),cnt/RefCnt_T(7),CLK_FSB,'0','0');
+cnt/RefCnt_T(7) <= (cnt/RefCnt(0) AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND 
+	cnt/RefCnt(1) AND cnt/RefCnt(2) AND cnt/RefCnt(3) AND cnt/RefCnt(4));
+
+FDCPE_cnt/RefDone: FDCPE port map (cnt/RefDone,cnt/RefDone_D,CLK_FSB,'0','0');
+cnt/RefDone_D <= ((NOT cnt/RefDone AND NOT RefAck)
+	OR (NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND 
+	NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND 
+	NOT cnt/RefCnt(7)));
+
+FTCPE_cnt/TimeoutBPre: FTCPE port map (cnt/TimeoutBPre,cnt/TimeoutBPre_T,CLK_FSB,'0','0');
+cnt/TimeoutBPre_T <= ((cnt/TimeoutBPre AND nAS_FSB AND NOT fsb/ASrf)
+	OR (NOT cnt/TimeoutBPre AND NOT nAS_FSB AND NOT cnt/RefCnt(0) AND 
+	NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND 
+	NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7))
+	OR (NOT cnt/TimeoutBPre AND NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND 
+	NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND 
+	NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7) AND fsb/ASrf));
+
+FTCPE_cs/nOverlay0: FTCPE port map (cs/nOverlay0,cs/nOverlay0_T,CLK_FSB,NOT nRES,'0');
+cs/nOverlay0_T <= ((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND 
+	NOT cs/nOverlay0 AND NOT nAS_FSB)
+	OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND 
+	NOT cs/nOverlay0 AND fsb/ASrf));
+
+FDCPE_cs/nOverlay1: FDCPE port map (cs/nOverlay1,cs/nOverlay0,CLK_FSB,'0','0',cs/nOverlay1_CE);
+cs/nOverlay1_CE <= (nAS_FSB AND NOT fsb/ASrf);
+
+FDCPE_fsb/ASrf: FDCPE port map (fsb/ASrf,NOT nAS_FSB,NOT CLK_FSB,'0','0');
+
+FDCPE_fsb/BERR0r: FDCPE port map (fsb/BERR0r,fsb/BERR0r_D,CLK_FSB,'0','0');
+fsb/BERR0r_D <= ((NOT TimeoutB AND NOT fsb/BERR0r)
+	OR (nAS_FSB AND NOT fsb/ASrf)
+	OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND 
+	NOT fsb/BERR0r));
+
+FDCPE_fsb/BERR1r: FDCPE port map (fsb/BERR1r,fsb/BERR1r_D,CLK_FSB,'0','0');
+fsb/BERR1r_D <= ((NOT BERR_IOBS AND NOT fsb/BERR1r)
+	OR (nAS_FSB AND NOT fsb/ASrf));
+
+FDCPE_fsb/Ready0r: FDCPE port map (fsb/Ready0r,fsb/Ready0r_D,CLK_FSB,'0','0');
+fsb/Ready0r_D <= ((nAS_FSB AND NOT fsb/ASrf)
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND 
+	NOT fsb/Ready0r AND NOT ram/RAMReady)
+	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
+	NOT cs/nOverlay1 AND NOT fsb/Ready0r AND NOT ram/RAMReady));
+
+FDCPE_fsb/Ready1r: FDCPE port map (fsb/Ready1r,fsb/Ready1r_D,CLK_FSB,'0','0');
+fsb/Ready1r_D <= ((A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND 
+	A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND 
+	cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND 
+	NOT nADoutLE1)
+	OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND 
+	A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND 
+	cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND 
+	NOT nADoutLE1)
+	OR (nAS_FSB AND NOT fsb/ASrf)
+	OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady)
+	OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND 
+	NOT iobs/IOReady)
+	OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND 
+	A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND 
+	NOT fsb/Ready1r AND NOT iobs/IOReady)
+	OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND 
+	A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND 
+	NOT fsb/Ready1r AND NOT iobs/IOReady));
+
+FDCPE_fsb/Ready2r: FDCPE port map (fsb/Ready2r,fsb/Ready2r_D,CLK_FSB,'0','0');
+fsb/Ready2r_D <= ((nAS_FSB AND NOT fsb/ASrf)
+	OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND 
+	A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND 
+	A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
+	A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)
+	OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND 
+	A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND 
+	A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
+	A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)
+	OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND 
+	NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND 
+	A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
+	A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)
+	OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND 
+	NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND 
+	A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
+	A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)
+	OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND 
+	A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND 
+	A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
+	A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)
+	OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND 
+	A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND 
+	A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
+	A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)
+	OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND 
+	NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND 
+	A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
+	A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)
+	OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND 
+	NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND 
+	A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
+	A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r));
+
+FDCPE_fsb/VPA: FDCPE port map (fsb/VPA,fsb/VPA_D,CLK_FSB,'0','0');
+fsb/VPA_D <= ((EXP25_.EXP)
+	OR (NOT A_FSB(22) AND TimeoutB AND fsb/VPA AND 
+	NOT $OpTx$FX_DC$607)
+	OR (A_FSB(21) AND TimeoutB AND fsb/VPA AND 
+	NOT $OpTx$FX_DC$607)
+	OR (A_FSB(23) AND NOT fsb/Ready1r AND fsb/VPA AND 
+	NOT iobs/IOReady AND NOT $OpTx$FX_DC$607)
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND 
+	NOT fsb/Ready0r AND fsb/VPA AND NOT ram/RAMReady AND NOT $OpTx$FX_DC$607)
+	OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND 
+	fsb/VPA AND NOT iobs/IOReady AND NOT $OpTx$FX_DC$607)
+	OR (EXP16_.EXP)
+	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
+	NOT cs/nOverlay1 AND NOT fsb/Ready0r AND fsb/VPA AND NOT ram/RAMReady AND 
+	NOT $OpTx$FX_DC$607)
+	OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND 
+	A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND 
+	NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND NOT $OpTx$FX_DC$607)
+	OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND 
+	A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND 
+	NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND NOT $OpTx$FX_DC$607)
+	OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND 
+	A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND 
+	cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND 
+	NOT nADoutLE1 AND NOT $OpTx$FX_DC$607)
+	OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND 
+	A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND 
+	cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND 
+	NOT nADoutLE1 AND NOT $OpTx$FX_DC$607)
+	OR (BERR_IOBS AND fsb/VPA AND NOT $OpTx$FX_DC$607)
+	OR (fsb/BERR0r AND fsb/VPA AND NOT $OpTx$FX_DC$607)
+	OR (fsb/BERR1r AND fsb/VPA AND NOT $OpTx$FX_DC$607)
+	OR (A_FSB(23) AND TimeoutB AND fsb/VPA AND 
+	NOT $OpTx$FX_DC$607)
+	OR (NOT A_FSB(20) AND TimeoutB AND fsb/VPA AND 
+	NOT $OpTx$FX_DC$607));
+
+FDCPE_iobm/BERRrf: FDCPE port map (iobm/BERRrf,NOT nBERR_IOB,NOT CLK2X_IOB,'0','0');
+
+FDCPE_iobm/BERRrr: FDCPE port map (iobm/BERRrr,NOT nBERR_IOB,CLK2X_IOB,'0','0');
+
+FDCPE_iobm/DTACKrf: FDCPE port map (iobm/DTACKrf,NOT nDTACK_IOB,NOT CLK2X_IOB,'0','0');
+
+FDCPE_iobm/DTACKrr: FDCPE port map (iobm/DTACKrr,NOT nDTACK_IOB,CLK2X_IOB,'0','0');
+
+FTCPE_iobm/ES0: FTCPE port map (iobm/ES(0),iobm/ES_T(0),CLK2X_IOB,'0','0');
+iobm/ES_T(0) <= ((iobm/ES(0) AND NOT iobm/Er AND iobm/Er2)
+	OR (NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND 
+	NOT iobm/ES(3) AND NOT iobm/ES(4) AND iobm/Er)
+	OR (NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND 
+	NOT iobm/ES(3) AND NOT iobm/ES(4) AND NOT iobm/Er2));
+
+FDCPE_iobm/ES1: FDCPE port map (iobm/ES(1),iobm/ES_D(1),CLK2X_IOB,'0','0');
+iobm/ES_D(1) <= ((iobm/ES(0) AND iobm/ES(1))
+	OR (NOT iobm/ES(0) AND NOT iobm/ES(1))
+	OR (NOT iobm/Er AND iobm/Er2));
+
+FDCPE_iobm/ES2: FDCPE port map (iobm/ES(2),iobm/ES_D(2),CLK2X_IOB,'0','0');
+iobm/ES_D(2) <= ((NOT iobm/ES(0) AND NOT iobm/ES(2))
+	OR (NOT iobm/ES(1) AND NOT iobm/ES(2))
+	OR (NOT iobm/Er AND iobm/Er2)
+	OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2))
+	OR (NOT iobm/ES(2) AND NOT iobm/ES(3) AND iobm/ES(4)));
+
+FTCPE_iobm/ES3: FTCPE port map (iobm/ES(3),iobm/ES_T(3),CLK2X_IOB,'0','0');
+iobm/ES_T(3) <= ((iobm/ES(3) AND NOT iobm/Er AND iobm/Er2)
+	OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND iobm/Er)
+	OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND NOT iobm/Er2));
+
+FTCPE_iobm/ES4: FTCPE port map (iobm/ES(4),iobm/ES_T(4),CLK2X_IOB,'0','0');
+iobm/ES_T(4) <= ((iobm/ES(4) AND NOT iobm/Er AND iobm/Er2)
+	OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND 
+	iobm/ES(3) AND iobm/Er)
+	OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND 
+	iobm/ES(3) AND NOT iobm/Er2)
+	OR (iobm/ES(0) AND iobm/ES(1) AND NOT iobm/ES(2) AND 
+	NOT iobm/ES(3) AND iobm/ES(4)));
+
+FDCPE_iobm/ETACK: FDCPE port map (iobm/ETACK,iobm/ETACK_D,CLK2X_IOB,'0','0');
+iobm/ETACK_D <= (NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND 
+	NOT iobm/ES(3) AND iobm/ES(4));
+
+FDCPE_iobm/Er: FDCPE port map (iobm/Er,E_IOB,NOT CLK_IOB,'0','0');
+
+FDCPE_iobm/Er2: FDCPE port map (iobm/Er2,iobm/Er,CLK2X_IOB,'0','0');
+
+FDCPE_iobm/IOREQr: FDCPE port map (iobm/IOREQr,IOREQ,NOT CLK2X_IOB,'0','0');
+
+FDCPE_iobm/IOS_FSM_FFd1: FDCPE port map (iobm/IOS_FSM_FFd1,iobm/IOS_FSM_FFd1_D,CLK2X_IOB,'0','0');
+iobm/IOS_FSM_FFd1_D <= ((iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd2 AND 
+	NOT iobm/IOS_FSM_FFd1)
+	OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND 
+	NOT iobm/IOS_FSM_FFd2));
+
+FDCPE_iobm/IOS_FSM_FFd2: FDCPE port map (iobm/IOS_FSM_FFd2,iobm/IOS_FSM_FFd2_D,CLK2X_IOB,'0','0');
+iobm/IOS_FSM_FFd2_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND 
+	iobm/IOS_FSM_FFd1)
+	OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd2 AND 
+	NOT iobm/IOS_FSM_FFd1));
+
+FDCPE_iobm/IOS_FSM_FFd3: FDCPE port map (iobm/IOS_FSM_FFd3,iobm/IOS_FSM_FFd3_D,CLK2X_IOB,'0','0');
+iobm/IOS_FSM_FFd3_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3)
+	OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/ETACK)
+	OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/DTACKrf AND 
+	iobm/DTACKrr)
+	OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/BERRrf AND 
+	iobm/BERRrr)
+	OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/RESrf AND 
+	iobm/RESrr));
+
+FDCPE_iobm/IOS_FSM_FFd4: FDCPE port map (iobm/IOS_FSM_FFd4,iobm/IOS_FSM_FFd4_D,CLK2X_IOB,'0','0');
+iobm/IOS_FSM_FFd4_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND 
+	iobm/IOS_FSM_FFd1)
+	OR (iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND 
+	iobm/IOS_FSM_FFd1)
+	OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND 
+	NOT iobm/IOS_FSM_FFd2 AND CLK_IOB)
+	OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND 
+	NOT iobm/IOS_FSM_FFd2 AND NOT iobm/IOREQr));
+
+FDCPE_iobm/RESrf: FDCPE port map (iobm/RESrf,NOT nRES,NOT CLK2X_IOB,'0','0');
+
+FDCPE_iobm/RESrr: FDCPE port map (iobm/RESrr,NOT nRES,CLK2X_IOB,'0','0');
+
+FDCPE_iobm/VPArf: FDCPE port map (iobm/VPArf,NOT nVPA_IOB,NOT CLK2X_IOB,'0','0');
+
+FDCPE_iobm/VPArr: FDCPE port map (iobm/VPArr,NOT nVPA_IOB,CLK2X_IOB,'0','0');
+
+FDCPE_iobs/Clear1: FDCPE port map (iobs/Clear1,iobs/Clear1_D,CLK_FSB,'0','0');
+iobs/Clear1_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1);
+
+FDCPE_iobs/IOACTr: FDCPE port map (iobs/IOACTr,IOACT,CLK_FSB,'0','0');
+
+FDCPE_iobs/IOL1: FDCPE port map (iobs/IOL1,NOT nLDS_FSB,CLK_FSB,'0','0',iobs/Load1);
+
+FTCPE_iobs/IORW1: FTCPE port map (iobs/IORW1,iobs/IORW1_T,CLK_FSB,'0','0');
+iobs/IORW1_T <= ((iobs/Once)
+	OR (NOT nADoutLE1)
+	OR (NOT nWE_FSB AND NOT iobs/IORW1)
+	OR (nAS_FSB AND NOT fsb/ASrf)
+	OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21))
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1)
+	OR (fsb/Ready2r.EXP)
+	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19))
+	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18))
+	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17))
+	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16))
+	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
+	cs/nOverlay1)
+	OR (NOT A_FSB(23) AND NOT A_FSB(20))
+	OR (nWE_FSB AND iobs/IORW1)
+	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT iobs/IORW1));
+
+FTCPE_iobs/IOReady: FTCPE port map (iobs/IOReady,iobs/IOReady_T,CLK_FSB,'0','0');
+iobs/IOReady_T <= ((iobs/IOReady AND nAS_FSB AND NOT fsb/ASrf)
+	OR (iobs/Once AND iobs/IOReady AND NOT iobs/PS_FSM_FFd2 AND 
+	NOT iobs/IOACTr AND IOBERR AND nADoutLE1)
+	OR (iobs/Once AND NOT iobs/IOReady AND NOT nAS_FSB AND 
+	NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND NOT IOBERR AND nADoutLE1)
+	OR (iobs/Once AND NOT iobs/IOReady AND NOT iobs/PS_FSM_FFd2 AND 
+	NOT iobs/IOACTr AND NOT IOBERR AND fsb/ASrf AND nADoutLE1));
+
+FDCPE_iobs/IOU1: FDCPE port map (iobs/IOU1,NOT nUDS_FSB,CLK_FSB,'0','0',iobs/Load1);
+
+FDCPE_iobs/Load1: FDCPE port map (iobs/Load1,iobs/Load1_D,CLK_FSB,'0','0');
+iobs/Load1_D <= ((iobs/Once)
+	OR (NOT nADoutLE1)
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21))
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1)
+	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19))
+	OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB)
+	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18))
+	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17))
+	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16))
+	OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21))
+	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
+	cs/nOverlay1)
+	OR (NOT A_FSB(23) AND NOT A_FSB(20))
+	OR (nAS_FSB AND NOT fsb/ASrf)
+	OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1));
+
+FDCPE_iobs/Once: FDCPE port map (iobs/Once,iobs/Once_D,CLK_FSB,'0','0');
+iobs/Once_D <= ((nOE_OBUF.EXP)
+	OR (A_FSB(23) AND NOT iobs/Once AND iobs/PS_FSM_FFd1)
+	OR (NOT iobs/Once AND iobs/PS_FSM_FFd2 AND NOT nADoutLE1)
+	OR (NOT iobs/Once AND iobs/PS_FSM_FFd1 AND NOT nADoutLE1)
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once)
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND 
+	NOT iobs/Once)
+	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND NOT iobs/Once)
+	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND NOT iobs/Once)
+	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND NOT iobs/Once)
+	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND NOT iobs/Once)
+	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT iobs/Once AND nWE_FSB)
+	OR (nAS_FSB AND NOT fsb/ASrf)
+	OR (A_FSB(23) AND NOT iobs/Once AND iobs/PS_FSM_FFd2)
+	OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/Once)
+	OR (A_FSB(22) AND NOT iobs/Once AND iobs/PS_FSM_FFd2)
+	OR (A_FSB(22) AND NOT iobs/Once AND iobs/PS_FSM_FFd1));
+
+FDCPE_iobs/PS_FSM_FFd1: FDCPE port map (iobs/PS_FSM_FFd1,iobs/PS_FSM_FFd1_D,CLK_FSB,'0','0');
+iobs/PS_FSM_FFd1_D <= ((iobs/PS_FSM_FFd2)
+	OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr));
+
+FDCPE_iobs/PS_FSM_FFd2: FDCPE port map (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_D,CLK_FSB,'0','0');
+iobs/PS_FSM_FFd2_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND 
+	NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND 
+	NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
+	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND 
+	NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
+	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND 
+	NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
+	OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB AND 
+	NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
+	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND 
+	NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
+	OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND 
+	NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
+	OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21) AND 
+	NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
+	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
+	cs/nOverlay1 AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
+	OR (iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1 AND 
+	iobs/IOACTr)
+	OR (NOT iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1 AND 
+	NOT iobs/IOACTr)
+	OR (iobs/Once AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND 
+	nADoutLE1)
+	OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/PS_FSM_FFd2 AND 
+	NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
+	OR (nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND 
+	NOT fsb/ASrf AND nADoutLE1));
+
+
+nADoutLE0 <= (NOT ALE0M AND NOT ALE0S);
+
+FDCPE_nADoutLE1: FDCPE port map (nADoutLE1,nADoutLE1_D,CLK_FSB,'0','0');
+nADoutLE1_D <= ((iobs/Load1)
+	OR (NOT iobs/Clear1 AND NOT nADoutLE1));
+
+FDCPE_nAS_IOB: FDCPE port map (nAS_IOB,nAS_IOB_D,NOT CLK2X_IOB,'0','0');
+nAS_IOB_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND 
+	NOT iobm/IOS_FSM_FFd2)
+	OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND 
+	iobm/IOS_FSM_FFd1));
+
+
+nAoutOE <= '0';
+
+
+nBERR_FSB <= ((nAS_FSB)
+	OR (NOT BERR_IOBS AND NOT TimeoutB AND NOT fsb/BERR0r AND NOT fsb/BERR1r)
+	OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND 
+	NOT BERR_IOBS AND NOT fsb/BERR0r AND NOT fsb/BERR1r));
+
+FDCPE_nCAS: FDCPE port map (nCAS,NOT ram/RASEL,NOT CLK_FSB,'0','0');
+
+FDCPE_nDTACK_FSB: FDCPE port map (nDTACK_FSB,nDTACK_FSB_D,CLK_FSB,'0','0');
+nDTACK_FSB_D <= ((EXP18_.EXP)
+	OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady AND 
+	nDTACK_FSB)
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND 
+	NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady)
+	OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND 
+	NOT iobs/IOReady AND nDTACK_FSB)
+	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
+	NOT cs/nOverlay1 AND NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady)
+	OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND 
+	A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND 
+	NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB)
+	OR (EXP21_.EXP)
+	OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND 
+	A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND 
+	NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB)
+	OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND 
+	A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND 
+	cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND 
+	nDTACK_FSB AND NOT nADoutLE1)
+	OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND 
+	A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND 
+	cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND 
+	nDTACK_FSB AND NOT nADoutLE1)
+	OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND 
+	A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND 
+	A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
+	A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r AND 
+	nDTACK_FSB)
+	OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND 
+	A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND 
+	A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND 
+	A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r AND 
+	nDTACK_FSB)
+	OR (BERR_IOBS AND nDTACK_FSB)
+	OR (fsb/BERR0r AND nDTACK_FSB)
+	OR (fsb/BERR1r AND nDTACK_FSB)
+	OR (nAS_FSB AND NOT fsb/ASrf)
+	OR (nDTACK_FSB AND NOT $OpTx$FX_DC$608));
+
+FDCPE_nDinLE: FDCPE port map (nDinLE,nDinLE_D,NOT CLK2X_IOB,'0','0');
+nDinLE_D <= ((iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd1)
+	OR (NOT iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd3));
+
+
+nDinOE <= ((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB)
+	OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND nWE_FSB AND 
+	NOT nAS_FSB));
+
+FDCPE_nDoutOE: FDCPE port map (nDoutOE,nDoutOE_D,CLK2X_IOB,'0','0');
+nDoutOE_D <= ((NOT IORW0)
+	OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND 
+	NOT iobm/IOS_FSM_FFd2));
+
+FDCPE_nLDS_IOB: FDCPE port map (nLDS_IOB,nLDS_IOB_D,NOT CLK2X_IOB,'0','0');
+nLDS_IOB_D <= ((NOT IOL0)
+	OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND 
+	NOT iobm/IOS_FSM_FFd2)
+	OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND 
+	iobm/IOS_FSM_FFd1)
+	OR (IORW0 AND iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd2 AND 
+	NOT iobm/IOS_FSM_FFd1));
+
+
+nOE <= NOT ((nWE_FSB AND NOT nAS_FSB));
+
+
+nRAMLWE <= NOT ((NOT nWE_FSB AND NOT nLDS_FSB AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND 
+	NOT ram/RAMDIS1));
+
+
+nRAMUWE <= NOT ((NOT nWE_FSB AND NOT nUDS_FSB AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND 
+	NOT ram/RAMDIS1));
+
+
+nRAS <= NOT (((RefAck)
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND 
+	NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RAMDIS1)
+	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
+	NOT cs/nOverlay1 AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RAMDIS1)));
+
+
+nROMCS <= NOT (((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20))
+	OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND 
+	NOT cs/nOverlay1)));
+
+
+nROMWE <= NOT ((NOT nWE_FSB AND NOT nAS_FSB));
+
+FDCPE_nUDS_IOB: FDCPE port map (nUDS_IOB,nUDS_IOB_D,NOT CLK2X_IOB,'0','0');
+nUDS_IOB_D <= ((NOT IOU0)
+	OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND 
+	NOT iobm/IOS_FSM_FFd2)
+	OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND 
+	iobm/IOS_FSM_FFd1)
+	OR (IORW0 AND iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd2 AND 
+	NOT iobm/IOS_FSM_FFd1));
+
+FTCPE_nVMA_IOB: FTCPE port map (nVMA_IOB,nVMA_IOB_T,CLK2X_IOB,'0','0');
+nVMA_IOB_T <= ((NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND 
+	NOT iobm/ES(3) AND NOT iobm/ES(4))
+	OR (nVMA_IOB AND iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND 
+	NOT iobm/ES(3) AND NOT iobm/ES(4) AND IOACT AND iobm/VPArf AND iobm/VPArr));
+
+
+nVPA_FSB <= NOT ((fsb/VPA AND NOT nAS_FSB));
+
+FDCPE_ram/BACTr: FDCPE port map (ram/BACTr,ram/BACTr_D,CLK_FSB,'0','0');
+ram/BACTr_D <= (nAS_FSB AND NOT fsb/ASrf);
+
+FTCPE_ram/Once: FTCPE port map (ram/Once,ram/Once_T,CLK_FSB,'0','0');
+ram/Once_T <= ((ram/Once AND nAS_FSB AND NOT fsb/ASrf)
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND 
+	NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND 
+	NOT ram/RS_FSM_FFd3)
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND 
+	NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf)
+	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
+	NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND 
+	NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
+	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
+	NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND 
+	NOT ram/RS_FSM_FFd3 AND fsb/ASrf));
+
+FDCPE_ram/RAMDIS1: FDCPE port map (ram/RAMDIS1,ram/RAMDIS1_D,CLK_FSB,'0','0');
+ram/RAMDIS1_D <= ((ram/RAMDIS2.EXP)
+	OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND 
+	NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
+	OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND 
+	NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
+	OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND 
+	NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
+	OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND 
+	NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
+	OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND 
+	NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
+	OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND 
+	cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7))
+	OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND 
+	NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
+	OR (ram/Once AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND 
+	cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7))
+	OR (NOT cnt/RefDone AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3 AND 
+	cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7))
+	OR (NOT cnt/RefDone AND nAS_FSB AND NOT ram/RS_FSM_FFd1 AND 
+	cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND NOT fsb/ASrf)
+	OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1)
+	OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3)
+	OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND 
+	NOT ram/RS_FSM_FFd3)
+	OR (A_FSB(23) AND NOT cnt/RefDone AND NOT nAS_FSB AND 
+	NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
+	OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND 
+	NOT ram/BACTr AND fsb/ASrf));
+
+FTCPE_ram/RAMDIS2: FTCPE port map (ram/RAMDIS2,ram/RAMDIS2_T,CLK_FSB,'0','0');
+ram/RAMDIS2_T <= ((ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND 
+	ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND 
+	cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND fsb/ASrf)
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND ram/Once AND 
+	NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND 
+	NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND 
+	cnt/RefCnt(7))
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND ram/Once AND 
+	NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND 
+	NOT ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND 
+	fsb/ASrf)
+	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
+	NOT cs/nOverlay1 AND ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND 
+	NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND 
+	cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7))
+	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
+	NOT cs/nOverlay1 AND ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND 
+	NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND 
+	cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND fsb/ASrf)
+	OR (ram/RAMDIS2 AND nAS_FSB AND NOT fsb/ASrf)
+	OR (ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND 
+	ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND 
+	cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)));
+
+FDCPE_ram/RAMReady: FDCPE port map (ram/RAMReady,ram/RAMReady_D,CLK_FSB,'0','0');
+ram/RAMReady_D <= ((ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3)
+	OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1)
+	OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3)
+	OR (A_FSB(23) AND NOT cnt/RefDone AND NOT nAS_FSB AND 
+	NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
+	OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND 
+	NOT ram/BACTr AND fsb/ASrf)
+	OR (ram/RS_FSM_FFd2.EXP)
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND 
+	NOT nAS_FSB AND NOT ram/RS_FSM_FFd1)
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND 
+	NOT ram/RS_FSM_FFd1 AND fsb/ASrf)
+	OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND 
+	NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
+	OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND 
+	NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
+	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
+	NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf)
+	OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND 
+	NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
+	OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND 
+	NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
+	OR (NOT A_FSB(21) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND 
+	NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
+	OR (NOT A_FSB(21) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND 
+	NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
+	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
+	NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd1));
+
+FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,CLK_FSB,'0','0');
+ram/RASEL_D <= ((A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND 
+	NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
+	OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND 
+	NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
+	OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND 
+	NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
+	OR (NOT cnt/RefDone AND nAS_FSB AND NOT ram/RS_FSM_FFd2 AND 
+	NOT ram/RS_FSM_FFd1 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND 
+	NOT fsb/ASrf)
+	OR (NOT cnt/RefDone AND nAS_FSB AND ram/RS_FSM_FFd1 AND 
+	ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND 
+	NOT fsb/ASrf)
+	OR (EXP38_.EXP)
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND 
+	NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1)
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND 
+	NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf)
+	OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND 
+	NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
+	OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND 
+	NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
+	OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND 
+	NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
+	OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3)
+	OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND 
+	NOT ram/RS_FSM_FFd3)
+	OR (A_FSB(23) AND NOT cnt/RefDone AND NOT nAS_FSB AND 
+	NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
+	OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd2 AND 
+	NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
+	OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd2 AND 
+	NOT ram/RS_FSM_FFd1 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)));
+
+FTCPE_ram/RS_FSM_FFd1: FTCPE port map (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_T,CLK_FSB,'0','0');
+ram/RS_FSM_FFd1_T <= ((ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3)
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND 
+	NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND 
+	NOT ram/RS_FSM_FFd3)
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND 
+	NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf)
+	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
+	NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND 
+	NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
+	OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND 
+	NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND 
+	NOT ram/RS_FSM_FFd3 AND fsb/ASrf));
+
+FTCPE_ram/RS_FSM_FFd2: FTCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_T,CLK_FSB,'0','0');
+ram/RS_FSM_FFd2_T <= ((ram/RS_FSM_FFd3.EXP)
+	OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND 
+	NOT cnt/RefCnt(5) AND ram/BACTr)
+	OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND 
+	NOT cnt/RefCnt(5) AND NOT fsb/ASrf)
+	OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND 
+	NOT cnt/RefCnt(6) AND NOT fsb/ASrf)
+	OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND 
+	NOT cnt/RefCnt(7) AND NOT fsb/ASrf)
+	OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT nAS_FSB AND 
+	NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
+	OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3)
+	OR (cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
+	OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND 
+	NOT cnt/RefCnt(6) AND ram/BACTr)
+	OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND ram/BACTr AND 
+	NOT cnt/RefCnt(7)));
+
+FTCPE_ram/RS_FSM_FFd3: FTCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_T,CLK_FSB,'0','0');
+ram/RS_FSM_FFd3_T <= ((TimeoutA.EXP)
+	OR (ram/Once AND cnt/RefDone AND NOT ram/RS_FSM_FFd2 AND 
+	NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
+	OR (ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND 
+	NOT ram/RS_FSM_FFd3 AND NOT cnt/RefCnt(5))
+	OR (ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND 
+	NOT ram/RS_FSM_FFd3 AND NOT cnt/RefCnt(6))
+	OR (ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND 
+	NOT ram/RS_FSM_FFd3 AND NOT cnt/RefCnt(7))
+	OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND 
+	NOT ram/RS_FSM_FFd3 AND NOT fsb/ASrf)
+	OR (A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND 
+	NOT ram/RS_FSM_FFd3));
+
+Register Legend:
+ FDCPE (Q,D,C,CLR,PRE,CE); 
+ FTCPE (Q,D,C,CLR,PRE,CE); 
+ LDCP  (Q,D,G,CLR,PRE); 
+
+******************************  Device Pin Out *****************************
+
+Device : XC95144XL-10-TQ100
+
+
+   --------------------------------------------------  
+  /100 98  96  94  92  90  88  86  84  82  80  78  76  \
+ |   99  97  95  93  91  89  87  85  83  81  79  77    |
+ | 1                                               75  | 
+ | 2                                               74  | 
+ | 3                                               73  | 
+ | 4                                               72  | 
+ | 5                                               71  | 
+ | 6                                               70  | 
+ | 7                                               69  | 
+ | 8                                               68  | 
+ | 9                                               67  | 
+ | 10                                              66  | 
+ | 11                                              65  | 
+ | 12                                              64  | 
+ | 13              XC95144XL-10-TQ100              63  | 
+ | 14                                              62  | 
+ | 15                                              61  | 
+ | 16                                              60  | 
+ | 17                                              59  | 
+ | 18                                              58  | 
+ | 19                                              57  | 
+ | 20                                              56  | 
+ | 21                                              55  | 
+ | 22                                              54  | 
+ | 23                                              53  | 
+ | 24                                              52  | 
+ | 25                                              51  | 
+ |   27  29  31  33  35  37  39  41  43  45  47  49    |
+  \26  28  30  32  34  36  38  40  42  44  46  48  50  /
+   --------------------------------------------------  
+
+
+Pin Signal                         Pin Signal                        
+No. Name                           No. Name                          
+  1 KPR                              51 VCC                           
+  2 A_FSB<5>                         52 RA<7>                         
+  3 A_FSB<6>                         53 RA<0>                         
+  4 A_FSB<7>                         54 RA<8>                         
+  5 VCC                              55 RA<10>                        
+  6 A_FSB<8>                         56 RA<9>                         
+  7 A_FSB<9>                         57 VCC                           
+  8 A_FSB<10>                        58 KPR                           
+  9 A_FSB<11>                        59 KPR                           
+ 10 A_FSB<12>                        60 KPR                           
+ 11 A_FSB<13>                        61 KPR                           
+ 12 A_FSB<14>                        62 GND                           
+ 13 A_FSB<15>                        63 RA<11>                        
+ 14 A_FSB<16>                        64 nRAS                          
+ 15 A_FSB<17>                        65 nRAMLWE                       
+ 16 A_FSB<18>                        66 nRAMUWE                       
+ 17 A_FSB<19>                        67 KPR                           
+ 18 A_FSB<20>                        68 KPR                           
+ 19 A_FSB<21>                        69 GND                           
+ 20 A_FSB<22>                        70 nBERR_FSB                     
+ 21 GND                              71 KPR                           
+ 22 CLK2X_IOB                        72 KPR                           
+ 23 CLK_IOB                          73 KPR                           
+ 24 A_FSB<23>                        74 nVMA_IOB                      
+ 25 E_IOB                            75 GND                           
+ 26 VCC                              76 nBERR_IOB                     
+ 27 CLK_FSB                          77 nVPA_IOB                      
+ 28 nDTACK_FSB                       78 nDTACK_IOB                    
+ 29 nWE_FSB                          79 nLDS_IOB                      
+ 30 nLDS_FSB                         80 nUDS_IOB                      
+ 31 GND                              81 nAS_IOB                       
+ 32 nAS_FSB                          82 nADoutLE1                     
+ 33 nUDS_FSB                         83 TDO                           
+ 34 nROMWE                           84 GND                           
+ 35 nROMCS                           85 nADoutLE0                     
+ 36 nCAS                             86 nDinLE                        
+ 37 nOE                              87 nAoutOE                       
+ 38 VCC                              88 VCC                           
+ 39 KPR                              89 nDoutOE                       
+ 40 RA<4>                            90 nDinOE                        
+ 41 RA<3>                            91 nRES                          
+ 42 RA<5>                            92 KPR                           
+ 43 RA<2>                            93 nVPA_FSB                      
+ 44 GND                              94 A_FSB<1>                      
+ 45 TDI                              95 A_FSB<2>                      
+ 46 RA<6>                            96 A_FSB<3>                      
+ 47 TMS                              97 A_FSB<4>                      
+ 48 TCK                              98 VCC                           
+ 49 KPR                              99 KPR                           
+ 50 RA<1>                           100 GND                           
+
+
+Legend :  NC  = Not Connected, unbonded pin
+         PGND = Unused I/O configured as additional Ground pin
+         TIE  = Unused I/O floating -- must tie to VCC, GND or other signal
+         KPR  = Unused I/O with weak keeper (leave unconnected)
+         VCC  = Dedicated Power Pin
+         GND  = Dedicated Ground Pin
+         TDI  = Test Data In, JTAG pin
+         TDO  = Test Data Out, JTAG pin
+         TCK  = Test Clock, JTAG pin
+         TMS  = Test Mode Select, JTAG pin
+  PROHIBITED  = User reserved pin
+****************************  Compiler Options  ****************************
+
+Following is a list of all global compiler options used by the fitter run.
+
+Device(s) Specified                         : xc95144xl-10-TQ100
+Optimization Method                         : SPEED
+Multi-Level Logic Optimization              : ON
+Ignore Timing Specifications                : OFF
+Default Register Power Up Value             : LOW
+Keep User Location Constraints              : ON
+What-You-See-Is-What-You-Get                : OFF
+Exhaustive Fitting                          : OFF
+Keep Unused Inputs                          : OFF
+Slew Rate                                   : FAST
+Power Mode                                  : STD
+Ground on Unused IOs                        : OFF
+Set I/O Pin Termination                     : KEEPER
+Global Clock Optimization                   : ON
+Global Set/Reset Optimization               : ON
+Global Ouput Enable Optimization            : ON
+Input Limit                                 : 54
+Pterm Limit                                 : 25
+
+
+ + +
+ diff --git a/cpld/XC95144/MXSE_html/fit/asciidoc.htm b/cpld/XC95144XL/MXSE_html/fit/asciidoc.htm similarity index 100% rename from cpld/XC95144/MXSE_html/fit/asciidoc.htm rename to cpld/XC95144XL/MXSE_html/fit/asciidoc.htm diff --git a/cpld/XC95144/MXSE_html/fit/backtop.jpg b/cpld/XC95144XL/MXSE_html/fit/backtop.jpg similarity index 100% rename from cpld/XC95144/MXSE_html/fit/backtop.jpg rename to cpld/XC95144XL/MXSE_html/fit/backtop.jpg diff --git a/cpld/XC95144/MXSE_html/fit/beginstraight.gif b/cpld/XC95144XL/MXSE_html/fit/beginstraight.gif similarity index 100% rename from cpld/XC95144/MXSE_html/fit/beginstraight.gif rename to cpld/XC95144XL/MXSE_html/fit/beginstraight.gif diff --git a/cpld/XC95144/MXSE_html/fit/blank.gif b/cpld/XC95144XL/MXSE_html/fit/blank.gif similarity index 100% rename from cpld/XC95144/MXSE_html/fit/blank.gif rename to cpld/XC95144XL/MXSE_html/fit/blank.gif diff --git a/cpld/XC95144/MXSE_html/fit/blank.htm b/cpld/XC95144XL/MXSE_html/fit/blank.htm similarity index 100% rename from cpld/XC95144/MXSE_html/fit/blank.htm rename to cpld/XC95144XL/MXSE_html/fit/blank.htm diff --git a/cpld/XC95144/MXSE_html/fit/briefview.jpg b/cpld/XC95144XL/MXSE_html/fit/briefview.jpg similarity index 100% rename from cpld/XC95144/MXSE_html/fit/briefview.jpg rename to cpld/XC95144XL/MXSE_html/fit/briefview.jpg diff --git a/cpld/XC95144/MXSE_html/fit/check.htm b/cpld/XC95144XL/MXSE_html/fit/check.htm similarity index 100% rename from cpld/XC95144/MXSE_html/fit/check.htm rename to cpld/XC95144XL/MXSE_html/fit/check.htm diff --git a/cpld/XC95144/MXSE_html/fit/checkNS4.htm b/cpld/XC95144XL/MXSE_html/fit/checkNS4.htm similarity index 100% rename from cpld/XC95144/MXSE_html/fit/checkNS4.htm rename to cpld/XC95144XL/MXSE_html/fit/checkNS4.htm diff --git a/cpld/XC95144/MXSE_html/fit/contact.gif b/cpld/XC95144XL/MXSE_html/fit/contact.gif similarity index 100% rename from cpld/XC95144/MXSE_html/fit/contact.gif rename to cpld/XC95144XL/MXSE_html/fit/contact.gif diff --git a/cpld/XC95144/MXSE_html/fit/coolrunnerII_logo.jpg b/cpld/XC95144XL/MXSE_html/fit/coolrunnerII_logo.jpg similarity index 100% rename from cpld/XC95144/MXSE_html/fit/coolrunnerII_logo.jpg rename to cpld/XC95144XL/MXSE_html/fit/coolrunnerII_logo.jpg diff --git a/cpld/XC95144/MXSE_html/fit/coolrunner_logo.jpg b/cpld/XC95144XL/MXSE_html/fit/coolrunner_logo.jpg similarity index 100% rename from cpld/XC95144/MXSE_html/fit/coolrunner_logo.jpg rename to cpld/XC95144XL/MXSE_html/fit/coolrunner_logo.jpg diff --git a/cpld/XC95144XL/MXSE_html/fit/defeqns 2.htm b/cpld/XC95144XL/MXSE_html/fit/defeqns 2.htm new file mode 100644 index 0000000..6cc386b --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/defeqns 2.htm @@ -0,0 +1,1007 @@ + +

Equations

+ + +
+
+********** Mapped Logic ********** +
+
+$OpTx$FX_DC$602 <= ((NOT TimeoutB) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20))); +
+
+$OpTx$FX_DC$606 <= ((A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB) +
      OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND +
      cs/nOverlay1 AND NOT nWE_FSB AND NOT nADoutLE1) +
      OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND +
      cs/nOverlay1 AND NOT nWE_FSB AND NOT nADoutLE1) +
      OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20)) +
      OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB)); +
+FDCPE_ALE0M: FDCPE port map (ALE0M,ALE0M_D,CLK2X_IOB,'0','0'); +
     ALE0M_D <= ((NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND +
      NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND +
      NOT iobm/IOREQr) +
      OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND +
      NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND +
      NOT iobm/IOS_FSM_FFd8)); +
+FDCPE_ALE0S: FDCPE port map (ALE0S,ALE0S_D,CLK_FSB,'0','0'); +
     ALE0S_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); +
+FTCPE_BERR_IOBS: FTCPE port map (BERR_IOBS,BERR_IOBS_T,CLK_FSB,'0','0'); +
     BERR_IOBS_T <= ((BERR_IOBS AND nAS_FSB AND NOT fsb/ASrf) +
      OR (iobs/Once AND BERR_IOBS AND NOT IOBERR AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1) +
      OR (iobs/Once AND NOT BERR_IOBS AND IOBERR AND NOT nAS_FSB AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1) +
      OR (iobs/Once AND NOT BERR_IOBS AND IOBERR AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND fsb/ASrf AND nADoutLE1)); +
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+FDCPE_IOACT: FDCPE port map (IOACT,IOACT_D,CLK2X_IOB,'0','0'); +
     IOACT_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND +
      NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd8 AND +
      iobm/BERRrf AND iobm/BERRrr) +
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND +
      NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd8 AND +
      iobm/DTACKrf AND iobm/DTACKrr) +
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND +
      NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd8 AND +
      iobm/RESrf AND iobm/RESrr) +
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND +
      NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOREQr AND +
      iobm/DTACKrf AND iobm/DTACKrr) +
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND +
      NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOREQr AND +
      iobm/RESrf AND iobm/RESrr) +
      OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND +
      NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND +
      NOT iobm/IOREQr) +
      OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND +
      NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND +
      NOT iobm/IOS_FSM_FFd8) +
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND +
      NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND iobm/ETACK AND +
      NOT iobm/IOREQr) +
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND +
      NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND iobm/ETACK AND +
      NOT iobm/IOS_FSM_FFd8) +
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND +
      NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOREQr AND +
      iobm/BERRrf AND iobm/BERRrr)); +
+FTCPE_IOBERR: FTCPE port map (IOBERR,IOBERR_T,CLK2X_IOB,'0','0'); +
     IOBERR_T <= ((nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND +
      CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr) +
      OR (nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND +
      CLK_IOB AND iobm/RESrf AND iobm/RESrr) +
      OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND +
      CLK_IOB AND iobm/BERRrf AND iobm/BERRrr) +
      OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND +
      CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr) +
      OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND +
      CLK_IOB AND iobm/RESrf AND iobm/RESrr) +
      OR (nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND +
      CLK_IOB AND iobm/ETACK) +
      OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND +
      CLK_IOB AND iobm/ETACK) +
      OR (nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND +
      CLK_IOB AND iobm/BERRrf AND iobm/BERRrr)); +
+FDCPE_IOL0: FDCPE port map (IOL0,IOL0_D,CLK_FSB,'0','0',IOL0_CE); +
     IOL0_D <= ((NOT nLDS_FSB AND nADoutLE1) +
      OR (iobs/IOL1 AND NOT nADoutLE1)); +
     IOL0_CE <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); +
+FDCPE_IOREQ: FDCPE port map (IOREQ,IOREQ_D,CLK_FSB,'0','0'); +
     IOREQ_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND +
      NOT iobs/PS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND +
      NOT iobs/PS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND +
      NOT iobs/PS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND +
      NOT iobs/PS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB AND +
      NOT iobs/PS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND +
      NOT iobs/PS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND +
      NOT iobs/PS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21) AND +
      NOT iobs/PS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      cs/nOverlay1 AND NOT iobs/PS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1) +
      OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr) +
      OR (iobs/Once AND NOT iobs/PS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/PS_FSM_FFd2 AND +
      nADoutLE1) +
      OR (nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT fsb/ASrf AND +
      nADoutLE1)); +
+FTCPE_IORW0: FTCPE port map (IORW0,IORW0_T,CLK_FSB,'0','0'); +
     IORW0_T <= ((EXP22_.EXP) +
      OR (A_FSB(23) AND NOT iobs/Once AND NOT IORW0 AND nWE_FSB AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) +
      OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND +
      IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND +
      NOT iobs/PS_FSM_FFd1 AND nADoutLE1) +
      OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND +
      IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND +
      fsb/ASrf AND nADoutLE1) +
      OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND +
      NOT IORW0 AND nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND +
      NOT iobs/PS_FSM_FFd1 AND nADoutLE1) +
      OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND +
      NOT IORW0 AND nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND +
      fsb/ASrf AND nADoutLE1) +
      OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND +
      NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND +
      NOT iobs/PS_FSM_FFd1 AND nADoutLE1) +
      OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND +
      NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND +
      NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) +
      OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND +
      NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND +
      NOT iobs/PS_FSM_FFd1 AND nADoutLE1) +
      OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND +
      NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND +
      NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) +
      OR (IORW0 AND NOT iobs/IORW1 AND NOT iobs/PS_FSM_FFd2 AND +
      NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1) +
      OR (NOT IORW0 AND iobs/IORW1 AND NOT iobs/PS_FSM_FFd2 AND +
      NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1) +
      OR (A_FSB(23) AND NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND +
      NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) +
      OR (A_FSB(23) AND NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) +
      OR (A_FSB(23) AND NOT iobs/Once AND NOT IORW0 AND nWE_FSB AND +
      NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)); +
+FDCPE_IOU0: FDCPE port map (IOU0,IOU0_D,CLK_FSB,'0','0',IOU0_CE); +
     IOU0_D <= ((NOT nUDS_FSB AND nADoutLE1) +
      OR (iobs/IOU1 AND NOT nADoutLE1)); +
     IOU0_CE <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); +
+
+RA(0) <= ((A_FSB(10) AND NOT ram/RASEL) +
      OR (ram/RASEL AND A_FSB(1))); +
+
+RA(1) <= ((A_FSB(11) AND NOT ram/RASEL) +
      OR (ram/RASEL AND A_FSB(2))); +
+
+RA(2) <= ((A_FSB(12) AND NOT ram/RASEL) +
      OR (ram/RASEL AND A_FSB(3))); +
+
+RA(3) <= ((A_FSB(13) AND NOT ram/RASEL) +
      OR (ram/RASEL AND A_FSB(4))); +
+
+RA(4) <= ((A_FSB(14) AND NOT ram/RASEL) +
      OR (ram/RASEL AND A_FSB(5))); +
+
+RA(5) <= ((A_FSB(15) AND NOT ram/RASEL) +
      OR (ram/RASEL AND A_FSB(6))); +
+
+RA(6) <= ((A_FSB(16) AND NOT ram/RASEL) +
      OR (ram/RASEL AND A_FSB(7))); +
+
+RA(7) <= ((A_FSB(8) AND ram/RASEL) +
      OR (A_FSB(17) AND NOT ram/RASEL)); +
+
+RA(8) <= ((A_FSB(9) AND ram/RASEL) +
      OR (A_FSB(18) AND NOT ram/RASEL)); +
+
+RA(9) <= ((A_FSB(20) AND ram/RASEL) +
      OR (A_FSB(19) AND NOT ram/RASEL)); +
+
+RA(10) <= A_FSB(21); +
+
+RA(11) <= A_FSB(19); +
+FDCPE_RefAck: FDCPE port map (RefAck,RefAck_D,CLK_FSB,'0','0'); +
     RefAck_D <= (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1); +
+FTCPE_TimeoutA: FTCPE port map (TimeoutA,TimeoutA_T,CLK_FSB,'0','0'); +
     TimeoutA_T <= ((TimeoutA AND nAS_FSB AND NOT fsb/ASrf) +
      OR (NOT TimeoutA AND NOT nAS_FSB AND NOT cnt/RefCnt(0) AND +
      NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND +
      NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4)) +
      OR (NOT TimeoutA AND NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND +
      NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND +
      NOT cnt/RefCnt(4) AND fsb/ASrf)); +
+FTCPE_TimeoutB: FTCPE port map (TimeoutB,TimeoutB_T,CLK_FSB,'0','0'); +
     TimeoutB_T <= ((TimeoutB AND nAS_FSB AND NOT fsb/ASrf) +
      OR (NOT TimeoutB AND cnt/TimeoutBPre AND NOT nAS_FSB AND +
      NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND +
      NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7)) +
      OR (NOT TimeoutB AND cnt/TimeoutBPre AND NOT cnt/RefCnt(0) AND +
      NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND +
      NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7) AND fsb/ASrf)); +
+FTCPE_cnt/RefCnt0: FTCPE port map (cnt/RefCnt(0),'1',CLK_FSB,'0','0'); +
+FTCPE_cnt/RefCnt1: FTCPE port map (cnt/RefCnt(1),cnt/RefCnt(0),CLK_FSB,'0','0'); +
+FTCPE_cnt/RefCnt2: FTCPE port map (cnt/RefCnt(2),cnt/RefCnt_T(2),CLK_FSB,'0','0'); +
     cnt/RefCnt_T(2) <= (cnt/RefCnt(0) AND cnt/RefCnt(1)); +
+FTCPE_cnt/RefCnt3: FTCPE port map (cnt/RefCnt(3),cnt/RefCnt_T(3),CLK_FSB,'0','0'); +
     cnt/RefCnt_T(3) <= (cnt/RefCnt(0) AND cnt/RefCnt(1) AND cnt/RefCnt(2)); +
+FTCPE_cnt/RefCnt4: FTCPE port map (cnt/RefCnt(4),cnt/RefCnt_T(4),CLK_FSB,'0','0'); +
     cnt/RefCnt_T(4) <= (cnt/RefCnt(0) AND cnt/RefCnt(1) AND cnt/RefCnt(2) AND +
      cnt/RefCnt(3)); +
+FTCPE_cnt/RefCnt5: FTCPE port map (cnt/RefCnt(5),cnt/RefCnt_T(5),CLK_FSB,'0','0'); +
     cnt/RefCnt_T(5) <= (cnt/RefCnt(0) AND cnt/RefCnt(1) AND cnt/RefCnt(2) AND +
      cnt/RefCnt(3) AND cnt/RefCnt(4)); +
+FTCPE_cnt/RefCnt6: FTCPE port map (cnt/RefCnt(6),cnt/RefCnt_T(6),CLK_FSB,'0','0'); +
     cnt/RefCnt_T(6) <= (cnt/RefCnt(0) AND cnt/RefCnt(5) AND cnt/RefCnt(1) AND +
      cnt/RefCnt(2) AND cnt/RefCnt(3) AND cnt/RefCnt(4)); +
+FTCPE_cnt/RefCnt7: FTCPE port map (cnt/RefCnt(7),cnt/RefCnt_T(7),CLK_FSB,'0','0'); +
     cnt/RefCnt_T(7) <= (cnt/RefCnt(0) AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND +
      cnt/RefCnt(1) AND cnt/RefCnt(2) AND cnt/RefCnt(3) AND cnt/RefCnt(4)); +
+FDCPE_cnt/RefDone: FDCPE port map (cnt/RefDone,cnt/RefDone_D,CLK_FSB,'0','0'); +
     cnt/RefDone_D <= ((NOT cnt/RefDone AND NOT RefAck) +
      OR (NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND +
      NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND +
      NOT cnt/RefCnt(7))); +
+FTCPE_cnt/TimeoutBPre: FTCPE port map (cnt/TimeoutBPre,cnt/TimeoutBPre_T,CLK_FSB,'0','0'); +
     cnt/TimeoutBPre_T <= ((cnt/TimeoutBPre AND nAS_FSB AND NOT fsb/ASrf) +
      OR (NOT cnt/TimeoutBPre AND NOT nAS_FSB AND NOT cnt/RefCnt(0) AND +
      NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND +
      NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7)) +
      OR (NOT cnt/TimeoutBPre AND NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND +
      NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND +
      NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7) AND fsb/ASrf)); +
+FTCPE_cs/nOverlay0: FTCPE port map (cs/nOverlay0,cs/nOverlay0_T,CLK_FSB,NOT nRES,'0'); +
     cs/nOverlay0_T <= ((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND +
      NOT cs/nOverlay0 AND NOT nAS_FSB) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND +
      NOT cs/nOverlay0 AND fsb/ASrf)); +
+FDCPE_cs/nOverlay1: FDCPE port map (cs/nOverlay1,cs/nOverlay0,CLK_FSB,'0','0',cs/nOverlay1_CE); +
     cs/nOverlay1_CE <= (nAS_FSB AND NOT fsb/ASrf); +
+FDCPE_fsb/ASrf: FDCPE port map (fsb/ASrf,NOT nAS_FSB,NOT CLK_FSB,'0','0'); +
+FDCPE_fsb/BERR0r: FDCPE port map (fsb/BERR0r,fsb/BERR0r_D,CLK_FSB,'0','0'); +
     fsb/BERR0r_D <= ((NOT TimeoutB AND NOT fsb/BERR0r) +
      OR (nAS_FSB AND NOT fsb/ASrf) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND +
      NOT fsb/BERR0r)); +
+FDCPE_fsb/BERR1r: FDCPE port map (fsb/BERR1r,fsb/BERR1r_D,CLK_FSB,'0','0'); +
     fsb/BERR1r_D <= ((NOT BERR_IOBS AND NOT fsb/BERR1r) +
      OR (nAS_FSB AND NOT fsb/ASrf)); +
+FDCPE_fsb/Ready0r: FDCPE port map (fsb/Ready0r,fsb/Ready0r_D,CLK_FSB,'0','0'); +
     fsb/Ready0r_D <= ((nAS_FSB AND NOT fsb/ASrf) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND +
      NOT fsb/Ready0r AND NOT ram/RAMReady) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      NOT cs/nOverlay1 AND NOT fsb/Ready0r AND NOT ram/RAMReady)); +
+FDCPE_fsb/Ready1r: FDCPE port map (fsb/Ready1r,fsb/Ready1r_D,CLK_FSB,'0','0'); +
     fsb/Ready1r_D <= ((A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND +
      cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND +
      NOT nADoutLE1) +
      OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND +
      cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND +
      NOT nADoutLE1) +
      OR (nAS_FSB AND NOT fsb/ASrf) +
      OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady) +
      OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND +
      NOT iobs/IOReady) +
      OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND +
      NOT fsb/Ready1r AND NOT iobs/IOReady) +
      OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND +
      NOT fsb/Ready1r AND NOT iobs/IOReady)); +
+FDCPE_fsb/Ready2r: FDCPE port map (fsb/Ready2r,fsb/Ready2r_D,CLK_FSB,'0','0'); +
     fsb/Ready2r_D <= ((nAS_FSB AND NOT fsb/ASrf) +
      OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND +
      A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) +
      OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND +
      A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) +
      OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND +
      NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) +
      OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND +
      A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) +
      OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND +
      A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) +
      OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND +
      NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) +
      OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND +
      NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) +
      OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND +
      NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)); +
+FDCPE_fsb/VPA: FDCPE port map (fsb/VPA,fsb/VPA_D,CLK_FSB,'0','0'); +
     fsb/VPA_D <= ((EXP18_.EXP) +
      OR (BERR_IOBS AND fsb/VPA AND fsb/ASrf) +
      OR (fsb/BERR0r AND fsb/VPA AND fsb/ASrf) +
      OR (fsb/BERR1r AND fsb/VPA AND fsb/ASrf) +
      OR (fsb/VPA AND fsb/ASrf AND +
      fsb/VPA__or00001/fsb/VPA__or00001_D2) +
      OR (fsb/VPA AND fsb/ASrf AND NOT $OpTx$FX_DC$602) +
      OR ($OpTx$FX_DC$602.EXP) +
      OR (NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND NOT nAS_FSB AND +
      $OpTx$FX_DC$606) +
      OR (NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND fsb/ASrf AND +
      $OpTx$FX_DC$606) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND +
      NOT fsb/Ready0r AND fsb/VPA AND NOT nAS_FSB AND NOT ram/RAMReady) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND +
      NOT fsb/Ready0r AND fsb/VPA AND fsb/ASrf AND NOT ram/RAMReady) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      NOT cs/nOverlay1 AND NOT fsb/Ready0r AND fsb/VPA AND NOT nAS_FSB AND NOT ram/RAMReady) +
      OR (BERR_IOBS AND fsb/VPA AND NOT nAS_FSB) +
      OR (fsb/BERR0r AND fsb/VPA AND NOT nAS_FSB) +
      OR (fsb/BERR1r AND fsb/VPA AND NOT nAS_FSB) +
      OR (fsb/VPA AND NOT nAS_FSB AND +
      fsb/VPA__or00001/fsb/VPA__or00001_D2) +
      OR (fsb/VPA AND NOT nAS_FSB AND NOT $OpTx$FX_DC$602)); +
+
+fsb/VPA__or00001/fsb/VPA__or00001_D2 <= ((A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND +
      A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) +
      OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND +
      NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) +
      OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND +
      NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) +
      OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND +
      A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) +
      OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND +
      NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) +
      OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND +
      A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) +
      OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND +
      A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) +
      OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND +
      NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)); +
+FDCPE_iobm/BERRrf: FDCPE port map (iobm/BERRrf,NOT nBERR_IOB,NOT CLK2X_IOB,'0','0'); +
+FDCPE_iobm/BERRrr: FDCPE port map (iobm/BERRrr,NOT nBERR_IOB,CLK2X_IOB,'0','0'); +
+FDCPE_iobm/DTACKrf: FDCPE port map (iobm/DTACKrf,NOT nDTACK_IOB,NOT CLK2X_IOB,'0','0'); +
+FDCPE_iobm/DTACKrr: FDCPE port map (iobm/DTACKrr,NOT nDTACK_IOB,CLK2X_IOB,'0','0'); +
+FTCPE_iobm/ES0: FTCPE port map (iobm/ES(0),iobm/ES_T(0),CLK2X_IOB,'0','0'); +
     iobm/ES_T(0) <= ((iobm/ES(0) AND NOT iobm/Er AND iobm/Er2) +
      OR (NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND +
      NOT iobm/ES(3) AND NOT iobm/ES(4) AND iobm/Er) +
      OR (NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND +
      NOT iobm/ES(3) AND NOT iobm/ES(4) AND NOT iobm/Er2)); +
+FDCPE_iobm/ES1: FDCPE port map (iobm/ES(1),iobm/ES_D(1),CLK2X_IOB,'0','0'); +
     iobm/ES_D(1) <= ((iobm/ES(0) AND iobm/ES(1)) +
      OR (NOT iobm/ES(0) AND NOT iobm/ES(1)) +
      OR (NOT iobm/Er AND iobm/Er2)); +
+FDCPE_iobm/ES2: FDCPE port map (iobm/ES(2),iobm/ES_D(2),CLK2X_IOB,'0','0'); +
     iobm/ES_D(2) <= ((NOT iobm/ES(0) AND NOT iobm/ES(2)) +
      OR (NOT iobm/ES(1) AND NOT iobm/ES(2)) +
      OR (NOT iobm/Er AND iobm/Er2) +
      OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2)) +
      OR (NOT iobm/ES(2) AND NOT iobm/ES(3) AND iobm/ES(4))); +
+FTCPE_iobm/ES3: FTCPE port map (iobm/ES(3),iobm/ES_T(3),CLK2X_IOB,'0','0'); +
     iobm/ES_T(3) <= ((iobm/ES(3) AND NOT iobm/Er AND iobm/Er2) +
      OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND iobm/Er) +
      OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND NOT iobm/Er2)); +
+FTCPE_iobm/ES4: FTCPE port map (iobm/ES(4),iobm/ES_T(4),CLK2X_IOB,'0','0'); +
     iobm/ES_T(4) <= ((iobm/ES(4) AND NOT iobm/Er AND iobm/Er2) +
      OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND +
      iobm/ES(3) AND iobm/Er) +
      OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND +
      iobm/ES(3) AND NOT iobm/Er2) +
      OR (iobm/ES(0) AND iobm/ES(1) AND NOT iobm/ES(2) AND +
      NOT iobm/ES(3) AND iobm/ES(4))); +
+FDCPE_iobm/ETACK: FDCPE port map (iobm/ETACK,iobm/ETACK_D,CLK2X_IOB,'0','0'); +
     iobm/ETACK_D <= (NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND +
      NOT iobm/ES(3) AND iobm/ES(4)); +
+FDCPE_iobm/Er: FDCPE port map (iobm/Er,E_IOB,NOT CLK_IOB,'0','0'); +
+FDCPE_iobm/Er2: FDCPE port map (iobm/Er2,iobm/Er,CLK2X_IOB,'0','0'); +
+FDCPE_iobm/IOREQr: FDCPE port map (iobm/IOREQr,IOREQ,NOT CLK2X_IOB,'0','0'); +
+FDCPE_iobm/IOS_FSM_FFd1: FDCPE port map (iobm/IOS_FSM_FFd1,iobm/IOS_FSM_FFd2,CLK2X_IOB,'0','0'); +
+FDCPE_iobm/IOS_FSM_FFd2: FDCPE port map (iobm/IOS_FSM_FFd2,iobm/IOS_FSM_FFd2_D,CLK2X_IOB,'0','0'); +
     iobm/IOS_FSM_FFd2_D <= ((iobm/IOS_FSM_FFd3 AND CLK_IOB AND iobm/ETACK) +
      OR (iobm/IOS_FSM_FFd3 AND CLK_IOB AND iobm/BERRrf AND +
      iobm/BERRrr) +
      OR (iobm/IOS_FSM_FFd3 AND CLK_IOB AND iobm/DTACKrf AND +
      iobm/DTACKrr) +
      OR (iobm/IOS_FSM_FFd3 AND CLK_IOB AND iobm/RESrf AND +
      iobm/RESrr)); +
+FDCPE_iobm/IOS_FSM_FFd3: FDCPE port map (iobm/IOS_FSM_FFd3,iobm/IOS_FSM_FFd3_D,CLK2X_IOB,'0','0'); +
     iobm/IOS_FSM_FFd3_D <= ((NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4) +
      OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/ETACK) +
      OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/BERRrf AND +
      iobm/BERRrr) +
      OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/DTACKrf AND +
      iobm/DTACKrr) +
      OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/RESrf AND +
      iobm/RESrr)); +
+FDCPE_iobm/IOS_FSM_FFd4: FDCPE port map (iobm/IOS_FSM_FFd4,iobm/IOS_FSM_FFd5,CLK2X_IOB,'0','0'); +
+FDCPE_iobm/IOS_FSM_FFd5: FDCPE port map (iobm/IOS_FSM_FFd5,iobm/IOS_FSM_FFd6,CLK2X_IOB,'0','0'); +
+FDCPE_iobm/IOS_FSM_FFd6: FDCPE port map (iobm/IOS_FSM_FFd6,iobm/IOS_FSM_FFd7,CLK2X_IOB,'0','0'); +
+FDCPE_iobm/IOS_FSM_FFd7: FDCPE port map (iobm/IOS_FSM_FFd7,iobm/IOS_FSM_FFd7_D,CLK2X_IOB,'0','0'); +
     iobm/IOS_FSM_FFd7_D <= (NOT CLK_IOB AND iobm/IOREQr AND iobm/IOS_FSM_FFd8); +
+FDCPE_iobm/IOS_FSM_FFd8: FDCPE port map (iobm/IOS_FSM_FFd8,iobm/IOS_FSM_FFd8_D,CLK2X_IOB,'0','0'); +
     iobm/IOS_FSM_FFd8_D <= ((NOT iobm/IOS_FSM_FFd8 AND NOT iobm/IOS_FSM_FFd1) +
      OR (NOT CLK_IOB AND iobm/IOREQr AND NOT iobm/IOS_FSM_FFd1)); +
+FDCPE_iobm/RESrf: FDCPE port map (iobm/RESrf,NOT nRES,NOT CLK2X_IOB,'0','0'); +
+FDCPE_iobm/RESrr: FDCPE port map (iobm/RESrr,NOT nRES,CLK2X_IOB,'0','0'); +
+FDCPE_iobm/VPArf: FDCPE port map (iobm/VPArf,NOT nVPA_IOB,NOT CLK2X_IOB,'0','0'); +
+FDCPE_iobm/VPArr: FDCPE port map (iobm/VPArr,NOT nVPA_IOB,CLK2X_IOB,'0','0'); +
+FDCPE_iobs/Clear1: FDCPE port map (iobs/Clear1,iobs/Clear1_D,CLK_FSB,'0','0'); +
     iobs/Clear1_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1); +
+FDCPE_iobs/IOACTr: FDCPE port map (iobs/IOACTr,IOACT,CLK_FSB,'0','0'); +
+FDCPE_iobs/IOL1: FDCPE port map (iobs/IOL1,NOT nLDS_FSB,CLK_FSB,'0','0',iobs/Load1); +
+FTCPE_iobs/IORW1: FTCPE port map (iobs/IORW1,iobs/IORW1_T,CLK_FSB,'0','0'); +
     iobs/IORW1_T <= ((iobs/Once) +
      OR (NOT nADoutLE1) +
      OR (nVMA_IOB_OBUF.EXP) +
      OR (NOT nWE_FSB AND NOT iobs/IORW1) +
      OR (nAS_FSB AND NOT fsb/ASrf) +
      OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21)) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19)) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18)) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17)) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16)) +
      OR (NOT A_FSB(23) AND NOT A_FSB(20)) +
      OR (nWE_FSB AND iobs/IORW1) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT iobs/IORW1)); +
+FTCPE_iobs/IOReady: FTCPE port map (iobs/IOReady,iobs/IOReady_T,CLK_FSB,'0','0'); +
     iobs/IOReady_T <= ((iobs/IOReady AND nAS_FSB AND NOT fsb/ASrf) +
      OR (iobs/Once AND IOBERR AND iobs/IOReady AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1) +
      OR (iobs/Once AND NOT IOBERR AND NOT iobs/IOReady AND NOT nAS_FSB AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1) +
      OR (iobs/Once AND NOT IOBERR AND NOT iobs/IOReady AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND fsb/ASrf AND nADoutLE1)); +
+FDCPE_iobs/IOU1: FDCPE port map (iobs/IOU1,NOT nUDS_FSB,CLK_FSB,'0','0',iobs/Load1); +
+FDCPE_iobs/Load1: FDCPE port map (iobs/Load1,iobs/Load1_D,CLK_FSB,'0','0'); +
     iobs/Load1_D <= ((iobs/Once) +
      OR (NOT nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21)) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19)) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18)) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17)) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16)) +
      OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21)) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      cs/nOverlay1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(20)) +
      OR (nAS_FSB AND NOT fsb/ASrf) +
      OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)); +
+FDCPE_iobs/Once: FDCPE port map (iobs/Once,iobs/Once_D,CLK_FSB,'0','0'); +
     iobs/Once_D <= ((RA_2_OBUF.EXP) +
      OR (A_FSB(23) AND NOT iobs/Once AND iobs/PS_FSM_FFd1) +
      OR (NOT iobs/Once AND iobs/PS_FSM_FFd2 AND NOT nADoutLE1) +
      OR (NOT iobs/Once AND iobs/PS_FSM_FFd1 AND NOT nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND +
      NOT iobs/Once) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND NOT iobs/Once) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND NOT iobs/Once) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND NOT iobs/Once) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND NOT iobs/Once) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT iobs/Once AND nWE_FSB) +
      OR (nAS_FSB AND NOT fsb/ASrf) +
      OR (A_FSB(23) AND NOT iobs/Once AND iobs/PS_FSM_FFd2) +
      OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/Once) +
      OR (A_FSB(22) AND NOT iobs/Once AND iobs/PS_FSM_FFd2) +
      OR (A_FSB(22) AND NOT iobs/Once AND iobs/PS_FSM_FFd1)); +
+FDCPE_iobs/PS_FSM_FFd1: FDCPE port map (iobs/PS_FSM_FFd1,iobs/PS_FSM_FFd1_D,CLK_FSB,'0','0'); +
     iobs/PS_FSM_FFd1_D <= ((iobs/PS_FSM_FFd2) +
      OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr)); +
+FDCPE_iobs/PS_FSM_FFd2: FDCPE port map (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_D,CLK_FSB,'0','0'); +
     iobs/PS_FSM_FFd2_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) +
      OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21) AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      cs/nOverlay1 AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) +
      OR (iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1 AND +
      iobs/IOACTr) +
      OR (NOT iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1 AND +
      NOT iobs/IOACTr) +
      OR (iobs/Once AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND +
      nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/PS_FSM_FFd2 AND +
      NOT iobs/PS_FSM_FFd1 AND nADoutLE1) +
      OR (nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND +
      NOT fsb/ASrf AND nADoutLE1)); +
+
+nADoutLE0 <= (NOT ALE0M AND NOT ALE0S); +
+FDCPE_nADoutLE1: FDCPE port map (nADoutLE1,nADoutLE1_D,CLK_FSB,'0','0'); +
     nADoutLE1_D <= ((iobs/Load1) +
      OR (NOT iobs/Clear1 AND NOT nADoutLE1)); +
+FDCPE_nAS_IOB: FDCPE port map (nAS_IOB,nAS_IOB_D,NOT CLK2X_IOB,'0','0'); +
     nAS_IOB_D <= (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND +
      NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7); +
+
+nAoutOE <= '0'; +
+
+nBERR_FSB <= ((nAS_FSB) +
      OR (NOT BERR_IOBS AND NOT TimeoutB AND NOT fsb/BERR0r AND NOT fsb/BERR1r) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND +
      NOT BERR_IOBS AND NOT fsb/BERR0r AND NOT fsb/BERR1r)); +
+FDCPE_nCAS: FDCPE port map (nCAS,NOT ram/RASEL,NOT CLK_FSB,'0','0'); +
+FDCPE_nDTACK_FSB: FDCPE port map (nDTACK_FSB,nDTACK_FSB_D,CLK_FSB,'0','0'); +
     nDTACK_FSB_D <= ((EXP21_.EXP) +
      OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady AND +
      nDTACK_FSB) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND +
      NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady) +
      OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND +
      NOT iobs/IOReady AND nDTACK_FSB) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      NOT cs/nOverlay1 AND NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady) +
      OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND +
      NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB) +
      OR ($OpTx$FX_DC$606.EXP) +
      OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND +
      NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB) +
      OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND +
      cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND +
      nDTACK_FSB AND NOT nADoutLE1) +
      OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND +
      cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND +
      nDTACK_FSB AND NOT nADoutLE1) +
      OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND +
      A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r AND +
      nDTACK_FSB) +
      OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND +
      A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r AND +
      nDTACK_FSB) +
      OR (BERR_IOBS AND nDTACK_FSB) +
      OR (fsb/BERR0r AND nDTACK_FSB) +
      OR (fsb/BERR1r AND nDTACK_FSB) +
      OR (nAS_FSB AND NOT fsb/ASrf) +
      OR (nDTACK_FSB AND NOT $OpTx$FX_DC$602)); +
+FDCPE_nDinLE: FDCPE port map (nDinLE,nDinLE_D,NOT CLK2X_IOB,'0','0'); +
     nDinLE_D <= (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4); +
+
+nDinOE <= ((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB) +
      OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND nWE_FSB AND +
      NOT nAS_FSB)); +
+FDCPE_nDoutOE: FDCPE port map (nDoutOE,nDoutOE_D,CLK2X_IOB,'0','0'); +
     nDoutOE_D <= ((NOT IORW0) +
      OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND +
      NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND +
      NOT iobm/IOS_FSM_FFd2)); +
+FDCPE_nLDS_IOB: FDCPE port map (nLDS_IOB,nLDS_IOB_D,NOT CLK2X_IOB,'0','0'); +
     nLDS_IOB_D <= ((NOT IOL0) +
      OR (IORW0 AND NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND +
      NOT iobm/IOS_FSM_FFd5) +
      OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND +
      NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7)); +
+
+nOE <= NOT ((nWE_FSB AND NOT nAS_FSB)); +
+
+nRAMLWE <= NOT ((NOT nWE_FSB AND NOT nLDS_FSB AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND +
      NOT ram/RAMDIS1)); +
+
+nRAMUWE <= NOT ((NOT nWE_FSB AND NOT nUDS_FSB AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND +
      NOT ram/RAMDIS1)); +
+
+nRAS <= NOT (((RefAck) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND +
      NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RAMDIS1) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      NOT cs/nOverlay1 AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RAMDIS1))); +
+
+nROMCS <= NOT (((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20)) +
      OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND +
      NOT cs/nOverlay1))); +
+
+nROMWE <= NOT ((NOT nWE_FSB AND NOT nAS_FSB)); +
+FDCPE_nUDS_IOB: FDCPE port map (nUDS_IOB,nUDS_IOB_D,NOT CLK2X_IOB,'0','0'); +
     nUDS_IOB_D <= ((NOT IOU0) +
      OR (IORW0 AND NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND +
      NOT iobm/IOS_FSM_FFd5) +
      OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND +
      NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7)); +
+FTCPE_nVMA_IOB: FTCPE port map (nVMA_IOB,nVMA_IOB_T,CLK2X_IOB,'0','0'); +
     nVMA_IOB_T <= ((NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND +
      NOT iobm/ES(3) AND NOT iobm/ES(4)) +
      OR (nVMA_IOB AND iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND +
      NOT iobm/ES(3) AND NOT iobm/ES(4) AND IOACT AND iobm/VPArf AND iobm/VPArr)); +
+
+nVPA_FSB <= NOT ((fsb/VPA AND NOT nAS_FSB)); +
+FDCPE_ram/BACTr: FDCPE port map (ram/BACTr,ram/BACTr_D,CLK_FSB,'0','0'); +
     ram/BACTr_D <= (nAS_FSB AND NOT fsb/ASrf); +
+FTCPE_ram/Once: FTCPE port map (ram/Once,ram/Once_T,CLK_FSB,'0','0'); +
     ram/Once_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND +
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND +
      NOT ram/RS_FSM_FFd3 AND fsb/ASrf) +
      OR (ram/Once AND nAS_FSB AND NOT fsb/ASrf) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND +
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND +
      NOT ram/RS_FSM_FFd3)); +
+FDCPE_ram/RAMDIS1: FDCPE port map (ram/RAMDIS1,ram/RAMDIS1_D,CLK_FSB,'0','0'); +
     ram/RAMDIS1_D <= ((RA_4_OBUF.EXP) +
      OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) +
      OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) +
      OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND +
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) +
      OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) +
      OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND +
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) +
      OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND +
      cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) +
      OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) +
      OR (ram/Once AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND +
      cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) +
      OR (NOT cnt/RefDone AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3 AND +
      cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) +
      OR (NOT cnt/RefDone AND nAS_FSB AND NOT ram/RS_FSM_FFd1 AND +
      cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND NOT fsb/ASrf) +
      OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1) +
      OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3) +
      OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND +
      NOT ram/RS_FSM_FFd3) +
      OR (A_FSB(23) AND NOT cnt/RefDone AND NOT nAS_FSB AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) +
      OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND +
      NOT ram/BACTr AND fsb/ASrf)); +
+FTCPE_ram/RAMDIS2: FTCPE port map (ram/RAMDIS2,ram/RAMDIS2_T,CLK_FSB,'0','0'); +
     ram/RAMDIS2_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND ram/Once AND +
      NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND +
      NOT ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND +
      fsb/ASrf) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      NOT cs/nOverlay1 AND ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND +
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND +
      cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      NOT cs/nOverlay1 AND ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND +
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND +
      cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND fsb/ASrf) +
      OR (ram/RAMDIS2 AND nAS_FSB AND NOT fsb/ASrf) +
      OR (ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND +
      ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND +
      cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) +
      OR (ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND +
      ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND +
      cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND fsb/ASrf) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND ram/Once AND +
      NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND +
      cnt/RefCnt(7))); +
+FDCPE_ram/RAMReady: FDCPE port map (ram/RAMReady,ram/RAMReady_D,CLK_FSB,'0','0'); +
     ram/RAMReady_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND +
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND +
      NOT ram/RS_FSM_FFd1 AND fsb/ASrf) +
      OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) +
      OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf) +
      OR (cnt/RefCnt(5).EXP) +
      OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3) +
      OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1) +
      OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3) +
      OR (A_FSB(23) AND NOT cnt/RefDone AND NOT nAS_FSB AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) +
      OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND +
      NOT ram/BACTr AND fsb/ASrf) +
      OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND +
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) +
      OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND +
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) +
      OR (NOT A_FSB(21) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND +
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) +
      OR (NOT A_FSB(21) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd1)); +
+FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,CLK_FSB,'0','0'); +
     ram/RASEL_D <= ((A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND +
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) +
      OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND +
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) +
      OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND +
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) +
      OR (NOT cnt/RefDone AND nAS_FSB AND NOT ram/RS_FSM_FFd2 AND +
      NOT ram/RS_FSM_FFd1 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND +
      NOT fsb/ASrf) +
      OR (NOT cnt/RefDone AND nAS_FSB AND ram/RS_FSM_FFd1 AND +
      ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND +
      NOT fsb/ASrf) +
      OR (nDinOE_OBUF.EXP) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND +
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND +
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf) +
      OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND +
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) +
      OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND +
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) +
      OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND +
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) +
      OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) +
      OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND +
      NOT ram/RS_FSM_FFd3) +
      OR (A_FSB(23) AND NOT cnt/RefDone AND NOT nAS_FSB AND +
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) +
      OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd2 AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) +
      OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd2 AND +
      NOT ram/RS_FSM_FFd1 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7))); +
+FTCPE_ram/RS_FSM_FFd1: FTCPE port map (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_T,CLK_FSB,'0','0'); +
     ram/RS_FSM_FFd1_T <= ((ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND +
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND +
      NOT ram/RS_FSM_FFd3) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND +
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND +
      NOT ram/RS_FSM_FFd3 AND fsb/ASrf)); +
+FTCPE_ram/RS_FSM_FFd2: FTCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_T,CLK_FSB,'0','0'); +
     ram/RS_FSM_FFd2_T <= ((nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND +
      NOT cnt/RefCnt(5) AND NOT fsb/ASrf) +
      OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND +
      NOT cnt/RefCnt(6) AND NOT fsb/ASrf) +
      OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND +
      NOT cnt/RefCnt(7) AND NOT fsb/ASrf) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT nAS_FSB AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      NOT cs/nOverlay1 AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      NOT cs/nOverlay1 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf) +
      OR (NOT cnt/RefDone AND nAS_FSB AND ram/RS_FSM_FFd2 AND +
      ram/RS_FSM_FFd1 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND +
      NOT fsb/ASrf) +
      OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3) +
      OR (cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) +
      OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND +
      NOT cnt/RefCnt(5) AND ram/BACTr) +
      OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND +
      NOT cnt/RefCnt(6) AND ram/BACTr) +
      OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND ram/BACTr AND +
      NOT cnt/RefCnt(7))); +
+FTCPE_ram/RS_FSM_FFd3: FTCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_T,CLK_FSB,'0','0'); +
     ram/RS_FSM_FFd3_T <= ((A_FSB(22) AND NOT A_FSB(21) AND NOT ram/RS_FSM_FFd2 AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) +
      OR (A_FSB(22) AND cs/nOverlay1 AND NOT ram/RS_FSM_FFd2 AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) +
      OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND +
      NOT ram/RS_FSM_FFd3 AND NOT fsb/ASrf) +
      OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT ram/RS_FSM_FFd2 AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) +
      OR (NOT cnt/RefDone AND NOT nAS_FSB AND ram/RS_FSM_FFd2 AND +
      ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND +
      cnt/RefCnt(7)) +
      OR (NOT cnt/RefDone AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND +
      ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND +
      fsb/ASrf) +
      OR (A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND +
      NOT ram/RS_FSM_FFd3) +
      OR (ram/Once AND cnt/RefDone AND NOT ram/RS_FSM_FFd2 AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) +
      OR (ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND +
      NOT ram/RS_FSM_FFd3 AND NOT cnt/RefCnt(5)) +
      OR (ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND +
      NOT ram/RS_FSM_FFd3 AND NOT cnt/RefCnt(6)) +
      OR (ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND +
      NOT ram/RS_FSM_FFd3 AND NOT cnt/RefCnt(7))); +
+Register Legend: +
      FDCPE (Q,D,C,CLR,PRE,CE); +
      FTCPE (Q,D,C,CLR,PRE,CE); +
      LDCP (Q,D,G,CLR,PRE); +
+
+
+ + +
+ diff --git a/cpld/XC95144/MXSE_html/fit/defeqns.htm b/cpld/XC95144XL/MXSE_html/fit/defeqns.htm similarity index 88% rename from cpld/XC95144/MXSE_html/fit/defeqns.htm rename to cpld/XC95144XL/MXSE_html/fit/defeqns.htm index e54cdd9..b79bec0 100644 --- a/cpld/XC95144/MXSE_html/fit/defeqns.htm +++ b/cpld/XC95144XL/MXSE_html/fit/defeqns.htm @@ -1,966 +1,962 @@ - -

Equations

- - -
-
-********** Mapped Logic ********** -
-
-$OpTx$$OpTx$FX_DC$355_INV$439 <= (nAS_FSB AND NOT fsb/ASrf); -
-
-$OpTx$FX_DC$360 <= NOT (A_FSB(22) -
      XOR -
     $OpTx$FX_DC$360 <= NOT (cs/nOverlay1); -
-FDCPE_ALE0M: FDCPE port map (ALE0M,ALE0M_D,CLK2X_IOB,'0','0'); -
     ALE0M_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND -
      iobm/IOS_FSM_FFd1) -
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND -
      NOT iobm/IOS_FSM_FFd2 AND NOT iobm/IOREQr)); -
-FDCPE_ALE0S: FDCPE port map (ALE0S,ALE0S_D,CLK_FSB,'0','0'); -
     ALE0S_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); -
-FTCPE_BERR_IOBS: FTCPE port map (BERR_IOBS,BERR_IOBS_T,CLK_FSB,'0','0'); -
     BERR_IOBS_T <= ((iobs/Once AND NOT BERR_IOBS AND NOT iobs/PS_FSM_FFd2 AND -
      NOT iobs/IOACTr AND IOBERR AND fsb/ASrf AND nADoutLE1) -
      OR (BERR_IOBS AND nAS_FSB AND NOT fsb/ASrf) -
      OR (iobs/Once AND BERR_IOBS AND NOT iobs/PS_FSM_FFd2 AND -
      NOT iobs/IOACTr AND NOT IOBERR AND nADoutLE1) -
      OR (iobs/Once AND NOT BERR_IOBS AND NOT nAS_FSB AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND IOBERR AND nADoutLE1)); -
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-FDCPE_IOACT: FDCPE port map (IOACT,IOACT_D,CLK2X_IOB,'0','0'); -
     IOACT_D <= ((NOT iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd2 AND -
      iobm/IOS_FSM_FFd1 AND CLK_IOB AND iobm/RESrf AND iobm/RESrr) -
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND -
      iobm/IOS_FSM_FFd1) -
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND -
      NOT iobm/IOS_FSM_FFd2 AND NOT iobm/IOREQr) -
      OR (NOT iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd2 AND -
      iobm/IOS_FSM_FFd1 AND CLK_IOB AND iobm/ETACK) -
      OR (NOT iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd2 AND -
      iobm/IOS_FSM_FFd1 AND CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr) -
      OR (NOT iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd2 AND -
      iobm/IOS_FSM_FFd1 AND CLK_IOB AND iobm/BERRrf AND iobm/BERRrr)); -
-FTCPE_IOBERR: FTCPE port map (IOBERR,IOBERR_T,CLK2X_IOB,'0','0'); -
     IOBERR_T <= ((nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND -
      iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND iobm/IOS_FSM_FFd1 AND IOBERR AND -
      CLK_IOB AND iobm/ETACK) -
      OR (nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND -
      iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND iobm/IOS_FSM_FFd1 AND IOBERR AND -
      CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr) -
      OR (nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND -
      iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND iobm/IOS_FSM_FFd1 AND IOBERR AND -
      CLK_IOB AND iobm/BERRrf AND iobm/BERRrr) -
      OR (nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND -
      iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND iobm/IOS_FSM_FFd1 AND IOBERR AND -
      CLK_IOB AND iobm/RESrf AND iobm/RESrr) -
      OR (iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND -
      NOT iobm/IOS_FSM_FFd2 AND NOT iobm/IOS_FSM_FFd1 AND IOBERR) -
      OR (NOT nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND -
      iobm/IOS_FSM_FFd3 AND NOT IOBERR AND CLK_IOB AND iobm/ETACK) -
      OR (NOT nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND -
      iobm/IOS_FSM_FFd3 AND NOT IOBERR AND CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr) -
      OR (NOT nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND -
      iobm/IOS_FSM_FFd3 AND NOT IOBERR AND CLK_IOB AND iobm/BERRrf AND iobm/BERRrr) -
      OR (NOT nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND -
      iobm/IOS_FSM_FFd3 AND NOT IOBERR AND CLK_IOB AND iobm/RESrf AND iobm/RESrr)); -
-FDCPE_IOL0: FDCPE port map (IOL0,IOL0_D,CLK_FSB,'0','0',IOL0_CE); -
     IOL0_D <= ((NOT nLDS_FSB AND nADoutLE1) -
      OR (iobs/IOL1 AND NOT nADoutLE1)); -
     IOL0_CE <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); -
-FDCPE_IOREQ: FDCPE port map (IOREQ,IOREQ_D,CLK_FSB,'0','0'); -
     IOREQ_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND -
      NOT iobs/PS_FSM_FFd2 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND -
      NOT iobs/PS_FSM_FFd2 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND -
      NOT iobs/PS_FSM_FFd2 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND -
      NOT iobs/PS_FSM_FFd2 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB AND -
      NOT iobs/PS_FSM_FFd2 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND -
      NOT iobs/PS_FSM_FFd2 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND -
      NOT iobs/PS_FSM_FFd2 AND nADoutLE1) -
      OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21) AND -
      NOT iobs/PS_FSM_FFd2 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND -
      cs/nOverlay1 AND NOT iobs/PS_FSM_FFd2 AND nADoutLE1) -
      OR (NOT iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1) -
      OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr) -
      OR (iobs/Once AND NOT iobs/PS_FSM_FFd2 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/PS_FSM_FFd2 AND -
      nADoutLE1) -
      OR (nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT fsb/ASrf AND -
      nADoutLE1)); -
-FTCPE_IORW0: FTCPE port map (IORW0,IORW0_T,CLK_FSB,'0','0'); -
     IORW0_T <= ((A_FSB(23) AND NOT iobs/Once AND NOT IORW0 AND nWE_FSB AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) -
      OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND -
      IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND -
      NOT iobs/PS_FSM_FFd1 AND nADoutLE1) -
      OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND -
      IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND -
      fsb/ASrf AND nADoutLE1) -
      OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND -
      NOT IORW0 AND nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND -
      NOT iobs/PS_FSM_FFd1 AND nADoutLE1) -
      OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND -
      NOT IORW0 AND nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND -
      fsb/ASrf AND nADoutLE1) -
      OR (EXP15_.EXP) -
      OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND -
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND -
      NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND -
      NOT iobs/PS_FSM_FFd1 AND nADoutLE1) -
      OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND -
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND -
      NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND -
      NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) -
      OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND -
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND -
      NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND -
      NOT iobs/PS_FSM_FFd1 AND nADoutLE1) -
      OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND -
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND -
      NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND -
      NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) -
      OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND -
      cs/nOverlay1 AND NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) -
      OR (IORW0 AND NOT iobs/IORW1 AND NOT iobs/PS_FSM_FFd2 AND -
      NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1) -
      OR (NOT IORW0 AND iobs/IORW1 AND NOT iobs/PS_FSM_FFd2 AND -
      NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1) -
      OR (A_FSB(23) AND NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND -
      NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) -
      OR (A_FSB(23) AND NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) -
      OR (A_FSB(23) AND NOT iobs/Once AND NOT IORW0 AND nWE_FSB AND -
      NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)); -
-FDCPE_IOU0: FDCPE port map (IOU0,IOU0_D,CLK_FSB,'0','0',IOU0_CE); -
     IOU0_D <= ((NOT nUDS_FSB AND nADoutLE1) -
      OR (iobs/IOU1 AND NOT nADoutLE1)); -
     IOU0_CE <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); -
-
-RA(0) <= ((A_FSB(10) AND NOT ram/RASEL) -
      OR (ram/RASEL AND A_FSB(1))); -
-
-RA(1) <= ((A_FSB(11) AND NOT ram/RASEL) -
      OR (ram/RASEL AND A_FSB(2))); -
-
-RA(2) <= ((A_FSB(12) AND NOT ram/RASEL) -
      OR (ram/RASEL AND A_FSB(3))); -
-
-RA(3) <= ((A_FSB(13) AND NOT ram/RASEL) -
      OR (ram/RASEL AND A_FSB(4))); -
-
-RA(4) <= ((A_FSB(14) AND NOT ram/RASEL) -
      OR (ram/RASEL AND A_FSB(5))); -
-
-RA(5) <= ((A_FSB(15) AND NOT ram/RASEL) -
      OR (ram/RASEL AND A_FSB(6))); -
-
-RA(6) <= ((A_FSB(16) AND NOT ram/RASEL) -
      OR (ram/RASEL AND A_FSB(7))); -
-
-RA(7) <= ((A_FSB(8) AND ram/RASEL) -
      OR (A_FSB(17) AND NOT ram/RASEL)); -
-
-RA(8) <= ((A_FSB(9) AND ram/RASEL) -
      OR (A_FSB(18) AND NOT ram/RASEL)); -
-
-RA(9) <= ((A_FSB(20) AND ram/RASEL) -
      OR (A_FSB(19) AND NOT ram/RASEL)); -
-
-RA(10) <= A_FSB(21); -
-
-RA(11) <= A_FSB(19); -
-FDCPE_RefAck: FDCPE port map (RefAck,RefAck_D,CLK_FSB,'0','0'); -
     RefAck_D <= (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1); -
-FTCPE_TimeoutA: FTCPE port map (TimeoutA,TimeoutA_T,CLK_FSB,'0','0'); -
     TimeoutA_T <= ((TimeoutA AND nAS_FSB AND NOT fsb/ASrf) -
      OR (NOT TimeoutA AND NOT nAS_FSB AND NOT cnt/RefCnt(0) AND -
      NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND -
      NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4)) -
      OR (NOT TimeoutA AND NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND -
      NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND -
      NOT cnt/RefCnt(4) AND fsb/ASrf)); -
-FTCPE_TimeoutB: FTCPE port map (TimeoutB,TimeoutB_T,CLK_FSB,'0','0'); -
     TimeoutB_T <= ((TimeoutB AND nAS_FSB AND NOT fsb/ASrf) -
      OR (NOT TimeoutB AND cnt/TimeoutBPre AND NOT nAS_FSB AND -
      NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND -
      NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7)) -
      OR (NOT TimeoutB AND cnt/TimeoutBPre AND NOT cnt/RefCnt(0) AND -
      NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND -
      NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7) AND fsb/ASrf)); -
-FTCPE_cnt/RefCnt0: FTCPE port map (cnt/RefCnt(0),'1',CLK_FSB,'0','0'); -
-FTCPE_cnt/RefCnt1: FTCPE port map (cnt/RefCnt(1),cnt/RefCnt(0),CLK_FSB,'0','0'); -
-FTCPE_cnt/RefCnt2: FTCPE port map (cnt/RefCnt(2),cnt/RefCnt_T(2),CLK_FSB,'0','0'); -
     cnt/RefCnt_T(2) <= (cnt/RefCnt(0) AND cnt/RefCnt(1)); -
-FTCPE_cnt/RefCnt3: FTCPE port map (cnt/RefCnt(3),cnt/RefCnt_T(3),CLK_FSB,'0','0'); -
     cnt/RefCnt_T(3) <= (cnt/RefCnt(0) AND cnt/RefCnt(1) AND cnt/RefCnt(2)); -
-FTCPE_cnt/RefCnt4: FTCPE port map (cnt/RefCnt(4),cnt/RefCnt_T(4),CLK_FSB,'0','0'); -
     cnt/RefCnt_T(4) <= (cnt/RefCnt(0) AND cnt/RefCnt(1) AND cnt/RefCnt(2) AND -
      cnt/RefCnt(3)); -
-FTCPE_cnt/RefCnt5: FTCPE port map (cnt/RefCnt(5),cnt/RefCnt_T(5),CLK_FSB,'0','0'); -
     cnt/RefCnt_T(5) <= (cnt/RefCnt(0) AND cnt/RefCnt(1) AND cnt/RefCnt(2) AND -
      cnt/RefCnt(3) AND cnt/RefCnt(4)); -
-FTCPE_cnt/RefCnt6: FTCPE port map (cnt/RefCnt(6),cnt/RefCnt_T(6),CLK_FSB,'0','0'); -
     cnt/RefCnt_T(6) <= (cnt/RefCnt(0) AND cnt/RefCnt(5) AND cnt/RefCnt(1) AND -
      cnt/RefCnt(2) AND cnt/RefCnt(3) AND cnt/RefCnt(4)); -
-FTCPE_cnt/RefCnt7: FTCPE port map (cnt/RefCnt(7),cnt/RefCnt_T(7),CLK_FSB,'0','0'); -
     cnt/RefCnt_T(7) <= (cnt/RefCnt(0) AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND -
      cnt/RefCnt(1) AND cnt/RefCnt(2) AND cnt/RefCnt(3) AND cnt/RefCnt(4)); -
-FDCPE_cnt/RefDone: FDCPE port map (cnt/RefDone,cnt/RefDone_D,CLK_FSB,'0','0'); -
     cnt/RefDone_D <= ((NOT cnt/RefDone AND NOT RefAck) -
      OR (NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND -
      NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND -
      NOT cnt/RefCnt(7))); -
-FTCPE_cnt/TimeoutBPre: FTCPE port map (cnt/TimeoutBPre,cnt/TimeoutBPre_T,CLK_FSB,'0','0'); -
     cnt/TimeoutBPre_T <= ((cnt/TimeoutBPre AND nAS_FSB AND NOT fsb/ASrf) -
      OR (NOT cnt/TimeoutBPre AND NOT nAS_FSB AND NOT cnt/RefCnt(0) AND -
      NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND -
      NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7)) -
      OR (NOT cnt/TimeoutBPre AND NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND -
      NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND -
      NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7) AND fsb/ASrf)); -
-FTCPE_cs/nOverlay0: FTCPE port map (cs/nOverlay0,cs/nOverlay0_T,CLK_FSB,NOT nRES,'0'); -
     cs/nOverlay0_T <= ((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND -
      NOT cs/nOverlay0 AND NOT nAS_FSB) -
      OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND -
      NOT cs/nOverlay0 AND fsb/ASrf)); -
-FDCPE_cs/nOverlay1: FDCPE port map (cs/nOverlay1,cs/nOverlay0,CLK_FSB,'0','0',cs/nOverlay1_CE); -
     cs/nOverlay1_CE <= (nAS_FSB AND NOT fsb/ASrf); -
-FDCPE_fsb/ASrf: FDCPE port map (fsb/ASrf,NOT nAS_FSB,NOT CLK_FSB,'0','0'); -
-FDCPE_fsb/BERR0r: FDCPE port map (fsb/BERR0r,fsb/BERR0r_D,CLK_FSB,'0','0'); -
     fsb/BERR0r_D <= ((NOT TimeoutB AND NOT fsb/BERR0r) -
      OR (nAS_FSB AND NOT fsb/ASrf) -
      OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND -
      NOT fsb/BERR0r)); -
-FDCPE_fsb/BERR1r: FDCPE port map (fsb/BERR1r,fsb/BERR1r_D,CLK_FSB,'0','0'); -
     fsb/BERR1r_D <= ((NOT BERR_IOBS AND NOT fsb/BERR1r) -
      OR (nAS_FSB AND NOT fsb/ASrf)); -
-FDCPE_fsb/Ready0r: FDCPE port map (fsb/Ready0r,fsb/Ready0r_D,CLK_FSB,'0','0'); -
     fsb/Ready0r_D <= ((nAS_FSB AND NOT fsb/ASrf) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND -
      NOT fsb/Ready0r AND NOT ram/RAMReady) -
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND -
      NOT cs/nOverlay1 AND NOT fsb/Ready0r AND NOT ram/RAMReady)); -
-FDCPE_fsb/Ready1r: FDCPE port map (fsb/Ready1r,fsb/Ready1r_D,CLK_FSB,'0','0'); -
     fsb/Ready1r_D <= ((nAoutOE_OBUF.EXP) -
      OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady) -
      OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND -
      NOT iobs/IOReady) -
      OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND -
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND -
      NOT fsb/Ready1r AND NOT iobs/IOReady) -
      OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND -
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND -
      NOT fsb/Ready1r AND NOT iobs/IOReady) -
      OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND -
      cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND -
      NOT nADoutLE1) -
      OR (nAS_FSB AND NOT fsb/ASrf)); -
-FDCPE_fsb/Ready2r: FDCPE port map (fsb/Ready2r,fsb/Ready2r_D,CLK_FSB,'0','0'); -
     fsb/Ready2r_D <= ((nAS_FSB AND NOT fsb/ASrf) -
      OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND -
      A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND -
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) -
      OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND -
      A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND -
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) -
      OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND -
      NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND -
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) -
      OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND -
      A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND -
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) -
      OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND -
      A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND -
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) -
      OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND -
      NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND -
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) -
      OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND -
      NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND -
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) -
      OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND -
      NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND -
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)); -
-FDCPE_fsb/VPA: FDCPE port map (fsb/VPA,fsb/VPA_D,CLK_FSB,'0','0'); -
     fsb/VPA_D <= ((EXP27_.EXP) -
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND -
      NOT cs/nOverlay1 AND NOT fsb/Ready0r AND fsb/VPA AND NOT ram/RAMReady AND -
      NOT $OpTx$$OpTx$FX_DC$355_INV$439) -
      OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND -
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND -
      NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND -
      NOT $OpTx$$OpTx$FX_DC$355_INV$439) -
      OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND -
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND -
      NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND -
      NOT $OpTx$$OpTx$FX_DC$355_INV$439) -
      OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND -
      cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND -
      NOT nADoutLE1 AND NOT $OpTx$$OpTx$FX_DC$355_INV$439) -
      OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND -
      cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND -
      NOT nADoutLE1 AND NOT $OpTx$$OpTx$FX_DC$355_INV$439) -
      OR (EXP36_.EXP) -
      OR (NOT A_FSB(22) AND TimeoutB AND fsb/VPA AND -
      NOT $OpTx$$OpTx$FX_DC$355_INV$439) -
      OR (A_FSB(21) AND TimeoutB AND fsb/VPA AND -
      NOT $OpTx$$OpTx$FX_DC$355_INV$439) -
      OR (A_FSB(23) AND NOT fsb/Ready1r AND fsb/VPA AND -
      NOT iobs/IOReady AND NOT $OpTx$$OpTx$FX_DC$355_INV$439) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND -
      NOT fsb/Ready0r AND fsb/VPA AND NOT ram/RAMReady AND -
      NOT $OpTx$$OpTx$FX_DC$355_INV$439) -
      OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND -
      fsb/VPA AND NOT iobs/IOReady AND NOT $OpTx$$OpTx$FX_DC$355_INV$439) -
      OR (BERR_IOBS AND fsb/VPA AND -
      NOT $OpTx$$OpTx$FX_DC$355_INV$439) -
      OR (fsb/BERR0r AND fsb/VPA AND -
      NOT $OpTx$$OpTx$FX_DC$355_INV$439) -
      OR (fsb/BERR1r AND fsb/VPA AND -
      NOT $OpTx$$OpTx$FX_DC$355_INV$439) -
      OR (A_FSB(23) AND TimeoutB AND fsb/VPA AND -
      NOT $OpTx$$OpTx$FX_DC$355_INV$439) -
      OR (NOT A_FSB(20) AND TimeoutB AND fsb/VPA AND -
      NOT $OpTx$$OpTx$FX_DC$355_INV$439)); -
-FDCPE_iobm/BERRrf: FDCPE port map (iobm/BERRrf,NOT nBERR_IOB,NOT CLK2X_IOB,'0','0'); -
-FDCPE_iobm/BERRrr: FDCPE port map (iobm/BERRrr,NOT nBERR_IOB,CLK2X_IOB,'0','0'); -
-FDCPE_iobm/DTACKrf: FDCPE port map (iobm/DTACKrf,NOT nDTACK_IOB,NOT CLK2X_IOB,'0','0'); -
-FDCPE_iobm/DTACKrr: FDCPE port map (iobm/DTACKrr,NOT nDTACK_IOB,CLK2X_IOB,'0','0'); -
-FTCPE_iobm/ES0: FTCPE port map (iobm/ES(0),iobm/ES_T(0),CLK2X_IOB,'0','0'); -
     iobm/ES_T(0) <= ((iobm/ES(0) AND NOT iobm/Er AND iobm/Er2) -
      OR (NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND -
      NOT iobm/ES(3) AND NOT iobm/ES(4) AND iobm/Er) -
      OR (NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND -
      NOT iobm/ES(3) AND NOT iobm/ES(4) AND NOT iobm/Er2)); -
-FDCPE_iobm/ES1: FDCPE port map (iobm/ES(1),iobm/ES_D(1),CLK2X_IOB,'0','0'); -
     iobm/ES_D(1) <= ((iobm/ES(0) AND iobm/ES(1)) -
      OR (NOT iobm/ES(0) AND NOT iobm/ES(1)) -
      OR (NOT iobm/Er AND iobm/Er2)); -
-FDCPE_iobm/ES2: FDCPE port map (iobm/ES(2),iobm/ES_D(2),CLK2X_IOB,'0','0'); -
     iobm/ES_D(2) <= ((NOT iobm/ES(0) AND NOT iobm/ES(2)) -
      OR (NOT iobm/ES(1) AND NOT iobm/ES(2)) -
      OR (NOT iobm/Er AND iobm/Er2) -
      OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2)) -
      OR (NOT iobm/ES(2) AND NOT iobm/ES(3) AND iobm/ES(4))); -
-FTCPE_iobm/ES3: FTCPE port map (iobm/ES(3),iobm/ES_T(3),CLK2X_IOB,'0','0'); -
     iobm/ES_T(3) <= ((iobm/ES(3) AND NOT iobm/Er AND iobm/Er2) -
      OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND iobm/Er) -
      OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND NOT iobm/Er2)); -
-FTCPE_iobm/ES4: FTCPE port map (iobm/ES(4),iobm/ES_T(4),CLK2X_IOB,'0','0'); -
     iobm/ES_T(4) <= ((iobm/ES(4) AND NOT iobm/Er AND iobm/Er2) -
      OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND -
      iobm/ES(3) AND iobm/Er) -
      OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND -
      iobm/ES(3) AND NOT iobm/Er2) -
      OR (iobm/ES(0) AND iobm/ES(1) AND NOT iobm/ES(2) AND -
      NOT iobm/ES(3) AND iobm/ES(4))); -
-FDCPE_iobm/ETACK: FDCPE port map (iobm/ETACK,iobm/ETACK_D,CLK2X_IOB,'0','0'); -
     iobm/ETACK_D <= (NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND -
      NOT iobm/ES(3) AND iobm/ES(4)); -
-FDCPE_iobm/Er: FDCPE port map (iobm/Er,E_IOB,NOT CLK_IOB,'0','0'); -
-FDCPE_iobm/Er2: FDCPE port map (iobm/Er2,iobm/Er,CLK2X_IOB,'0','0'); -
-FDCPE_iobm/IOREQr: FDCPE port map (iobm/IOREQr,IOREQ,NOT CLK2X_IOB,'0','0'); -
-FDCPE_iobm/IOS_FSM_FFd1: FDCPE port map (iobm/IOS_FSM_FFd1,iobm/IOS_FSM_FFd1_D,CLK2X_IOB,'0','0'); -
     iobm/IOS_FSM_FFd1_D <= ((iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd2 AND -
      NOT iobm/IOS_FSM_FFd1) -
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND -
      NOT iobm/IOS_FSM_FFd2)); -
-FDCPE_iobm/IOS_FSM_FFd2: FDCPE port map (iobm/IOS_FSM_FFd2,iobm/IOS_FSM_FFd2_D,CLK2X_IOB,'0','0'); -
     iobm/IOS_FSM_FFd2_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND -
      iobm/IOS_FSM_FFd1) -
      OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd2 AND -
      NOT iobm/IOS_FSM_FFd1)); -
-FDCPE_iobm/IOS_FSM_FFd3: FDCPE port map (iobm/IOS_FSM_FFd3,iobm/IOS_FSM_FFd3_D,CLK2X_IOB,'0','0'); -
     iobm/IOS_FSM_FFd3_D <= ((NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/RESrf AND -
      iobm/RESrr) -
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3) -
      OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/ETACK) -
      OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/DTACKrf AND -
      iobm/DTACKrr) -
      OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/BERRrf AND -
      iobm/BERRrr)); -
-FDCPE_iobm/IOS_FSM_FFd4: FDCPE port map (iobm/IOS_FSM_FFd4,iobm/IOS_FSM_FFd4_D,CLK2X_IOB,'0','0'); -
     iobm/IOS_FSM_FFd4_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND -
      iobm/IOS_FSM_FFd1) -
      OR (iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND -
      iobm/IOS_FSM_FFd1) -
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND -
      NOT iobm/IOS_FSM_FFd2 AND CLK_IOB) -
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND -
      NOT iobm/IOS_FSM_FFd2 AND NOT iobm/IOREQr)); -
-FDCPE_iobm/RESrf: FDCPE port map (iobm/RESrf,NOT nRES,NOT CLK2X_IOB,'0','0'); -
-FDCPE_iobm/RESrr: FDCPE port map (iobm/RESrr,NOT nRES,CLK2X_IOB,'0','0'); -
-FDCPE_iobm/VPArf: FDCPE port map (iobm/VPArf,NOT nVPA_IOB,NOT CLK2X_IOB,'0','0'); -
-FDCPE_iobm/VPArr: FDCPE port map (iobm/VPArr,NOT nVPA_IOB,CLK2X_IOB,'0','0'); -
-FDCPE_iobs/Clear1: FDCPE port map (iobs/Clear1,iobs/Clear1_D,CLK_FSB,'0','0'); -
     iobs/Clear1_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1); -
-FDCPE_iobs/IOACTr: FDCPE port map (iobs/IOACTr,IOACT,CLK_FSB,'0','0'); -
-FDCPE_iobs/IOL1: FDCPE port map (iobs/IOL1,NOT nLDS_FSB,CLK_FSB,'0','0',iobs/Load1); -
-FTCPE_iobs/IORW1: FTCPE port map (iobs/IORW1,iobs/IORW1_T,CLK_FSB,'0','0'); -
     iobs/IORW1_T <= ((iobs/Once) -
      OR (NOT nADoutLE1) -
      OR (nBERR_FSB_OBUF.EXP) -
      OR (NOT nWE_FSB AND NOT iobs/IORW1) -
      OR (nAS_FSB AND NOT fsb/ASrf) -
      OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21)) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19)) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17)) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16)) -
      OR (NOT A_FSB(23) AND NOT A_FSB(20)) -
      OR (nWE_FSB AND iobs/IORW1) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT iobs/IORW1)); -
-FTCPE_iobs/IOReady: FTCPE port map (iobs/IOReady,iobs/IOReady_T,CLK_FSB,'0','0'); -
     iobs/IOReady_T <= ((iobs/IOReady AND nAS_FSB AND NOT fsb/ASrf) -
      OR (iobs/Once AND iobs/IOReady AND NOT iobs/PS_FSM_FFd2 AND -
      NOT iobs/IOACTr AND IOBERR AND nADoutLE1) -
      OR (iobs/Once AND NOT iobs/IOReady AND NOT nAS_FSB AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND NOT IOBERR AND nADoutLE1) -
      OR (iobs/Once AND NOT iobs/IOReady AND NOT iobs/PS_FSM_FFd2 AND -
      NOT iobs/IOACTr AND NOT IOBERR AND fsb/ASrf AND nADoutLE1)); -
-FDCPE_iobs/IOU1: FDCPE port map (iobs/IOU1,NOT nUDS_FSB,CLK_FSB,'0','0',iobs/Load1); -
-FDCPE_iobs/Load1: FDCPE port map (iobs/Load1,iobs/Load1_D,CLK_FSB,'0','0'); -
     iobs/Load1_D <= ((iobs/Once) -
      OR (NOT nADoutLE1) -
      OR (ram/RAMDIS2.EXP) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21)) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19)) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16)) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18)) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17)) -
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND -
      cs/nOverlay1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(20)) -
      OR (nAS_FSB AND NOT fsb/ASrf) -
      OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)); -
-FDCPE_iobs/Once: FDCPE port map (iobs/Once,iobs/Once_D,CLK_FSB,'0','0'); -
     iobs/Once_D <= ((A_FSB(23) AND NOT iobs/Once AND iobs/PS_FSM_FFd1) -
      OR (NOT iobs/Once AND iobs/PS_FSM_FFd2 AND NOT nADoutLE1) -
      OR (NOT iobs/Once AND iobs/PS_FSM_FFd1 AND NOT nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND -
      NOT iobs/Once) -
      OR (EXP35_.EXP) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND NOT iobs/Once) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND NOT iobs/Once) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND NOT iobs/Once) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND NOT iobs/Once) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT iobs/Once AND nWE_FSB) -
      OR (nAS_FSB AND NOT fsb/ASrf) -
      OR (A_FSB(23) AND NOT iobs/Once AND iobs/PS_FSM_FFd2) -
      OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/Once) -
      OR (A_FSB(22) AND NOT iobs/Once AND iobs/PS_FSM_FFd2) -
      OR (A_FSB(22) AND NOT iobs/Once AND iobs/PS_FSM_FFd1)); -
-FDCPE_iobs/PS_FSM_FFd1: FDCPE port map (iobs/PS_FSM_FFd1,iobs/PS_FSM_FFd1_D,CLK_FSB,'0','0'); -
     iobs/PS_FSM_FFd1_D <= ((iobs/PS_FSM_FFd2) -
      OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr)); -
-FDCPE_iobs/PS_FSM_FFd2: FDCPE port map (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_D,CLK_FSB,'0','0'); -
     iobs/PS_FSM_FFd2_D <= ((nVMA_IOB_OBUF.EXP) -
      OR (nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND -
      NOT fsb/ASrf AND nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) -
      OR (iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1 AND -
      iobs/IOACTr) -
      OR (NOT iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1 AND -
      NOT iobs/IOACTr) -
      OR (iobs/Once AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND -
      nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/PS_FSM_FFd2 AND -
      NOT iobs/PS_FSM_FFd1 AND nADoutLE1)); -
-
-nADoutLE0 <= (NOT ALE0M AND NOT ALE0S); -
-FDCPE_nADoutLE1: FDCPE port map (nADoutLE1,nADoutLE1_D,CLK_FSB,'0','0'); -
     nADoutLE1_D <= ((iobs/Load1) -
      OR (NOT iobs/Clear1 AND NOT nADoutLE1)); -
-FDCPE_nAS_IOB: FDCPE port map (nAS_IOB,nAS_IOB_D,NOT CLK2X_IOB,'0','0'); -
     nAS_IOB_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND -
      NOT iobm/IOS_FSM_FFd2) -
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND -
      iobm/IOS_FSM_FFd1)); -
-
-nAoutOE <= '0'; -
-
-nBERR_FSB <= ((nAS_FSB) -
      OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND -
      NOT BERR_IOBS AND NOT fsb/BERR0r AND NOT fsb/BERR1r) -
      OR (NOT BERR_IOBS AND NOT TimeoutB AND NOT fsb/BERR0r AND NOT fsb/BERR1r)); -
-FDCPE_nCAS: FDCPE port map (nCAS,NOT ram/RASEL,NOT CLK_FSB,'0','0'); -
-FDCPE_nDTACK_FSB: FDCPE port map (nDTACK_FSB,nDTACK_FSB_D,CLK_FSB,'0','0'); -
     nDTACK_FSB_D <= ((EXP28_.EXP) -
      OR (A_FSB(23) AND TimeoutB AND nDTACK_FSB) -
      OR (NOT A_FSB(22) AND TimeoutB AND nDTACK_FSB) -
      OR (A_FSB(21) AND TimeoutB AND nDTACK_FSB) -
      OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady AND -
      nDTACK_FSB) -
      OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND -
      NOT iobs/IOReady AND nDTACK_FSB) -
      OR (EXP31_.EXP) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND -
      NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady) -
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND -
      NOT cs/nOverlay1 AND NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady) -
      OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND -
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND -
      NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB) -
      OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND -
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND -
      NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB) -
      OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND -
      cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND -
      nDTACK_FSB AND NOT nADoutLE1) -
      OR (BERR_IOBS AND nDTACK_FSB) -
      OR (fsb/BERR0r AND nDTACK_FSB) -
      OR (fsb/BERR1r AND nDTACK_FSB) -
      OR (nAS_FSB AND NOT fsb/ASrf) -
      OR (NOT A_FSB(20) AND TimeoutB AND nDTACK_FSB)); -
-FDCPE_nDinLE: FDCPE port map (nDinLE,nDinLE_D,NOT CLK2X_IOB,'0','0'); -
     nDinLE_D <= ((iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd1) -
      OR (NOT iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd3)); -
-
-nDinOE <= ((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB) -
      OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND nWE_FSB AND -
      NOT nAS_FSB)); -
-FDCPE_nDoutOE: FDCPE port map (nDoutOE,nDoutOE_D,CLK2X_IOB,'0','0'); -
     nDoutOE_D <= ((NOT IORW0) -
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND -
      NOT iobm/IOS_FSM_FFd2)); -
-FDCPE_nLDS_IOB: FDCPE port map (nLDS_IOB,nLDS_IOB_D,NOT CLK2X_IOB,'0','0'); -
     nLDS_IOB_D <= ((NOT IOL0) -
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND -
      NOT iobm/IOS_FSM_FFd2) -
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND -
      iobm/IOS_FSM_FFd1) -
      OR (IORW0 AND iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd2 AND -
      NOT iobm/IOS_FSM_FFd1)); -
-
-nOE <= NOT ((nWE_FSB AND NOT nAS_FSB)); -
-
-nRAMLWE <= NOT ((NOT nWE_FSB AND NOT nLDS_FSB AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND -
      NOT ram/RAMDIS1)); -
-
-nRAMUWE <= NOT ((NOT nWE_FSB AND NOT nUDS_FSB AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND -
      NOT ram/RAMDIS1)); -
-
-nRAS <= NOT (((RefAck) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND -
      NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RAMDIS1) -
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND -
      NOT cs/nOverlay1 AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RAMDIS1))); -
-
-nROMCS <= NOT (((NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND -
      NOT cs/nOverlay1) -
      OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20)))); -
-
-nROMWE <= NOT ((NOT nWE_FSB AND NOT nAS_FSB)); -
-FDCPE_nUDS_IOB: FDCPE port map (nUDS_IOB,nUDS_IOB_D,NOT CLK2X_IOB,'0','0'); -
     nUDS_IOB_D <= ((NOT IOU0) -
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND -
      NOT iobm/IOS_FSM_FFd2) -
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND -
      iobm/IOS_FSM_FFd1) -
      OR (IORW0 AND iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd2 AND -
      NOT iobm/IOS_FSM_FFd1)); -
-FTCPE_nVMA_IOB: FTCPE port map (nVMA_IOB,nVMA_IOB_T,CLK2X_IOB,'0','0'); -
     nVMA_IOB_T <= ((NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND -
      NOT iobm/ES(3) AND NOT iobm/ES(4)) -
      OR (nVMA_IOB AND iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND -
      NOT iobm/ES(3) AND NOT iobm/ES(4) AND IOACT AND iobm/VPArf AND iobm/VPArr)); -
-
-nVPA_FSB <= NOT ((fsb/VPA AND NOT nAS_FSB)); -
-FDCPE_ram/BACTr: FDCPE port map (ram/BACTr,ram/BACTr_D,CLK_FSB,'0','0'); -
     ram/BACTr_D <= (nAS_FSB AND NOT fsb/ASrf); -
-FTCPE_ram/Once: FTCPE port map (ram/Once,ram/Once_T,CLK_FSB,'0','0'); -
     ram/Once_T <= ((ram/Once AND nAS_FSB AND NOT fsb/ASrf) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND -
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/RS_FSM_FFd3) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND -
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf) -
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND -
      NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND -
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) -
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND -
      NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/RS_FSM_FFd3 AND fsb/ASrf)); -
-FDCPE_ram/RAMDIS1: FDCPE port map (ram/RAMDIS1,ram/RAMDIS1_D,CLK_FSB,'0','0'); -
     ram/RAMDIS1_D <= ((A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND -
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) -
      OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND -
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) -
      OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND -
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) -
      OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND -
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) -
      OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND -
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) -
      OR (EXP16_.EXP) -
      OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND -
      cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) -
      OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND -
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) -
      OR (ram/Once AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND -
      cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) -
      OR (NOT cnt/RefDone AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3 AND -
      cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) -
      OR (NOT cnt/RefDone AND nAS_FSB AND NOT ram/RS_FSM_FFd1 AND -
      cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND NOT fsb/ASrf) -
      OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1) -
      OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3) -
      OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND -
      NOT ram/RS_FSM_FFd3) -
      OR (A_FSB(23) AND NOT cnt/RefDone AND NOT nAS_FSB AND -
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) -
      OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/BACTr AND fsb/ASrf)); -
-FTCPE_ram/RAMDIS2: FTCPE port map (ram/RAMDIS2,ram/RAMDIS2_T,CLK_FSB,'0','0'); -
     ram/RAMDIS2_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND ram/Once AND -
      NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND -
      fsb/ASrf) -
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND -
      NOT cs/nOverlay1 AND ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND -
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND -
      cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) -
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND -
      NOT cs/nOverlay1 AND ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND -
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND -
      cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND fsb/ASrf) -
      OR (ram/RAMDIS2 AND nAS_FSB AND NOT fsb/ASrf) -
      OR (ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND -
      ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND -
      cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) -
      OR (ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND -
      ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND -
      cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND fsb/ASrf) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND ram/Once AND -
      NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND -
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND -
      cnt/RefCnt(7))); -
-FDCPE_ram/RAMReady: FDCPE port map (ram/RAMReady,ram/RAMReady_D,CLK_FSB,'0','0'); -
     ram/RAMReady_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND -
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND -
      NOT ram/RS_FSM_FFd1 AND fsb/ASrf) -
      OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND -
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) -
      OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND -
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) -
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND -
      NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf) -
      OR (A_FSB_19_IBUF$BUF0.EXP) -
      OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3) -
      OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1) -
      OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3) -
      OR (A_FSB(23) AND NOT cnt/RefDone AND NOT nAS_FSB AND -
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) -
      OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/BACTr AND fsb/ASrf) -
      OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND -
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) -
      OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND -
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) -
      OR (NOT A_FSB(21) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND -
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) -
      OR (NOT A_FSB(21) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND -
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) -
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND -
      NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd1)); -
-FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,CLK_FSB,'0','0'); -
     ram/RASEL_D <= ((EXP17_.EXP) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND -
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND -
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf) -
      OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND -
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) -
      OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND -
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) -
      OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND -
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) -
      OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND -
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) -
      OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND -
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) -
      OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND -
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) -
      OR (NOT cnt/RefDone AND nAS_FSB AND NOT ram/RS_FSM_FFd2 AND -
      NOT ram/RS_FSM_FFd1 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND -
      NOT fsb/ASrf) -
      OR (NOT cnt/RefDone AND nAS_FSB AND ram/RS_FSM_FFd1 AND -
      ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND -
      NOT fsb/ASrf) -
      OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) -
      OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/RS_FSM_FFd3) -
      OR (A_FSB(23) AND NOT cnt/RefDone AND NOT nAS_FSB AND -
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) -
      OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd2 AND -
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) -
      OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd2 AND -
      NOT ram/RS_FSM_FFd1 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7))); -
-FTCPE_ram/RS_FSM_FFd1: FTCPE port map (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_T,CLK_FSB,'0','0'); -
     ram/RS_FSM_FFd1_T <= ((ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND -
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/RS_FSM_FFd3) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND -
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf) -
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND -
      NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND -
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) -
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND -
      NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/RS_FSM_FFd3 AND fsb/ASrf)); -
-FTCPE_ram/RS_FSM_FFd2: FTCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_T,CLK_FSB,'0','0'); -
     ram/RS_FSM_FFd2_T <= ((nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND -
      NOT cnt/RefCnt(5) AND NOT fsb/ASrf) -
      OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND -
      NOT cnt/RefCnt(6) AND NOT fsb/ASrf) -
      OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND -
      NOT cnt/RefCnt(7) AND NOT fsb/ASrf) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT nAS_FSB AND -
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND -
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf) -
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND -
      NOT cs/nOverlay1 AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) -
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND -
      NOT cs/nOverlay1 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf) -
      OR (NOT cnt/RefDone AND nAS_FSB AND ram/RS_FSM_FFd2 AND -
      ram/RS_FSM_FFd1 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND -
      NOT fsb/ASrf) -
      OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3) -
      OR (cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) -
      OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND -
      NOT cnt/RefCnt(5) AND ram/BACTr) -
      OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND -
      NOT cnt/RefCnt(6) AND ram/BACTr) -
      OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND ram/BACTr AND -
      NOT cnt/RefCnt(7))); -
-FTCPE_ram/RS_FSM_FFd3: FTCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_T,CLK_FSB,'0','0'); -
     ram/RS_FSM_FFd3_T <= ((A_FSB(22) AND NOT A_FSB(21) AND NOT ram/RS_FSM_FFd2 AND -
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) -
      OR (A_FSB(22) AND cs/nOverlay1 AND NOT ram/RS_FSM_FFd2 AND -
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) -
      OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT ram/RS_FSM_FFd2 AND -
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) -
      OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/RS_FSM_FFd3 AND NOT fsb/ASrf) -
      OR (NOT cnt/RefDone AND NOT nAS_FSB AND ram/RS_FSM_FFd2 AND -
      ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND -
      cnt/RefCnt(7)) -
      OR (NOT cnt/RefDone AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND -
      ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND -
      fsb/ASrf) -
      OR (A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/RS_FSM_FFd3) -
      OR (ram/Once AND cnt/RefDone AND NOT ram/RS_FSM_FFd2 AND -
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) -
      OR (ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/RS_FSM_FFd3 AND NOT cnt/RefCnt(5)) -
      OR (ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/RS_FSM_FFd3 AND NOT cnt/RefCnt(6)) -
      OR (ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/RS_FSM_FFd3 AND NOT cnt/RefCnt(7))); -
-Register Legend: -
      FDCPE (Q,D,C,CLR,PRE,CE); -
      FTCPE (Q,D,C,CLR,PRE,CE); -
      LDCP (Q,D,G,CLR,PRE); -
-
-
- - -
- + +

Equations

+ + +
+
+********** Mapped Logic ********** +
+
+$OpTx$FX_DC$607 <= (nAS_FSB AND NOT fsb/ASrf); +
+
+$OpTx$FX_DC$608 <= ((NOT TimeoutB) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20))); +
+FDCPE_ALE0M: FDCPE port map (ALE0M,ALE0M_D,CLK2X_IOB,'0','0'); +
     ALE0M_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND +
      iobm/IOS_FSM_FFd1) +
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND +
      NOT iobm/IOS_FSM_FFd2 AND NOT iobm/IOREQr)); +
+FDCPE_ALE0S: FDCPE port map (ALE0S,ALE0S_D,CLK_FSB,'0','0'); +
     ALE0S_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); +
+FTCPE_BERR_IOBS: FTCPE port map (BERR_IOBS,BERR_IOBS_T,CLK_FSB,'0','0'); +
     BERR_IOBS_T <= ((BERR_IOBS AND nAS_FSB AND NOT fsb/ASrf) +
      OR (iobs/Once AND BERR_IOBS AND NOT iobs/PS_FSM_FFd2 AND +
      NOT iobs/IOACTr AND NOT IOBERR AND nADoutLE1) +
      OR (iobs/Once AND NOT BERR_IOBS AND NOT nAS_FSB AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND IOBERR AND nADoutLE1) +
      OR (iobs/Once AND NOT BERR_IOBS AND NOT iobs/PS_FSM_FFd2 AND +
      NOT iobs/IOACTr AND IOBERR AND fsb/ASrf AND nADoutLE1)); +
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+FDCPE_IOACT: FDCPE port map (IOACT,IOACT_D,CLK2X_IOB,'0','0'); +
     IOACT_D <= ((NOT iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd2 AND +
      iobm/IOS_FSM_FFd1 AND CLK_IOB AND iobm/RESrf AND iobm/RESrr) +
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND +
      iobm/IOS_FSM_FFd1) +
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND +
      NOT iobm/IOS_FSM_FFd2 AND NOT iobm/IOREQr) +
      OR (NOT iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd2 AND +
      iobm/IOS_FSM_FFd1 AND CLK_IOB AND iobm/ETACK) +
      OR (NOT iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd2 AND +
      iobm/IOS_FSM_FFd1 AND CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr) +
      OR (NOT iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd2 AND +
      iobm/IOS_FSM_FFd1 AND CLK_IOB AND iobm/BERRrf AND iobm/BERRrr)); +
+FTCPE_IOBERR: FTCPE port map (IOBERR,IOBERR_T,CLK2X_IOB,'0','0'); +
     IOBERR_T <= ((nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND +
      iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND iobm/IOS_FSM_FFd1 AND CLK_IOB AND +
      IOBERR AND iobm/RESrf AND iobm/RESrr) +
      OR (nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND +
      iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND iobm/IOS_FSM_FFd1 AND CLK_IOB AND +
      IOBERR AND iobm/ETACK) +
      OR (nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND +
      iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND iobm/IOS_FSM_FFd1 AND CLK_IOB AND +
      IOBERR AND iobm/DTACKrf AND iobm/DTACKrr) +
      OR (nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND +
      iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND iobm/IOS_FSM_FFd1 AND CLK_IOB AND +
      IOBERR AND iobm/BERRrf AND iobm/BERRrr) +
      OR (iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND +
      NOT iobm/IOS_FSM_FFd2 AND NOT iobm/IOS_FSM_FFd1 AND IOBERR) +
      OR (NOT nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND +
      iobm/IOS_FSM_FFd3 AND CLK_IOB AND NOT IOBERR AND iobm/ETACK) +
      OR (NOT nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND +
      iobm/IOS_FSM_FFd3 AND CLK_IOB AND NOT IOBERR AND iobm/DTACKrf AND iobm/DTACKrr) +
      OR (NOT nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND +
      iobm/IOS_FSM_FFd3 AND CLK_IOB AND NOT IOBERR AND iobm/BERRrf AND iobm/BERRrr) +
      OR (NOT nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND +
      iobm/IOS_FSM_FFd3 AND CLK_IOB AND NOT IOBERR AND iobm/RESrf AND iobm/RESrr)); +
+FDCPE_IOL0: FDCPE port map (IOL0,IOL0_D,CLK_FSB,'0','0',IOL0_CE); +
     IOL0_D <= ((NOT nLDS_FSB AND nADoutLE1) +
      OR (iobs/IOL1 AND NOT nADoutLE1)); +
     IOL0_CE <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); +
+FDCPE_IOREQ: FDCPE port map (IOREQ,IOREQ_D,CLK_FSB,'0','0'); +
     IOREQ_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND +
      NOT iobs/PS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND +
      NOT iobs/PS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND +
      NOT iobs/PS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND +
      NOT iobs/PS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB AND +
      NOT iobs/PS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND +
      NOT iobs/PS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND +
      NOT iobs/PS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21) AND +
      NOT iobs/PS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      cs/nOverlay1 AND NOT iobs/PS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1) +
      OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr) +
      OR (iobs/Once AND NOT iobs/PS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/PS_FSM_FFd2 AND +
      nADoutLE1) +
      OR (nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT fsb/ASrf AND +
      nADoutLE1)); +
+FTCPE_IORW0: FTCPE port map (IORW0,IORW0_T,CLK_FSB,'0','0'); +
     IORW0_T <= ((nROMCS_OBUF.EXP) +
      OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND +
      NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND +
      NOT iobs/PS_FSM_FFd1 AND nADoutLE1) +
      OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND +
      NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND +
      NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) +
      OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND +
      NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND +
      NOT iobs/PS_FSM_FFd1 AND nADoutLE1) +
      OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND +
      NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND +
      NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) +
      OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND +
      cs/nOverlay1 AND NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) +
      OR (A_FSB(23) AND NOT iobs/Once AND NOT IORW0 AND nWE_FSB AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) +
      OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND +
      IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND +
      NOT iobs/PS_FSM_FFd1 AND nADoutLE1) +
      OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND +
      IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND +
      fsb/ASrf AND nADoutLE1) +
      OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND +
      NOT IORW0 AND nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND +
      NOT iobs/PS_FSM_FFd1 AND nADoutLE1) +
      OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND +
      NOT IORW0 AND nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND +
      fsb/ASrf AND nADoutLE1) +
      OR (IORW0 AND NOT iobs/IORW1 AND NOT iobs/PS_FSM_FFd2 AND +
      NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1) +
      OR (NOT IORW0 AND iobs/IORW1 AND NOT iobs/PS_FSM_FFd2 AND +
      NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1) +
      OR (A_FSB(23) AND NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND +
      NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) +
      OR (A_FSB(23) AND NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) +
      OR (A_FSB(23) AND NOT iobs/Once AND NOT IORW0 AND nWE_FSB AND +
      NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)); +
+FDCPE_IOU0: FDCPE port map (IOU0,IOU0_D,CLK_FSB,'0','0',IOU0_CE); +
     IOU0_D <= ((NOT nUDS_FSB AND nADoutLE1) +
      OR (iobs/IOU1 AND NOT nADoutLE1)); +
     IOU0_CE <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); +
+
+RA(0) <= ((A_FSB(10) AND NOT ram/RASEL) +
      OR (ram/RASEL AND A_FSB(1))); +
+
+RA(1) <= ((A_FSB(11) AND NOT ram/RASEL) +
      OR (ram/RASEL AND A_FSB(2))); +
+
+RA(2) <= ((ram/RASEL AND A_FSB(3)) +
      OR (A_FSB(12) AND NOT ram/RASEL)); +
+
+RA(3) <= ((A_FSB(13) AND NOT ram/RASEL) +
      OR (ram/RASEL AND A_FSB(4))); +
+
+RA(4) <= ((A_FSB(14) AND NOT ram/RASEL) +
      OR (ram/RASEL AND A_FSB(5))); +
+
+RA(5) <= ((A_FSB(15) AND NOT ram/RASEL) +
      OR (ram/RASEL AND A_FSB(6))); +
+
+RA(6) <= ((A_FSB(16) AND NOT ram/RASEL) +
      OR (ram/RASEL AND A_FSB(7))); +
+
+RA(7) <= ((A_FSB(8) AND ram/RASEL) +
      OR (A_FSB(17) AND NOT ram/RASEL)); +
+
+RA(8) <= ((A_FSB(9) AND ram/RASEL) +
      OR (A_FSB(18) AND NOT ram/RASEL)); +
+
+RA(9) <= ((A_FSB(20) AND ram/RASEL) +
      OR (A_FSB(19) AND NOT ram/RASEL)); +
+
+RA(10) <= A_FSB(21); +
+
+RA(11) <= A_FSB(19); +
+FDCPE_RefAck: FDCPE port map (RefAck,RefAck_D,CLK_FSB,'0','0'); +
     RefAck_D <= (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1); +
+FTCPE_TimeoutA: FTCPE port map (TimeoutA,TimeoutA_T,CLK_FSB,'0','0'); +
     TimeoutA_T <= ((TimeoutA AND nAS_FSB AND NOT fsb/ASrf) +
      OR (NOT TimeoutA AND NOT nAS_FSB AND NOT cnt/RefCnt(0) AND +
      NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND +
      NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4)) +
      OR (NOT TimeoutA AND NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND +
      NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND +
      NOT cnt/RefCnt(4) AND fsb/ASrf)); +
+FTCPE_TimeoutB: FTCPE port map (TimeoutB,TimeoutB_T,CLK_FSB,'0','0'); +
     TimeoutB_T <= ((TimeoutB AND nAS_FSB AND NOT fsb/ASrf) +
      OR (NOT TimeoutB AND cnt/TimeoutBPre AND NOT nAS_FSB AND +
      NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND +
      NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7)) +
      OR (NOT TimeoutB AND cnt/TimeoutBPre AND NOT cnt/RefCnt(0) AND +
      NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND +
      NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7) AND fsb/ASrf)); +
+FTCPE_cnt/RefCnt0: FTCPE port map (cnt/RefCnt(0),'1',CLK_FSB,'0','0'); +
+FTCPE_cnt/RefCnt1: FTCPE port map (cnt/RefCnt(1),cnt/RefCnt(0),CLK_FSB,'0','0'); +
+FTCPE_cnt/RefCnt2: FTCPE port map (cnt/RefCnt(2),cnt/RefCnt_T(2),CLK_FSB,'0','0'); +
     cnt/RefCnt_T(2) <= (cnt/RefCnt(0) AND cnt/RefCnt(1)); +
+FTCPE_cnt/RefCnt3: FTCPE port map (cnt/RefCnt(3),cnt/RefCnt_T(3),CLK_FSB,'0','0'); +
     cnt/RefCnt_T(3) <= (cnt/RefCnt(0) AND cnt/RefCnt(1) AND cnt/RefCnt(2)); +
+FTCPE_cnt/RefCnt4: FTCPE port map (cnt/RefCnt(4),cnt/RefCnt_T(4),CLK_FSB,'0','0'); +
     cnt/RefCnt_T(4) <= (cnt/RefCnt(0) AND cnt/RefCnt(1) AND cnt/RefCnt(2) AND +
      cnt/RefCnt(3)); +
+FTCPE_cnt/RefCnt5: FTCPE port map (cnt/RefCnt(5),cnt/RefCnt_T(5),CLK_FSB,'0','0'); +
     cnt/RefCnt_T(5) <= (cnt/RefCnt(0) AND cnt/RefCnt(1) AND cnt/RefCnt(2) AND +
      cnt/RefCnt(3) AND cnt/RefCnt(4)); +
+FTCPE_cnt/RefCnt6: FTCPE port map (cnt/RefCnt(6),cnt/RefCnt_T(6),CLK_FSB,'0','0'); +
     cnt/RefCnt_T(6) <= (cnt/RefCnt(0) AND cnt/RefCnt(5) AND cnt/RefCnt(1) AND +
      cnt/RefCnt(2) AND cnt/RefCnt(3) AND cnt/RefCnt(4)); +
+FTCPE_cnt/RefCnt7: FTCPE port map (cnt/RefCnt(7),cnt/RefCnt_T(7),CLK_FSB,'0','0'); +
     cnt/RefCnt_T(7) <= (cnt/RefCnt(0) AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND +
      cnt/RefCnt(1) AND cnt/RefCnt(2) AND cnt/RefCnt(3) AND cnt/RefCnt(4)); +
+FDCPE_cnt/RefDone: FDCPE port map (cnt/RefDone,cnt/RefDone_D,CLK_FSB,'0','0'); +
     cnt/RefDone_D <= ((NOT cnt/RefDone AND NOT RefAck) +
      OR (NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND +
      NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND +
      NOT cnt/RefCnt(7))); +
+FTCPE_cnt/TimeoutBPre: FTCPE port map (cnt/TimeoutBPre,cnt/TimeoutBPre_T,CLK_FSB,'0','0'); +
     cnt/TimeoutBPre_T <= ((cnt/TimeoutBPre AND nAS_FSB AND NOT fsb/ASrf) +
      OR (NOT cnt/TimeoutBPre AND NOT nAS_FSB AND NOT cnt/RefCnt(0) AND +
      NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND +
      NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7)) +
      OR (NOT cnt/TimeoutBPre AND NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND +
      NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND +
      NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7) AND fsb/ASrf)); +
+FTCPE_cs/nOverlay0: FTCPE port map (cs/nOverlay0,cs/nOverlay0_T,CLK_FSB,NOT nRES,'0'); +
     cs/nOverlay0_T <= ((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND +
      NOT cs/nOverlay0 AND NOT nAS_FSB) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND +
      NOT cs/nOverlay0 AND fsb/ASrf)); +
+FDCPE_cs/nOverlay1: FDCPE port map (cs/nOverlay1,cs/nOverlay0,CLK_FSB,'0','0',cs/nOverlay1_CE); +
     cs/nOverlay1_CE <= (nAS_FSB AND NOT fsb/ASrf); +
+FDCPE_fsb/ASrf: FDCPE port map (fsb/ASrf,NOT nAS_FSB,NOT CLK_FSB,'0','0'); +
+FDCPE_fsb/BERR0r: FDCPE port map (fsb/BERR0r,fsb/BERR0r_D,CLK_FSB,'0','0'); +
     fsb/BERR0r_D <= ((NOT TimeoutB AND NOT fsb/BERR0r) +
      OR (nAS_FSB AND NOT fsb/ASrf) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND +
      NOT fsb/BERR0r)); +
+FDCPE_fsb/BERR1r: FDCPE port map (fsb/BERR1r,fsb/BERR1r_D,CLK_FSB,'0','0'); +
     fsb/BERR1r_D <= ((NOT BERR_IOBS AND NOT fsb/BERR1r) +
      OR (nAS_FSB AND NOT fsb/ASrf)); +
+FDCPE_fsb/Ready0r: FDCPE port map (fsb/Ready0r,fsb/Ready0r_D,CLK_FSB,'0','0'); +
     fsb/Ready0r_D <= ((nAS_FSB AND NOT fsb/ASrf) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND +
      NOT fsb/Ready0r AND NOT ram/RAMReady) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      NOT cs/nOverlay1 AND NOT fsb/Ready0r AND NOT ram/RAMReady)); +
+FDCPE_fsb/Ready1r: FDCPE port map (fsb/Ready1r,fsb/Ready1r_D,CLK_FSB,'0','0'); +
     fsb/Ready1r_D <= ((A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND +
      cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND +
      NOT nADoutLE1) +
      OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND +
      cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND +
      NOT nADoutLE1) +
      OR (nAS_FSB AND NOT fsb/ASrf) +
      OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady) +
      OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND +
      NOT iobs/IOReady) +
      OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND +
      NOT fsb/Ready1r AND NOT iobs/IOReady) +
      OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND +
      NOT fsb/Ready1r AND NOT iobs/IOReady)); +
+FDCPE_fsb/Ready2r: FDCPE port map (fsb/Ready2r,fsb/Ready2r_D,CLK_FSB,'0','0'); +
     fsb/Ready2r_D <= ((nAS_FSB AND NOT fsb/ASrf) +
      OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND +
      A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) +
      OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND +
      A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) +
      OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND +
      NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) +
      OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND +
      NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) +
      OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND +
      A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) +
      OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND +
      A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) +
      OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND +
      NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) +
      OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND +
      NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)); +
+FDCPE_fsb/VPA: FDCPE port map (fsb/VPA,fsb/VPA_D,CLK_FSB,'0','0'); +
     fsb/VPA_D <= ((EXP25_.EXP) +
      OR (NOT A_FSB(22) AND TimeoutB AND fsb/VPA AND +
      NOT $OpTx$FX_DC$607) +
      OR (A_FSB(21) AND TimeoutB AND fsb/VPA AND +
      NOT $OpTx$FX_DC$607) +
      OR (A_FSB(23) AND NOT fsb/Ready1r AND fsb/VPA AND +
      NOT iobs/IOReady AND NOT $OpTx$FX_DC$607) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND +
      NOT fsb/Ready0r AND fsb/VPA AND NOT ram/RAMReady AND NOT $OpTx$FX_DC$607) +
      OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND +
      fsb/VPA AND NOT iobs/IOReady AND NOT $OpTx$FX_DC$607) +
      OR (EXP16_.EXP) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      NOT cs/nOverlay1 AND NOT fsb/Ready0r AND fsb/VPA AND NOT ram/RAMReady AND +
      NOT $OpTx$FX_DC$607) +
      OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND +
      NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND NOT $OpTx$FX_DC$607) +
      OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND +
      NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND NOT $OpTx$FX_DC$607) +
      OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND +
      cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND +
      NOT nADoutLE1 AND NOT $OpTx$FX_DC$607) +
      OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND +
      cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND +
      NOT nADoutLE1 AND NOT $OpTx$FX_DC$607) +
      OR (BERR_IOBS AND fsb/VPA AND NOT $OpTx$FX_DC$607) +
      OR (fsb/BERR0r AND fsb/VPA AND NOT $OpTx$FX_DC$607) +
      OR (fsb/BERR1r AND fsb/VPA AND NOT $OpTx$FX_DC$607) +
      OR (A_FSB(23) AND TimeoutB AND fsb/VPA AND +
      NOT $OpTx$FX_DC$607) +
      OR (NOT A_FSB(20) AND TimeoutB AND fsb/VPA AND +
      NOT $OpTx$FX_DC$607)); +
+FDCPE_iobm/BERRrf: FDCPE port map (iobm/BERRrf,NOT nBERR_IOB,NOT CLK2X_IOB,'0','0'); +
+FDCPE_iobm/BERRrr: FDCPE port map (iobm/BERRrr,NOT nBERR_IOB,CLK2X_IOB,'0','0'); +
+FDCPE_iobm/DTACKrf: FDCPE port map (iobm/DTACKrf,NOT nDTACK_IOB,NOT CLK2X_IOB,'0','0'); +
+FDCPE_iobm/DTACKrr: FDCPE port map (iobm/DTACKrr,NOT nDTACK_IOB,CLK2X_IOB,'0','0'); +
+FTCPE_iobm/ES0: FTCPE port map (iobm/ES(0),iobm/ES_T(0),CLK2X_IOB,'0','0'); +
     iobm/ES_T(0) <= ((iobm/ES(0) AND NOT iobm/Er AND iobm/Er2) +
      OR (NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND +
      NOT iobm/ES(3) AND NOT iobm/ES(4) AND iobm/Er) +
      OR (NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND +
      NOT iobm/ES(3) AND NOT iobm/ES(4) AND NOT iobm/Er2)); +
+FDCPE_iobm/ES1: FDCPE port map (iobm/ES(1),iobm/ES_D(1),CLK2X_IOB,'0','0'); +
     iobm/ES_D(1) <= ((iobm/ES(0) AND iobm/ES(1)) +
      OR (NOT iobm/ES(0) AND NOT iobm/ES(1)) +
      OR (NOT iobm/Er AND iobm/Er2)); +
+FDCPE_iobm/ES2: FDCPE port map (iobm/ES(2),iobm/ES_D(2),CLK2X_IOB,'0','0'); +
     iobm/ES_D(2) <= ((NOT iobm/ES(0) AND NOT iobm/ES(2)) +
      OR (NOT iobm/ES(1) AND NOT iobm/ES(2)) +
      OR (NOT iobm/Er AND iobm/Er2) +
      OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2)) +
      OR (NOT iobm/ES(2) AND NOT iobm/ES(3) AND iobm/ES(4))); +
+FTCPE_iobm/ES3: FTCPE port map (iobm/ES(3),iobm/ES_T(3),CLK2X_IOB,'0','0'); +
     iobm/ES_T(3) <= ((iobm/ES(3) AND NOT iobm/Er AND iobm/Er2) +
      OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND iobm/Er) +
      OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND NOT iobm/Er2)); +
+FTCPE_iobm/ES4: FTCPE port map (iobm/ES(4),iobm/ES_T(4),CLK2X_IOB,'0','0'); +
     iobm/ES_T(4) <= ((iobm/ES(4) AND NOT iobm/Er AND iobm/Er2) +
      OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND +
      iobm/ES(3) AND iobm/Er) +
      OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND +
      iobm/ES(3) AND NOT iobm/Er2) +
      OR (iobm/ES(0) AND iobm/ES(1) AND NOT iobm/ES(2) AND +
      NOT iobm/ES(3) AND iobm/ES(4))); +
+FDCPE_iobm/ETACK: FDCPE port map (iobm/ETACK,iobm/ETACK_D,CLK2X_IOB,'0','0'); +
     iobm/ETACK_D <= (NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND +
      NOT iobm/ES(3) AND iobm/ES(4)); +
+FDCPE_iobm/Er: FDCPE port map (iobm/Er,E_IOB,NOT CLK_IOB,'0','0'); +
+FDCPE_iobm/Er2: FDCPE port map (iobm/Er2,iobm/Er,CLK2X_IOB,'0','0'); +
+FDCPE_iobm/IOREQr: FDCPE port map (iobm/IOREQr,IOREQ,NOT CLK2X_IOB,'0','0'); +
+FDCPE_iobm/IOS_FSM_FFd1: FDCPE port map (iobm/IOS_FSM_FFd1,iobm/IOS_FSM_FFd1_D,CLK2X_IOB,'0','0'); +
     iobm/IOS_FSM_FFd1_D <= ((iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd2 AND +
      NOT iobm/IOS_FSM_FFd1) +
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND +
      NOT iobm/IOS_FSM_FFd2)); +
+FDCPE_iobm/IOS_FSM_FFd2: FDCPE port map (iobm/IOS_FSM_FFd2,iobm/IOS_FSM_FFd2_D,CLK2X_IOB,'0','0'); +
     iobm/IOS_FSM_FFd2_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND +
      iobm/IOS_FSM_FFd1) +
      OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd2 AND +
      NOT iobm/IOS_FSM_FFd1)); +
+FDCPE_iobm/IOS_FSM_FFd3: FDCPE port map (iobm/IOS_FSM_FFd3,iobm/IOS_FSM_FFd3_D,CLK2X_IOB,'0','0'); +
     iobm/IOS_FSM_FFd3_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3) +
      OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/ETACK) +
      OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/DTACKrf AND +
      iobm/DTACKrr) +
      OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/BERRrf AND +
      iobm/BERRrr) +
      OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/RESrf AND +
      iobm/RESrr)); +
+FDCPE_iobm/IOS_FSM_FFd4: FDCPE port map (iobm/IOS_FSM_FFd4,iobm/IOS_FSM_FFd4_D,CLK2X_IOB,'0','0'); +
     iobm/IOS_FSM_FFd4_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND +
      iobm/IOS_FSM_FFd1) +
      OR (iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND +
      iobm/IOS_FSM_FFd1) +
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND +
      NOT iobm/IOS_FSM_FFd2 AND CLK_IOB) +
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND +
      NOT iobm/IOS_FSM_FFd2 AND NOT iobm/IOREQr)); +
+FDCPE_iobm/RESrf: FDCPE port map (iobm/RESrf,NOT nRES,NOT CLK2X_IOB,'0','0'); +
+FDCPE_iobm/RESrr: FDCPE port map (iobm/RESrr,NOT nRES,CLK2X_IOB,'0','0'); +
+FDCPE_iobm/VPArf: FDCPE port map (iobm/VPArf,NOT nVPA_IOB,NOT CLK2X_IOB,'0','0'); +
+FDCPE_iobm/VPArr: FDCPE port map (iobm/VPArr,NOT nVPA_IOB,CLK2X_IOB,'0','0'); +
+FDCPE_iobs/Clear1: FDCPE port map (iobs/Clear1,iobs/Clear1_D,CLK_FSB,'0','0'); +
     iobs/Clear1_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1); +
+FDCPE_iobs/IOACTr: FDCPE port map (iobs/IOACTr,IOACT,CLK_FSB,'0','0'); +
+FDCPE_iobs/IOL1: FDCPE port map (iobs/IOL1,NOT nLDS_FSB,CLK_FSB,'0','0',iobs/Load1); +
+FTCPE_iobs/IORW1: FTCPE port map (iobs/IORW1,iobs/IORW1_T,CLK_FSB,'0','0'); +
     iobs/IORW1_T <= ((iobs/Once) +
      OR (NOT nADoutLE1) +
      OR (NOT nWE_FSB AND NOT iobs/IORW1) +
      OR (nAS_FSB AND NOT fsb/ASrf) +
      OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21)) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1) +
      OR (fsb/Ready2r.EXP) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19)) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18)) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17)) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16)) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      cs/nOverlay1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(20)) +
      OR (nWE_FSB AND iobs/IORW1) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT iobs/IORW1)); +
+FTCPE_iobs/IOReady: FTCPE port map (iobs/IOReady,iobs/IOReady_T,CLK_FSB,'0','0'); +
     iobs/IOReady_T <= ((iobs/IOReady AND nAS_FSB AND NOT fsb/ASrf) +
      OR (iobs/Once AND iobs/IOReady AND NOT iobs/PS_FSM_FFd2 AND +
      NOT iobs/IOACTr AND IOBERR AND nADoutLE1) +
      OR (iobs/Once AND NOT iobs/IOReady AND NOT nAS_FSB AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND NOT IOBERR AND nADoutLE1) +
      OR (iobs/Once AND NOT iobs/IOReady AND NOT iobs/PS_FSM_FFd2 AND +
      NOT iobs/IOACTr AND NOT IOBERR AND fsb/ASrf AND nADoutLE1)); +
+FDCPE_iobs/IOU1: FDCPE port map (iobs/IOU1,NOT nUDS_FSB,CLK_FSB,'0','0',iobs/Load1); +
+FDCPE_iobs/Load1: FDCPE port map (iobs/Load1,iobs/Load1_D,CLK_FSB,'0','0'); +
     iobs/Load1_D <= ((iobs/Once) +
      OR (NOT nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21)) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19)) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18)) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17)) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16)) +
      OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21)) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      cs/nOverlay1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(20)) +
      OR (nAS_FSB AND NOT fsb/ASrf) +
      OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)); +
+FDCPE_iobs/Once: FDCPE port map (iobs/Once,iobs/Once_D,CLK_FSB,'0','0'); +
     iobs/Once_D <= ((nOE_OBUF.EXP) +
      OR (A_FSB(23) AND NOT iobs/Once AND iobs/PS_FSM_FFd1) +
      OR (NOT iobs/Once AND iobs/PS_FSM_FFd2 AND NOT nADoutLE1) +
      OR (NOT iobs/Once AND iobs/PS_FSM_FFd1 AND NOT nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND +
      NOT iobs/Once) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND NOT iobs/Once) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND NOT iobs/Once) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND NOT iobs/Once) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND NOT iobs/Once) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT iobs/Once AND nWE_FSB) +
      OR (nAS_FSB AND NOT fsb/ASrf) +
      OR (A_FSB(23) AND NOT iobs/Once AND iobs/PS_FSM_FFd2) +
      OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/Once) +
      OR (A_FSB(22) AND NOT iobs/Once AND iobs/PS_FSM_FFd2) +
      OR (A_FSB(22) AND NOT iobs/Once AND iobs/PS_FSM_FFd1)); +
+FDCPE_iobs/PS_FSM_FFd1: FDCPE port map (iobs/PS_FSM_FFd1,iobs/PS_FSM_FFd1_D,CLK_FSB,'0','0'); +
     iobs/PS_FSM_FFd1_D <= ((iobs/PS_FSM_FFd2) +
      OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr)); +
+FDCPE_iobs/PS_FSM_FFd2: FDCPE port map (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_D,CLK_FSB,'0','0'); +
     iobs/PS_FSM_FFd2_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) +
      OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21) AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      cs/nOverlay1 AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) +
      OR (iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1 AND +
      iobs/IOACTr) +
      OR (NOT iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1 AND +
      NOT iobs/IOACTr) +
      OR (iobs/Once AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND +
      nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/PS_FSM_FFd2 AND +
      NOT iobs/PS_FSM_FFd1 AND nADoutLE1) +
      OR (nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND +
      NOT fsb/ASrf AND nADoutLE1)); +
+
+nADoutLE0 <= (NOT ALE0M AND NOT ALE0S); +
+FDCPE_nADoutLE1: FDCPE port map (nADoutLE1,nADoutLE1_D,CLK_FSB,'0','0'); +
     nADoutLE1_D <= ((iobs/Load1) +
      OR (NOT iobs/Clear1 AND NOT nADoutLE1)); +
+FDCPE_nAS_IOB: FDCPE port map (nAS_IOB,nAS_IOB_D,NOT CLK2X_IOB,'0','0'); +
     nAS_IOB_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND +
      NOT iobm/IOS_FSM_FFd2) +
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND +
      iobm/IOS_FSM_FFd1)); +
+
+nAoutOE <= '0'; +
+
+nBERR_FSB <= ((nAS_FSB) +
      OR (NOT BERR_IOBS AND NOT TimeoutB AND NOT fsb/BERR0r AND NOT fsb/BERR1r) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND +
      NOT BERR_IOBS AND NOT fsb/BERR0r AND NOT fsb/BERR1r)); +
+FDCPE_nCAS: FDCPE port map (nCAS,NOT ram/RASEL,NOT CLK_FSB,'0','0'); +
+FDCPE_nDTACK_FSB: FDCPE port map (nDTACK_FSB,nDTACK_FSB_D,CLK_FSB,'0','0'); +
     nDTACK_FSB_D <= ((EXP18_.EXP) +
      OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady AND +
      nDTACK_FSB) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND +
      NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady) +
      OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND +
      NOT iobs/IOReady AND nDTACK_FSB) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      NOT cs/nOverlay1 AND NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady) +
      OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND +
      NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB) +
      OR (EXP21_.EXP) +
      OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND +
      NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB) +
      OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND +
      cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND +
      nDTACK_FSB AND NOT nADoutLE1) +
      OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND +
      cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND +
      nDTACK_FSB AND NOT nADoutLE1) +
      OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND +
      A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r AND +
      nDTACK_FSB) +
      OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND +
      A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND +
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND +
      A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r AND +
      nDTACK_FSB) +
      OR (BERR_IOBS AND nDTACK_FSB) +
      OR (fsb/BERR0r AND nDTACK_FSB) +
      OR (fsb/BERR1r AND nDTACK_FSB) +
      OR (nAS_FSB AND NOT fsb/ASrf) +
      OR (nDTACK_FSB AND NOT $OpTx$FX_DC$608)); +
+FDCPE_nDinLE: FDCPE port map (nDinLE,nDinLE_D,NOT CLK2X_IOB,'0','0'); +
     nDinLE_D <= ((iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd1) +
      OR (NOT iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd3)); +
+
+nDinOE <= ((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB) +
      OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND nWE_FSB AND +
      NOT nAS_FSB)); +
+FDCPE_nDoutOE: FDCPE port map (nDoutOE,nDoutOE_D,CLK2X_IOB,'0','0'); +
     nDoutOE_D <= ((NOT IORW0) +
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND +
      NOT iobm/IOS_FSM_FFd2)); +
+FDCPE_nLDS_IOB: FDCPE port map (nLDS_IOB,nLDS_IOB_D,NOT CLK2X_IOB,'0','0'); +
     nLDS_IOB_D <= ((NOT IOL0) +
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND +
      NOT iobm/IOS_FSM_FFd2) +
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND +
      iobm/IOS_FSM_FFd1) +
      OR (IORW0 AND iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd2 AND +
      NOT iobm/IOS_FSM_FFd1)); +
+
+nOE <= NOT ((nWE_FSB AND NOT nAS_FSB)); +
+
+nRAMLWE <= NOT ((NOT nWE_FSB AND NOT nLDS_FSB AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND +
      NOT ram/RAMDIS1)); +
+
+nRAMUWE <= NOT ((NOT nWE_FSB AND NOT nUDS_FSB AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND +
      NOT ram/RAMDIS1)); +
+
+nRAS <= NOT (((RefAck) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND +
      NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RAMDIS1) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      NOT cs/nOverlay1 AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RAMDIS1))); +
+
+nROMCS <= NOT (((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20)) +
      OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND +
      NOT cs/nOverlay1))); +
+
+nROMWE <= NOT ((NOT nWE_FSB AND NOT nAS_FSB)); +
+FDCPE_nUDS_IOB: FDCPE port map (nUDS_IOB,nUDS_IOB_D,NOT CLK2X_IOB,'0','0'); +
     nUDS_IOB_D <= ((NOT IOU0) +
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND +
      NOT iobm/IOS_FSM_FFd2) +
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND +
      iobm/IOS_FSM_FFd1) +
      OR (IORW0 AND iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd2 AND +
      NOT iobm/IOS_FSM_FFd1)); +
+FTCPE_nVMA_IOB: FTCPE port map (nVMA_IOB,nVMA_IOB_T,CLK2X_IOB,'0','0'); +
     nVMA_IOB_T <= ((NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND +
      NOT iobm/ES(3) AND NOT iobm/ES(4)) +
      OR (nVMA_IOB AND iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND +
      NOT iobm/ES(3) AND NOT iobm/ES(4) AND IOACT AND iobm/VPArf AND iobm/VPArr)); +
+
+nVPA_FSB <= NOT ((fsb/VPA AND NOT nAS_FSB)); +
+FDCPE_ram/BACTr: FDCPE port map (ram/BACTr,ram/BACTr_D,CLK_FSB,'0','0'); +
     ram/BACTr_D <= (nAS_FSB AND NOT fsb/ASrf); +
+FTCPE_ram/Once: FTCPE port map (ram/Once,ram/Once_T,CLK_FSB,'0','0'); +
     ram/Once_T <= ((ram/Once AND nAS_FSB AND NOT fsb/ASrf) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND +
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND +
      NOT ram/RS_FSM_FFd3) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND +
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND +
      NOT ram/RS_FSM_FFd3 AND fsb/ASrf)); +
+FDCPE_ram/RAMDIS1: FDCPE port map (ram/RAMDIS1,ram/RAMDIS1_D,CLK_FSB,'0','0'); +
     ram/RAMDIS1_D <= ((ram/RAMDIS2.EXP) +
      OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) +
      OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) +
      OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND +
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) +
      OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) +
      OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND +
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) +
      OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND +
      cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) +
      OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) +
      OR (ram/Once AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND +
      cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) +
      OR (NOT cnt/RefDone AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3 AND +
      cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) +
      OR (NOT cnt/RefDone AND nAS_FSB AND NOT ram/RS_FSM_FFd1 AND +
      cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND NOT fsb/ASrf) +
      OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1) +
      OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3) +
      OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND +
      NOT ram/RS_FSM_FFd3) +
      OR (A_FSB(23) AND NOT cnt/RefDone AND NOT nAS_FSB AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) +
      OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND +
      NOT ram/BACTr AND fsb/ASrf)); +
+FTCPE_ram/RAMDIS2: FTCPE port map (ram/RAMDIS2,ram/RAMDIS2_T,CLK_FSB,'0','0'); +
     ram/RAMDIS2_T <= ((ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND +
      ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND +
      cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND fsb/ASrf) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND ram/Once AND +
      NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND +
      cnt/RefCnt(7)) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND ram/Once AND +
      NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND +
      NOT ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND +
      fsb/ASrf) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      NOT cs/nOverlay1 AND ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND +
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND +
      cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      NOT cs/nOverlay1 AND ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND +
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND +
      cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND fsb/ASrf) +
      OR (ram/RAMDIS2 AND nAS_FSB AND NOT fsb/ASrf) +
      OR (ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND +
      ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND +
      cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7))); +
+FDCPE_ram/RAMReady: FDCPE port map (ram/RAMReady,ram/RAMReady_D,CLK_FSB,'0','0'); +
     ram/RAMReady_D <= ((ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3) +
      OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1) +
      OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3) +
      OR (A_FSB(23) AND NOT cnt/RefDone AND NOT nAS_FSB AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) +
      OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND +
      NOT ram/BACTr AND fsb/ASrf) +
      OR (ram/RS_FSM_FFd2.EXP) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND +
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND +
      NOT ram/RS_FSM_FFd1 AND fsb/ASrf) +
      OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) +
      OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf) +
      OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND +
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) +
      OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND +
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) +
      OR (NOT A_FSB(21) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND +
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) +
      OR (NOT A_FSB(21) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd1)); +
+FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,CLK_FSB,'0','0'); +
     ram/RASEL_D <= ((A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND +
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) +
      OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND +
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) +
      OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND +
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) +
      OR (NOT cnt/RefDone AND nAS_FSB AND NOT ram/RS_FSM_FFd2 AND +
      NOT ram/RS_FSM_FFd1 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND +
      NOT fsb/ASrf) +
      OR (NOT cnt/RefDone AND nAS_FSB AND ram/RS_FSM_FFd1 AND +
      ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND +
      NOT fsb/ASrf) +
      OR (EXP38_.EXP) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND +
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND +
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf) +
      OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND +
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) +
      OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND +
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) +
      OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND +
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) +
      OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) +
      OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND +
      NOT ram/RS_FSM_FFd3) +
      OR (A_FSB(23) AND NOT cnt/RefDone AND NOT nAS_FSB AND +
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) +
      OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd2 AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) +
      OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd2 AND +
      NOT ram/RS_FSM_FFd1 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7))); +
+FTCPE_ram/RS_FSM_FFd1: FTCPE port map (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_T,CLK_FSB,'0','0'); +
     ram/RS_FSM_FFd1_T <= ((ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND +
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND +
      NOT ram/RS_FSM_FFd3) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND +
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND +
      NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND +
      NOT ram/RS_FSM_FFd3 AND fsb/ASrf)); +
+FTCPE_ram/RS_FSM_FFd2: FTCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_T,CLK_FSB,'0','0'); +
     ram/RS_FSM_FFd2_T <= ((ram/RS_FSM_FFd3.EXP) +
      OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND +
      NOT cnt/RefCnt(5) AND ram/BACTr) +
      OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND +
      NOT cnt/RefCnt(5) AND NOT fsb/ASrf) +
      OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND +
      NOT cnt/RefCnt(6) AND NOT fsb/ASrf) +
      OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND +
      NOT cnt/RefCnt(7) AND NOT fsb/ASrf) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT nAS_FSB AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) +
      OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3) +
      OR (cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) +
      OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND +
      NOT cnt/RefCnt(6) AND ram/BACTr) +
      OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND ram/BACTr AND +
      NOT cnt/RefCnt(7))); +
+FTCPE_ram/RS_FSM_FFd3: FTCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_T,CLK_FSB,'0','0'); +
     ram/RS_FSM_FFd3_T <= ((TimeoutA.EXP) +
      OR (ram/Once AND cnt/RefDone AND NOT ram/RS_FSM_FFd2 AND +
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) +
      OR (ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND +
      NOT ram/RS_FSM_FFd3 AND NOT cnt/RefCnt(5)) +
      OR (ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND +
      NOT ram/RS_FSM_FFd3 AND NOT cnt/RefCnt(6)) +
      OR (ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND +
      NOT ram/RS_FSM_FFd3 AND NOT cnt/RefCnt(7)) +
      OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND +
      NOT ram/RS_FSM_FFd3 AND NOT fsb/ASrf) +
      OR (A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND +
      NOT ram/RS_FSM_FFd3)); +
+Register Legend: +
      FDCPE (Q,D,C,CLR,PRE,CE); +
      FTCPE (Q,D,C,CLR,PRE,CE); +
      LDCP (Q,D,G,CLR,PRE); +
+
+
+ + +
+ diff --git a/cpld/XC95144/MXSE_html/fit/education.gif b/cpld/XC95144XL/MXSE_html/fit/education.gif similarity index 100% rename from cpld/XC95144/MXSE_html/fit/education.gif rename to cpld/XC95144XL/MXSE_html/fit/education.gif diff --git a/cpld/XC95144/MXSE_html/fit/endmkt.gif b/cpld/XC95144XL/MXSE_html/fit/endmkt.gif similarity index 100% rename from cpld/XC95144/MXSE_html/fit/endmkt.gif rename to cpld/XC95144XL/MXSE_html/fit/endmkt.gif diff --git a/cpld/XC95144XL/MXSE_html/fit/eqns 2.htm b/cpld/XC95144XL/MXSE_html/fit/eqns 2.htm new file mode 100644 index 0000000..1a9486d --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/eqns 2.htm @@ -0,0 +1,1791 @@ + + + + diff --git a/cpld/XC95144XL/MXSE_html/fit/eqns.htm b/cpld/XC95144XL/MXSE_html/fit/eqns.htm new file mode 100644 index 0000000..7894069 --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/eqns.htm @@ -0,0 +1,1733 @@ + + + + diff --git a/cpld/XC95144/MXSE_html/fit/eqns.js b/cpld/XC95144XL/MXSE_html/fit/eqns.js similarity index 100% rename from cpld/XC95144/MXSE_html/fit/eqns.js rename to cpld/XC95144XL/MXSE_html/fit/eqns.js diff --git a/cpld/XC95144/MXSE_html/fit/equations.gif b/cpld/XC95144XL/MXSE_html/fit/equations.gif similarity index 100% rename from cpld/XC95144/MXSE_html/fit/equations.gif rename to cpld/XC95144XL/MXSE_html/fit/equations.gif diff --git a/cpld/XC95144/MXSE_html/fit/equations.htm b/cpld/XC95144XL/MXSE_html/fit/equations.htm similarity index 100% rename from cpld/XC95144/MXSE_html/fit/equations.htm rename to cpld/XC95144XL/MXSE_html/fit/equations.htm diff --git a/cpld/XC95144/MXSE_html/fit/equationsdoc.htm b/cpld/XC95144XL/MXSE_html/fit/equationsdoc.htm similarity index 100% rename from cpld/XC95144/MXSE_html/fit/equationsdoc.htm rename to cpld/XC95144XL/MXSE_html/fit/equationsdoc.htm diff --git a/cpld/XC95144/MXSE_html/fit/errors.js b/cpld/XC95144XL/MXSE_html/fit/errors.js similarity index 100% rename from cpld/XC95144/MXSE_html/fit/errors.js rename to cpld/XC95144XL/MXSE_html/fit/errors.js diff --git a/cpld/XC95144/MXSE_html/fit/errors1.gif b/cpld/XC95144XL/MXSE_html/fit/errors1.gif similarity index 100% rename from cpld/XC95144/MXSE_html/fit/errors1.gif rename to cpld/XC95144XL/MXSE_html/fit/errors1.gif diff --git a/cpld/XC95144/MXSE_html/fit/errors2.gif b/cpld/XC95144XL/MXSE_html/fit/errors2.gif similarity index 100% rename from cpld/XC95144/MXSE_html/fit/errors2.gif rename to cpld/XC95144XL/MXSE_html/fit/errors2.gif diff --git a/cpld/XC95144/MXSE_html/fit/errorsdoc.htm b/cpld/XC95144XL/MXSE_html/fit/errorsdoc.htm similarity index 100% rename from cpld/XC95144/MXSE_html/fit/errorsdoc.htm rename to cpld/XC95144XL/MXSE_html/fit/errorsdoc.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/errs.htm b/cpld/XC95144XL/MXSE_html/fit/errs.htm new file mode 100644 index 0000000..020a3d6 --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/errs.htm @@ -0,0 +1,13 @@ + + + + + + +

Errors and Warnings

+There are 0 error(s), 1 warning(s), and 0 information.

[Warning]:Cpld - Unable to retrieve the path to the iSE Project Repository. Will use the default filename of 'MXSE.ise'.INFO:Cpld - Inferring BUFG constraint for signal 'CLK2X_IOB' based upon the LOC constraint 'P22'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.INFO:Cpld - Inferring BUFG constraint for signal 'CLK_FSB' based upon the LOC constraint 'P27'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.INFO:Cpld - Inferring BUFG constraint for signal 'CLK_IOB' based upon the LOC constraint 'P23'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.
+ + +
+ + diff --git a/cpld/XC95144/MXSE_html/fit/failtable.htm b/cpld/XC95144XL/MXSE_html/fit/failtable.htm similarity index 98% rename from cpld/XC95144/MXSE_html/fit/failtable.htm rename to cpld/XC95144XL/MXSE_html/fit/failtable.htm index ed152cf..ebecb13 100644 --- a/cpld/XC95144/MXSE_html/fit/failtable.htm +++ b/cpld/XC95144XL/MXSE_html/fit/failtable.htm @@ -1,42 +1,42 @@ - - - - - - -

Failure Table

- - - - - - - - - - - - - - - -
Signal NameFB1FB2FB3FB4
Signal NameFB5FB6FB7FB8
-
- - - - - - - - - - - -
Legend:
ce - signal clock enable cannot be placed
clk - signal clock cannot be placed
fbi - insufficient function block inputs available to place signal
io - insufficient I/O pins available to place output
loc - signal cannot be placed in this FB because it is assigned to a different FB
mc - insufficient macrocells available to place signal
oe - signal output enable cannot be placed
pt - insufficient product terms available to place signal
sr - signal set/reset cannot be placed
unk - unknown reason for failure - Please contact Xilinx Support
- -
- - -
- + + + + + + +

Failure Table

+ + + + + + + + + + + + + + + +
Signal NameFB1FB2FB3FB4
Signal NameFB5FB6FB7FB8
+
+ + + + + + + + + + + +
Legend:
ce - signal clock enable cannot be placed
clk - signal clock cannot be placed
fbi - insufficient function block inputs available to place signal
io - insufficient I/O pins available to place output
loc - signal cannot be placed in this FB because it is assigned to a different FB
mc - insufficient macrocells available to place signal
oe - signal output enable cannot be placed
pt - insufficient product terms available to place signal
sr - signal set/reset cannot be placed
unk - unknown reason for failure - Please contact Xilinx Support
+ +
+ + +
+ diff --git a/cpld/XC95144/MXSE_html/fit/failtable.js b/cpld/XC95144XL/MXSE_html/fit/failtable.js similarity index 100% rename from cpld/XC95144/MXSE_html/fit/failtable.js rename to cpld/XC95144XL/MXSE_html/fit/failtable.js diff --git a/cpld/XC95144/MXSE_html/fit/failtabledoc.htm b/cpld/XC95144XL/MXSE_html/fit/failtabledoc.htm similarity index 100% rename from cpld/XC95144/MXSE_html/fit/failtabledoc.htm rename to cpld/XC95144XL/MXSE_html/fit/failtabledoc.htm diff --git a/cpld/XC95144/MXSE_html/fit/fb.gif b/cpld/XC95144XL/MXSE_html/fit/fb.gif similarity index 100% rename from cpld/XC95144/MXSE_html/fit/fb.gif rename to cpld/XC95144XL/MXSE_html/fit/fb.gif diff --git a/cpld/XC95144/MXSE_html/fit/fb1.gif b/cpld/XC95144XL/MXSE_html/fit/fb1.gif similarity index 100% rename from cpld/XC95144/MXSE_html/fit/fb1.gif rename to cpld/XC95144XL/MXSE_html/fit/fb1.gif diff --git a/cpld/XC95144XL/MXSE_html/fit/fbs 2.htm b/cpld/XC95144XL/MXSE_html/fit/fbs 2.htm new file mode 100644 index 0000000..26211fb --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/fbs 2.htm @@ -0,0 +1,77 @@ + + + + + + +

Function Blocks

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Function BlockMacrocells Used/TotalFunction Block Inputs Used/TotalProduct Terms Used/TotalPins Used/Total
FB19 / 1836 / 5480 / 9010 / 11
FB218 / 1835 / 5434 / 906 / 10
FB314 / 1835 / 5477 / 905 / 10
FB410 / 1836 / 5482 / 9010 / 10
FB517 / 1835 / 5447 / 904 / 10
FB618 / 1824 / 5437 / 9010 / 10
FB717 / 1835 / 5476 / 9010 / 10
FB87 / 188 / 546 / 9010 / 10
+ + +
+ + diff --git a/cpld/XC95144/MXSE_html/fit/fbs.htm b/cpld/XC95144XL/MXSE_html/fit/fbs.htm similarity index 82% rename from cpld/XC95144/MXSE_html/fit/fbs.htm rename to cpld/XC95144XL/MXSE_html/fit/fbs.htm index 3c761f4..cb39772 100644 --- a/cpld/XC95144/MXSE_html/fit/fbs.htm +++ b/cpld/XC95144XL/MXSE_html/fit/fbs.htm @@ -1,77 +1,77 @@ - - - - - - -

Function Blocks

- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Function BlockMacrocells Used/TotalFunction Block Inputs Used/TotalProduct Terms Used/TotalPins Used/Total
FB112 / 1836 / 5481 / 9010 / 11
FB218 / 1819 / 5439 / 906 / 10
FB38 / 1833 / 5481 / 905 / 10
FB418 / 1829 / 5429 / 9010 / 10
FB518 / 1830 / 5445 / 905 / 10
FB618 / 1838 / 5463 / 9010 / 10
FB77 / 1811 / 549 / 909 / 10
FB86 / 1838 / 5480 / 9010 / 10
- - -
- - + + + + + + +

Function Blocks

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Function BlockMacrocells Used/TotalFunction Block Inputs Used/TotalProduct Terms Used/TotalPins Used/Total
FB118 / 1816 / 5417 / 9010 / 11
FB25 / 183 / 545 / 908 / 10
FB36 / 1839 / 5481 / 909 / 10
FB418 / 1829 / 5434 / 909 / 10
FB513 / 1831 / 5481 / 908 / 10
FB618 / 1834 / 5462 / 9010 / 10
FB715 / 1839 / 5468 / 906 / 10
FB812 / 1836 / 5481 / 905 / 10
+ + +
+ + diff --git a/cpld/XC95144/MXSE_html/fit/fbs.js b/cpld/XC95144XL/MXSE_html/fit/fbs.js similarity index 100% rename from cpld/XC95144/MXSE_html/fit/fbs.js rename to cpld/XC95144XL/MXSE_html/fit/fbs.js diff --git a/cpld/XC95144XL/MXSE_html/fit/fbs_FB1 2.htm b/cpld/XC95144XL/MXSE_html/fit/fbs_FB1 2.htm new file mode 100644 index 0000000..19067b9 --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/fbs_FB1 2.htm @@ -0,0 +1,265 @@ + + + + + + + + + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Signal NameTotal Product TermsProduct TermsLocationPower ModePin NumberPinTypePin Use
(unused)0 MC1  (b)(b)
nDTACK_FSB23 18_1 18_2 18_3 18_4 18_5 1_1 1_2 1_3 1_4 1_5 2_1 2_2 2_3 2_4 2_5 3_1 3_2 3_3 3_4 3_5 4_3 4_4 4_5 +MC2STD11I/OO
(unused)0 MC3 12I/OI
$OpTx$FX_DC$6065 4_1 4_2 5_1 5_2 5_3 +MC4STD (b)(b)
(unused)0 MC5 13I/OI
nBERR_FSB3 6_1 6_2 6_3 +MC6STD14I/OO
fsb/Ready1r7 6_4 6_5 7_1 7_2 7_3 7_4 7_5 +MC7STD (b)(b)
(unused)0 MC8 15I/OI
(unused)0 MC9 16I/OI
fsb/VPA__or00001/fsb/VPA__or00001_D28 10_1 10_2 10_3 10_4 10_5 9_1 9_2 9_3 +MC10STD (b)(b)
nOE1 11_1 +MC11STD17I/OO
fsb/Ready2r9 11_2 11_3 11_4 11_5 12_1 12_2 12_3 12_4 12_5 +MC12STD18I/OI
(unused)0 MC13  (b)(b)
(unused)0 MC14 19I/OI
fsb/VPA22 13_1 13_2 13_3 13_4 13_5 14_1 14_2 14_3 14_4 14_5 15_1 15_2 15_3 15_4 15_5 16_1 16_2 16_3 16_4 16_5 17_3 17_4 +MC15STD20I/OI
(unused)0 MC16  (b)(b)
$OpTx$FX_DC$6022 17_1 17_2 +MC17STD22I/O/GCK1GCK
(unused)0 MC18  (b)(b)
+
+
Signals Used By Logic in Function Block
    +
  1. $OpTx$FX_DC$602
  2. +
  3. $OpTx$FX_DC$606
  4. +
  5. A_FSB<10>
  6. +
  7. A_FSB<11>
  8. +
  9. A_FSB<12>
  10. +
  11. A_FSB<13>
  12. +
  13. A_FSB<14>
  14. +
  15. A_FSB<15>
  16. +
  17. A_FSB<16>
  18. +
  19. A_FSB<17>
  20. +
  21. A_FSB<18>
  22. +
  23. A_FSB<19>
  24. +
  25. A_FSB<20>
  26. +
  27. A_FSB<21>
  28. +
  29. A_FSB<22>
  30. +
  31. A_FSB<23>
  32. +
  33. A_FSB<8>
  34. +
  35. A_FSB<9>
  36. +
  37. BERR_IOBS
  38. +
  39. TimeoutA
  40. +
  41. TimeoutB
  42. +
  43. cs/nOverlay1
  44. +
  45. fsb/ASrf
  46. +
  47. fsb/BERR0r
  48. +
  49. fsb/BERR1r
  50. +
  51. fsb/Ready0r
  52. +
  53. fsb/Ready1r
  54. +
  55. fsb/Ready2r
  56. +
  57. fsb/VPA
  58. +
  59. fsb/VPA__or00001/fsb/VPA__or00001_D2
  60. +
  61. iobs/IOReady
  62. +
  63. nADoutLE1
  64. +
  65. nAS_FSB
  66. +
  67. nDTACK_FSB
  68. +
  69. nWE_FSB
  70. +
  71. ram/RAMReady
  72. +
+ + + +
+ +
+ + diff --git a/cpld/XC95144XL/MXSE_html/fit/fbs_FB1.htm b/cpld/XC95144XL/MXSE_html/fit/fbs_FB1.htm new file mode 100644 index 0000000..5f3d3f9 --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/fbs_FB1.htm @@ -0,0 +1,254 @@ + + + + + + + + + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Signal NameTotal Product TermsProduct TermsLocationPower ModePin NumberPinTypePin Use
iobs/IOACTr1 1_1 +MC1STD (b)(b)
iobm/IOREQr1 2_1 +MC2STD11I/OI
iobm/Er21 3_1 +MC3STD12I/OI
iobm/DTACKrr1 4_1 +MC4STD (b)(b)
iobm/DTACKrf1 5_1 +MC5STD13I/OI
iobm/BERRrr1 6_1 +MC6STD14I/OI
iobm/BERRrf1 7_1 +MC7STD (b)(b)
fsb/ASrf1 8_1 +MC8STD15I/OI
cnt/RefCnt<7>1 9_1 +MC9STD16I/OI
cnt/RefCnt<6>1 10_1 +MC10STD (b)(b)
cnt/RefCnt<5>1 11_1 +MC11STD17I/OI
cnt/RefCnt<4>1 12_1 +MC12STD18I/OI
cnt/RefCnt<3>1 13_1 +MC13STD (b)(b)
cnt/RefCnt<2>1 14_1 +MC14STD19I/OI
cnt/RefCnt<1>1 15_1 +MC15STD20I/OI
cnt/RefCnt<0>0  +MC16STD (b)(b)
RefAck1 17_1 +MC17STD22I/O/GCK1GCK
$OpTx$FX_DC$6071 18_1 +MC18STD (b)(b)
+
+
Signals Used By Logic in Function Block
    +
  1. IOACT
  2. +
  3. IOREQ
  4. +
  5. cnt/RefCnt<0>
  6. +
  7. cnt/RefCnt<1>
  8. +
  9. cnt/RefCnt<2>
  10. +
  11. cnt/RefCnt<3>
  12. +
  13. cnt/RefCnt<4>
  14. +
  15. cnt/RefCnt<5>
  16. +
  17. cnt/RefCnt<6>
  18. +
  19. fsb/ASrf
  20. +
  21. iobm/Er
  22. +
  23. nAS_FSB
  24. +
  25. nBERR_IOB
  26. +
  27. nDTACK_IOB
  28. +
  29. ram/RS_FSM_FFd1
  30. +
  31. ram/RS_FSM_FFd2
  32. +
+ + + +
+ +
+ + diff --git a/cpld/XC95144/MXSE_html/fit/fbs_FB2.htm b/cpld/XC95144XL/MXSE_html/fit/fbs_FB2 2.htm similarity index 68% rename from cpld/XC95144/MXSE_html/fit/fbs_FB2.htm rename to cpld/XC95144XL/MXSE_html/fit/fbs_FB2 2.htm index 2f44402..c327863 100644 --- a/cpld/XC95144/MXSE_html/fit/fbs_FB2.htm +++ b/cpld/XC95144XL/MXSE_html/fit/fbs_FB2 2.htm @@ -27,7 +27,7 @@ Pin Use -iobm/RESrr +iobm/VPArr 1  1_1 @@ -38,7 +38,7 @@ (b) -iobm/RESrf +iobm/VPArf 1  2_1 @@ -49,7 +49,7 @@ GSR/I -iobm/IOREQr +iobm/RESrr 1  3_1 @@ -60,7 +60,7 @@ (b) -iobm/Er2 +iobm/RESrf 1  4_1 @@ -71,7 +71,7 @@ (b) -iobm/ETACK +iobm/IOREQr 1  5_1 @@ -82,7 +82,7 @@ (b) -iobm/DTACKrr +cnt/RefCnt<3> 1  6_1 @@ -93,7 +93,7 @@ (b) -iobm/DTACKrf +cnt/RefCnt<2> 1  7_1 @@ -104,7 +104,7 @@ (b) -iobm/BERRrr +cnt/RefCnt<1> 1  8_1 @@ -115,9 +115,9 @@ (b) -iobm/BERRrf -1 - 9_1 +fsb/BERR1r +2 + 9_1 9_2 MC9 STD @@ -126,9 +126,9 @@ (b) -iobm/ES<3> -3 - 10_1 10_2 10_3 +cnt/RefDone +2 + 10_1 10_2 MC10 STD @@ -138,8 +138,8 @@ nLDS_IOB -4 - 11_1 11_2 11_3 11_4 +3 + 11_1 11_2 11_3 MC11 STD @@ -149,8 +149,8 @@ nUDS_IOB -4 - 12_1 12_2 12_3 12_4 +3 + 12_1 12_2 12_3 MC12 STD @@ -159,7 +159,7 @@ O -iobm/ES<1> +cnt/TimeoutBPre 3  13_1 13_2 13_3 @@ -170,7 +170,7 @@ (b) -nAS_IOB +nDoutOE 2  14_1 14_2 @@ -181,7 +181,7 @@ O -iobm/ES<0> +TimeoutB 3  15_1 15_2 15_3 @@ -189,12 +189,12 @@ STD 9 I/O -I +I -iobm/ES<4> -4 - 16_1 16_2 16_3 16_4 +TimeoutA +3 + 16_1 16_2 16_3 MC16 STD @@ -203,9 +203,9 @@ (b) -nDoutOE -2 - 17_1 17_2 +nAS_IOB +1 + 17_1 MC17 STD @@ -214,9 +214,9 @@ O -iobm/ES<2> -5 - 18_1 18_2 18_3 18_4 18_5 +iobs/IOReady +4 + 18_1 18_2 18_3 18_4 MC18 STD @@ -227,25 +227,41 @@

Signals Used By Logic in Function Block
    +
  1. BERR_IOBS
  2. +
  3. IOBERR
  4. IOL0
  5. IOREQ
  6. IORW0
  7. IOU0
  8. -
  9. iobm/ES<0>
  10. -
  11. iobm/ES<1>
  12. -
  13. iobm/ES<2>
  14. -
  15. iobm/ES<3>
  16. -
  17. iobm/ES<4>
  18. -
  19. iobm/Er
  20. -
  21. iobm/Er2
  22. -
  23. iobm/IOS_FSM_FFd1
  24. +
  25. RefAck
  26. +
  27. TimeoutA
  28. +
  29. TimeoutB
  30. +
  31. cnt/RefCnt<0>
  32. +
  33. cnt/RefCnt<1>
  34. +
  35. cnt/RefCnt<2>
  36. +
  37. cnt/RefCnt<3>
  38. +
  39. cnt/RefCnt<4>
  40. +
  41. cnt/RefCnt<5>
  42. +
  43. cnt/RefCnt<6>
  44. +
  45. cnt/RefCnt<7>
  46. +
  47. cnt/RefDone
  48. +
  49. cnt/TimeoutBPre
  50. +
  51. fsb/ASrf
  52. +
  53. fsb/BERR1r
  54. iobm/IOS_FSM_FFd2
  55. iobm/IOS_FSM_FFd3
  56. iobm/IOS_FSM_FFd4
  57. -
  58. nBERR_IOB
  59. -
  60. nDTACK_IOB
  61. +
  62. iobm/IOS_FSM_FFd5
  63. +
  64. iobm/IOS_FSM_FFd6
  65. +
  66. iobm/IOS_FSM_FFd7
  67. +
  68. iobs/IOACTr
  69. +
  70. iobs/IOReady
  71. +
  72. iobs/Once
  73. +
  74. iobs/PS_FSM_FFd2
  75. +
  76. nADoutLE1
  77. +
  78. nAS_FSB
  79. nRES
  80. -
  81. nVMA_IOB
  82. +
  83. nVPA_IOB
- - - + + @@ -38,9 +38,9 @@ - - - + + @@ -49,7 +49,7 @@ - + @@ -60,9 +60,9 @@ - - - + + @@ -71,20 +71,20 @@ - - - + + - + - - - + + @@ -93,7 +93,7 @@ - + @@ -104,7 +104,7 @@ - + @@ -112,12 +112,12 @@ - + - - - + + @@ -126,7 +126,7 @@ - + @@ -137,7 +137,7 @@ - + @@ -145,12 +145,12 @@ - + - - - + + @@ -159,9 +159,9 @@ - - - + + @@ -170,20 +170,20 @@ - - - + + - + - - - + + @@ -192,9 +192,9 @@ - - - + + @@ -203,20 +203,20 @@ - - - + + - + - - - + + @@ -227,44 +227,30 @@
- - - + + @@ -38,7 +38,7 @@ - + @@ -49,7 +49,7 @@ - + @@ -60,7 +60,7 @@ - + @@ -71,9 +71,9 @@ - - - + + @@ -82,18 +82,18 @@ - - - + + - + - + @@ -104,7 +104,7 @@ - + @@ -112,23 +112,23 @@ - + - - - + + - + - - - + + @@ -137,7 +137,7 @@ - + @@ -148,7 +148,7 @@ - + @@ -170,7 +170,7 @@ - + @@ -181,20 +181,20 @@ - - - + + - + - @@ -203,20 +203,19 @@ - - - + + + - + - + - - + @@ -227,18 +226,18 @@
diff --git a/cpld/XC95144XL/MXSE_html/fit/fbs_FB2.htm b/cpld/XC95144XL/MXSE_html/fit/fbs_FB2.htm new file mode 100644 index 0000000..144d0fe --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/fbs_FB2.htm @@ -0,0 +1,232 @@ + + + + + + + + + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Signal NameTotal Product TermsProduct TermsLocationPower ModePin NumberPinTypePin Use
(unused)0 MC1  (b) 
(unused)0 MC2 99I/O/GSR 
(unused)0 MC3  (b) 
(unused)0 MC4  (b) 
(unused)0 MC5 1I/O/GTS3 
(unused)0 MC6 2I/O/GTS4I
(unused)0 MC7  (b) 
(unused)0 MC8 3I/O/GTS1I
(unused)0 MC9 4I/O/GTS2I
(unused)0 MC10  (b) 
(unused)0 MC11 6I/OI
(unused)0 MC12 7I/OI
(unused)0 MC13  (b) 
iobm/VPArr1 14_1 +MC14STD8I/OI
iobm/VPArf1 15_1 +MC15STD9I/OI
iobm/RESrr1 16_1 +MC16STD (b)(b)
iobm/RESrf1 17_1 +MC17STD10I/OI
iobm/Er1 18_1 +MC18STD (b)(b)
+
+
Signals Used By Logic in Function Block
    +
  1. E_IOB
  2. +
  3. nRES
  4. +
  5. nVPA_IOB
  6. +
+ + + +
+ +    + +
+ +
+ + diff --git a/cpld/XC95144/MXSE_html/fit/fbs_FB1.htm b/cpld/XC95144XL/MXSE_html/fit/fbs_FB3 2.htm similarity index 50% rename from cpld/XC95144/MXSE_html/fit/fbs_FB1.htm rename to cpld/XC95144XL/MXSE_html/fit/fbs_FB3 2.htm index 8f41dec..2428c8b 100644 --- a/cpld/XC95144/MXSE_html/fit/fbs_FB1.htm +++ b/cpld/XC95144XL/MXSE_html/fit/fbs_FB3 2.htm @@ -6,9 +6,9 @@ - - - - - - - - - - - - + - - - + + + - - - + + + + + + + + + + + + @@ -69,30 +69,31 @@ - - - + + - + - - - + + + - - + + - + - - - + + @@ -101,26 +102,26 @@ - - - + + - - - + + + - - + - + @@ -133,31 +134,31 @@ - + - - + - - - + + - + - + - - - + + @@ -166,54 +167,55 @@ - + - - + + + + + + + + + + + - - - - - - - - - - - - - + + + - + - - - + + + - - - - + + + + - - - + + + - + @@ -231,16 +233,11 @@
  • A_FSB<21>
  • A_FSB<22>
  • A_FSB<23>
  • -
  • BERR_IOBS
  • IOACT
  • -
  • IOBERR
  • IORW0
  • -
  • TimeoutB
  • -
  • cs/nOverlay0
  • +
  • RefAck
  • cs/nOverlay1
  • fsb/ASrf
  • -
  • fsb/BERR0r
  • -
  • fsb/BERR1r
  • iobm/ES<0>
  • iobm/ES<1>
  • iobm/ES<2>
  • @@ -248,8 +245,10 @@
  • iobm/ES<4>
  • iobm/VPArf
  • iobm/VPArr
  • +
  • iobs/Clear1
  • iobs/IOACTr
  • iobs/IORW1
  • +
  • iobs/Load1
  • iobs/Once
  • iobs/PS_FSM_FFd1
  • iobs/PS_FSM_FFd2
  • @@ -257,9 +256,15 @@
  • nAS_FSB
  • nVMA_IOB
  • nWE_FSB
  • +
  • ram/RAMDIS1
  • +
  • ram/RAMDIS2
  • (b)
    nBERR_FSB3 1_1 2_1 2_2 -MC2STD11I/OO
    (unused) 0  MC3MC2  12I/OI23I/O/GCK2GCK
    iobs/IORW116 2_3 2_4 2_5 3_1 3_2 3_3 3_4 3_5 4_1 4_2 4_3 4_4 4_5 5_3 5_4 5_5 +IORW018 1_1 1_2 1_3 1_4 2_1 2_2 2_3 2_4 2_5 3_1 3_2 3_3 3_4 3_5 4_2 4_3 4_4 4_5 +MC3STD (b)(b)
    iobs/IOACTr1 4_1 MC4 STD(b)
    nDinOE2 5_1 5_2 +nRAS3 5_1 5_2 5_3 MC5 STD1324 I/O O
    (unused)0 iobs/Clear11 6_1 + MC6 14STD25 I/OII
    IOREQ14 6_1 6_2 6_3 6_4 6_5 7_1 7_2 7_3 7_4 7_5 8_2 8_3 8_4 8_5 +fsb/ASrf1 7_1 MC7 STD(b)
    nROMCS2 8_1 9_5 +ALE0S1 8_1 MC8 STD15I/OO27I/O/GCK3GCK/I
    iobs/PS_FSM_FFd2 14 10_1 10_2 10_3 10_4 10_5 11_1 11_2 11_3 11_4 11_5 9_1 9_2 9_3 9_4 + 10_1 10_2 10_3 10_4 10_5 8_2 8_3 8_4 8_5 9_1 9_2 9_3 9_4 9_5 MC9 STD1628 I/OI(b)
    (unused)(b)
    nVMA_IOBnADoutLE1 2 12_4 12_5 + 11_1 11_2 MC11 STD1729 I/O O
    BERR_IOBS4 12_1 12_2 12_3 13_4 +iobs/PS_FSM_FFd12 11_3 11_4 MC12 STD1830 I/OI(b)
    fsb/BERR0r3 13_1 13_2 13_3 +IOREQ14 12_1 12_2 12_3 12_4 12_5 13_1 13_2 13_3 13_4 13_5 14_2 14_3 14_4 14_5 MC13 STD(b)
    RA<10>iobm/ETACK 1 14_1 + 14_1 MC14 STD1932I/O(b)
    nVMA_IOB2 15_1 15_2 +MC15STD33 I/O O
    cs/nOverlay02 14_2 14_3 -MC15STD20I/OI
    IORW018 15_1 15_2 15_3 15_4 15_5 16_1 16_2 16_3 16_4 16_5 17_1 17_2 17_3 17_4 17_5 18_1 18_2 18_3 -(unused)0  MC16STD    (b) (b)
    (unused)0 iobs/IORW116 15_3 15_4 16_1 16_2 16_3 16_4 16_5 17_1 17_2 17_3 17_4 17_5 18_2 18_3 18_4 18_5 + MC17 22I/O/GCK1GCKSTD34I/O(b)
    (unused)0 ram/BACTr1 18_1 + MC18 STD   (b) (b)
    - + diff --git a/cpld/XC95144/MXSE_html/fit/fbs_FB8.htm b/cpld/XC95144XL/MXSE_html/fit/fbs_FB3.htm similarity index 56% rename from cpld/XC95144/MXSE_html/fit/fbs_FB8.htm rename to cpld/XC95144XL/MXSE_html/fit/fbs_FB3.htm index 9472417..1c6896e 100644 --- a/cpld/XC95144/MXSE_html/fit/fbs_FB8.htm +++ b/cpld/XC95144XL/MXSE_html/fit/fbs_FB3.htm @@ -1,264 +1,269 @@ - - - - - - -
    + +    + +
    - - - -
    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    Signal NameTotal Product TermsProduct TermsLocationPower ModePin NumberPinTypePin Use
    (unused)0 MC1  (b)(b)
    (unused)0 MC2 63I/OI
    (unused)0 MC3  (b)(b)
    (unused)0 MC4  (b)(b)
    nDTACK_FSB22 3_1 3_2 4_1 4_2 4_3 4_4 4_5 5_1 5_2 5_3 5_4 5_5 6_1 6_2 6_3 6_4 6_5 7_1 7_2 7_3 7_4 7_5 -MC5STD64I/OO
    (unused)0 MC6 65I/OI
    (unused)0 MC7  (b)(b)
    fsb/Ready2r9 8_1 8_2 8_3 8_4 8_5 9_1 9_3 9_4 9_5 -MC8STD66I/OI
    fsb/Ready1r7 10_1 10_2 10_3 10_4 10_5 11_1 9_1 -MC9STD67I/OI
    (unused)0 MC10  (b)(b)
    nAoutOE0  -MC11STD68I/OO
    (unused)0 MC12 70I/OI
    iobs/Once17 12_1 12_2 12_3 12_4 12_5 13_1 13_2 13_3 13_4 13_5 14_1 14_2 14_3 14_4 14_5 15_1 15_2 -MC13STD (b)(b)
    (unused)0 MC14 71I/OI
    (unused)0 MC15 72I/OI
    (unused)0 MC16  (b)(b)
    (unused)0 MC17 73I/OI
    fsb/VPA25 16_1 16_2 16_3 16_4 16_5 17_1 17_2 17_3 17_4 17_5 18_1 18_2 18_3 18_4 18_5 1_1 1_2 1_3 1_4 1_5 2_1 2_2 2_3 2_4 2_5 -MC18STD (b)(b)
    -
    -
    Signals Used By Logic in Function Block
      -
    1. $OpTx$$OpTx$FX_DC$355_INV$439
    2. -
    3. $OpTx$FX_DC$360
    4. -
    5. A_FSB<10>
    6. -
    7. A_FSB<11>
    8. -
    9. A_FSB<12>
    10. -
    11. A_FSB<13>
    12. -
    13. A_FSB<14>
    14. -
    15. A_FSB<15>
    16. -
    17. A_FSB<16>
    18. -
    19. A_FSB<17>
    20. -
    21. A_FSB<18>
    22. -
    23. A_FSB<19>
    24. -
    25. A_FSB<20>
    26. -
    27. A_FSB<21>
    28. -
    29. A_FSB<22>
    30. -
    31. A_FSB<23>
    32. -
    33. A_FSB<8>
    34. -
    35. A_FSB<9>
    36. -
    37. BERR_IOBS
    38. -
    39. TimeoutA
    40. -
    41. TimeoutB
    42. -
    43. cs/nOverlay1
    44. -
    45. fsb/ASrf
    46. -
    47. fsb/BERR0r
    48. -
    49. fsb/BERR1r
    50. -
    51. fsb/Ready0r
    52. -
    53. fsb/Ready1r
    54. -
    55. fsb/Ready2r
    56. -
    57. fsb/VPA
    58. -
    59. iobs/IOReady
    60. -
    61. iobs/Once
    62. -
    63. iobs/PS_FSM_FFd1
    64. -
    65. iobs/PS_FSM_FFd2
    66. -
    67. nADoutLE1
    68. -
    69. nAS_FSB
    70. -
    71. nDTACK_FSB
    72. -
    73. nWE_FSB
    74. -
    75. ram/RAMReady
    76. -
    - - - -
    - -
    - - + + + + + + + + + + +
    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Signal NameTotal Product TermsProduct TermsLocationPower ModePin NumberPinTypePin Use
    (unused)0 MC1  (b)(b)
    fsb/VPA25 18_1 18_2 18_3 18_4 18_5 1_1 1_2 1_3 1_4 1_5 2_1 2_2 2_3 2_4 2_5 3_1 3_2 3_3 3_4 3_5 4_1 4_2 4_3 4_4 4_5 +MC2STD23I/O/GCK2GCK/I
    (unused)0 MC3  (b)(b)
    (unused)0 MC4  (b)(b)
    fsb/Ready1r7 5_1 5_2 5_3 5_4 5_5 6_1 6_2 +MC5STD24I/OI
    (unused)0 MC6 25I/OI
    (unused)0 MC7  (b)(b)
    (unused)0 MC8 27I/O/GCK3GCK
    nDTACK_FSB23 10_1 10_2 10_3 10_4 10_5 11_1 11_2 11_3 11_4 11_5 7_1 7_2 7_3 8_1 8_2 8_3 8_4 8_5 9_1 9_2 9_3 9_4 9_5 +MC9STD28I/OO
    (unused)0 MC10  (b)(b)
    (unused)0 MC11 29I/OI
    (unused)0 MC12 30I/OI
    iobs/IORW116 12_1 12_2 12_3 12_4 12_5 13_1 13_2 13_3 13_4 13_5 14_1 14_2 14_3 14_4 14_5 15_5 +MC13STD (b)(b)
    (unused)0 MC14 32I/OI
    fsb/Ready2r9 15_1 15_2 15_3 15_4 16_1 16_2 16_3 16_4 16_5 +MC15STD33I/OI
    (unused)0 MC16  (b)(b)
    nROMWE1 17_1 +MC17STD34I/OO
    (unused)0 MC18  (b)(b)
    +
    +
    Signals Used By Logic in Function Block
      +
    1. $OpTx$FX_DC$607
    2. +
    3. $OpTx$FX_DC$608
    4. +
    5. A_FSB<10>
    6. +
    7. A_FSB<11>
    8. +
    9. A_FSB<12>
    10. +
    11. A_FSB<13>
    12. +
    13. A_FSB<14>
    14. +
    15. A_FSB<15>
    16. +
    17. A_FSB<16>
    18. +
    19. A_FSB<17>
    20. +
    21. A_FSB<18>
    22. +
    23. A_FSB<19>
    24. +
    25. A_FSB<20>
    26. +
    27. A_FSB<21>
    28. +
    29. A_FSB<22>
    30. +
    31. A_FSB<23>
    32. +
    33. A_FSB<8>
    34. +
    35. A_FSB<9>
    36. +
    37. BERR_IOBS
    38. +
    39. TimeoutA
    40. +
    41. TimeoutB
    42. +
    43. cs/nOverlay1
    44. +
    45. fsb/ASrf
    46. +
    47. fsb/BERR0r
    48. +
    49. fsb/BERR1r
    50. +
    51. fsb/Ready0r
    52. +
    53. fsb/Ready1r
    54. +
    55. fsb/Ready2r
    56. +
    57. fsb/VPA
    58. +
    59. iobs/IORW1
    60. +
    61. iobs/IOReady
    62. +
    63. iobs/Once
    64. +
    65. iobs/PS_FSM_FFd1
    66. +
    67. iobs/PS_FSM_FFd2
    68. +
    69. nADoutLE1
    70. +
    71. nAS_FSB
    72. +
    73. nDTACK_FSB
    74. +
    75. nWE_FSB
    76. +
    77. ram/RAMReady
    78. +
    + + + +
    + +    + +
    + +
    + + diff --git a/cpld/XC95144XL/MXSE_html/fit/fbs_FB4 2.htm b/cpld/XC95144XL/MXSE_html/fit/fbs_FB4 2.htm new file mode 100644 index 0000000..dfd7983 --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/fbs_FB4 2.htm @@ -0,0 +1,270 @@ + + + + + + + + + + +
    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Signal NameTotal Product TermsProduct TermsLocationPower ModePin NumberPinTypePin Use
    ram/RASEL20 17_1 17_2 17_3 17_4 17_5 18_1 18_2 18_3 18_4 18_5 1_1 1_2 1_3 1_4 1_5 2_1 2_2 2_3 2_4 2_5 +MC1STD (b)(b)
    RA<0>2 3_3 3_4 +MC2STD87I/OO
    cs/nOverlay12 3_1 3_2 +MC3STD (b)(b)
    (unused)0 MC4  (b) 
    (unused)0 MC5 89I/OI
    iobs/Load114 5_1 5_2 5_3 5_4 6_1 6_2 6_3 6_4 6_5 7_1 7_2 7_3 7_4 7_5 +MC6STD90I/OI
    (unused)0 MC7  (b)(b)
    RA<2>2 8_1 8_2 +MC8STD91I/OO
    (unused)0 MC9 92I/OI
    iobs/Once17 10_1 10_2 10_3 10_4 10_5 11_1 11_2 11_3 11_4 11_5 8_3 8_4 9_1 9_2 9_3 9_4 9_5 +MC10STD (b)(b)
    (unused)0 MC11 93I/OI
    RA<4>2 12_1 12_2 +MC12STD94I/OO
    (unused)0 MC13  (b)(b)
    ram/RAMDIS118 12_3 12_4 12_5 13_1 13_2 13_3 13_4 13_5 14_1 14_2 14_3 14_4 14_5 15_1 15_2 15_3 15_4 15_5 +MC14STD95I/OI
    (unused)0 MC15 96I/OI
    fsb/BERR0r3 16_1 16_2 16_3 +MC16STD (b)(b)
    nDinOE2 16_4 16_5 +MC17STD97I/OO
    (unused)0 MC18  (b)(b)
    +
    +
    Signals Used By Logic in Function Block
      +
    1. A_FSB<10>
    2. +
    3. A_FSB<12>
    4. +
    5. A_FSB<13>
    6. +
    7. A_FSB<14>
    8. +
    9. A_FSB<16>
    10. +
    11. A_FSB<17>
    12. +
    13. A_FSB<18>
    14. +
    15. A_FSB<19>
    16. +
    17. A_FSB<1>
    18. +
    19. A_FSB<20>
    20. +
    21. A_FSB<21>
    22. +
    23. A_FSB<22>
    24. +
    25. A_FSB<23>
    26. +
    27. A_FSB<3>
    28. +
    29. A_FSB<5>
    30. +
    31. TimeoutB
    32. +
    33. cnt/RefCnt<5>
    34. +
    35. cnt/RefCnt<6>
    36. +
    37. cnt/RefCnt<7>
    38. +
    39. cnt/RefDone
    40. +
    41. cs/nOverlay0
    42. +
    43. cs/nOverlay1
    44. +
    45. fsb/ASrf
    46. +
    47. fsb/BERR0r
    48. +
    49. iobs/Once
    50. +
    51. iobs/PS_FSM_FFd1
    52. +
    53. iobs/PS_FSM_FFd2
    54. +
    55. nADoutLE1
    56. +
    57. nAS_FSB
    58. +
    59. nWE_FSB
    60. +
    61. ram/BACTr
    62. +
    63. ram/Once
    64. +
    65. ram/RASEL
    66. +
    67. ram/RS_FSM_FFd1
    68. +
    69. ram/RS_FSM_FFd2
    70. +
    71. ram/RS_FSM_FFd3
    72. +
    + + + +
    + +    + +
    + +
    + + diff --git a/cpld/XC95144/MXSE_html/fit/fbs_FB4.htm b/cpld/XC95144XL/MXSE_html/fit/fbs_FB4.htm similarity index 61% rename from cpld/XC95144/MXSE_html/fit/fbs_FB4.htm rename to cpld/XC95144XL/MXSE_html/fit/fbs_FB4.htm index bc38404..cc78f68 100644 --- a/cpld/XC95144/MXSE_html/fit/fbs_FB4.htm +++ b/cpld/XC95144XL/MXSE_html/fit/fbs_FB4.htm @@ -1,271 +1,271 @@ - - - - - - - - - - -
    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    Signal NameTotal Product TermsProduct TermsLocationPower ModePin NumberPinTypePin Use
    ram/BACTr1 1_1 -MC1STD (b)(b)
    RA<1>2 2_1 2_2 -MC2STD87I/OO
    cnt/RefCnt<7>1 3_1 -MC3STD (b)(b)
    cnt/RefCnt<6>1 4_1 -MC4STD (b)(b)
    cnt/RefCnt<5>1 5_1 -MC5STD89I/OI
    nOE1 6_1 -MC6STD90I/OO
    cnt/RefCnt<4>1 7_1 -MC7STD (b)(b)
    cnt/RefCnt<3>1 8_1 -MC8STD91I/OI
    nRAMLWE1 9_1 -MC9STD92I/OO
    cnt/RefCnt<2>1 10_1 -MC10STD (b)(b)
    fsb/BERR1r2 11_1 11_2 -MC11STD93I/OI
    nRAMUWE1 12_1 -MC12STD94I/OO
    cnt/RefDone2 13_1 13_2 -MC13STD (b)(b)
    cnt/TimeoutBPre3 14_1 14_2 14_3 -MC14STD95I/OI
    nROMWE1 15_1 -MC15STD96I/OO
    TimeoutB3 16_1 16_2 16_3 -MC16STD (b)(b)
    TimeoutA3 17_1 17_2 17_3 -MC17STD97I/OI
    IOU03 18_1 18_2 18_3 -MC18STD (b)(b)
    -
    -
    Signals Used By Logic in Function Block
      -
    1. A_FSB<11>
    2. -
    3. A_FSB<2>
    4. -
    5. BERR_IOBS
    6. -
    7. RefAck
    8. -
    9. TimeoutA
    10. -
    11. TimeoutB
    12. -
    13. cnt/RefCnt<0>
    14. -
    15. cnt/RefCnt<1>
    16. -
    17. cnt/RefCnt<2>
    18. -
    19. cnt/RefCnt<3>
    20. -
    21. cnt/RefCnt<4>
    22. -
    23. cnt/RefCnt<5>
    24. -
    25. cnt/RefCnt<6>
    26. -
    27. cnt/RefCnt<7>
    28. -
    29. cnt/RefDone
    30. -
    31. cnt/TimeoutBPre
    32. -
    33. fsb/ASrf
    34. -
    35. fsb/BERR1r
    36. -
    37. iobs/IOU1
    38. -
    39. iobs/PS_FSM_FFd1
    40. -
    41. iobs/PS_FSM_FFd2
    42. -
    43. nADoutLE1
    44. -
    45. nAS_FSB
    46. -
    47. nLDS_FSB
    48. -
    49. nUDS_FSB
    50. -
    51. nWE_FSB
    52. -
    53. ram/RAMDIS1
    54. -
    55. ram/RAMDIS2
    56. -
    57. ram/RASEL
    58. -
    - - - -
    - -    - -
    - -
    - - + + + + + + + + + + +
    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Signal NameTotal Product TermsProduct TermsLocationPower ModePin NumberPinTypePin Use
    ram/BACTr1 1_1 +MC1STD (b)(b)
    nAoutOE0  +MC2STD87I/OO
    iobs/Clear11 3_1 +MC3STD (b)(b)
    ALE0S1 4_1 +MC4STD (b)(b)
    nDoutOE2 5_1 5_2 +MC5STD89I/OO
    nDinOE2 6_1 6_2 +MC6STD90I/OO
    iobs/PS_FSM_FFd12 7_1 7_2 +MC7STD (b)(b)
    iobs/IOU12 8_1 8_2 +MC8STD91I/OI
    iobs/IOL12 9_1 9_2 +MC9STD92I/O(b)
    iobm/IOS_FSM_FFd22 10_1 10_2 +MC10STD (b)(b)
    nVPA_FSB1 11_1 +MC11STD93I/OO
    iobm/IOS_FSM_FFd12 12_1 12_2 +MC12STD94I/OI
    fsb/BERR1r2 13_1 13_2 +MC13STD (b)(b)
    cs/nOverlay12 14_1 14_2 +MC14STD95I/OI
    $OpTx$FX_DC$6082 15_1 15_2 +MC15STD96I/OI
    IOU03 16_1 16_2 16_3 +MC16STD (b)(b)
    IOL03 17_1 17_2 17_3 +MC17STD97I/OI
    iobs/IOReady4 18_1 18_2 18_3 18_4 +MC18STD (b)(b)
    +
    +
    Signals Used By Logic in Function Block
      +
    1. A_FSB<20>
    2. +
    3. A_FSB<21>
    4. +
    5. A_FSB<22>
    6. +
    7. A_FSB<23>
    8. +
    9. BERR_IOBS
    10. +
    11. IOBERR
    12. +
    13. IORW0
    14. +
    15. TimeoutB
    16. +
    17. cs/nOverlay0
    18. +
    19. fsb/ASrf
    20. +
    21. fsb/BERR1r
    22. +
    23. fsb/VPA
    24. +
    25. iobm/IOS_FSM_FFd1
    26. +
    27. iobm/IOS_FSM_FFd2
    28. +
    29. iobm/IOS_FSM_FFd3
    30. +
    31. iobm/IOS_FSM_FFd4
    32. +
    33. iobs/IOACTr
    34. +
    35. iobs/IOL1
    36. +
    37. iobs/IOReady
    38. +
    39. iobs/IOU1
    40. +
    41. iobs/Load1
    42. +
    43. iobs/Once
    44. +
    45. iobs/PS_FSM_FFd1
    46. +
    47. iobs/PS_FSM_FFd2
    48. +
    49. nADoutLE1
    50. +
    51. nAS_FSB
    52. +
    53. nLDS_FSB
    54. +
    55. nUDS_FSB
    56. +
    57. nWE_FSB
    58. +
    + + + +
    + +    + +
    + +
    + + diff --git a/cpld/XC95144/MXSE_html/fit/fbs_FB5.htm b/cpld/XC95144XL/MXSE_html/fit/fbs_FB5 2.htm similarity index 67% rename from cpld/XC95144/MXSE_html/fit/fbs_FB5.htm rename to cpld/XC95144XL/MXSE_html/fit/fbs_FB5 2.htm index 1ab1cca..a926fd6 100644 --- a/cpld/XC95144/MXSE_html/fit/fbs_FB5.htm +++ b/cpld/XC95144XL/MXSE_html/fit/fbs_FB5 2.htm @@ -27,9 +27,9 @@
    Pin Use
    iobs/IOACTr1 1_1 +IOACT10 18_4 18_5 1_1 1_2 1_3 1_4 1_5 2_3 2_4 2_5 MC1 STD(b)
    RA<2>RA<1> 2  2_1 2_2 O
    fsb/ASrfiobm/IOS_FSM_FFd7 1  3_1 (b)
    cnt/RefCnt<1>iobm/IOS_FSM_FFd6 1  4_1 (b)
    cnt/RefCnt<0>0  +iobm/IOS_FSM_FFd51 5_1 MC5 STD(b)
    RA<3>2 6_1 6_2 +iobm/IOS_FSM_FFd41 6_1 MC6 STD 37 I/OO(b)
    $OpTx$$OpTx$FX_DC$355_INV$439iobm/BERRrr 1  7_1 (b)
    iobs/IOU1RA<3> 2  8_1 8_2 STD 39 I/O(b)O
    RA<4>2 9_1 9_2 +iobm/BERRrf1 9_1 MC9 STD 40 I/OO(b)
    iobm/IOS_FSM_FFd22 10_1 10_2 +cnt/RefCnt<0>0  MC10 STD(b)
    iobm/IOS_FSM_FFd1iobm/IOS_FSM_FFd8 2  11_1 11_2 (b)
    RA<9>nROMCS 2  12_1 12_2 (b)
    iobm/IOS_FSM_FFd4iobm/IOS_FSM_FFd2 4  14_1 14_2 14_3 14_4 (b)
    nCAS1 15_1 +BERR_IOBS4 15_1 15_2 15_3 15_4 MC15 STD 46 I/OO(b)
    iobm/IOS_FSM_FFd3 5 15_2 16_1 16_2 16_3 16_4 + 16_1 16_2 16_3 16_4 16_5 MC16 STD(b)
    IOACT6 16_5 17_1 17_2 17_3 17_4 17_5 -(unused)0  MC17STD  49 I/O(b)I
    IOBERR9 18_1 18_2 18_3 18_4 18_5 1_2 1_3 1_4 1_5 +8 17_1 17_2 17_3 17_4 17_5 18_1 18_2 18_3 MC18 STD

    Signals Used By Logic in Function Block
      -
    1. A_FSB<12>
    2. +
    3. A_FSB<11>
    4. A_FSB<13>
    5. -
    6. A_FSB<14>
    7. -
    8. A_FSB<19>
    9. A_FSB<20>
    10. -
    11. A_FSB<3>
    12. +
    13. A_FSB<21>
    14. +
    15. A_FSB<22>
    16. +
    17. A_FSB<23>
    18. +
    19. A_FSB<2>
    20. A_FSB<4>
    21. -
    22. A_FSB<5>
    23. +
    24. BERR_IOBS
    25. CLK_IOB
    26. -
    27. IOACT
    28. IOBERR
    29. -
    30. cnt/RefCnt<0>
    31. +
    32. cs/nOverlay1
    33. fsb/ASrf
    34. iobm/BERRrf
    35. iobm/BERRrr
    36. @@ -247,15 +246,20 @@
    37. iobm/ETACK
    38. iobm/IOREQr
    39. iobm/IOS_FSM_FFd1
    40. -
    41. iobm/IOS_FSM_FFd2
    42. iobm/IOS_FSM_FFd3
    43. iobm/IOS_FSM_FFd4
    44. +
    45. iobm/IOS_FSM_FFd5
    46. +
    47. iobm/IOS_FSM_FFd6
    48. +
    49. iobm/IOS_FSM_FFd7
    50. +
    51. iobm/IOS_FSM_FFd8
    52. iobm/RESrf
    53. iobm/RESrr
    54. -
    55. iobs/Load1
    56. +
    57. iobs/IOACTr
    58. +
    59. iobs/Once
    60. +
    61. iobs/PS_FSM_FFd2
    62. +
    63. nADoutLE1
    64. nAS_FSB
    65. nBERR_IOB
    66. -
    67. nUDS_FSB
    68. ram/RASEL
    diff --git a/cpld/XC95144XL/MXSE_html/fit/fbs_FB5.htm b/cpld/XC95144XL/MXSE_html/fit/fbs_FB5.htm new file mode 100644 index 0000000..d2c4496 --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/fbs_FB5.htm @@ -0,0 +1,268 @@ + + + + + + +
    + + + +
    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Signal NameTotal Product TermsProduct TermsLocationPower ModePin NumberPinTypePin Use
    (unused)0 MC1  (b)(b)
    nROMCS2 2_1 2_2 +MC2STD35I/OO
    (unused)0 MC3  (b)(b)
    iobs/PS_FSM_FFd214 3_1 3_2 3_3 3_4 3_5 4_1 4_2 4_3 4_4 4_5 5_2 5_3 5_4 5_5 +MC4STD (b)(b)
    nCAS1 5_1 +MC5STD36I/OO
    nOE1 6_1 +MC6STD37I/OO
    (unused)0 MC7  (b)(b)
    iobs/Once17 6_2 6_3 7_1 7_2 7_3 7_4 7_5 8_1 8_2 8_3 8_4 8_5 9_1 9_2 9_3 9_4 9_5 +MC8STD39I/O(b)
    RA<4>2 10_1 10_2 +MC9STD40I/OO
    (unused)0 MC10  (b)(b)
    RA<3>2 11_1 11_2 +MC11STD41I/OO
    RA<5>2 11_3 11_4 +MC12STD42I/OO
    IOREQ14 12_1 12_2 12_3 12_4 12_5 13_1 13_2 13_3 13_4 13_5 14_2 14_3 14_4 14_5 +MC13STD (b)(b)
    RA<2>2 14_1 15_3 +MC14STD43I/OO
    RA<6>2 15_1 15_2 +MC15STD46I/OO
    BERR_IOBS4 16_1 16_2 16_3 16_4 +MC16STD (b)(b)
    (unused)0 MC17 49I/O(b)
    IORW018 17_1 17_2 17_3 17_4 17_5 18_1 18_2 18_3 18_4 18_5 1_1 1_2 1_3 1_4 1_5 2_3 2_4 2_5 +MC18STD (b)(b)
    +
    +
    Signals Used By Logic in Function Block
      +
    1. A_FSB<12>
    2. +
    3. A_FSB<13>
    4. +
    5. A_FSB<14>
    6. +
    7. A_FSB<15>
    8. +
    9. A_FSB<16>
    10. +
    11. A_FSB<17>
    12. +
    13. A_FSB<18>
    14. +
    15. A_FSB<19>
    16. +
    17. A_FSB<20>
    18. +
    19. A_FSB<21>
    20. +
    21. A_FSB<22>
    22. +
    23. A_FSB<23>
    24. +
    25. A_FSB<3>
    26. +
    27. A_FSB<4>
    28. +
    29. A_FSB<5>
    30. +
    31. A_FSB<6>
    32. +
    33. A_FSB<7>
    34. +
    35. BERR_IOBS
    36. +
    37. IOBERR
    38. +
    39. IORW0
    40. +
    41. cs/nOverlay1
    42. +
    43. fsb/ASrf
    44. +
    45. iobs/IOACTr
    46. +
    47. iobs/IORW1
    48. +
    49. iobs/Once
    50. +
    51. iobs/PS_FSM_FFd1
    52. +
    53. iobs/PS_FSM_FFd2
    54. +
    55. nADoutLE1
    56. +
    57. nAS_FSB
    58. +
    59. nWE_FSB
    60. +
    61. ram/RASEL
    62. +
    + + + +
    + +    + +
    + +
    + + diff --git a/cpld/XC95144/MXSE_html/fit/fbs_FB6.htm b/cpld/XC95144XL/MXSE_html/fit/fbs_FB6 2.htm similarity index 54% rename from cpld/XC95144/MXSE_html/fit/fbs_FB6.htm rename to cpld/XC95144XL/MXSE_html/fit/fbs_FB6 2.htm index 33ea4aa..18b0e47 100644 --- a/cpld/XC95144/MXSE_html/fit/fbs_FB6.htm +++ b/cpld/XC95144XL/MXSE_html/fit/fbs_FB6 2.htm @@ -27,9 +27,9 @@
    Pin Use
    ram/Once5 1_1 1_2 1_3 1_4 1_5 +iobm/Er21 1_1 MC1 STD(b)
    RA<5>2 2_1 2_2 +nCAS1 2_1 MC2 STDO
    ALE0Siobm/DTACKrr 1  3_1 (b)
    ram/RS_FSM_FFd311 3_2 3_3 3_4 3_5 4_1 4_2 4_3 4_4 4_5 5_3 5_4 +iobm/DTACKrf1 4_1 MC4 STD(b)
    iobs/PS_FSM_FFd12 5_1 5_2 +RefAck1 5_1 MC5 STD 76 I/OII
    RA<6>2 6_1 6_2 +nRAMLWE1 6_1 MC6 STDO
    iobs/IOL1iobs/IOU1 2  7_1 7_2 (b)
    cs/nOverlay1iobs/IOL1 2  8_1 8_2 STD 78 I/OII
    RA<7>2 9_1 9_2 +nRAMUWE1 9_1 MC9 STDO
    fsb/Ready0riobm/ES<3> 3  10_1 10_2 10_3 (b)
    IOL0iobm/ES<1> 3  11_1 11_2 11_3 STD 80 I/OII
    RA<8>2 12_1 12_2 +nROMWE1 12_1 MC12 STDO
    iobs/IOReady4 13_1 13_2 13_3 13_4 +iobm/ES<0>3 13_1 13_2 13_3 MC13 STD(b)
    ram/RS_FSM_FFd15 14_1 14_2 14_3 14_4 14_5 +IOU03 14_1 14_2 14_3 MC14 STD 82 I/OII
    nADoutLE12 15_1 15_2 +nVPA_FSB1 15_1 MC15 STDO
    iobs/Clear11 16_1 +IOL03 16_1 16_2 16_3 MC16 STD(b)
    ram/RS_FSM_FFd213 16_2 16_3 16_4 16_5 17_1 17_2 17_3 17_4 17_5 18_2 18_3 18_4 18_5 +iobm/ES<4>4 17_1 17_2 17_3 17_4 MC17 STD 86 I/OII
    RefAck1 18_1 +iobm/ES<2>5 18_1 18_2 18_3 18_4 18_5 MC18 STD

    Signals Used By Logic in Function Block
      -
    1. A_FSB<15>
    2. -
    3. A_FSB<16>
    4. -
    5. A_FSB<17>
    6. -
    7. A_FSB<18>
    8. -
    9. A_FSB<21>
    10. -
    11. A_FSB<22>
    12. -
    13. A_FSB<23>
    14. -
    15. A_FSB<6>
    16. -
    17. A_FSB<7>
    18. -
    19. A_FSB<8>
    20. -
    21. A_FSB<9>
    22. -
    23. IOBERR
    24. -
    25. cnt/RefCnt<5>
    26. -
    27. cnt/RefCnt<6>
    28. -
    29. cnt/RefCnt<7>
    30. -
    31. cnt/RefDone
    32. -
    33. cs/nOverlay0
    34. -
    35. cs/nOverlay1
    36. -
    37. fsb/ASrf
    38. -
    39. fsb/Ready0r
    40. -
    41. iobs/Clear1
    42. -
    43. iobs/IOACTr
    44. +
    45. fsb/VPA
    46. +
    47. iobm/ES<0>
    48. +
    49. iobm/ES<1>
    50. +
    51. iobm/ES<2>
    52. +
    53. iobm/ES<3>
    54. +
    55. iobm/ES<4>
    56. +
    57. iobm/Er
    58. +
    59. iobm/Er2
    60. iobs/IOL1
    61. -
    62. iobs/IOReady
    63. +
    64. iobs/IOU1
    65. iobs/Load1
    66. -
    67. iobs/Once
    68. iobs/PS_FSM_FFd1
    69. iobs/PS_FSM_FFd2
    70. nADoutLE1
    71. nAS_FSB
    72. +
    73. nDTACK_IOB
    74. nLDS_FSB
    75. -
    76. ram/BACTr
    77. -
    78. ram/Once
    79. -
    80. ram/RAMReady
    81. +
    82. nUDS_FSB
    83. +
    84. nWE_FSB
    85. +
    86. ram/RAMDIS1
    87. +
    88. ram/RAMDIS2
    89. ram/RASEL
    90. ram/RS_FSM_FFd1
    91. ram/RS_FSM_FFd2
    92. -
    93. ram/RS_FSM_FFd3
    + +
    diff --git a/cpld/XC95144XL/MXSE_html/fit/fbs_FB6.htm b/cpld/XC95144XL/MXSE_html/fit/fbs_FB6.htm new file mode 100644 index 0000000..9c32a96 --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/fbs_FB6.htm @@ -0,0 +1,276 @@ + + + + + + + + + + +
    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Signal NameTotal Product TermsProduct TermsLocationPower ModePin NumberPinTypePin Use
    iobm/ETACK1 1_1 +MC1STD (b)(b)
    nVMA_IOB2 2_1 2_2 +MC2STD74I/OO
    ALE0M2 3_1 3_2 +MC3STD (b)(b)
    iobm/ES<3>3 4_1 4_2 4_3 +MC4STD (b)(b)
    iobm/ES<1>3 5_1 5_2 5_3 +MC5STD76I/OI
    iobm/ES<0>3 6_1 6_2 6_3 +MC6STD77I/OI
    iobm/IOS_FSM_FFd44 7_1 7_2 7_3 7_4 +MC7STD (b)(b)
    iobm/ES<4>4 8_1 8_2 8_3 8_4 +MC8STD78I/OI
    nLDS_IOB4 9_1 9_2 9_3 9_4 +MC9STD79I/OO
    iobm/IOS_FSM_FFd35 10_1 10_2 10_3 10_4 10_5 +MC10STD (b)(b)
    nUDS_IOB4 11_1 11_2 11_3 11_4 +MC11STD80I/OO
    nAS_IOB2 12_1 12_2 +MC12STD81I/OO
    iobm/ES<2>5 13_1 13_2 13_3 13_4 13_5 +MC13STD (b)(b)
    nADoutLE12 14_1 14_2 +MC14STD82I/OO
    nADoutLE01 15_1 +MC15STD85I/OO
    IOACT6 15_2 16_1 16_2 16_3 16_4 16_5 +MC16STD (b)(b)
    nDinLE2 17_1 17_2 +MC17STD86I/OO
    IOBERR9 17_3 17_4 17_5 18_1 18_2 18_3 18_4 18_5 1_2 +MC18STD (b)(b)
    +
    +
    Signals Used By Logic in Function Block
      +
    1. ALE0M
    2. +
    3. ALE0S
    4. +
    5. CLK_IOB
    6. +
    7. IOACT
    8. +
    9. IOBERR
    10. +
    11. IOL0
    12. +
    13. IORW0
    14. +
    15. IOU0
    16. +
    17. iobm/BERRrf
    18. +
    19. iobm/BERRrr
    20. +
    21. iobm/DTACKrf
    22. +
    23. iobm/DTACKrr
    24. +
    25. iobm/ES<0>
    26. +
    27. iobm/ES<1>
    28. +
    29. iobm/ES<2>
    30. +
    31. iobm/ES<3>
    32. +
    33. iobm/ES<4>
    34. +
    35. iobm/ETACK
    36. +
    37. iobm/Er
    38. +
    39. iobm/Er2
    40. +
    41. iobm/IOREQr
    42. +
    43. iobm/IOS_FSM_FFd1
    44. +
    45. iobm/IOS_FSM_FFd2
    46. +
    47. iobm/IOS_FSM_FFd3
    48. +
    49. iobm/IOS_FSM_FFd4
    50. +
    51. iobm/RESrf
    52. +
    53. iobm/RESrr
    54. +
    55. iobm/VPArf
    56. +
    57. iobm/VPArr
    58. +
    59. iobs/Clear1
    60. +
    61. iobs/Load1
    62. +
    63. nADoutLE1
    64. +
    65. nBERR_IOB
    66. +
    67. nVMA_IOB
    68. +
    + + + +
    + +    + +
    + +
    + + diff --git a/cpld/XC95144XL/MXSE_html/fit/fbs_FB7 2.htm b/cpld/XC95144XL/MXSE_html/fit/fbs_FB7 2.htm new file mode 100644 index 0000000..57f9db0 --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/fbs_FB7 2.htm @@ -0,0 +1,276 @@ + + + + + + + + + + +
    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Signal NameTotal Product TermsProduct TermsLocationPower ModePin NumberPinTypePin Use
    cnt/RefCnt<5>1 1_1 +MC1STD (b)(b)
    RA<5>2 2_1 2_2 +MC2STD50I/OO
    cnt/RefCnt<4>1 3_1 +MC3STD (b)(b)
    cs/nOverlay02 4_1 4_2 +MC4STD (b)(b)
    ram/RS_FSM_FFd311 4_3 4_4 4_5 5_1 5_2 5_3 5_4 5_5 6_3 6_4 6_5 +MC5STD52I/OI
    RA<6>2 6_1 6_2 +MC6STD53I/OO
    fsb/Ready0r3 7_1 7_2 7_3 +MC7STD (b)(b)
    ram/RS_FSM_FFd15 8_1 8_2 8_3 8_4 8_5 +MC8STD54I/OI
    RA<7>2 9_1 9_2 +MC9STD55I/OO
    ram/Once5 10_1 10_2 9_3 9_4 9_5 +MC10STD (b)(b)
    ram/RAMDIS27 10_3 10_4 10_5 11_1 11_2 11_3 11_4 +MC11STD56I/OI
    RA<8>2 11_5 12_1 +MC12STD58I/OO
    ram/RS_FSM_FFd213 12_2 12_3 12_4 12_5 13_1 13_2 13_3 13_4 13_5 14_2 14_3 14_4 14_5 +MC13STD (b)(b)
    cnt/RefCnt<7>1 14_1 +MC14STD59I/OI
    RA<9>2 15_1 15_2 +MC15STD60I/OO
    cnt/RefCnt<6>1 15_3 +MC16STD (b)(b)
    ram/RAMReady16 16_1 16_2 16_3 16_4 16_5 17_1 17_2 17_3 17_4 17_5 18_1 18_2 18_3 18_4 18_5 1_2 +MC17STD61I/OI
    (unused)0 MC18  (b)(b)
    +
    +
    Signals Used By Logic in Function Block
      +
    1. A_FSB<15>
    2. +
    3. A_FSB<16>
    4. +
    5. A_FSB<17>
    6. +
    7. A_FSB<18>
    8. +
    9. A_FSB<19>
    10. +
    11. A_FSB<20>
    12. +
    13. A_FSB<21>
    14. +
    15. A_FSB<22>
    16. +
    17. A_FSB<23>
    18. +
    19. A_FSB<6>
    20. +
    21. A_FSB<7>
    22. +
    23. A_FSB<8>
    24. +
    25. A_FSB<9>
    26. +
    27. cnt/RefCnt<0>
    28. +
    29. cnt/RefCnt<1>
    30. +
    31. cnt/RefCnt<2>
    32. +
    33. cnt/RefCnt<3>
    34. +
    35. cnt/RefCnt<4>
    36. +
    37. cnt/RefCnt<5>
    38. +
    39. cnt/RefCnt<6>
    40. +
    41. cnt/RefCnt<7>
    42. +
    43. cnt/RefDone
    44. +
    45. cs/nOverlay0
    46. +
    47. cs/nOverlay1
    48. +
    49. fsb/ASrf
    50. +
    51. fsb/Ready0r
    52. +
    53. nAS_FSB
    54. +
    55. ram/BACTr
    56. +
    57. ram/Once
    58. +
    59. ram/RAMDIS2
    60. +
    61. ram/RAMReady
    62. +
    63. ram/RASEL
    64. +
    65. ram/RS_FSM_FFd1
    66. +
    67. ram/RS_FSM_FFd2
    68. +
    69. ram/RS_FSM_FFd3
    70. +
    + + + +
    + +    + +
    + +
    + + diff --git a/cpld/XC95144XL/MXSE_html/fit/fbs_FB7.htm b/cpld/XC95144XL/MXSE_html/fit/fbs_FB7.htm new file mode 100644 index 0000000..d67c521 --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/fbs_FB7.htm @@ -0,0 +1,278 @@ + + + + + + + + + + +
    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Signal NameTotal Product TermsProduct TermsLocationPower ModePin NumberPinTypePin Use
    TimeoutB3 2_3 2_4 2_5 +MC1STD (b)(b)
    RA<1>2 2_1 2_2 +MC2STD50I/OO
    cnt/RefDone2 3_1 3_2 +MC3STD (b)(b)
    fsb/Ready0r3 4_1 4_2 4_3 +MC4STD (b)(b)
    RA<7>2 5_1 5_2 +MC5STD52I/OO
    RA<0>2 6_1 6_2 +MC6STD53I/OO
    cs/nOverlay03 7_1 7_2 7_3 +MC7STD (b)(b)
    RA<8>2 8_1 8_2 +MC8STD54I/OO
    RA<10>1 9_1 +MC9STD55I/OO
    cnt/TimeoutBPre3 10_1 10_2 10_3 +MC10STD (b)(b)
    RA<9>2 11_1 11_2 +MC11STD56I/OO
    TimeoutA3 11_3 11_4 11_5 +MC12STD58I/O(b)
    (unused)0 MC13  (b)(b)
    ram/RS_FSM_FFd311 12_1 12_2 12_3 12_4 12_5 13_1 13_2 13_3 13_4 13_5 14_1 +MC14STD59I/O(b)
    (unused)0 MC15 60I/O(b)
    ram/RS_FSM_FFd213 14_2 14_3 14_4 14_5 15_1 15_2 15_3 15_4 15_5 16_1 16_2 16_3 16_4 +MC16STD (b)(b)
    (unused)0 MC17 61I/O(b)
    ram/RAMReady16 16_5 17_1 17_2 17_3 17_4 17_5 18_1 18_2 18_3 18_4 18_5 1_1 1_2 1_3 1_4 1_5 +MC18STD (b)(b)
    +
    +
    Signals Used By Logic in Function Block
      +
    1. A_FSB<10>
    2. +
    3. A_FSB<11>
    4. +
    5. A_FSB<17>
    6. +
    7. A_FSB<18>
    8. +
    9. A_FSB<19>
    10. +
    11. A_FSB<1>
    12. +
    13. A_FSB<20>
    14. +
    15. A_FSB<21>
    16. +
    17. A_FSB<22>
    18. +
    19. A_FSB<23>
    20. +
    21. A_FSB<2>
    22. +
    23. A_FSB<8>
    24. +
    25. A_FSB<9>
    26. +
    27. RefAck
    28. +
    29. TimeoutA
    30. +
    31. TimeoutB
    32. +
    33. cnt/RefCnt<0>
    34. +
    35. cnt/RefCnt<1>
    36. +
    37. cnt/RefCnt<2>
    38. +
    39. cnt/RefCnt<3>
    40. +
    41. cnt/RefCnt<4>
    42. +
    43. cnt/RefCnt<5>
    44. +
    45. cnt/RefCnt<6>
    46. +
    47. cnt/RefCnt<7>
    48. +
    49. cnt/RefDone
    50. +
    51. cnt/TimeoutBPre
    52. +
    53. cs/nOverlay0
    54. +
    55. cs/nOverlay1
    56. +
    57. fsb/ASrf
    58. +
    59. fsb/Ready0r
    60. +
    61. nAS_FSB
    62. +
    63. nRES
    64. +
    65. ram/BACTr
    66. +
    67. ram/Once
    68. +
    69. ram/RAMReady
    70. +
    71. ram/RASEL
    72. +
    73. ram/RS_FSM_FFd1
    74. +
    75. ram/RS_FSM_FFd2
    76. +
    77. ram/RS_FSM_FFd3
    78. +
    + + + +
    + +    + +
    + +
    + + diff --git a/cpld/XC95144/MXSE_html/fit/fbs_FB7.htm b/cpld/XC95144XL/MXSE_html/fit/fbs_FB8 2.htm similarity index 69% rename from cpld/XC95144/MXSE_html/fit/fbs_FB7.htm rename to cpld/XC95144XL/MXSE_html/fit/fbs_FB8 2.htm index 0d96b05..4250038 100644 --- a/cpld/XC95144/MXSE_html/fit/fbs_FB7.htm +++ b/cpld/XC95144XL/MXSE_html/fit/fbs_FB8 2.htm @@ -12,8 +12,8 @@ - -
    @@ -37,13 +37,13 @@ - - - + + - + @@ -73,19 +73,20 @@ - + - + - - - + + + - - + + - + @@ -98,25 +99,25 @@ - - - - - - - - - - - + - + - + + + + + + + + + + + @@ -134,18 +135,18 @@ - + - + - - - + + - + @@ -165,47 +166,46 @@ - + - + - + - - + - + - - - + + + - + - + - + - - + - - - + + @@ -218,22 +218,15 @@
    Signals Used By Logic in Function Block
    1. ALE0M
    2. ALE0S
    3. -
    4. A_FSB<22>
    5. +
    6. A_FSB<19>
    7. +
    8. A_FSB<21>
    9. E_IOB
    10. -
    11. cs/nOverlay1
    12. -
    13. fsb/VPA
    14. -
    15. iobm/IOS_FSM_FFd1
    16. +
    17. iobm/IOS_FSM_FFd2
    18. iobm/IOS_FSM_FFd3
    19. iobm/IOS_FSM_FFd4
    20. -
    21. nAS_FSB
    22. -
    23. nVPA_IOB
     
    nDinLE2 2_1 2_2 +RA<11>1 2_1 MC2 STD5063 I/O O
      MC5  5264 I/O I
    (unused)0 RA<10>1 6_1 + MC6 53STD65 I/OIO
    (unused) 
    nADoutLE01 8_1 -MC8STD54I/OO
    (unused) 0  MC9MC8  5566 I/OII
    nADoutLE01 9_1 +MC9STD67I/OO
    (unused)  MC11  5668 I/OII
    nVPA_FSB1 12_1 +nAoutOE0  MC12 STD5870 I/O O
      MC14  5971 I/OII
    iobm/VPArrnDinLE 1 15_1 + 15_1 MC15 STD6072 I/OIO
    iobm/VPArf1 16_1 -(unused)0  MC16STD    (b)(b) 
    iobm/Eriobm/IOS_FSM_FFd1 1 17_1 + 17_1 MC17 STD6173 I/O I
    $OpTx$FX_DC$3602 18_1 18_2 +iobm/Er1 18_1 MC18 STD
    - + diff --git a/cpld/XC95144/MXSE_html/fit/fbs_FB3.htm b/cpld/XC95144XL/MXSE_html/fit/fbs_FB8.htm similarity index 52% rename from cpld/XC95144/MXSE_html/fit/fbs_FB3.htm rename to cpld/XC95144XL/MXSE_html/fit/fbs_FB8.htm index 1136837..2294fe6 100644 --- a/cpld/XC95144/MXSE_html/fit/fbs_FB3.htm +++ b/cpld/XC95144XL/MXSE_html/fit/fbs_FB8.htm @@ -1,265 +1,268 @@ - - - - - - -
    - -    - -
    - - - -
    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    Signal NameTotal Product TermsProduct TermsLocationPower ModePin NumberPinTypePin Use
    (unused)0 MC1  (b)(b)
    (unused)0 MC2 23I/O/GCK2GCK
    (unused)0 MC3  (b)(b)
    ram/RASEL20 2_1 2_2 2_3 2_4 2_5 3_1 3_2 3_3 3_4 3_5 4_1 4_2 4_3 4_4 4_5 5_1 5_2 5_3 5_4 5_5 -MC4STD (b)(b)
    nRAS3 6_1 6_2 6_3 -MC5STD24I/OO
    (unused)0 MC6 25I/OI
    (unused)0 MC7  (b)(b)
    ram/RAMDIS27 7_1 7_2 7_3 8_1 8_2 8_3 8_4 -MC8STD27I/O/GCK3GCK/I
    (unused)0 MC9 28I/O(b)
    iobs/Load114 10_1 10_2 10_3 10_4 10_5 11_3 11_4 11_5 8_5 9_1 9_2 9_3 9_4 9_5 -MC10STD (b)(b)
    RA<0>2 11_1 11_2 -MC11STD29I/OO
    (unused)0 MC12 30I/O(b)
    ram/RAMReady16 12_1 12_2 12_3 12_4 12_5 13_1 13_2 13_3 13_4 13_5 14_1 14_2 14_3 14_4 14_5 15_2 -MC13STD (b)(b)
    (unused)0 MC14 32I/O(b)
    RA<11>1 15_1 -MC15STD33I/OO
    (unused)0 MC16  (b)(b)
    ram/RAMDIS118 16_1 16_2 16_3 16_4 16_5 17_1 17_2 17_3 17_4 17_5 18_1 18_2 18_3 18_4 18_5 1_1 1_2 1_3 -MC17STD34I/O(b)
    (unused)0 MC18  (b)(b)
    -
    -
    Signals Used By Logic in Function Block
      -
    1. A_FSB<10>
    2. -
    3. A_FSB<13>
    4. -
    5. A_FSB<14>
    6. -
    7. A_FSB<16>
    8. -
    9. A_FSB<17>
    10. -
    11. A_FSB<18>
    12. -
    13. A_FSB<19>
    14. -
    15. A_FSB<1>
    16. -
    17. A_FSB<20>
    18. -
    19. A_FSB<21>
    20. -
    21. A_FSB<22>
    22. -
    23. A_FSB<23>
    24. -
    25. RefAck
    26. -
    27. cnt/RefCnt<5>
    28. -
    29. cnt/RefCnt<6>
    30. -
    31. cnt/RefCnt<7>
    32. -
    33. cnt/RefDone
    34. -
    35. cs/nOverlay1
    36. -
    37. fsb/ASrf
    38. -
    39. iobs/Once
    40. -
    41. iobs/PS_FSM_FFd1
    42. -
    43. iobs/PS_FSM_FFd2
    44. -
    45. nADoutLE1
    46. -
    47. nAS_FSB
    48. -
    49. nWE_FSB
    50. -
    51. ram/BACTr
    52. -
    53. ram/Once
    54. -
    55. ram/RAMDIS1
    56. -
    57. ram/RAMDIS2
    58. -
    59. ram/RASEL
    60. -
    61. ram/RS_FSM_FFd1
    62. -
    63. ram/RS_FSM_FFd2
    64. -
    65. ram/RS_FSM_FFd3
    66. -
    - - - -
    - -    - -
    - -
    - - + + + + + + + + + + +
    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Signal NameTotal Product TermsProduct TermsLocationPower ModePin NumberPinTypePin Use
    ram/RASEL20 17_1 17_2 17_3 17_4 17_5 18_1 18_2 18_3 18_4 18_5 1_1 1_2 1_3 1_4 1_5 2_1 2_2 2_3 2_4 2_5 +MC1STD (b)(b)
    RA<11>1 3_4 +MC2STD63I/OO
    fsb/BERR0r3 3_1 3_2 3_3 +MC3STD (b)(b)
    ram/RS_FSM_FFd15 4_1 4_2 4_3 4_4 4_5 +MC4STD (b)(b)
    nRAS3 5_1 5_2 5_3 +MC5STD64I/OO
    nRAMLWE1 6_1 +MC6STD65I/OO
    ram/Once5 7_1 7_2 7_3 7_4 7_5 +MC7STD (b)(b)
    nRAMUWE1 8_1 +MC8STD66I/OO
    iobs/Load114 10_1 10_2 10_3 10_4 10_5 8_2 8_3 8_4 8_5 9_1 9_2 9_3 9_4 9_5 +MC9STD67I/O(b)
    (unused)0 MC10  (b)(b)
    (unused)0 MC11 68I/O(b)
    nBERR_FSB3 11_1 11_2 11_3 +MC12STD70I/OO
    ram/RAMDIS27 12_1 12_2 12_3 12_4 12_5 13_1 13_2 +MC13STD (b)(b)
    (unused)0 MC14 71I/O(b)
    ram/RAMDIS118 13_3 13_4 13_5 14_1 14_2 14_3 14_4 14_5 15_1 15_2 15_3 15_4 15_5 16_1 16_2 16_3 16_4 16_5 +MC15STD72I/O(b)
    (unused)0 MC16  (b)(b)
    (unused)0 MC17 73I/O(b)
    (unused)0 MC18  (b)(b)
    +
    +
    Signals Used By Logic in Function Block
      +
    1. A_FSB<13>
    2. +
    3. A_FSB<14>
    4. +
    5. A_FSB<16>
    6. +
    7. A_FSB<17>
    8. +
    9. A_FSB<18>
    10. +
    11. A_FSB<19>
    12. +
    13. A_FSB<20>
    14. +
    15. A_FSB<21>
    16. +
    17. A_FSB<22>
    18. +
    19. A_FSB<23>
    20. +
    21. BERR_IOBS
    22. +
    23. RefAck
    24. +
    25. TimeoutB
    26. +
    27. cnt/RefCnt<5>
    28. +
    29. cnt/RefCnt<6>
    30. +
    31. cnt/RefCnt<7>
    32. +
    33. cnt/RefDone
    34. +
    35. cs/nOverlay1
    36. +
    37. fsb/ASrf
    38. +
    39. fsb/BERR0r
    40. +
    41. fsb/BERR1r
    42. +
    43. iobs/Once
    44. +
    45. iobs/PS_FSM_FFd1
    46. +
    47. iobs/PS_FSM_FFd2
    48. +
    49. nADoutLE1
    50. +
    51. nAS_FSB
    52. +
    53. nLDS_FSB
    54. +
    55. nUDS_FSB
    56. +
    57. nWE_FSB
    58. +
    59. ram/BACTr
    60. +
    61. ram/Once
    62. +
    63. ram/RAMDIS1
    64. +
    65. ram/RAMDIS2
    66. +
    67. ram/RS_FSM_FFd1
    68. +
    69. ram/RS_FSM_FFd2
    70. +
    71. ram/RS_FSM_FFd3
    72. +
    + + + +
    + +
    + + diff --git a/cpld/XC95144/MXSE_html/fit/fbs_FBdoc.htm b/cpld/XC95144XL/MXSE_html/fit/fbs_FBdoc.htm similarity index 100% rename from cpld/XC95144/MXSE_html/fit/fbs_FBdoc.htm rename to cpld/XC95144XL/MXSE_html/fit/fbs_FBdoc.htm diff --git a/cpld/XC95144/MXSE_html/fit/fbsdoc.htm b/cpld/XC95144XL/MXSE_html/fit/fbsdoc.htm similarity index 100% rename from cpld/XC95144/MXSE_html/fit/fbsdoc.htm rename to cpld/XC95144XL/MXSE_html/fit/fbsdoc.htm diff --git a/cpld/XC95144/MXSE_html/fit/fbview.jpg b/cpld/XC95144XL/MXSE_html/fit/fbview.jpg similarity index 100% rename from cpld/XC95144/MXSE_html/fit/fbview.jpg rename to cpld/XC95144XL/MXSE_html/fit/fbview.jpg diff --git a/cpld/XC95144/MXSE_html/fit/functionblock.gif b/cpld/XC95144XL/MXSE_html/fit/functionblock.gif similarity index 100% rename from cpld/XC95144/MXSE_html/fit/functionblock.gif rename to cpld/XC95144XL/MXSE_html/fit/functionblock.gif diff --git a/cpld/XC95144/MXSE_html/fit/genmsg.htm b/cpld/XC95144XL/MXSE_html/fit/genmsg.htm similarity index 100% rename from cpld/XC95144/MXSE_html/fit/genmsg.htm rename to cpld/XC95144XL/MXSE_html/fit/genmsg.htm diff --git a/cpld/XC95144/MXSE_html/fit/header.gif b/cpld/XC95144XL/MXSE_html/fit/header.gif similarity index 100% rename from cpld/XC95144/MXSE_html/fit/header.gif rename to cpld/XC95144XL/MXSE_html/fit/header.gif diff --git a/cpld/XC95144/MXSE_html/fit/home.gif b/cpld/XC95144XL/MXSE_html/fit/home.gif similarity index 100% rename from cpld/XC95144/MXSE_html/fit/home.gif rename to cpld/XC95144XL/MXSE_html/fit/home.gif diff --git a/cpld/XC95144/MXSE_html/fit/index.htm b/cpld/XC95144XL/MXSE_html/fit/index.htm similarity index 100% rename from cpld/XC95144/MXSE_html/fit/index.htm rename to cpld/XC95144XL/MXSE_html/fit/index.htm diff --git a/cpld/XC95144/MXSE_html/fit/inputleft.htm b/cpld/XC95144XL/MXSE_html/fit/inputleft.htm similarity index 98% rename from cpld/XC95144/MXSE_html/fit/inputleft.htm rename to cpld/XC95144XL/MXSE_html/fit/inputleft.htm index 83dc5e0..df21b5f 100644 --- a/cpld/XC95144/MXSE_html/fit/inputleft.htm +++ b/cpld/XC95144XL/MXSE_html/fit/inputleft.htm @@ -1,14 +1,14 @@ - - - - - -

    Unmapped Inputs

    - - - -
    Signal NameUser Assignment
    - - -
    - + + + + + +

    Unmapped Inputs

    + + + +
    Signal NameUser Assignment
    + + +
    + diff --git a/cpld/XC95144/MXSE_html/fit/inputleft.js b/cpld/XC95144XL/MXSE_html/fit/inputleft.js similarity index 100% rename from cpld/XC95144/MXSE_html/fit/inputleft.js rename to cpld/XC95144XL/MXSE_html/fit/inputleft.js diff --git a/cpld/XC95144/MXSE_html/fit/inputleftdoc.htm b/cpld/XC95144XL/MXSE_html/fit/inputleftdoc.htm similarity index 100% rename from cpld/XC95144/MXSE_html/fit/inputleftdoc.htm rename to cpld/XC95144XL/MXSE_html/fit/inputleftdoc.htm diff --git a/cpld/XC95144/MXSE_html/fit/leftnav.htm b/cpld/XC95144XL/MXSE_html/fit/leftnav.htm similarity index 98% rename from cpld/XC95144/MXSE_html/fit/leftnav.htm rename to cpld/XC95144XL/MXSE_html/fit/leftnav.htm index 99d935f..bc812ca 100644 --- a/cpld/XC95144/MXSE_html/fit/leftnav.htm +++ b/cpld/XC95144XL/MXSE_html/fit/leftnav.htm @@ -1,63 +1,63 @@ - - - - - -
    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    Fitter Report
     Summary
     Errors/Warnings
     Logic
     Inputs
     Function Blocks
     Equations
     Pin List
     Compiler Options
     Text Report
     Help
    -


    - - - - - -
    Equation Display Style
     
    -
      -
    - -
    - + + + + + +
    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Fitter Report
     Summary
     Errors/Warnings
     Logic
     Inputs
     Function Blocks
     Equations
     Pin List
     Compiler Options
     Text Report
     Help
    +


    + + + + + +
    Equation Display Style
     
    +
      +
    + +
    + diff --git a/cpld/XC95144/MXSE_html/fit/leftnav.js b/cpld/XC95144XL/MXSE_html/fit/leftnav.js similarity index 100% rename from cpld/XC95144/MXSE_html/fit/leftnav.js rename to cpld/XC95144XL/MXSE_html/fit/leftnav.js diff --git a/cpld/XC95144/MXSE_html/fit/legend.gif b/cpld/XC95144XL/MXSE_html/fit/legend.gif similarity index 100% rename from cpld/XC95144/MXSE_html/fit/legend.gif rename to cpld/XC95144XL/MXSE_html/fit/legend.gif diff --git a/cpld/XC95144/MXSE_html/fit/legend.jpg b/cpld/XC95144XL/MXSE_html/fit/legend.jpg similarity index 100% rename from cpld/XC95144/MXSE_html/fit/legend.jpg rename to cpld/XC95144XL/MXSE_html/fit/legend.jpg diff --git a/cpld/XC95144/MXSE_html/fit/logic_legXC95.htm b/cpld/XC95144XL/MXSE_html/fit/logic_legXC95.htm similarity index 100% rename from cpld/XC95144/MXSE_html/fit/logic_legXC95.htm rename to cpld/XC95144XL/MXSE_html/fit/logic_legXC95.htm diff --git a/cpld/XC95144/MXSE_html/fit/logic_legXbr.htm b/cpld/XC95144XL/MXSE_html/fit/logic_legXbr.htm similarity index 100% rename from cpld/XC95144/MXSE_html/fit/logic_legXbr.htm rename to cpld/XC95144XL/MXSE_html/fit/logic_legXbr.htm diff --git a/cpld/XC95144/MXSE_html/fit/logic_legXpla3.htm b/cpld/XC95144XL/MXSE_html/fit/logic_legXpla3.htm similarity index 100% rename from cpld/XC95144/MXSE_html/fit/logic_legXpla3.htm rename to cpld/XC95144XL/MXSE_html/fit/logic_legXpla3.htm diff --git a/cpld/XC95144/MXSE_html/fit/logicleft.htm b/cpld/XC95144XL/MXSE_html/fit/logicleft.htm similarity index 98% rename from cpld/XC95144/MXSE_html/fit/logicleft.htm rename to cpld/XC95144XL/MXSE_html/fit/logicleft.htm index 5da8011..577223a 100644 --- a/cpld/XC95144/MXSE_html/fit/logicleft.htm +++ b/cpld/XC95144XL/MXSE_html/fit/logicleft.htm @@ -1,16 +1,16 @@ - - - - - -

    Unmapped Logic

    - - - - - -
    Signal NameTotal PtermsTotal InputsUser Assignment
    - - -
    - + + + + + +

    Unmapped Logic

    + + + + + +
    Signal NameTotal PtermsTotal InputsUser Assignment
    + + +
    + diff --git a/cpld/XC95144/MXSE_html/fit/logicleft.js b/cpld/XC95144XL/MXSE_html/fit/logicleft.js similarity index 100% rename from cpld/XC95144/MXSE_html/fit/logicleft.js rename to cpld/XC95144XL/MXSE_html/fit/logicleft.js diff --git a/cpld/XC95144/MXSE_html/fit/logicleftdoc.htm b/cpld/XC95144XL/MXSE_html/fit/logicleftdoc.htm similarity index 100% rename from cpld/XC95144/MXSE_html/fit/logicleftdoc.htm rename to cpld/XC95144XL/MXSE_html/fit/logicleftdoc.htm diff --git a/cpld/XC95144/MXSE_html/fit/logiclegend.htm b/cpld/XC95144XL/MXSE_html/fit/logiclegend.htm similarity index 96% rename from cpld/XC95144/MXSE_html/fit/logiclegend.htm rename to cpld/XC95144XL/MXSE_html/fit/logiclegend.htm index 7033b9e..d32b745 100644 --- a/cpld/XC95144/MXSE_html/fit/logiclegend.htm +++ b/cpld/XC95144XL/MXSE_html/fit/logiclegend.htm @@ -1,106 +1,106 @@ - - - - - - - -

    Legends

    -
    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    AcronymBrief Description
     * User Assigned
     (b) Buried macrocell
     FB# Function Block number
     GCK# Global Clock number
     GTS# Global Output Enable number
     GSR Global Set/Reset
     I Input
     I/O Input/Output
     Latch Transparent latch
     LOW Low Power Mode
     MC# Macrocell number
     O Output
     OD Open Drain
     PU Pullup
     /S After any flop/latch type indicates initial state is Set
     STD Standard Power Mode
     TCK Test clock
     TDI Test data input
     TDO Test data output
     TFF Toggle Flip-Flop
     TMS Test mode select
    - - -
    - - + + + + + + + +

    Legends

    +
    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    AcronymBrief Description
     * User Assigned
     (b) Buried macrocell
     FB# Function Block number
     GCK# Global Clock number
     GTS# Global Output Enable number
     GSR Global Set/Reset
     I Input
     I/O Input/Output
     Latch Transparent latch
     LOW Low Power Mode
     MC# Macrocell number
     O Output
     OD Open Drain
     PU Pullup
     /S After any flop/latch type indicates initial state is Set
     STD Standard Power Mode
     TCK Test clock
     TDI Test data input
     TDO Test data output
     TFF Toggle Flip-Flop
     TMS Test mode select
    + + +
    + + diff --git a/cpld/XC95144/MXSE_html/fit/logiclegendV.htm b/cpld/XC95144XL/MXSE_html/fit/logiclegendV.htm similarity index 97% rename from cpld/XC95144/MXSE_html/fit/logiclegendV.htm rename to cpld/XC95144XL/MXSE_html/fit/logiclegendV.htm index e1813d1..277fa91 100644 --- a/cpld/XC95144/MXSE_html/fit/logiclegendV.htm +++ b/cpld/XC95144XL/MXSE_html/fit/logiclegendV.htm @@ -1,106 +1,106 @@ - - - - - - - -

    Legends

    -
    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    AcronymVerbose Description
     * User Assigned
     (b) Buried macrocell
     FB# Function Block number
     GCK# Global Clock number
     GTS# Global Output Enable number
     GSR Global Set/Reset
     I Input
     I/O Input/Output
     Latch Transparent latch
     LOW Low Power Mode
     MC# Macrocell number
     O Output
     OD Open Drain
     PU Pullup
     /S After any flop/latch type indicates initial state is Set
     STD Standard Power Mode
     TCK One of four terminals required by (JTAG) IEEE Std 1149 or IEEE Std 1532. Test operations of the device are synchronous to TCK. Data is captured on the rising edge of TCK and outputs change on the falling edge of TCK. An internal pull-up forces TCK to a high level if left unconnected.
     TDI One of four terminals required by (JTAG) IEEE Std 1149 or IEEE Std 1532. It is the serial input for shifting data through the instruction register or selected data register. An internal pull-up forces TDI to a high level if left unconnected.
     TDO One of four terminals required by (JTAG) IEEE Std 1149 or IEEE Std 1532. It is the serial output for shifting data through the instruction register or selected data register. An internal pull-up forces TDI to a high level when it is not driven from an external source.
     TFF Toggle Flip-Flop
     TMS One of four terminals required by (JTAG) IEEE Std 1149 or IEEE Std 1532. It directs the device through its Test Access Port controller states. An internal pull-up forces TDI to a high level when it is not driven from an external source. TMS also provides the optional test reset signal of IEEE Std 1149 or IEEE Std 1532.
    - - -
    - - + + + + + + + +

    Legends

    +
    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    AcronymVerbose Description
     * User Assigned
     (b) Buried macrocell
     FB# Function Block number
     GCK# Global Clock number
     GTS# Global Output Enable number
     GSR Global Set/Reset
     I Input
     I/O Input/Output
     Latch Transparent latch
     LOW Low Power Mode
     MC# Macrocell number
     O Output
     OD Open Drain
     PU Pullup
     /S After any flop/latch type indicates initial state is Set
     STD Standard Power Mode
     TCK One of four terminals required by (JTAG) IEEE Std 1149 or IEEE Std 1532. Test operations of the device are synchronous to TCK. Data is captured on the rising edge of TCK and outputs change on the falling edge of TCK. An internal pull-up forces TCK to a high level if left unconnected.
     TDI One of four terminals required by (JTAG) IEEE Std 1149 or IEEE Std 1532. It is the serial input for shifting data through the instruction register or selected data register. An internal pull-up forces TDI to a high level if left unconnected.
     TDO One of four terminals required by (JTAG) IEEE Std 1149 or IEEE Std 1532. It is the serial output for shifting data through the instruction register or selected data register. An internal pull-up forces TDI to a high level when it is not driven from an external source.
     TFF Toggle Flip-Flop
     TMS One of four terminals required by (JTAG) IEEE Std 1149 or IEEE Std 1532. It directs the device through its Test Access Port controller states. An internal pull-up forces TDI to a high level when it is not driven from an external source. TMS also provides the optional test reset signal of IEEE Std 1149 or IEEE Std 1532.
    + + +
    + + diff --git a/cpld/XC95144/MXSE_html/fit/macrocell.gif b/cpld/XC95144XL/MXSE_html/fit/macrocell.gif similarity index 100% rename from cpld/XC95144/MXSE_html/fit/macrocell.gif rename to cpld/XC95144XL/MXSE_html/fit/macrocell.gif diff --git a/cpld/XC95144XL/MXSE_html/fit/mapinput_00 2.htm b/cpld/XC95144XL/MXSE_html/fit/mapinput_00 2.htm new file mode 100644 index 0000000..a1fa8f4 --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/mapinput_00 2.htm @@ -0,0 +1,304 @@ + + + + + + +

    Inputs

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Signal NameFunction BlockMacrocellPin NumberPin TypePin Use
    A_FSB<10>FB4MC589I/OI
    A_FSB<11>FB6MC1786I/OI
    A_FSB<12>FB4MC992I/OI
    A_FSB<13>FB6MC1482I/OI
    A_FSB<14>FB4MC1193I/OI
    A_FSB<15>FB6MC1180I/OI
    A_FSB<16>FB4MC1495I/OI
    A_FSB<17>FB4MC1596I/OI
    A_FSB<18>FB6MC576I/OI
    A_FSB<19>FB8MC1773I/OI
    A_FSB<1>FB4MC690I/OI
    A_FSB<20>FB8MC1471I/OI
    A_FSB<21>FB8MC1168I/OI
    A_FSB<22>FB2MC159I/OI
    A_FSB<23>FB8MC866I/OI
    A_FSB<2>FB1MC916I/OI
    A_FSB<3>FB6MC878I/OI
    A_FSB<4>FB7MC1156I/OI
    A_FSB<5>FB1MC815I/OI
    A_FSB<6>FB1MC1419I/OI
    A_FSB<7>FB1MC1520I/OI
    A_FSB<8>FB8MC564I/OI
    A_FSB<9>FB1MC312I/OI
    CLK2X_IOBFB1MC1722I/O/GCK1GCK
    CLK_FSBFB3MC223I/O/GCK2GCK
    CLK_IOBFB3MC827I/O/GCK3GCK/I
    E_IOBFB5MC1749I/OI
    nAS_FSBFB1MC513I/OI
    nBERR_IOBFB1MC1218I/OI
    nDTACK_IOBFB7MC854I/OI
    nLDS_FSBFB3MC625I/OI
    nRESFB2MC299I/O/GSRGSR/I
    nUDS_FSBFB7MC552I/OI
    nVPA_IOBFB7MC1459I/OI
    nWE_FSBFB7MC1761I/OI
    + + +
    + +
    + + diff --git a/cpld/XC95144/MXSE_html/fit/mapinput_00.htm b/cpld/XC95144XL/MXSE_html/fit/mapinput_00.htm similarity index 78% rename from cpld/XC95144/MXSE_html/fit/mapinput_00.htm rename to cpld/XC95144XL/MXSE_html/fit/mapinput_00.htm index ffdb152..6b46dde 100644 --- a/cpld/XC95144/MXSE_html/fit/mapinput_00.htm +++ b/cpld/XC95144XL/MXSE_html/fit/mapinput_00.htm @@ -1,304 +1,304 @@ - - - - - - -

    Inputs

    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    Signal NameFunction BlockMacrocellPin NumberPin TypePin Use
    A_FSB<10>FB4MC589I/OI
    A_FSB<11>FB8MC866I/OI
    A_FSB<12>FB8MC665I/OI
    A_FSB<13>FB1MC312I/OI
    A_FSB<14>FB8MC263I/OI
    A_FSB<15>FB6MC1786I/OI
    A_FSB<16>FB4MC891I/OI
    A_FSB<17>FB6MC1482I/OI
    A_FSB<18>FB4MC1193I/OI
    A_FSB<19>FB7MC1761I/OI
    A_FSB<1>FB8MC1572I/OI
    A_FSB<20>FB1MC614I/OI
    A_FSB<21>FB6MC1180I/OI
    A_FSB<22>FB4MC1495I/OI
    A_FSB<23>FB6MC878I/OI
    A_FSB<2>FB7MC955I/OI
    A_FSB<3>FB8MC967I/OI
    A_FSB<4>FB7MC1459I/OI
    A_FSB<5>FB1MC916I/OI
    A_FSB<6>FB4MC1797I/OI
    A_FSB<7>FB6MC576I/OI
    A_FSB<8>FB8MC1773I/OI
    A_FSB<9>FB8MC1471I/OI
    CLK2X_IOBFB1MC1722I/O/GCK1GCK
    CLK_FSBFB3MC223I/O/GCK2GCK
    CLK_IOBFB3MC827I/O/GCK3GCK/I
    E_IOBFB7MC653I/OI
    nAS_FSBFB8MC1270I/OI
    nBERR_IOBFB7MC1156I/OI
    nDTACK_IOBFB1MC1520I/OI
    nLDS_FSBFB2MC159I/OI
    nRESFB2MC299I/O/GSRGSR/I
    nUDS_FSBFB1MC1218I/OI
    nVPA_IOBFB3MC625I/OI
    nWE_FSBFB7MC1560I/OI
    - - -
    - -
    - - + + + + + + +

    Inputs

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Signal NameFunction BlockMacrocellPin NumberPin TypePin Use
    A_FSB<10>FB2MC148I/OI
    A_FSB<11>FB2MC159I/OI
    A_FSB<12>FB2MC1710I/OI
    A_FSB<13>FB1MC211I/OI
    A_FSB<14>FB1MC312I/OI
    A_FSB<15>FB1MC513I/OI
    A_FSB<16>FB1MC614I/OI
    A_FSB<17>FB1MC815I/OI
    A_FSB<18>FB1MC916I/OI
    A_FSB<19>FB1MC1117I/OI
    A_FSB<1>FB4MC1294I/OI
    A_FSB<20>FB1MC1218I/OI
    A_FSB<21>FB1MC1419I/OI
    A_FSB<22>FB1MC1520I/OI
    A_FSB<23>FB3MC524I/OI
    A_FSB<2>FB4MC1495I/OI
    A_FSB<3>FB4MC1596I/OI
    A_FSB<4>FB4MC1797I/OI
    A_FSB<5>FB2MC62I/O/GTS4I
    A_FSB<6>FB2MC83I/O/GTS1I
    A_FSB<7>FB2MC94I/O/GTS2I
    A_FSB<8>FB2MC116I/OI
    A_FSB<9>FB2MC127I/OI
    CLK2X_IOBFB1MC1722I/O/GCK1GCK
    CLK_FSBFB3MC827I/O/GCK3GCK
    CLK_IOBFB3MC223I/O/GCK2GCK/I
    E_IOBFB3MC625I/OI
    nAS_FSBFB3MC1432I/OI
    nBERR_IOBFB6MC576I/OI
    nDTACK_IOBFB6MC878I/OI
    nLDS_FSBFB3MC1230I/OI
    nRESFB4MC891I/OI
    nUDS_FSBFB3MC1533I/OI
    nVPA_IOBFB6MC677I/OI
    nWE_FSBFB3MC1129I/OI
    + + +
    + +
    + + diff --git a/cpld/XC95144XL/MXSE_html/fit/mapinput_01 2.htm b/cpld/XC95144XL/MXSE_html/fit/mapinput_01 2.htm new file mode 100644 index 0000000..01c4e3a --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/mapinput_01 2.htm @@ -0,0 +1,304 @@ + + + + + + +

    Inputs

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Signal NameFunction BlockMacrocellPin NumberPin TypePin Use
    A_FSB<9>FB1MC312I/OI
    nAS_FSBFB1MC513I/OI
    A_FSB<5>FB1MC815I/OI
    A_FSB<2>FB1MC916I/OI
    nBERR_IOBFB1MC1218I/OI
    A_FSB<6>FB1MC1419I/OI
    A_FSB<7>FB1MC1520I/OI
    CLK2X_IOBFB1MC1722I/O/GCK1GCK
    nRESFB2MC299I/O/GSRGSR/I
    A_FSB<22>FB2MC159I/OI
    CLK_FSBFB3MC223I/O/GCK2GCK
    nLDS_FSBFB3MC625I/OI
    CLK_IOBFB3MC827I/O/GCK3GCK/I
    A_FSB<10>FB4MC589I/OI
    A_FSB<1>FB4MC690I/OI
    A_FSB<12>FB4MC992I/OI
    A_FSB<14>FB4MC1193I/OI
    A_FSB<16>FB4MC1495I/OI
    A_FSB<17>FB4MC1596I/OI
    E_IOBFB5MC1749I/OI
    A_FSB<18>FB6MC576I/OI
    A_FSB<3>FB6MC878I/OI
    A_FSB<15>FB6MC1180I/OI
    A_FSB<13>FB6MC1482I/OI
    A_FSB<11>FB6MC1786I/OI
    nUDS_FSBFB7MC552I/OI
    nDTACK_IOBFB7MC854I/OI
    A_FSB<4>FB7MC1156I/OI
    nVPA_IOBFB7MC1459I/OI
    nWE_FSBFB7MC1761I/OI
    A_FSB<8>FB8MC564I/OI
    A_FSB<23>FB8MC866I/OI
    A_FSB<21>FB8MC1168I/OI
    A_FSB<20>FB8MC1471I/OI
    A_FSB<19>FB8MC1773I/OI
    + + +
    + +
    + + diff --git a/cpld/XC95144/MXSE_html/fit/mapinput_01.htm b/cpld/XC95144XL/MXSE_html/fit/mapinput_01.htm similarity index 78% rename from cpld/XC95144/MXSE_html/fit/mapinput_01.htm rename to cpld/XC95144XL/MXSE_html/fit/mapinput_01.htm index f6716f1..730a99f 100644 --- a/cpld/XC95144/MXSE_html/fit/mapinput_01.htm +++ b/cpld/XC95144XL/MXSE_html/fit/mapinput_01.htm @@ -1,304 +1,304 @@ - - - - - - -

    Inputs

    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    Signal NameFunction BlockMacrocellPin NumberPin TypePin Use
    A_FSB<13>FB1MC312I/OI
    A_FSB<20>FB1MC614I/OI
    A_FSB<5>FB1MC916I/OI
    nUDS_FSBFB1MC1218I/OI
    nDTACK_IOBFB1MC1520I/OI
    CLK2X_IOBFB1MC1722I/O/GCK1GCK
    nRESFB2MC299I/O/GSRGSR/I
    nLDS_FSBFB2MC159I/OI
    CLK_FSBFB3MC223I/O/GCK2GCK
    nVPA_IOBFB3MC625I/OI
    CLK_IOBFB3MC827I/O/GCK3GCK/I
    A_FSB<10>FB4MC589I/OI
    A_FSB<16>FB4MC891I/OI
    A_FSB<18>FB4MC1193I/OI
    A_FSB<22>FB4MC1495I/OI
    A_FSB<6>FB4MC1797I/OI
    A_FSB<7>FB6MC576I/OI
    A_FSB<23>FB6MC878I/OI
    A_FSB<21>FB6MC1180I/OI
    A_FSB<17>FB6MC1482I/OI
    A_FSB<15>FB6MC1786I/OI
    E_IOBFB7MC653I/OI
    A_FSB<2>FB7MC955I/OI
    nBERR_IOBFB7MC1156I/OI
    A_FSB<4>FB7MC1459I/OI
    nWE_FSBFB7MC1560I/OI
    A_FSB<19>FB7MC1761I/OI
    A_FSB<14>FB8MC263I/OI
    A_FSB<12>FB8MC665I/OI
    A_FSB<11>FB8MC866I/OI
    A_FSB<3>FB8MC967I/OI
    nAS_FSBFB8MC1270I/OI
    A_FSB<9>FB8MC1471I/OI
    A_FSB<1>FB8MC1572I/OI
    A_FSB<8>FB8MC1773I/OI
    - - -
    - -
    - - + + + + + + +

    Inputs

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Signal NameFunction BlockMacrocellPin NumberPin TypePin Use
    A_FSB<13>FB1MC211I/OI
    A_FSB<14>FB1MC312I/OI
    A_FSB<15>FB1MC513I/OI
    A_FSB<16>FB1MC614I/OI
    A_FSB<17>FB1MC815I/OI
    A_FSB<18>FB1MC916I/OI
    A_FSB<19>FB1MC1117I/OI
    A_FSB<20>FB1MC1218I/OI
    A_FSB<21>FB1MC1419I/OI
    A_FSB<22>FB1MC1520I/OI
    CLK2X_IOBFB1MC1722I/O/GCK1GCK
    A_FSB<5>FB2MC62I/O/GTS4I
    A_FSB<6>FB2MC83I/O/GTS1I
    A_FSB<7>FB2MC94I/O/GTS2I
    A_FSB<8>FB2MC116I/OI
    A_FSB<9>FB2MC127I/OI
    A_FSB<10>FB2MC148I/OI
    A_FSB<11>FB2MC159I/OI
    A_FSB<12>FB2MC1710I/OI
    CLK_IOBFB3MC223I/O/GCK2GCK/I
    A_FSB<23>FB3MC524I/OI
    E_IOBFB3MC625I/OI
    CLK_FSBFB3MC827I/O/GCK3GCK
    nWE_FSBFB3MC1129I/OI
    nLDS_FSBFB3MC1230I/OI
    nAS_FSBFB3MC1432I/OI
    nUDS_FSBFB3MC1533I/OI
    nRESFB4MC891I/OI
    A_FSB<1>FB4MC1294I/OI
    A_FSB<2>FB4MC1495I/OI
    A_FSB<3>FB4MC1596I/OI
    A_FSB<4>FB4MC1797I/OI
    nBERR_IOBFB6MC576I/OI
    nVPA_IOBFB6MC677I/OI
    nDTACK_IOBFB6MC878I/OI
    + + +
    + +
    + + diff --git a/cpld/XC95144XL/MXSE_html/fit/mapinput_02 2.htm b/cpld/XC95144XL/MXSE_html/fit/mapinput_02 2.htm new file mode 100644 index 0000000..ddd0d2f --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/mapinput_02 2.htm @@ -0,0 +1,304 @@ + + + + + + +

    Inputs

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Signal NameFunction BlockMacrocellPin NumberPin TypePin Use
    A_FSB<22>FB2MC159I/OI
    A_FSB<9>FB1MC312I/OI
    nAS_FSBFB1MC513I/OI
    A_FSB<5>FB1MC815I/OI
    A_FSB<2>FB1MC916I/OI
    nBERR_IOBFB1MC1218I/OI
    A_FSB<6>FB1MC1419I/OI
    A_FSB<7>FB1MC1520I/OI
    CLK2X_IOBFB1MC1722I/O/GCK1GCK
    CLK_FSBFB3MC223I/O/GCK2GCK
    nLDS_FSBFB3MC625I/OI
    CLK_IOBFB3MC827I/O/GCK3GCK/I
    E_IOBFB5MC1749I/OI
    nUDS_FSBFB7MC552I/OI
    nDTACK_IOBFB7MC854I/OI
    A_FSB<4>FB7MC1156I/OI
    nVPA_IOBFB7MC1459I/OI
    nWE_FSBFB7MC1761I/OI
    A_FSB<8>FB8MC564I/OI
    A_FSB<23>FB8MC866I/OI
    A_FSB<21>FB8MC1168I/OI
    A_FSB<20>FB8MC1471I/OI
    A_FSB<19>FB8MC1773I/OI
    A_FSB<18>FB6MC576I/OI
    A_FSB<3>FB6MC878I/OI
    A_FSB<15>FB6MC1180I/OI
    A_FSB<13>FB6MC1482I/OI
    A_FSB<11>FB6MC1786I/OI
    A_FSB<10>FB4MC589I/OI
    A_FSB<1>FB4MC690I/OI
    A_FSB<12>FB4MC992I/OI
    A_FSB<14>FB4MC1193I/OI
    A_FSB<16>FB4MC1495I/OI
    A_FSB<17>FB4MC1596I/OI
    nRESFB2MC299I/O/GSRGSR/I
    + + +
    + +
    + + diff --git a/cpld/XC95144/MXSE_html/fit/mapinput_02.htm b/cpld/XC95144XL/MXSE_html/fit/mapinput_02.htm similarity index 78% rename from cpld/XC95144/MXSE_html/fit/mapinput_02.htm rename to cpld/XC95144XL/MXSE_html/fit/mapinput_02.htm index 0397166..6a7837d 100644 --- a/cpld/XC95144/MXSE_html/fit/mapinput_02.htm +++ b/cpld/XC95144XL/MXSE_html/fit/mapinput_02.htm @@ -1,304 +1,304 @@ - - - - - - -

    Inputs

    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    Signal NameFunction BlockMacrocellPin NumberPin TypePin Use
    nLDS_FSBFB2MC159I/OI
    A_FSB<13>FB1MC312I/OI
    A_FSB<20>FB1MC614I/OI
    A_FSB<5>FB1MC916I/OI
    nUDS_FSBFB1MC1218I/OI
    nDTACK_IOBFB1MC1520I/OI
    CLK2X_IOBFB1MC1722I/O/GCK1GCK
    CLK_FSBFB3MC223I/O/GCK2GCK
    nVPA_IOBFB3MC625I/OI
    CLK_IOBFB3MC827I/O/GCK3GCK/I
    E_IOBFB7MC653I/OI
    A_FSB<2>FB7MC955I/OI
    nBERR_IOBFB7MC1156I/OI
    A_FSB<4>FB7MC1459I/OI
    nWE_FSBFB7MC1560I/OI
    A_FSB<19>FB7MC1761I/OI
    A_FSB<14>FB8MC263I/OI
    A_FSB<12>FB8MC665I/OI
    A_FSB<11>FB8MC866I/OI
    A_FSB<3>FB8MC967I/OI
    nAS_FSBFB8MC1270I/OI
    A_FSB<9>FB8MC1471I/OI
    A_FSB<1>FB8MC1572I/OI
    A_FSB<8>FB8MC1773I/OI
    A_FSB<7>FB6MC576I/OI
    A_FSB<23>FB6MC878I/OI
    A_FSB<21>FB6MC1180I/OI
    A_FSB<17>FB6MC1482I/OI
    A_FSB<15>FB6MC1786I/OI
    A_FSB<10>FB4MC589I/OI
    A_FSB<16>FB4MC891I/OI
    A_FSB<18>FB4MC1193I/OI
    A_FSB<22>FB4MC1495I/OI
    A_FSB<6>FB4MC1797I/OI
    nRESFB2MC299I/O/GSRGSR/I
    - - -
    - -
    - - + + + + + + +

    Inputs

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Signal NameFunction BlockMacrocellPin NumberPin TypePin Use
    A_FSB<5>FB2MC62I/O/GTS4I
    A_FSB<6>FB2MC83I/O/GTS1I
    A_FSB<7>FB2MC94I/O/GTS2I
    A_FSB<8>FB2MC116I/OI
    A_FSB<9>FB2MC127I/OI
    A_FSB<10>FB2MC148I/OI
    A_FSB<11>FB2MC159I/OI
    A_FSB<12>FB2MC1710I/OI
    A_FSB<13>FB1MC211I/OI
    A_FSB<14>FB1MC312I/OI
    A_FSB<15>FB1MC513I/OI
    A_FSB<16>FB1MC614I/OI
    A_FSB<17>FB1MC815I/OI
    A_FSB<18>FB1MC916I/OI
    A_FSB<19>FB1MC1117I/OI
    A_FSB<20>FB1MC1218I/OI
    A_FSB<21>FB1MC1419I/OI
    A_FSB<22>FB1MC1520I/OI
    CLK2X_IOBFB1MC1722I/O/GCK1GCK
    CLK_IOBFB3MC223I/O/GCK2GCK/I
    A_FSB<23>FB3MC524I/OI
    E_IOBFB3MC625I/OI
    CLK_FSBFB3MC827I/O/GCK3GCK
    nWE_FSBFB3MC1129I/OI
    nLDS_FSBFB3MC1230I/OI
    nAS_FSBFB3MC1432I/OI
    nUDS_FSBFB3MC1533I/OI
    nBERR_IOBFB6MC576I/OI
    nVPA_IOBFB6MC677I/OI
    nDTACK_IOBFB6MC878I/OI
    nRESFB4MC891I/OI
    A_FSB<1>FB4MC1294I/OI
    A_FSB<2>FB4MC1495I/OI
    A_FSB<3>FB4MC1596I/OI
    A_FSB<4>FB4MC1797I/OI
    + + +
    + +
    + + diff --git a/cpld/XC95144/MXSE_html/fit/mapinputdoc.htm b/cpld/XC95144XL/MXSE_html/fit/mapinputdoc.htm similarity index 100% rename from cpld/XC95144/MXSE_html/fit/mapinputdoc.htm rename to cpld/XC95144XL/MXSE_html/fit/mapinputdoc.htm diff --git a/cpld/XC95144/MXSE_html/fit/maplogic.js b/cpld/XC95144XL/MXSE_html/fit/maplogic.js similarity index 100% rename from cpld/XC95144/MXSE_html/fit/maplogic.js rename to cpld/XC95144XL/MXSE_html/fit/maplogic.js diff --git a/cpld/XC95144XL/MXSE_html/fit/maplogic_00 2.htm b/cpld/XC95144XL/MXSE_html/fit/maplogic_00 2.htm new file mode 100644 index 0000000..539a58a --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/maplogic_00 2.htm @@ -0,0 +1,1459 @@ + + + + + + +

    Logic

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Signal NameTotal PtermsTotal InputsFunction BlockMacrocellPower ModeSlew RatePin NumberPin TypePin UseReg Init State
    ALE0M27FB5MC13STD  (b)(b)RESET
    ALE0S12FB3MC8STD 27I/O/GCK3GCK/IRESET
    BERR_IOBS48FB5MC15STD 46I/O(b)RESET
    IOACT1015FB5MC1STD  (b)(b)RESET
    IOBERR811FB5MC18STD  (b)(b)RESET
    IOL035FB6MC16STD  (b)(b)RESET
    IOREQ1419FB3MC13STD  (b)(b)RESET
    IORW01820FB3MC3STD  (b)(b)RESET
    IOU035FB6MC14STD 82I/OIRESET
    $OpTx$FX_DC$60225FB1MC17STD 22I/O/GCK1GCK 
    $OpTx$FX_DC$606512FB1MC4STD  (b)(b) 
    RA<0>23FB4MC2STDFAST87I/OO 
    RA<10>11FB8MC6STDFAST65I/OO 
    RA<11>11FB8MC2STDFAST63I/OO 
    RA<1>23FB5MC2STDFAST35I/OO 
    RA<2>23FB4MC8STDFAST91I/OO 
    RA<3>23FB5MC8STDFAST39I/OO 
    RA<4>23FB4MC12STDFAST94I/OO 
    RA<5>23FB7MC2STDFAST50I/OO 
    RA<6>23FB7MC6STDFAST53I/OO 
    RA<7>23FB7MC9STDFAST55I/OO 
    RA<8>23FB7MC12STDFAST58I/OO 
    RA<9>23FB7MC15STDFAST60I/OO 
    RefAck12FB6MC5STD 76I/OIRESET
    TimeoutA310FB2MC16STD  (b)(b)RESET
    TimeoutB312FB2MC15STD 9I/OIRESET
    cnt/RefCnt<0>00FB5MC10STD  (b)(b)RESET
    cnt/RefCnt<1>11FB2MC8STD 3I/O/GTS1(b)RESET
    cnt/RefCnt<2>12FB2MC7STD  (b)(b)RESET
    cnt/RefCnt<3>13FB2MC6STD 2I/O/GTS4(b)RESET
    cnt/RefCnt<4>14FB7MC3STD  (b)(b)RESET
    cnt/RefCnt<5>15FB7MC1STD  (b)(b)RESET
    cnt/RefCnt<6>16FB7MC16STD  (b)(b)RESET
    cnt/RefCnt<7>17FB7MC14STD 59I/OIRESET
    cnt/RefDone210FB2MC10STD  (b)(b)RESET
    cnt/TimeoutBPre311FB2MC13STD  (b)(b)RESET
    cs/nOverlay027FB7MC4STD  (b)(b)RESET
    cs/nOverlay123FB4MC3STD  (b)(b)RESET
    fsb/ASrf11FB3MC7STD  (b)(b)RESET
    fsb/BERR0r38FB4MC16STD  (b)(b)RESET
    fsb/BERR1r24FB2MC9STD 4I/O/GTS2(b)RESET
    fsb/Ready0r38FB7MC7STD  (b)(b)RESET
    fsb/Ready1r717FB1MC7STD  (b)(b)RESET
    fsb/Ready2r922FB1MC12STD 18I/OIRESET
    fsb/VPA2230FB1MC15STD 20I/OIRESET
    fsb/VPA__or00001/fsb/VPA__or00001_D2820FB1MC10STD  (b)(b) 
    iobm/BERRrf11FB5MC9STD 40I/O(b)RESET
    iobm/BERRrr11FB5MC7STD  (b)(b)RESET
    iobm/DTACKrf11FB6MC4STD  (b)(b)RESET
    iobm/DTACKrr11FB6MC3STD  (b)(b)RESET
    iobm/ES<0>37FB6MC13STD  (b)(b)RESET
    iobm/ES<1>34FB6MC11STD 80I/OIRESET
    iobm/ES<2>57FB6MC18STD  (b)(b)RESET
    iobm/ES<3>36FB6MC10STD  (b)(b)RESET
    iobm/ES<4>47FB6MC17STD 86I/OIRESET
    iobm/ETACK16FB3MC14STD 32I/O(b)RESET
    iobm/Er211FB6MC1STD  (b)(b)RESET
    iobm/Er11FB8MC18STD  (b)(b)RESET
    iobm/IOREQr11FB2MC5STD 1I/O/GTS3(b)RESET
    iobm/IOS_FSM_FFd111FB8MC17STD 73I/OIRESET
    iobm/IOS_FSM_FFd249FB5MC14STD 43I/O(b)RESET
    iobm/IOS_FSM_FFd3510FB5MC16STD  (b)(b)RESET
    iobm/IOS_FSM_FFd411FB5MC6STD 37I/O(b)RESET
    iobm/IOS_FSM_FFd511FB5MC5STD 36I/O(b)RESET
    iobm/IOS_FSM_FFd611FB5MC4STD  (b)(b)RESET
    iobm/IOS_FSM_FFd713FB5MC3STD  (b)(b)RESET
    iobm/IOS_FSM_FFd824FB5MC11STD 41I/O(b)SET
    iobm/RESrf11FB2MC4STD  (b)(b)RESET
    iobm/RESrr11FB2MC3STD  (b)(b)RESET
    iobm/VPArf11FB2MC2STD 99I/O/GSRGSR/IRESET
    iobm/VPArr11FB2MC1STD  (b)(b)RESET
    iobs/Clear113FB3MC6STD 25I/OIRESET
    iobs/IOACTr11FB3MC4STD  (b)(b)RESET
    iobs/IOL122FB6MC8STD 78I/OIRESET
    iobs/IORW11619FB3MC17STD 34I/O(b)RESET
    iobs/IOReady48FB2MC18STD  (b)(b)RESET
    iobs/IOU122FB6MC7STD  (b)(b)RESET
    iobs/Load11418FB4MC6STD 90I/OIRESET
    iobs/Once1718FB4MC10STD  (b)(b)RESET
    iobs/PS_FSM_FFd123FB3MC12STD 30I/O(b)RESET
    iobs/PS_FSM_FFd21419FB3MC9STD 28I/O(b)RESET
    nADoutLE012FB8MC9STDFAST67I/OO 
    nADoutLE123FB3MC11STDFAST29I/OOSET
    nAS_IOB15FB2MC17STDFAST10I/OORESET
    nAoutOE00FB8MC12STDFAST70I/OO 
    nBERR_FSB39FB1MC6STDFAST14I/OO 
    nCAS11FB6MC2STDFAST74I/OORESET
    nDTACK_FSB2332FB1MC2STDFAST11I/OORESET
    nDinLE12FB8MC15STDFAST72I/OORESET
    nDinOE26FB4MC17STDFAST97I/OO 
    nDoutOE27FB2MC14STDFAST8I/OORESET
    nLDS_IOB37FB2MC11STDFAST6I/OORESET
    nOE12FB1MC11STDFAST17I/OO 
    nRAMLWE15FB6MC6STDFAST77I/OO 
    nRAMUWE15FB6MC9STDFAST79I/OO 
    nRAS38FB3MC5STDFAST24I/OO 
    nROMCS25FB5MC12STDFAST42I/OO 
    nROMWE12FB6MC12STDFAST81I/OO 
    nUDS_IOB37FB2MC12STDFAST7I/OORESET
    nVMA_IOB29FB3MC15STDFAST33I/OORESET
    nVPA_FSB12FB6MC15STDFAST85I/OO 
    ram/BACTr12FB3MC18STD  (b)(b)RESET
    ram/Once510FB7MC10STD  (b)(b)RESET
    ram/RAMDIS11815FB4MC14STD 95I/OIRESET
    ram/RAMDIS2715FB7MC11STD 56I/OIRESET
    ram/RAMReady1615FB7MC17STD 61I/OIRESET
    ram/RASEL2015FB4MC1STD  (b)(b)RESET
    ram/RS_FSM_FFd1510FB7MC8STD 54I/OIRESET
    ram/RS_FSM_FFd21314FB7MC13STD  (b)(b)RESET
    ram/RS_FSM_FFd31114FB7MC5STD 52I/OIRESET
    + + +
    + +
    + + diff --git a/cpld/XC95144/MXSE_html/fit/maplogic_00.htm b/cpld/XC95144XL/MXSE_html/fit/maplogic_00.htm similarity index 92% rename from cpld/XC95144/MXSE_html/fit/maplogic_00.htm rename to cpld/XC95144XL/MXSE_html/fit/maplogic_00.htm index 457a03a..071ba8c 100644 --- a/cpld/XC95144/MXSE_html/fit/maplogic_00.htm +++ b/cpld/XC95144XL/MXSE_html/fit/maplogic_00.htm @@ -1,1394 +1,1394 @@ - - - - - - -

    Logic

    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    Signal NameTotal PtermsTotal InputsFunction BlockMacrocellPower ModeSlew RatePin NumberPin TypePin UseReg Init State
    ALE0M25FB5MC13STD  (b)(b)RESET
    ALE0S12FB6MC3STD  (b)(b)RESET
    BERR_IOBS48FB1MC12STD 18I/OIRESET
    IOACT613FB5MC17STD 49I/O(b)RESET
    IOBERR914FB5MC18STD  (b)(b)RESET
    IOL035FB6MC11STD 80I/OIRESET
    IOREQ1419FB1MC7STD  (b)(b)RESET
    IORW01820FB1MC16STD  (b)(b)RESET
    IOU035FB4MC18STD  (b)(b)RESET
    $OpTx$FX_DC$36022FB7MC18STD  (b)(b) 
    $OpTx$$OpTx$FX_DC$355_INV$43912FB5MC7STD  (b)(b) 
    RA<0>23FB3MC11STDFAST29I/OO 
    RA<10>11FB1MC14STDFAST19I/OO 
    RA<11>11FB3MC15STDFAST33I/OO 
    RA<1>23FB4MC2STDFAST87I/OO 
    RA<2>23FB5MC2STDFAST35I/OO 
    RA<3>23FB5MC6STDFAST37I/OO 
    RA<4>23FB5MC9STDFAST40I/OO 
    RA<5>23FB6MC2STDFAST74I/OO 
    RA<6>23FB6MC6STDFAST77I/OO 
    RA<7>23FB6MC9STDFAST79I/OO 
    RA<8>23FB6MC12STDFAST81I/OO 
    RA<9>23FB5MC12STDFAST42I/OO 
    RefAck12FB6MC18STD  (b)(b)RESET
    TimeoutA310FB4MC17STD 97I/OIRESET
    TimeoutB312FB4MC16STD  (b)(b)RESET
    cnt/RefCnt<0>00FB5MC5STD 36I/O(b)RESET
    cnt/RefCnt<1>11FB5MC4STD  (b)(b)RESET
    cnt/RefCnt<2>12FB4MC10STD  (b)(b)RESET
    cnt/RefCnt<3>13FB4MC8STD 91I/OIRESET
    cnt/RefCnt<4>14FB4MC7STD  (b)(b)RESET
    cnt/RefCnt<5>15FB4MC5STD 89I/OIRESET
    cnt/RefCnt<6>16FB4MC4STD  (b)(b)RESET
    cnt/RefCnt<7>17FB4MC3STD  (b)(b)RESET
    cnt/RefDone210FB4MC13STD  (b)(b)RESET
    cnt/TimeoutBPre311FB4MC14STD 95I/OIRESET
    cs/nOverlay027FB1MC15STD 20I/OIRESET
    cs/nOverlay123FB6MC8STD 78I/OIRESET
    fsb/ASrf11FB5MC3STD  (b)(b)RESET
    fsb/BERR0r38FB1MC13STD  (b)(b)RESET
    fsb/BERR1r24FB4MC11STD 93I/OIRESET
    fsb/Ready0r38FB6MC10STD  (b)(b)RESET
    fsb/Ready1r717FB8MC9STD 67I/OIRESET
    fsb/Ready2r922FB8MC8STD 66I/OIRESET
    fsb/VPA2531FB8MC18STD  (b)(b)RESET
    iobm/BERRrf11FB2MC9STD 4I/O/GTS2(b)RESET
    iobm/BERRrr11FB2MC8STD 3I/O/GTS1(b)RESET
    iobm/DTACKrf11FB2MC7STD  (b)(b)RESET
    iobm/DTACKrr11FB2MC6STD 2I/O/GTS4(b)RESET
    iobm/ES<0>37FB2MC15STD 9I/OIRESET
    iobm/ES<1>34FB2MC13STD  (b)(b)RESET
    iobm/ES<2>57FB2MC18STD  (b)(b)RESET
    iobm/ES<3>36FB2MC10STD  (b)(b)RESET
    iobm/ES<4>47FB2MC16STD  (b)(b)RESET
    iobm/ETACK16FB2MC5STD 1I/O/GTS3(b)RESET
    iobm/Er211FB2MC4STD  (b)(b)RESET
    iobm/Er11FB7MC17STD 61I/OIRESET
    iobm/IOREQr11FB2MC3STD  (b)(b)RESET
    iobm/IOS_FSM_FFd124FB5MC11STD 41I/O(b)RESET
    iobm/IOS_FSM_FFd224FB5MC10STD  (b)(b)RESET
    iobm/IOS_FSM_FFd3510FB5MC16STD  (b)(b)RESET
    iobm/IOS_FSM_FFd446FB5MC14STD 43I/O(b)RESET
    iobm/RESrf11FB2MC2STD 99I/O/GSRGSR/IRESET
    iobm/RESrr11FB2MC1STD  (b)(b)RESET
    iobm/VPArf11FB7MC16STD  (b)(b)RESET
    iobm/VPArr11FB7MC15STD 60I/OIRESET
    iobs/Clear113FB6MC16STD  (b)(b)RESET
    iobs/IOACTr11FB5MC1STD  (b)(b)RESET
    iobs/IOL122FB6MC7STD  (b)(b)RESET
    iobs/IORW11619FB1MC4STD  (b)(b)RESET
    iobs/IOReady48FB6MC13STD  (b)(b)RESET
    iobs/IOU122FB5MC8STD 39I/O(b)RESET
    iobs/Load11418FB3MC10STD  (b)(b)RESET
    iobs/Once1718FB8MC13STD  (b)(b)RESET
    iobs/PS_FSM_FFd123FB6MC5STD 76I/OIRESET
    iobs/PS_FSM_FFd21419FB1MC9STD 16I/OIRESET
    nADoutLE012FB7MC8STDFAST54I/OO 
    nADoutLE123FB6MC15STDFAST85I/OOSET
    nAS_IOB24FB2MC14STDFAST8I/OORESET
    nAoutOE00FB8MC11STDFAST68I/OO 
    nBERR_FSB39FB1MC2STDFAST11I/OO 
    nCAS11FB5MC15STDFAST46I/OORESET
    nDTACK_FSB2233FB8MC5STDFAST64I/OORESET
    nDinLE23FB7MC2STDFAST50I/OORESET
    nDinOE26FB1MC5STDFAST13I/OO 
    nDoutOE24FB2MC17STDFAST10I/OORESET
    nLDS_IOB46FB2MC11STDFAST6I/OORESET
    nOE12FB4MC6STDFAST90I/OO 
    nRAMLWE15FB4MC9STDFAST92I/OO 
    nRAMUWE15FB4MC12STDFAST94I/OO 
    nRAS38FB3MC5STDFAST24I/OO 
    nROMCS25FB1MC8STDFAST15I/OO 
    nROMWE12FB4MC15STDFAST96I/OO 
    nUDS_IOB46FB2MC12STDFAST7I/OORESET
    nVMA_IOB29FB1MC11STDFAST17I/OORESET
    nVPA_FSB12FB7MC12STDFAST58I/OO 
    ram/BACTr12FB4MC1STD  (b)(b)RESET
    ram/Once510FB6MC1STD  (b)(b)RESET
    ram/RAMDIS11815FB3MC17STD 34I/O(b)RESET
    ram/RAMDIS2715FB3MC8STD 27I/O/GCK3GCK/IRESET
    ram/RAMReady1615FB3MC13STD  (b)(b)RESET
    ram/RASEL2015FB3MC4STD  (b)(b)RESET
    ram/RS_FSM_FFd1510FB6MC14STD 82I/OIRESET
    ram/RS_FSM_FFd21314FB6MC17STD 86I/OIRESET
    ram/RS_FSM_FFd31114FB6MC4STD  (b)(b)RESET
    - - -
    - -
    - - + + + + + + +

    Logic

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Signal NameTotal PtermsTotal InputsFunction BlockMacrocellPower ModeSlew RatePin NumberPin TypePin UseReg Init State
    ALE0M25FB6MC3STD  (b)(b)RESET
    ALE0S12FB4MC4STD  (b)(b)RESET
    BERR_IOBS48FB5MC16STD  (b)(b)RESET
    IOACT613FB6MC16STD  (b)(b)RESET
    IOBERR914FB6MC18STD  (b)(b)RESET
    IOL035FB4MC17STD 97I/OIRESET
    IOREQ1419FB5MC13STD  (b)(b)RESET
    IORW01820FB5MC18STD  (b)(b)RESET
    IOU035FB4MC16STD  (b)(b)RESET
    $OpTx$FX_DC$60712FB1MC18STD  (b)(b) 
    $OpTx$FX_DC$60825FB4MC15STD 96I/OI 
    RA<0>23FB7MC6STDFAST53I/OO 
    RA<10>11FB7MC9STDFAST55I/OO 
    RA<11>11FB8MC2STDFAST63I/OO 
    RA<1>23FB7MC2STDFAST50I/OO 
    RA<2>23FB5MC14STDFAST43I/OO 
    RA<3>23FB5MC11STDFAST41I/OO 
    RA<4>23FB5MC9STDFAST40I/OO 
    RA<5>23FB5MC12STDFAST42I/OO 
    RA<6>23FB5MC15STDFAST46I/OO 
    RA<7>23FB7MC5STDFAST52I/OO 
    RA<8>23FB7MC8STDFAST54I/OO 
    RA<9>23FB7MC11STDFAST56I/OO 
    RefAck12FB1MC17STD 22I/O/GCK1GCKRESET
    TimeoutA310FB7MC12STD 58I/O(b)RESET
    TimeoutB312FB7MC1STD  (b)(b)RESET
    cnt/RefCnt<0>00FB1MC16STD  (b)(b)RESET
    cnt/RefCnt<1>11FB1MC15STD 20I/OIRESET
    cnt/RefCnt<2>12FB1MC14STD 19I/OIRESET
    cnt/RefCnt<3>13FB1MC13STD  (b)(b)RESET
    cnt/RefCnt<4>14FB1MC12STD 18I/OIRESET
    cnt/RefCnt<5>15FB1MC11STD 17I/OIRESET
    cnt/RefCnt<6>16FB1MC10STD  (b)(b)RESET
    cnt/RefCnt<7>17FB1MC9STD 16I/OIRESET
    cnt/RefDone210FB7MC3STD  (b)(b)RESET
    cnt/TimeoutBPre311FB7MC10STD  (b)(b)RESET
    cs/nOverlay038FB7MC7STD  (b)(b)RESET
    cs/nOverlay123FB4MC14STD 95I/OIRESET
    fsb/ASrf11FB1MC8STD 15I/OIRESET
    fsb/BERR0r38FB8MC3STD  (b)(b)RESET
    fsb/BERR1r24FB4MC13STD  (b)(b)RESET
    fsb/Ready0r38FB7MC4STD  (b)(b)RESET
    fsb/Ready1r717FB3MC5STD 24I/OIRESET
    fsb/Ready2r922FB3MC15STD 33I/OIRESET
    fsb/VPA2531FB3MC2STD 23I/O/GCK2GCK/IRESET
    iobm/BERRrf11FB1MC7STD  (b)(b)RESET
    iobm/BERRrr11FB1MC6STD 14I/OIRESET
    iobm/DTACKrf11FB1MC5STD 13I/OIRESET
    iobm/DTACKrr11FB1MC4STD  (b)(b)RESET
    iobm/ES<0>37FB6MC6STD 77I/OIRESET
    iobm/ES<1>34FB6MC5STD 76I/OIRESET
    iobm/ES<2>57FB6MC13STD  (b)(b)RESET
    iobm/ES<3>36FB6MC4STD  (b)(b)RESET
    iobm/ES<4>47FB6MC8STD 78I/OIRESET
    iobm/ETACK16FB6MC1STD  (b)(b)RESET
    iobm/Er211FB1MC3STD 12I/OIRESET
    iobm/Er11FB2MC18STD  (b)(b)RESET
    iobm/IOREQr11FB1MC2STD 11I/OIRESET
    iobm/IOS_FSM_FFd124FB4MC12STD 94I/OIRESET
    iobm/IOS_FSM_FFd224FB4MC10STD  (b)(b)RESET
    iobm/IOS_FSM_FFd3510FB6MC10STD  (b)(b)RESET
    iobm/IOS_FSM_FFd446FB6MC7STD  (b)(b)RESET
    iobm/RESrf11FB2MC17STD 10I/OIRESET
    iobm/RESrr11FB2MC16STD  (b)(b)RESET
    iobm/VPArf11FB2MC15STD 9I/OIRESET
    iobm/VPArr11FB2MC14STD 8I/OIRESET
    iobs/Clear113FB4MC3STD  (b)(b)RESET
    iobs/IOACTr11FB1MC1STD  (b)(b)RESET
    iobs/IOL122FB4MC9STD 92I/O(b)RESET
    iobs/IORW11619FB3MC13STD  (b)(b)RESET
    iobs/IOReady48FB4MC18STD  (b)(b)RESET
    iobs/IOU122FB4MC8STD 91I/OIRESET
    iobs/Load11418FB8MC9STD 67I/O(b)RESET
    iobs/Once1718FB5MC8STD 39I/O(b)RESET
    iobs/PS_FSM_FFd123FB4MC7STD  (b)(b)RESET
    iobs/PS_FSM_FFd21419FB5MC4STD  (b)(b)RESET
    nADoutLE012FB6MC15STDFAST85I/OO 
    nADoutLE123FB6MC14STDFAST82I/OOSET
    nAS_IOB24FB6MC12STDFAST81I/OORESET
    nAoutOE00FB4MC2STDFAST87I/OO 
    nBERR_FSB39FB8MC12STDFAST70I/OO 
    nCAS11FB5MC5STDFAST36I/OORESET
    nDTACK_FSB2332FB3MC9STDFAST28I/OORESET
    nDinLE23FB6MC17STDFAST86I/OORESET
    nDinOE26FB4MC6STDFAST90I/OO 
    nDoutOE24FB4MC5STDFAST89I/OORESET
    nLDS_IOB46FB6MC9STDFAST79I/OORESET
    nOE12FB5MC6STDFAST37I/OO 
    nRAMLWE15FB8MC6STDFAST65I/OO 
    nRAMUWE15FB8MC8STDFAST66I/OO 
    nRAS38FB8MC5STDFAST64I/OO 
    nROMCS25FB5MC2STDFAST35I/OO 
    nROMWE12FB3MC17STDFAST34I/OO 
    nUDS_IOB46FB6MC11STDFAST80I/OORESET
    nVMA_IOB29FB6MC2STDFAST74I/OORESET
    nVPA_FSB12FB4MC11STDFAST93I/OO 
    ram/BACTr12FB4MC1STD  (b)(b)RESET
    ram/Once510FB8MC7STD  (b)(b)RESET
    ram/RAMDIS11815FB8MC15STD 72I/O(b)RESET
    ram/RAMDIS2715FB8MC13STD  (b)(b)RESET
    ram/RAMReady1615FB7MC18STD  (b)(b)RESET
    ram/RASEL2015FB8MC1STD  (b)(b)RESET
    ram/RS_FSM_FFd1510FB8MC4STD  (b)(b)RESET
    ram/RS_FSM_FFd21314FB7MC16STD  (b)(b)RESET
    ram/RS_FSM_FFd31114FB7MC14STD 59I/O(b)RESET
    + + +
    + +
    + + diff --git a/cpld/XC95144XL/MXSE_html/fit/maplogic_01 2.htm b/cpld/XC95144XL/MXSE_html/fit/maplogic_01 2.htm new file mode 100644 index 0000000..0db044c --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/maplogic_01 2.htm @@ -0,0 +1,1459 @@ + + + + + + +

    Logic

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Signal NameTotal PtermsTotal InputsFunction BlockMacrocellPower ModeSlew RatePin NumberPin TypePin UseReg Init State
    nDTACK_FSB2332FB1MC2STDFAST11I/OORESET
    $OpTx$FX_DC$606512FB1MC4STD  (b)(b) 
    nBERR_FSB39FB1MC6STDFAST14I/OO 
    fsb/Ready1r717FB1MC7STD  (b)(b)RESET
    fsb/VPA__or00001/fsb/VPA__or00001_D2820FB1MC10STD  (b)(b) 
    nOE12FB1MC11STDFAST17I/OO 
    fsb/Ready2r922FB1MC12STD 18I/OIRESET
    fsb/VPA2230FB1MC15STD 20I/OIRESET
    $OpTx$FX_DC$60225FB1MC17STD 22I/O/GCK1GCK 
    iobm/VPArr11FB2MC1STD  (b)(b)RESET
    iobm/VPArf11FB2MC2STD 99I/O/GSRGSR/IRESET
    iobm/RESrr11FB2MC3STD  (b)(b)RESET
    iobm/RESrf11FB2MC4STD  (b)(b)RESET
    iobm/IOREQr11FB2MC5STD 1I/O/GTS3(b)RESET
    cnt/RefCnt<3>13FB2MC6STD 2I/O/GTS4(b)RESET
    cnt/RefCnt<2>12FB2MC7STD  (b)(b)RESET
    cnt/RefCnt<1>11FB2MC8STD 3I/O/GTS1(b)RESET
    fsb/BERR1r24FB2MC9STD 4I/O/GTS2(b)RESET
    cnt/RefDone210FB2MC10STD  (b)(b)RESET
    nLDS_IOB37FB2MC11STDFAST6I/OORESET
    nUDS_IOB37FB2MC12STDFAST7I/OORESET
    cnt/TimeoutBPre311FB2MC13STD  (b)(b)RESET
    nDoutOE27FB2MC14STDFAST8I/OORESET
    TimeoutB312FB2MC15STD 9I/OIRESET
    TimeoutA310FB2MC16STD  (b)(b)RESET
    nAS_IOB15FB2MC17STDFAST10I/OORESET
    iobs/IOReady48FB2MC18STD  (b)(b)RESET
    IORW01820FB3MC3STD  (b)(b)RESET
    iobs/IOACTr11FB3MC4STD  (b)(b)RESET
    nRAS38FB3MC5STDFAST24I/OO 
    iobs/Clear113FB3MC6STD 25I/OIRESET
    fsb/ASrf11FB3MC7STD  (b)(b)RESET
    ALE0S12FB3MC8STD 27I/O/GCK3GCK/IRESET
    iobs/PS_FSM_FFd21419FB3MC9STD 28I/O(b)RESET
    nADoutLE123FB3MC11STDFAST29I/OOSET
    iobs/PS_FSM_FFd123FB3MC12STD 30I/O(b)RESET
    IOREQ1419FB3MC13STD  (b)(b)RESET
    iobm/ETACK16FB3MC14STD 32I/O(b)RESET
    nVMA_IOB29FB3MC15STDFAST33I/OORESET
    iobs/IORW11619FB3MC17STD 34I/O(b)RESET
    ram/BACTr12FB3MC18STD  (b)(b)RESET
    ram/RASEL2015FB4MC1STD  (b)(b)RESET
    RA<0>23FB4MC2STDFAST87I/OO 
    cs/nOverlay123FB4MC3STD  (b)(b)RESET
    iobs/Load11418FB4MC6STD 90I/OIRESET
    RA<2>23FB4MC8STDFAST91I/OO 
    iobs/Once1718FB4MC10STD  (b)(b)RESET
    RA<4>23FB4MC12STDFAST94I/OO 
    ram/RAMDIS11815FB4MC14STD 95I/OIRESET
    fsb/BERR0r38FB4MC16STD  (b)(b)RESET
    nDinOE26FB4MC17STDFAST97I/OO 
    IOACT1015FB5MC1STD  (b)(b)RESET
    RA<1>23FB5MC2STDFAST35I/OO 
    iobm/IOS_FSM_FFd713FB5MC3STD  (b)(b)RESET
    iobm/IOS_FSM_FFd611FB5MC4STD  (b)(b)RESET
    iobm/IOS_FSM_FFd511FB5MC5STD 36I/O(b)RESET
    iobm/IOS_FSM_FFd411FB5MC6STD 37I/O(b)RESET
    iobm/BERRrr11FB5MC7STD  (b)(b)RESET
    RA<3>23FB5MC8STDFAST39I/OO 
    iobm/BERRrf11FB5MC9STD 40I/O(b)RESET
    cnt/RefCnt<0>00FB5MC10STD  (b)(b)RESET
    iobm/IOS_FSM_FFd824FB5MC11STD 41I/O(b)SET
    nROMCS25FB5MC12STDFAST42I/OO 
    ALE0M27FB5MC13STD  (b)(b)RESET
    iobm/IOS_FSM_FFd249FB5MC14STD 43I/O(b)RESET
    BERR_IOBS48FB5MC15STD 46I/O(b)RESET
    iobm/IOS_FSM_FFd3510FB5MC16STD  (b)(b)RESET
    IOBERR811FB5MC18STD  (b)(b)RESET
    iobm/Er211FB6MC1STD  (b)(b)RESET
    nCAS11FB6MC2STDFAST74I/OORESET
    iobm/DTACKrr11FB6MC3STD  (b)(b)RESET
    iobm/DTACKrf11FB6MC4STD  (b)(b)RESET
    RefAck12FB6MC5STD 76I/OIRESET
    nRAMLWE15FB6MC6STDFAST77I/OO 
    iobs/IOU122FB6MC7STD  (b)(b)RESET
    iobs/IOL122FB6MC8STD 78I/OIRESET
    nRAMUWE15FB6MC9STDFAST79I/OO 
    iobm/ES<3>36FB6MC10STD  (b)(b)RESET
    iobm/ES<1>34FB6MC11STD 80I/OIRESET
    nROMWE12FB6MC12STDFAST81I/OO 
    iobm/ES<0>37FB6MC13STD  (b)(b)RESET
    IOU035FB6MC14STD 82I/OIRESET
    nVPA_FSB12FB6MC15STDFAST85I/OO 
    IOL035FB6MC16STD  (b)(b)RESET
    iobm/ES<4>47FB6MC17STD 86I/OIRESET
    iobm/ES<2>57FB6MC18STD  (b)(b)RESET
    cnt/RefCnt<5>15FB7MC1STD  (b)(b)RESET
    RA<5>23FB7MC2STDFAST50I/OO 
    cnt/RefCnt<4>14FB7MC3STD  (b)(b)RESET
    cs/nOverlay027FB7MC4STD  (b)(b)RESET
    ram/RS_FSM_FFd31114FB7MC5STD 52I/OIRESET
    RA<6>23FB7MC6STDFAST53I/OO 
    fsb/Ready0r38FB7MC7STD  (b)(b)RESET
    ram/RS_FSM_FFd1510FB7MC8STD 54I/OIRESET
    RA<7>23FB7MC9STDFAST55I/OO 
    ram/Once510FB7MC10STD  (b)(b)RESET
    ram/RAMDIS2715FB7MC11STD 56I/OIRESET
    RA<8>23FB7MC12STDFAST58I/OO 
    ram/RS_FSM_FFd21314FB7MC13STD  (b)(b)RESET
    cnt/RefCnt<7>17FB7MC14STD 59I/OIRESET
    RA<9>23FB7MC15STDFAST60I/OO 
    cnt/RefCnt<6>16FB7MC16STD  (b)(b)RESET
    ram/RAMReady1615FB7MC17STD 61I/OIRESET
    RA<11>11FB8MC2STDFAST63I/OO 
    RA<10>11FB8MC6STDFAST65I/OO 
    nADoutLE012FB8MC9STDFAST67I/OO 
    nAoutOE00FB8MC12STDFAST70I/OO 
    nDinLE12FB8MC15STDFAST72I/OORESET
    iobm/IOS_FSM_FFd111FB8MC17STD 73I/OIRESET
    iobm/Er11FB8MC18STD  (b)(b)RESET
    + + +
    + +
    + + diff --git a/cpld/XC95144/MXSE_html/fit/maplogic_01.htm b/cpld/XC95144XL/MXSE_html/fit/maplogic_01.htm similarity index 92% rename from cpld/XC95144/MXSE_html/fit/maplogic_01.htm rename to cpld/XC95144XL/MXSE_html/fit/maplogic_01.htm index 110a81b..14f470e 100644 --- a/cpld/XC95144/MXSE_html/fit/maplogic_01.htm +++ b/cpld/XC95144XL/MXSE_html/fit/maplogic_01.htm @@ -1,1394 +1,1394 @@ - - - - - - -

    Logic

    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    Signal NameTotal PtermsTotal InputsFunction BlockMacrocellPower ModeSlew RatePin NumberPin TypePin UseReg Init State
    nBERR_FSB39FB1MC2STDFAST11I/OO 
    iobs/IORW11619FB1MC4STD  (b)(b)RESET
    nDinOE26FB1MC5STDFAST13I/OO 
    IOREQ1419FB1MC7STD  (b)(b)RESET
    nROMCS25FB1MC8STDFAST15I/OO 
    iobs/PS_FSM_FFd21419FB1MC9STD 16I/OIRESET
    nVMA_IOB29FB1MC11STDFAST17I/OORESET
    BERR_IOBS48FB1MC12STD 18I/OIRESET
    fsb/BERR0r38FB1MC13STD  (b)(b)RESET
    RA<10>11FB1MC14STDFAST19I/OO 
    cs/nOverlay027FB1MC15STD 20I/OIRESET
    IORW01820FB1MC16STD  (b)(b)RESET
    iobm/RESrr11FB2MC1STD  (b)(b)RESET
    iobm/RESrf11FB2MC2STD 99I/O/GSRGSR/IRESET
    iobm/IOREQr11FB2MC3STD  (b)(b)RESET
    iobm/Er211FB2MC4STD  (b)(b)RESET
    iobm/ETACK16FB2MC5STD 1I/O/GTS3(b)RESET
    iobm/DTACKrr11FB2MC6STD 2I/O/GTS4(b)RESET
    iobm/DTACKrf11FB2MC7STD  (b)(b)RESET
    iobm/BERRrr11FB2MC8STD 3I/O/GTS1(b)RESET
    iobm/BERRrf11FB2MC9STD 4I/O/GTS2(b)RESET
    iobm/ES<3>36FB2MC10STD  (b)(b)RESET
    nLDS_IOB46FB2MC11STDFAST6I/OORESET
    nUDS_IOB46FB2MC12STDFAST7I/OORESET
    iobm/ES<1>34FB2MC13STD  (b)(b)RESET
    nAS_IOB24FB2MC14STDFAST8I/OORESET
    iobm/ES<0>37FB2MC15STD 9I/OIRESET
    iobm/ES<4>47FB2MC16STD  (b)(b)RESET
    nDoutOE24FB2MC17STDFAST10I/OORESET
    iobm/ES<2>57FB2MC18STD  (b)(b)RESET
    ram/RASEL2015FB3MC4STD  (b)(b)RESET
    nRAS38FB3MC5STDFAST24I/OO 
    ram/RAMDIS2715FB3MC8STD 27I/O/GCK3GCK/IRESET
    iobs/Load11418FB3MC10STD  (b)(b)RESET
    RA<0>23FB3MC11STDFAST29I/OO 
    ram/RAMReady1615FB3MC13STD  (b)(b)RESET
    RA<11>11FB3MC15STDFAST33I/OO 
    ram/RAMDIS11815FB3MC17STD 34I/O(b)RESET
    ram/BACTr12FB4MC1STD  (b)(b)RESET
    RA<1>23FB4MC2STDFAST87I/OO 
    cnt/RefCnt<7>17FB4MC3STD  (b)(b)RESET
    cnt/RefCnt<6>16FB4MC4STD  (b)(b)RESET
    cnt/RefCnt<5>15FB4MC5STD 89I/OIRESET
    nOE12FB4MC6STDFAST90I/OO 
    cnt/RefCnt<4>14FB4MC7STD  (b)(b)RESET
    cnt/RefCnt<3>13FB4MC8STD 91I/OIRESET
    nRAMLWE15FB4MC9STDFAST92I/OO 
    cnt/RefCnt<2>12FB4MC10STD  (b)(b)RESET
    fsb/BERR1r24FB4MC11STD 93I/OIRESET
    nRAMUWE15FB4MC12STDFAST94I/OO 
    cnt/RefDone210FB4MC13STD  (b)(b)RESET
    cnt/TimeoutBPre311FB4MC14STD 95I/OIRESET
    nROMWE12FB4MC15STDFAST96I/OO 
    TimeoutB312FB4MC16STD  (b)(b)RESET
    TimeoutA310FB4MC17STD 97I/OIRESET
    IOU035FB4MC18STD  (b)(b)RESET
    iobs/IOACTr11FB5MC1STD  (b)(b)RESET
    RA<2>23FB5MC2STDFAST35I/OO 
    fsb/ASrf11FB5MC3STD  (b)(b)RESET
    cnt/RefCnt<1>11FB5MC4STD  (b)(b)RESET
    cnt/RefCnt<0>00FB5MC5STD 36I/O(b)RESET
    RA<3>23FB5MC6STDFAST37I/OO 
    $OpTx$$OpTx$FX_DC$355_INV$43912FB5MC7STD  (b)(b) 
    iobs/IOU122FB5MC8STD 39I/O(b)RESET
    RA<4>23FB5MC9STDFAST40I/OO 
    iobm/IOS_FSM_FFd224FB5MC10STD  (b)(b)RESET
    iobm/IOS_FSM_FFd124FB5MC11STD 41I/O(b)RESET
    RA<9>23FB5MC12STDFAST42I/OO 
    ALE0M25FB5MC13STD  (b)(b)RESET
    iobm/IOS_FSM_FFd446FB5MC14STD 43I/O(b)RESET
    nCAS11FB5MC15STDFAST46I/OORESET
    iobm/IOS_FSM_FFd3510FB5MC16STD  (b)(b)RESET
    IOACT613FB5MC17STD 49I/O(b)RESET
    IOBERR914FB5MC18STD  (b)(b)RESET
    ram/Once510FB6MC1STD  (b)(b)RESET
    RA<5>23FB6MC2STDFAST74I/OO 
    ALE0S12FB6MC3STD  (b)(b)RESET
    ram/RS_FSM_FFd31114FB6MC4STD  (b)(b)RESET
    iobs/PS_FSM_FFd123FB6MC5STD 76I/OIRESET
    RA<6>23FB6MC6STDFAST77I/OO 
    iobs/IOL122FB6MC7STD  (b)(b)RESET
    cs/nOverlay123FB6MC8STD 78I/OIRESET
    RA<7>23FB6MC9STDFAST79I/OO 
    fsb/Ready0r38FB6MC10STD  (b)(b)RESET
    IOL035FB6MC11STD 80I/OIRESET
    RA<8>23FB6MC12STDFAST81I/OO 
    iobs/IOReady48FB6MC13STD  (b)(b)RESET
    ram/RS_FSM_FFd1510FB6MC14STD 82I/OIRESET
    nADoutLE123FB6MC15STDFAST85I/OOSET
    iobs/Clear113FB6MC16STD  (b)(b)RESET
    ram/RS_FSM_FFd21314FB6MC17STD 86I/OIRESET
    RefAck12FB6MC18STD  (b)(b)RESET
    nDinLE23FB7MC2STDFAST50I/OORESET
    nADoutLE012FB7MC8STDFAST54I/OO 
    nVPA_FSB12FB7MC12STDFAST58I/OO 
    iobm/VPArr11FB7MC15STD 60I/OIRESET
    iobm/VPArf11FB7MC16STD  (b)(b)RESET
    iobm/Er11FB7MC17STD 61I/OIRESET
    $OpTx$FX_DC$36022FB7MC18STD  (b)(b) 
    nDTACK_FSB2233FB8MC5STDFAST64I/OORESET
    fsb/Ready2r922FB8MC8STD 66I/OIRESET
    fsb/Ready1r717FB8MC9STD 67I/OIRESET
    nAoutOE00FB8MC11STDFAST68I/OO 
    iobs/Once1718FB8MC13STD  (b)(b)RESET
    fsb/VPA2531FB8MC18STD  (b)(b)RESET
    - - -
    - -
    - - + + + + + + +

    Logic

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Signal NameTotal PtermsTotal InputsFunction BlockMacrocellPower ModeSlew RatePin NumberPin TypePin UseReg Init State
    iobs/IOACTr11FB1MC1STD  (b)(b)RESET
    iobm/IOREQr11FB1MC2STD 11I/OIRESET
    iobm/Er211FB1MC3STD 12I/OIRESET
    iobm/DTACKrr11FB1MC4STD  (b)(b)RESET
    iobm/DTACKrf11FB1MC5STD 13I/OIRESET
    iobm/BERRrr11FB1MC6STD 14I/OIRESET
    iobm/BERRrf11FB1MC7STD  (b)(b)RESET
    fsb/ASrf11FB1MC8STD 15I/OIRESET
    cnt/RefCnt<7>17FB1MC9STD 16I/OIRESET
    cnt/RefCnt<6>16FB1MC10STD  (b)(b)RESET
    cnt/RefCnt<5>15FB1MC11STD 17I/OIRESET
    cnt/RefCnt<4>14FB1MC12STD 18I/OIRESET
    cnt/RefCnt<3>13FB1MC13STD  (b)(b)RESET
    cnt/RefCnt<2>12FB1MC14STD 19I/OIRESET
    cnt/RefCnt<1>11FB1MC15STD 20I/OIRESET
    cnt/RefCnt<0>00FB1MC16STD  (b)(b)RESET
    RefAck12FB1MC17STD 22I/O/GCK1GCKRESET
    $OpTx$FX_DC$60712FB1MC18STD  (b)(b) 
    iobm/VPArr11FB2MC14STD 8I/OIRESET
    iobm/VPArf11FB2MC15STD 9I/OIRESET
    iobm/RESrr11FB2MC16STD  (b)(b)RESET
    iobm/RESrf11FB2MC17STD 10I/OIRESET
    iobm/Er11FB2MC18STD  (b)(b)RESET
    fsb/VPA2531FB3MC2STD 23I/O/GCK2GCK/IRESET
    fsb/Ready1r717FB3MC5STD 24I/OIRESET
    nDTACK_FSB2332FB3MC9STDFAST28I/OORESET
    iobs/IORW11619FB3MC13STD  (b)(b)RESET
    fsb/Ready2r922FB3MC15STD 33I/OIRESET
    nROMWE12FB3MC17STDFAST34I/OO 
    ram/BACTr12FB4MC1STD  (b)(b)RESET
    nAoutOE00FB4MC2STDFAST87I/OO 
    iobs/Clear113FB4MC3STD  (b)(b)RESET
    ALE0S12FB4MC4STD  (b)(b)RESET
    nDoutOE24FB4MC5STDFAST89I/OORESET
    nDinOE26FB4MC6STDFAST90I/OO 
    iobs/PS_FSM_FFd123FB4MC7STD  (b)(b)RESET
    iobs/IOU122FB4MC8STD 91I/OIRESET
    iobs/IOL122FB4MC9STD 92I/O(b)RESET
    iobm/IOS_FSM_FFd224FB4MC10STD  (b)(b)RESET
    nVPA_FSB12FB4MC11STDFAST93I/OO 
    iobm/IOS_FSM_FFd124FB4MC12STD 94I/OIRESET
    fsb/BERR1r24FB4MC13STD  (b)(b)RESET
    cs/nOverlay123FB4MC14STD 95I/OIRESET
    $OpTx$FX_DC$60825FB4MC15STD 96I/OI 
    IOU035FB4MC16STD  (b)(b)RESET
    IOL035FB4MC17STD 97I/OIRESET
    iobs/IOReady48FB4MC18STD  (b)(b)RESET
    nROMCS25FB5MC2STDFAST35I/OO 
    iobs/PS_FSM_FFd21419FB5MC4STD  (b)(b)RESET
    nCAS11FB5MC5STDFAST36I/OORESET
    nOE12FB5MC6STDFAST37I/OO 
    iobs/Once1718FB5MC8STD 39I/O(b)RESET
    RA<4>23FB5MC9STDFAST40I/OO 
    RA<3>23FB5MC11STDFAST41I/OO 
    RA<5>23FB5MC12STDFAST42I/OO 
    IOREQ1419FB5MC13STD  (b)(b)RESET
    RA<2>23FB5MC14STDFAST43I/OO 
    RA<6>23FB5MC15STDFAST46I/OO 
    BERR_IOBS48FB5MC16STD  (b)(b)RESET
    IORW01820FB5MC18STD  (b)(b)RESET
    iobm/ETACK16FB6MC1STD  (b)(b)RESET
    nVMA_IOB29FB6MC2STDFAST74I/OORESET
    ALE0M25FB6MC3STD  (b)(b)RESET
    iobm/ES<3>36FB6MC4STD  (b)(b)RESET
    iobm/ES<1>34FB6MC5STD 76I/OIRESET
    iobm/ES<0>37FB6MC6STD 77I/OIRESET
    iobm/IOS_FSM_FFd446FB6MC7STD  (b)(b)RESET
    iobm/ES<4>47FB6MC8STD 78I/OIRESET
    nLDS_IOB46FB6MC9STDFAST79I/OORESET
    iobm/IOS_FSM_FFd3510FB6MC10STD  (b)(b)RESET
    nUDS_IOB46FB6MC11STDFAST80I/OORESET
    nAS_IOB24FB6MC12STDFAST81I/OORESET
    iobm/ES<2>57FB6MC13STD  (b)(b)RESET
    nADoutLE123FB6MC14STDFAST82I/OOSET
    nADoutLE012FB6MC15STDFAST85I/OO 
    IOACT613FB6MC16STD  (b)(b)RESET
    nDinLE23FB6MC17STDFAST86I/OORESET
    IOBERR914FB6MC18STD  (b)(b)RESET
    TimeoutB312FB7MC1STD  (b)(b)RESET
    RA<1>23FB7MC2STDFAST50I/OO 
    cnt/RefDone210FB7MC3STD  (b)(b)RESET
    fsb/Ready0r38FB7MC4STD  (b)(b)RESET
    RA<7>23FB7MC5STDFAST52I/OO 
    RA<0>23FB7MC6STDFAST53I/OO 
    cs/nOverlay038FB7MC7STD  (b)(b)RESET
    RA<8>23FB7MC8STDFAST54I/OO 
    RA<10>11FB7MC9STDFAST55I/OO 
    cnt/TimeoutBPre311FB7MC10STD  (b)(b)RESET
    RA<9>23FB7MC11STDFAST56I/OO 
    TimeoutA310FB7MC12STD 58I/O(b)RESET
    ram/RS_FSM_FFd31114FB7MC14STD 59I/O(b)RESET
    ram/RS_FSM_FFd21314FB7MC16STD  (b)(b)RESET
    ram/RAMReady1615FB7MC18STD  (b)(b)RESET
    ram/RASEL2015FB8MC1STD  (b)(b)RESET
    RA<11>11FB8MC2STDFAST63I/OO 
    fsb/BERR0r38FB8MC3STD  (b)(b)RESET
    ram/RS_FSM_FFd1510FB8MC4STD  (b)(b)RESET
    nRAS38FB8MC5STDFAST64I/OO 
    nRAMLWE15FB8MC6STDFAST65I/OO 
    ram/Once510FB8MC7STD  (b)(b)RESET
    nRAMUWE15FB8MC8STDFAST66I/OO 
    iobs/Load11418FB8MC9STD 67I/O(b)RESET
    nBERR_FSB39FB8MC12STDFAST70I/OO 
    ram/RAMDIS2715FB8MC13STD  (b)(b)RESET
    ram/RAMDIS11815FB8MC15STD 72I/O(b)RESET
    + + +
    + +
    + + diff --git a/cpld/XC95144XL/MXSE_html/fit/maplogic_02 2.htm b/cpld/XC95144XL/MXSE_html/fit/maplogic_02 2.htm new file mode 100644 index 0000000..533b41d --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/maplogic_02 2.htm @@ -0,0 +1,1591 @@ + + + + + + +

    Logic

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Signal NameTotal PtermsTotal InputsFunction BlockMacrocellPower ModeSlew RatePin NumberPin TypePin UseReg Init State
    iobm/IOREQr11FB2MC5STD 1I/O/GTS3(b)RESET
    cnt/RefCnt<3>13FB2MC6STD 2I/O/GTS4(b)RESET
    cnt/RefCnt<1>11FB2MC8STD 3I/O/GTS1(b)RESET
    fsb/BERR1r24FB2MC9STD 4I/O/GTS2(b)RESET
    nLDS_IOB37FB2MC11STDFAST6I/OORESET
    nUDS_IOB37FB2MC12STDFAST7I/OORESET
    nDoutOE27FB2MC14STDFAST8I/OORESET
    TimeoutB312FB2MC15STD 9I/OIRESET
    nAS_IOB15FB2MC17STDFAST10I/OORESET
    nDTACK_FSB2332FB1MC2STDFAST11I/OORESET
    nBERR_FSB39FB1MC6STDFAST14I/OO 
    nOE12FB1MC11STDFAST17I/OO 
    fsb/Ready2r922FB1MC12STD 18I/OIRESET
    fsb/VPA2230FB1MC15STD 20I/OIRESET
    $OpTx$FX_DC$60225FB1MC17STD 22I/O/GCK1GCK 
    nRAS38FB3MC5STDFAST24I/OO 
    iobs/Clear113FB3MC6STD 25I/OIRESET
    ALE0S12FB3MC8STD 27I/O/GCK3GCK/IRESET
    iobs/PS_FSM_FFd21419FB3MC9STD 28I/O(b)RESET
    nADoutLE123FB3MC11STDFAST29I/OOSET
    iobs/PS_FSM_FFd123FB3MC12STD 30I/O(b)RESET
    iobm/ETACK16FB3MC14STD 32I/O(b)RESET
    nVMA_IOB29FB3MC15STDFAST33I/OORESET
    iobs/IORW11619FB3MC17STD 34I/O(b)RESET
    RA<1>23FB5MC2STDFAST35I/OO 
    iobm/IOS_FSM_FFd511FB5MC5STD 36I/O(b)RESET
    iobm/IOS_FSM_FFd411FB5MC6STD 37I/O(b)RESET
    RA<3>23FB5MC8STDFAST39I/OO 
    iobm/BERRrf11FB5MC9STD 40I/O(b)RESET
    iobm/IOS_FSM_FFd824FB5MC11STD 41I/O(b)SET
    nROMCS25FB5MC12STDFAST42I/OO 
    iobm/IOS_FSM_FFd249FB5MC14STD 43I/O(b)RESET
    BERR_IOBS48FB5MC15STD 46I/O(b)RESET
    RA<5>23FB7MC2STDFAST50I/OO 
    ram/RS_FSM_FFd31114FB7MC5STD 52I/OIRESET
    RA<6>23FB7MC6STDFAST53I/OO 
    ram/RS_FSM_FFd1510FB7MC8STD 54I/OIRESET
    RA<7>23FB7MC9STDFAST55I/OO 
    ram/RAMDIS2715FB7MC11STD 56I/OIRESET
    RA<8>23FB7MC12STDFAST58I/OO 
    cnt/RefCnt<7>17FB7MC14STD 59I/OIRESET
    RA<9>23FB7MC15STDFAST60I/OO 
    ram/RAMReady1615FB7MC17STD 61I/OIRESET
    RA<11>11FB8MC2STDFAST63I/OO 
    RA<10>11FB8MC6STDFAST65I/OO 
    nADoutLE012FB8MC9STDFAST67I/OO 
    nAoutOE00FB8MC12STDFAST70I/OO 
    nDinLE12FB8MC15STDFAST72I/OORESET
    iobm/IOS_FSM_FFd111FB8MC17STD 73I/OIRESET
    nCAS11FB6MC2STDFAST74I/OORESET
    RefAck12FB6MC5STD 76I/OIRESET
    nRAMLWE15FB6MC6STDFAST77I/OO 
    iobs/IOL122FB6MC8STD 78I/OIRESET
    nRAMUWE15FB6MC9STDFAST79I/OO 
    iobm/ES<1>34FB6MC11STD 80I/OIRESET
    nROMWE12FB6MC12STDFAST81I/OO 
    IOU035FB6MC14STD 82I/OIRESET
    nVPA_FSB12FB6MC15STDFAST85I/OO 
    iobm/ES<4>47FB6MC17STD 86I/OIRESET
    RA<0>23FB4MC2STDFAST87I/OO 
    iobs/Load11418FB4MC6STD 90I/OIRESET
    RA<2>23FB4MC8STDFAST91I/OO 
    RA<4>23FB4MC12STDFAST94I/OO 
    ram/RAMDIS11815FB4MC14STD 95I/OIRESET
    nDinOE26FB4MC17STDFAST97I/OO 
    iobm/VPArf11FB2MC2STD 99I/O/GSRGSR/IRESET
    $OpTx$FX_DC$606512FB1MC4STD  (b)(b)    
    fsb/Ready1r717FB1MC7STD  (b)(b)D  RESET
    fsb/VPA__or00001/fsb/VPA__or00001_D2820FB1MC10STD  (b)(b)    
    iobm/VPArr11FB2MC1STD  (b)(b)D  RESET
    iobm/RESrr11FB2MC3STD  (b)(b)D  RESET
    iobm/RESrf11FB2MC4STD  (b)(b)D  RESET
    cnt/RefCnt<2>12FB2MC7STD  (b)(b)T  RESET
    cnt/RefDone210FB2MC10STD  (b)(b)D  RESET
    cnt/TimeoutBPre311FB2MC13STD  (b)(b)T  RESET
    TimeoutA310FB2MC16STD  (b)(b)T  RESET
    iobs/IOReady48FB2MC18STD  (b)(b)T  RESET
    IORW01820FB3MC3STD  (b)(b)T  RESET
    iobs/IOACTr11FB3MC4STD  (b)(b)D  RESET
    fsb/ASrf11FB3MC7STD  (b)(b)D  RESET
    IOREQ1419FB3MC13STD  (b)(b)D  RESET
    ram/BACTr12FB3MC18STD  (b)(b)D  RESET
    ram/RASEL2015FB4MC1STD  (b)(b)D  RESET
    cs/nOverlay123FB4MC3STD  (b)(b)D  RESET
    iobs/Once1718FB4MC10STD  (b)(b)D  RESET
    fsb/BERR0r38FB4MC16STD  (b)(b)D  RESET
    IOACT1015FB5MC1STD  (b)(b)D  RESET
    iobm/IOS_FSM_FFd713FB5MC3STD  (b)(b)D  RESET
    iobm/IOS_FSM_FFd611FB5MC4STD  (b)(b)D  RESET
    iobm/BERRrr11FB5MC7STD  (b)(b)D  RESET
    cnt/RefCnt<0>00FB5MC10STD  (b)(b)T  RESET
    ALE0M27FB5MC13STD  (b)(b)D  RESET
    iobm/IOS_FSM_FFd3510FB5MC16STD  (b)(b)D  RESET
    IOBERR811FB5MC18STD  (b)(b)T  RESET
    iobm/Er211FB6MC1STD  (b)(b)D  RESET
    iobm/DTACKrr11FB6MC3STD  (b)(b)D  RESET
    iobm/DTACKrf11FB6MC4STD  (b)(b)D  RESET
    iobs/IOU122FB6MC7STD  (b)(b)D  RESET
    iobm/ES<3>36FB6MC10STD  (b)(b)T  RESET
    iobm/ES<0>37FB6MC13STD  (b)(b)T  RESET
    IOL035FB6MC16STD  (b)(b)D  RESET
    iobm/ES<2>57FB6MC18STD  (b)(b)D  RESET
    cnt/RefCnt<5>15FB7MC1STD  (b)(b)T  RESET
    cnt/RefCnt<4>14FB7MC3STD  (b)(b)T  RESET
    cs/nOverlay027FB7MC4STD  (b)(b)T  RESET
    fsb/Ready0r38FB7MC7STD  (b)(b)D  RESET
    ram/Once510FB7MC10STD  (b)(b)T  RESET
    ram/RS_FSM_FFd21314FB7MC13STD  (b)(b)T  RESET
    cnt/RefCnt<6>16FB7MC16STD  (b)(b)T  RESET
    iobm/Er11FB8MC18STD  (b)(b)D  RESET
    + + +
    + +
    + + diff --git a/cpld/XC95144/MXSE_html/fit/maplogic_02.htm b/cpld/XC95144XL/MXSE_html/fit/maplogic_02.htm similarity index 92% rename from cpld/XC95144/MXSE_html/fit/maplogic_02.htm rename to cpld/XC95144XL/MXSE_html/fit/maplogic_02.htm index dbe71a6..cbdb39d 100644 --- a/cpld/XC95144/MXSE_html/fit/maplogic_02.htm +++ b/cpld/XC95144XL/MXSE_html/fit/maplogic_02.htm @@ -1,1523 +1,1520 @@ - - - - - - -

    Logic

    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    Signal NameTotal PtermsTotal InputsFunction BlockMacrocellPower ModeSlew RatePin NumberPin TypePin UseReg Init State
    iobm/ETACK16FB2MC5STD 1I/O/GTS3(b)RESET
    iobm/DTACKrr11FB2MC6STD 2I/O/GTS4(b)RESET
    iobm/BERRrr11FB2MC8STD 3I/O/GTS1(b)RESET
    iobm/BERRrf11FB2MC9STD 4I/O/GTS2(b)RESET
    nLDS_IOB46FB2MC11STDFAST6I/OORESET
    nUDS_IOB46FB2MC12STDFAST7I/OORESET
    nAS_IOB24FB2MC14STDFAST8I/OORESET
    iobm/ES<0>37FB2MC15STD 9I/OIRESET
    nDoutOE24FB2MC17STDFAST10I/OORESET
    nBERR_FSB39FB1MC2STDFAST11I/OO 
    nDinOE26FB1MC5STDFAST13I/OO 
    nROMCS25FB1MC8STDFAST15I/OO 
    iobs/PS_FSM_FFd21419FB1MC9STD 16I/OIRESET
    nVMA_IOB29FB1MC11STDFAST17I/OORESET
    BERR_IOBS48FB1MC12STD 18I/OIRESET
    RA<10>11FB1MC14STDFAST19I/OO 
    cs/nOverlay027FB1MC15STD 20I/OIRESET
    nRAS38FB3MC5STDFAST24I/OO 
    ram/RAMDIS2715FB3MC8STD 27I/O/GCK3GCK/IRESET
    RA<0>23FB3MC11STDFAST29I/OO 
    RA<11>11FB3MC15STDFAST33I/OO 
    ram/RAMDIS11815FB3MC17STD 34I/O(b)RESET
    RA<2>23FB5MC2STDFAST35I/OO 
    cnt/RefCnt<0>00FB5MC5STD 36I/O(b)RESET
    RA<3>23FB5MC6STDFAST37I/OO 
    iobs/IOU122FB5MC8STD 39I/O(b)RESET
    RA<4>23FB5MC9STDFAST40I/OO 
    iobm/IOS_FSM_FFd124FB5MC11STD 41I/O(b)RESET
    RA<9>23FB5MC12STDFAST42I/OO 
    iobm/IOS_FSM_FFd446FB5MC14STD 43I/O(b)RESET
    nCAS11FB5MC15STDFAST46I/OORESET
    IOACT613FB5MC17STD 49I/O(b)RESET
    nDinLE23FB7MC2STDFAST50I/OORESET
    nADoutLE012FB7MC8STDFAST54I/OO 
    nVPA_FSB12FB7MC12STDFAST58I/OO 
    iobm/VPArr11FB7MC15STD 60I/OIRESET
    iobm/Er11FB7MC17STD 61I/OIRESET
    nDTACK_FSB2233FB8MC5STDFAST64I/OORESET
    fsb/Ready2r922FB8MC8STD 66I/OIRESET
    fsb/Ready1r717FB8MC9STD 67I/OIRESET
    nAoutOE00FB8MC11STDFAST68I/OO 
    RA<5>23FB6MC2STDFAST74I/OO 
    iobs/PS_FSM_FFd123FB6MC5STD 76I/OIRESET
    RA<6>23FB6MC6STDFAST77I/OO 
    cs/nOverlay123FB6MC8STD 78I/OIRESET
    RA<7>23FB6MC9STDFAST79I/OO 
    IOL035FB6MC11STD 80I/OIRESET
    RA<8>23FB6MC12STDFAST81I/OO 
    ram/RS_FSM_FFd1510FB6MC14STD 82I/OIRESET
    nADoutLE123FB6MC15STDFAST85I/OOSET
    ram/RS_FSM_FFd21314FB6MC17STD 86I/OIRESET
    RA<1>23FB4MC2STDFAST87I/OO 
    cnt/RefCnt<5>15FB4MC5STD 89I/OIRESET
    nOE12FB4MC6STDFAST90I/OO 
    cnt/RefCnt<3>13FB4MC8STD 91I/OIRESET
    nRAMLWE15FB4MC9STDFAST92I/OO 
    fsb/BERR1r24FB4MC11STD 93I/OIRESET
    nRAMUWE15FB4MC12STDFAST94I/OO 
    cnt/TimeoutBPre311FB4MC14STD 95I/OIRESET
    nROMWE12FB4MC15STDFAST96I/OO 
    TimeoutA310FB4MC17STD 97I/OIRESET
    iobm/RESrf11FB2MC2STD 99I/O/GSRGSR/IRESET
    iobs/IORW11619FB1MC4STD  (b)(b)T  RESET
    IOREQ1419FB1MC7STD  (b)(b)D  RESET
    fsb/BERR0r38FB1MC13STD  (b)(b)D  RESET
    IORW01820FB1MC16STD  (b)(b)T  RESET
    iobm/RESrr11FB2MC1STD  (b)(b)D  RESET
    iobm/IOREQr11FB2MC3STD  (b)(b)D  RESET
    iobm/Er211FB2MC4STD  (b)(b)D  RESET
    iobm/DTACKrf11FB2MC7STD  (b)(b)D  RESET
    iobm/ES<3>36FB2MC10STD  (b)(b)T  RESET
    iobm/ES<1>34FB2MC13STD  (b)(b)D  RESET
    iobm/ES<4>47FB2MC16STD  (b)(b)T  RESET
    iobm/ES<2>57FB2MC18STD  (b)(b)D  RESET
    ram/RASEL2015FB3MC4STD  (b)(b)D  RESET
    iobs/Load11418FB3MC10STD  (b)(b)D  RESET
    ram/RAMReady1615FB3MC13STD  (b)(b)D  RESET
    ram/BACTr12FB4MC1STD  (b)(b)D  RESET
    cnt/RefCnt<7>17FB4MC3STD  (b)(b)T  RESET
    cnt/RefCnt<6>16FB4MC4STD  (b)(b)T  RESET
    cnt/RefCnt<4>14FB4MC7STD  (b)(b)T  RESET
    cnt/RefCnt<2>12FB4MC10STD  (b)(b)T  RESET
    cnt/RefDone210FB4MC13STD  (b)(b)D  RESET
    TimeoutB312FB4MC16STD  (b)(b)T  RESET
    IOU035FB4MC18STD  (b)(b)D  RESET
    iobs/IOACTr11FB5MC1STD  (b)(b)D  RESET
    fsb/ASrf11FB5MC3STD  (b)(b)D  RESET
    cnt/RefCnt<1>11FB5MC4STD  (b)(b)T  RESET
    $OpTx$$OpTx$FX_DC$355_INV$43912FB5MC7STD  (b)(b)    
    iobm/IOS_FSM_FFd224FB5MC10STD  (b)(b)D  RESET
    ALE0M25FB5MC13STD  (b)(b)D  RESET
    iobm/IOS_FSM_FFd3510FB5MC16STD  (b)(b)D  RESET
    IOBERR914FB5MC18STD  (b)(b)T  RESET
    ram/Once510FB6MC1STD  (b)(b)T  RESET
    ALE0S12FB6MC3STD  (b)(b)D  RESET
    ram/RS_FSM_FFd31114FB6MC4STD  (b)(b)T  RESET
    iobs/IOL122FB6MC7STD  (b)(b)D  RESET
    fsb/Ready0r38FB6MC10STD  (b)(b)D  RESET
    iobs/IOReady48FB6MC13STD  (b)(b)T  RESET
    iobs/Clear113FB6MC16STD  (b)(b)D  RESET
    RefAck12FB6MC18STD  (b)(b)D  RESET
    iobm/VPArf11FB7MC16STD  (b)(b)D  RESET
    $OpTx$FX_DC$36022FB7MC18STD  (b)(b)    
    iobs/Once1718FB8MC13STD  (b)(b)D  RESET
    fsb/VPA2531FB8MC18STD  (b)(b)D  RESET
    - - -
    - -
    - - + + + + + + +

    Logic

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Signal NameTotal PtermsTotal InputsFunction BlockMacrocellPower ModeSlew RatePin NumberPin TypePin UseReg Init State
    iobm/VPArr11FB2MC14STD 8I/OIRESET
    iobm/VPArf11FB2MC15STD 9I/OIRESET
    iobm/RESrf11FB2MC17STD 10I/OIRESET
    iobm/IOREQr11FB1MC2STD 11I/OIRESET
    iobm/Er211FB1MC3STD 12I/OIRESET
    iobm/DTACKrf11FB1MC5STD 13I/OIRESET
    iobm/BERRrr11FB1MC6STD 14I/OIRESET
    fsb/ASrf11FB1MC8STD 15I/OIRESET
    cnt/RefCnt<7>17FB1MC9STD 16I/OIRESET
    cnt/RefCnt<5>15FB1MC11STD 17I/OIRESET
    cnt/RefCnt<4>14FB1MC12STD 18I/OIRESET
    cnt/RefCnt<2>12FB1MC14STD 19I/OIRESET
    cnt/RefCnt<1>11FB1MC15STD 20I/OIRESET
    RefAck12FB1MC17STD 22I/O/GCK1GCKRESET
    fsb/VPA2531FB3MC2STD 23I/O/GCK2GCK/IRESET
    fsb/Ready1r717FB3MC5STD 24I/OIRESET
    nDTACK_FSB2332FB3MC9STDFAST28I/OORESET
    fsb/Ready2r922FB3MC15STD 33I/OIRESET
    nROMWE12FB3MC17STDFAST34I/OO 
    nROMCS25FB5MC2STDFAST35I/OO 
    nCAS11FB5MC5STDFAST36I/OORESET
    nOE12FB5MC6STDFAST37I/OO 
    iobs/Once1718FB5MC8STD 39I/O(b)RESET
    RA<4>23FB5MC9STDFAST40I/OO 
    RA<3>23FB5MC11STDFAST41I/OO 
    RA<5>23FB5MC12STDFAST42I/OO 
    RA<2>23FB5MC14STDFAST43I/OO 
    RA<6>23FB5MC15STDFAST46I/OO 
    RA<1>23FB7MC2STDFAST50I/OO 
    RA<7>23FB7MC5STDFAST52I/OO 
    RA<0>23FB7MC6STDFAST53I/OO 
    RA<8>23FB7MC8STDFAST54I/OO 
    RA<10>11FB7MC9STDFAST55I/OO 
    RA<9>23FB7MC11STDFAST56I/OO 
    TimeoutA310FB7MC12STD 58I/O(b)RESET
    ram/RS_FSM_FFd31114FB7MC14STD 59I/O(b)RESET
    RA<11>11FB8MC2STDFAST63I/OO 
    nRAS38FB8MC5STDFAST64I/OO 
    nRAMLWE15FB8MC6STDFAST65I/OO 
    nRAMUWE15FB8MC8STDFAST66I/OO 
    iobs/Load11418FB8MC9STD 67I/O(b)RESET
    nBERR_FSB39FB8MC12STDFAST70I/OO 
    ram/RAMDIS11815FB8MC15STD 72I/O(b)RESET
    nVMA_IOB29FB6MC2STDFAST74I/OORESET
    iobm/ES<1>34FB6MC5STD 76I/OIRESET
    iobm/ES<0>37FB6MC6STD 77I/OIRESET
    iobm/ES<4>47FB6MC8STD 78I/OIRESET
    nLDS_IOB46FB6MC9STDFAST79I/OORESET
    nUDS_IOB46FB6MC11STDFAST80I/OORESET
    nAS_IOB24FB6MC12STDFAST81I/OORESET
    nADoutLE123FB6MC14STDFAST82I/OOSET
    nADoutLE012FB6MC15STDFAST85I/OO 
    nDinLE23FB6MC17STDFAST86I/OORESET
    nAoutOE00FB4MC2STDFAST87I/OO 
    nDoutOE24FB4MC5STDFAST89I/OORESET
    nDinOE26FB4MC6STDFAST90I/OO 
    iobs/IOU122FB4MC8STD 91I/OIRESET
    iobs/IOL122FB4MC9STD 92I/O(b)RESET
    nVPA_FSB12FB4MC11STDFAST93I/OO 
    iobm/IOS_FSM_FFd124FB4MC12STD 94I/OIRESET
    cs/nOverlay123FB4MC14STD 95I/OIRESET
    $OpTx$FX_DC$60825FB4MC15STD 96I/OI 
    IOL035FB4MC17STD 97I/OIRESET
    iobs/IOACTr11FB1MC1STD  (b)(b)D  RESET
    iobm/DTACKrr11FB1MC4STD  (b)(b)D  RESET
    iobm/BERRrf11FB1MC7STD  (b)(b)D  RESET
    cnt/RefCnt<6>16FB1MC10STD  (b)(b)T  RESET
    cnt/RefCnt<3>13FB1MC13STD  (b)(b)T  RESET
    cnt/RefCnt<0>00FB1MC16STD  (b)(b)T  RESET
    $OpTx$FX_DC$60712FB1MC18STD  (b)(b)    
    iobm/RESrr11FB2MC16STD  (b)(b)D  RESET
    iobm/Er11FB2MC18STD  (b)(b)D  RESET
    iobs/IORW11619FB3MC13STD  (b)(b)T  RESET
    ram/BACTr12FB4MC1STD  (b)(b)D  RESET
    iobs/Clear113FB4MC3STD  (b)(b)D  RESET
    ALE0S12FB4MC4STD  (b)(b)D  RESET
    iobs/PS_FSM_FFd123FB4MC7STD  (b)(b)D  RESET
    iobm/IOS_FSM_FFd224FB4MC10STD  (b)(b)D  RESET
    fsb/BERR1r24FB4MC13STD  (b)(b)D  RESET
    IOU035FB4MC16STD  (b)(b)D  RESET
    iobs/IOReady48FB4MC18STD  (b)(b)T  RESET
    iobs/PS_FSM_FFd21419FB5MC4STD  (b)(b)D  RESET
    IOREQ1419FB5MC13STD  (b)(b)D  RESET
    BERR_IOBS48FB5MC16STD  (b)(b)T  RESET
    IORW01820FB5MC18STD  (b)(b)T  RESET
    iobm/ETACK16FB6MC1STD  (b)(b)D  RESET
    ALE0M25FB6MC3STD  (b)(b)D  RESET
    iobm/ES<3>36FB6MC4STD  (b)(b)T  RESET
    iobm/IOS_FSM_FFd446FB6MC7STD  (b)(b)D  RESET
    iobm/IOS_FSM_FFd3510FB6MC10STD  (b)(b)D  RESET
    iobm/ES<2>57FB6MC13STD  (b)(b)D  RESET
    IOACT613FB6MC16STD  (b)(b)D  RESET
    IOBERR914FB6MC18STD  (b)(b)T  RESET
    TimeoutB312FB7MC1STD  (b)(b)T  RESET
    cnt/RefDone210FB7MC3STD  (b)(b)D  RESET
    fsb/Ready0r38FB7MC4STD  (b)(b)D  RESET
    cs/nOverlay038FB7MC7STD  (b)(b)T  RESET
    cnt/TimeoutBPre311FB7MC10STD  (b)(b)T  RESET
    ram/RS_FSM_FFd21314FB7MC16STD  (b)(b)T  RESET
    ram/RAMReady1615FB7MC18STD  (b)(b)D  RESET
    ram/RASEL2015FB8MC1STD  (b)(b)D  RESET
    fsb/BERR0r38FB8MC3STD  (b)(b)D  RESET
    ram/RS_FSM_FFd1510FB8MC4STD  (b)(b)T  RESET
    ram/Once510FB8MC7STD  (b)(b)T  RESET
    ram/RAMDIS2715FB8MC13STD  (b)(b)T  RESET
    + + +
    + +
    + + diff --git a/cpld/XC95144/MXSE_html/fit/maplogicdoc.htm b/cpld/XC95144XL/MXSE_html/fit/maplogicdoc.htm similarity index 100% rename from cpld/XC95144/MXSE_html/fit/maplogicdoc.htm rename to cpld/XC95144XL/MXSE_html/fit/maplogicdoc.htm diff --git a/cpld/XC95144/MXSE_html/fit/newappletref.htm b/cpld/XC95144XL/MXSE_html/fit/newappletref.htm similarity index 100% rename from cpld/XC95144/MXSE_html/fit/newappletref.htm rename to cpld/XC95144XL/MXSE_html/fit/newappletref.htm diff --git a/cpld/XC95144/MXSE_html/fit/next.jpg b/cpld/XC95144XL/MXSE_html/fit/next.jpg similarity index 100% rename from cpld/XC95144/MXSE_html/fit/next.jpg rename to cpld/XC95144XL/MXSE_html/fit/next.jpg diff --git a/cpld/XC95144/MXSE_html/fit/ns4plugin.js b/cpld/XC95144XL/MXSE_html/fit/ns4plugin.js similarity index 100% rename from cpld/XC95144/MXSE_html/fit/ns4plugin.js rename to cpld/XC95144XL/MXSE_html/fit/ns4plugin.js diff --git a/cpld/XC95144/MXSE_html/fit/options.htm b/cpld/XC95144XL/MXSE_html/fit/options.htm similarity index 96% rename from cpld/XC95144/MXSE_html/fit/options.htm rename to cpld/XC95144XL/MXSE_html/fit/options.htm index 38f328e..3b7441a 100644 --- a/cpld/XC95144/MXSE_html/fit/options.htm +++ b/cpld/XC95144XL/MXSE_html/fit/options.htm @@ -1,106 +1,106 @@ - - - - - - -

    Compiler Options

    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    OptionValue
     Device(s) Specified - xc95144xl-10-TQ100
     Optimization Method - SPEED
     Multi-Level Logic Optimization - ON
     Ignore Timing Specifications - OFF
     Default Register Power Up Value - LOW
     Slew Rate - FAST
     Keep User Location Constraints - ON
     What-You-See-Is-What-You-Get - OFF
     Exhaustive Fitting - OFF
     Keep Unused Inputs - OFF
     Power Mode - STD
     Ground on Unused IOs - OFF
     Global Clock Optimization - ON
     Global Set/Reset Optimization - ON
     Global Ouput Enable Optimization - ON
     Set I/O Pin Termination - KEEPER
     Input Limit (2-54) 54
     Pterm Limit (1-90) 25
    - - -
    - - + + + + + + +

    Compiler Options

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    OptionValue
     Device(s) Specified + xc95144xl-10-TQ100
     Optimization Method + SPEED
     Multi-Level Logic Optimization + ON
     Ignore Timing Specifications + OFF
     Default Register Power Up Value + LOW
     Slew Rate + FAST
     Keep User Location Constraints + ON
     What-You-See-Is-What-You-Get + OFF
     Exhaustive Fitting + OFF
     Keep Unused Inputs + OFF
     Power Mode + STD
     Ground on Unused IOs + OFF
     Global Clock Optimization + ON
     Global Set/Reset Optimization + ON
     Global Ouput Enable Optimization + ON
     Set I/O Pin Termination + KEEPER
     Input Limit (2-54) 54
     Pterm Limit (1-90) 25
    + + +
    + + diff --git a/cpld/XC95144/MXSE_html/fit/optionsdoc.htm b/cpld/XC95144XL/MXSE_html/fit/optionsdoc.htm similarity index 100% rename from cpld/XC95144/MXSE_html/fit/optionsdoc.htm rename to cpld/XC95144XL/MXSE_html/fit/optionsdoc.htm diff --git a/cpld/XC95144/MXSE_html/fit/paths.js b/cpld/XC95144XL/MXSE_html/fit/paths.js similarity index 100% rename from cpld/XC95144/MXSE_html/fit/paths.js rename to cpld/XC95144XL/MXSE_html/fit/paths.js diff --git a/cpld/XC95144/MXSE_html/fit/pin.gif b/cpld/XC95144XL/MXSE_html/fit/pin.gif similarity index 100% rename from cpld/XC95144/MXSE_html/fit/pin.gif rename to cpld/XC95144XL/MXSE_html/fit/pin.gif diff --git a/cpld/XC95144/MXSE_html/fit/pin_legXC95.htm b/cpld/XC95144XL/MXSE_html/fit/pin_legXC95.htm similarity index 100% rename from cpld/XC95144/MXSE_html/fit/pin_legXC95.htm rename to cpld/XC95144XL/MXSE_html/fit/pin_legXC95.htm diff --git a/cpld/XC95144/MXSE_html/fit/pin_legXbr.htm b/cpld/XC95144XL/MXSE_html/fit/pin_legXbr.htm similarity index 100% rename from cpld/XC95144/MXSE_html/fit/pin_legXbr.htm rename to cpld/XC95144XL/MXSE_html/fit/pin_legXbr.htm diff --git a/cpld/XC95144/MXSE_html/fit/pin_legXpla3.htm b/cpld/XC95144XL/MXSE_html/fit/pin_legXpla3.htm similarity index 100% rename from cpld/XC95144/MXSE_html/fit/pin_legXpla3.htm rename to cpld/XC95144XL/MXSE_html/fit/pin_legXpla3.htm diff --git a/cpld/XC95144/MXSE_html/fit/pindiagram.gif b/cpld/XC95144XL/MXSE_html/fit/pindiagram.gif similarity index 100% rename from cpld/XC95144/MXSE_html/fit/pindiagram.gif rename to cpld/XC95144XL/MXSE_html/fit/pindiagram.gif diff --git a/cpld/XC95144/MXSE_html/fit/pinlegend.htm b/cpld/XC95144XL/MXSE_html/fit/pinlegend.htm similarity index 96% rename from cpld/XC95144/MXSE_html/fit/pinlegend.htm rename to cpld/XC95144XL/MXSE_html/fit/pinlegend.htm index 26f2262..a417480 100644 --- a/cpld/XC95144/MXSE_html/fit/pinlegend.htm +++ b/cpld/XC95144XL/MXSE_html/fit/pinlegend.htm @@ -1,150 +1,150 @@ - - - - - - - -

    Legends

    -
    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    AcronymBrief Description
     CLK Clock
     GCK0 Global Clock 0
     GCK1 Global Clock 1
     GCK2 Global Clock 2
     GND Ground
     GSR Global Set/Reset
     GTS0 Global Output Enable 0
     GTS1 Global Output Enable 1
     GTS2 Global Output Enable 2
     GTS3 Global Output Enable 3
     I/O Input/Output
     INIT Initial state
     ISP In system programmable
     JTAG Joint Test Action Group
     KPR Unused I/O with weak keeper
     NC No Connects
     PGND Programmable ground pin
     PROHIBITED User reserved pin
     R Reset
     S Set
     TCK Test clock
     TDI Test data input
     TDO Test data output
     TIE Unused I/O floating
     TMS Test mode select
     LVCMOS Low Voltage CMOS 3.3 Volts
     LVCMOS25 Low Voltage CMOS 2.5 Volts
     LVCMOS33 Low Voltage CMOS 2.5 to 3.3 Volts
     LVTTL Low Voltage TTL 3.3 Volts
     VCCIO Input/Output Supply Voltage
     VCC Power internal
     WPU Weak Pull Up
    - - -
    - - + + + + + + + +

    Legends

    +
    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    AcronymBrief Description
     CLK Clock
     GCK0 Global Clock 0
     GCK1 Global Clock 1
     GCK2 Global Clock 2
     GND Ground
     GSR Global Set/Reset
     GTS0 Global Output Enable 0
     GTS1 Global Output Enable 1
     GTS2 Global Output Enable 2
     GTS3 Global Output Enable 3
     I/O Input/Output
     INIT Initial state
     ISP In system programmable
     JTAG Joint Test Action Group
     KPR Unused I/O with weak keeper
     NC No Connects
     PGND Programmable ground pin
     PROHIBITED User reserved pin
     R Reset
     S Set
     TCK Test clock
     TDI Test data input
     TDO Test data output
     TIE Unused I/O floating
     TMS Test mode select
     LVCMOS Low Voltage CMOS 3.3 Volts
     LVCMOS25 Low Voltage CMOS 2.5 Volts
     LVCMOS33 Low Voltage CMOS 2.5 to 3.3 Volts
     LVTTL Low Voltage TTL 3.3 Volts
     VCCIO Input/Output Supply Voltage
     VCC Power internal
     WPU Weak Pull Up
    + + +
    + + diff --git a/cpld/XC95144/MXSE_html/fit/pinlegendV.htm b/cpld/XC95144XL/MXSE_html/fit/pinlegendV.htm similarity index 97% rename from cpld/XC95144/MXSE_html/fit/pinlegendV.htm rename to cpld/XC95144XL/MXSE_html/fit/pinlegendV.htm index 577547a..8e11317 100644 --- a/cpld/XC95144/MXSE_html/fit/pinlegendV.htm +++ b/cpld/XC95144XL/MXSE_html/fit/pinlegendV.htm @@ -1,150 +1,150 @@ - - - - - - - -

    Legends

    -
    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    AcronymVerbose Description
     CLK Global Clock
     GCK0 Global clock zero
     GCK1 Global clock one
     GCK2 Global clock two
     GND Dedicated Ground Pin
     GSR Global set-reset
     GTS0 Global tristate zero (output enable)
     GTS1 Global tristate one (output enable)
     GTS2 Global tristate two (output enable)
     GTS3 Global tristate three (output enable)
     I/O Input/Output
     INIT Initial state
     ISP The use of the JTAG port to program the chip while it is powered in a system.
     JTAG IEEE Standard 1149 (JTAG) boundary-scan test standard.
     KPR Unused I/O with weak keeper (leave unconnected)
     NC Not Connected, unbonded pin
     PGND Programmable ground pin
     PROHIBITED User reserved pin
     R Reset
     S Set
     TCK One of four terminals required by (JTAG) IEEE Std 1149 or IEEE Std 1532. Test operations of the device are synchronous to TCK. Data is captured on the rising edge of TCK and outputs change on the falling edge of TCK. An internal pull-up forces TCK to a high level if left unconnected.
     TDI One of four terminals required by (JTAG) IEEE Std 1149 or IEEE Std 1532. It is the serial input for shifting data through the instruction register or selected data register. An internal pull-up forces TDI to a high level if left unconnected.
     TDO One of four terminals required by (JTAG) IEEE Std 1149 or IEEE Std 1532. It is the serial output for shifting data through the instruction register or selected data register. An internal pull-up forces TDI to a high level when it is not driven from an external source.
     TIE Unused I/O floating -- must tie to VCC, GND or other signal
     TMS One of four terminals required by (JTAG) IEEE Std 1149 or IEEE Std 1532. It directs the device through its Test Access Port controller states. An internal pull-up forces TDI to a high level when it is not driven from an external source. TMS also provides the optional test reset signal of IEEE Std 1149 or IEEE Std 1532.
     LVCMOS Low Voltage Complementary Metal Oxide Semiconductor 3.3 Volts
     LVCMOS25 External I/O supply voltage for LVCMOS25
     LVCMOS33 External I/O supply voltage for LVCMOS33
     LVTTL Low Voltage Transistor Transistor Logic 3.3Volts
     VCCIO External power for Inputs/Outputs
     VCC Dedicated Power Pin, Internal supply voltage for the device
     WPU Unused I/O with Internal Weak Pull Up (leave unconnected)
    - - -
    - - + + + + + + + +

    Legends

    +
    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    AcronymVerbose Description
     CLK Global Clock
     GCK0 Global clock zero
     GCK1 Global clock one
     GCK2 Global clock two
     GND Dedicated Ground Pin
     GSR Global set-reset
     GTS0 Global tristate zero (output enable)
     GTS1 Global tristate one (output enable)
     GTS2 Global tristate two (output enable)
     GTS3 Global tristate three (output enable)
     I/O Input/Output
     INIT Initial state
     ISP The use of the JTAG port to program the chip while it is powered in a system.
     JTAG IEEE Standard 1149 (JTAG) boundary-scan test standard.
     KPR Unused I/O with weak keeper (leave unconnected)
     NC Not Connected, unbonded pin
     PGND Programmable ground pin
     PROHIBITED User reserved pin
     R Reset
     S Set
     TCK One of four terminals required by (JTAG) IEEE Std 1149 or IEEE Std 1532. Test operations of the device are synchronous to TCK. Data is captured on the rising edge of TCK and outputs change on the falling edge of TCK. An internal pull-up forces TCK to a high level if left unconnected.
     TDI One of four terminals required by (JTAG) IEEE Std 1149 or IEEE Std 1532. It is the serial input for shifting data through the instruction register or selected data register. An internal pull-up forces TDI to a high level if left unconnected.
     TDO One of four terminals required by (JTAG) IEEE Std 1149 or IEEE Std 1532. It is the serial output for shifting data through the instruction register or selected data register. An internal pull-up forces TDI to a high level when it is not driven from an external source.
     TIE Unused I/O floating -- must tie to VCC, GND or other signal
     TMS One of four terminals required by (JTAG) IEEE Std 1149 or IEEE Std 1532. It directs the device through its Test Access Port controller states. An internal pull-up forces TDI to a high level when it is not driven from an external source. TMS also provides the optional test reset signal of IEEE Std 1149 or IEEE Std 1532.
     LVCMOS Low Voltage Complementary Metal Oxide Semiconductor 3.3 Volts
     LVCMOS25 External I/O supply voltage for LVCMOS25
     LVCMOS33 External I/O supply voltage for LVCMOS33
     LVTTL Low Voltage Transistor Transistor Logic 3.3Volts
     VCCIO External power for Inputs/Outputs
     VCC Dedicated Power Pin, Internal supply voltage for the device
     WPU Unused I/O with Internal Weak Pull Up (leave unconnected)
    + + +
    + + diff --git a/cpld/XC95144XL/MXSE_html/fit/pins 2.htm b/cpld/XC95144XL/MXSE_html/fit/pins 2.htm new file mode 100644 index 0000000..2c7fd7c --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/pins 2.htm @@ -0,0 +1,521 @@ + + + + + + +

    Pin List

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Pin NumPin TypeAssigned Signal
    1I/O/GTS3KPR
    2I/O/GTS4KPR
    3I/O/GTS1KPR
    4I/O/GTS2KPR
    5VCCINTVCC
    6I/OnLDS_IOB
    7I/OnUDS_IOB
    8I/OnDoutOE
    9I/OA_FSB<22>
    10I/OnAS_IOB
    11I/OnDTACK_FSB
    12I/OA_FSB<9>
    13I/OnAS_FSB
    14I/OnBERR_FSB
    15I/OA_FSB<5>
    16I/OA_FSB<2>
    17I/OnOE
    18I/OnBERR_IOB
    19I/OA_FSB<6>
    20I/OA_FSB<7>
    21GNDGND
    22I/O/GCK1CLK2X_IOB
    23I/O/GCK2CLK_FSB
    24I/OnRAS
    25I/OnLDS_FSB
    26VCCIOVCC
    27I/O/GCK3CLK_IOB
    28I/OKPR
    29I/OnADoutLE1
    30I/OKPR
    31GNDGND
    32I/OKPR
    33I/OnVMA_IOB
    34I/OKPR
    35I/ORA<1>
    36I/OKPR
    37I/OKPR
    38VCCIOVCC
    39I/ORA<3>
    40I/OKPR
    41I/OKPR
    42I/OnROMCS
    43I/OKPR
    44GNDGND
    45TDITDI
    46I/OKPR
    47TMSTMS
    48TCKTCK
    49I/OE_IOB
    50I/ORA<5>
    51VCCIOVCC
    52I/OnUDS_FSB
    53I/ORA<6>
    54I/OnDTACK_IOB
    55I/ORA<7>
    56I/OA_FSB<4>
    57VCCINTVCC
    58I/ORA<8>
    59I/OnVPA_IOB
    60I/ORA<9>
    61I/OnWE_FSB
    62GNDGND
    63I/ORA<11>
    64I/OA_FSB<8>
    65I/ORA<10>
    66I/OA_FSB<23>
    67I/OnADoutLE0
    68I/OA_FSB<21>
    69GNDGND
    70I/OnAoutOE
    71I/OA_FSB<20>
    72I/OnDinLE
    73I/OA_FSB<19>
    74I/OnCAS
    75GNDGND
    76I/OA_FSB<18>
    77I/OnRAMLWE
    78I/OA_FSB<3>
    79I/OnRAMUWE
    80I/OA_FSB<15>
    81I/OnROMWE
    82I/OA_FSB<13>
    83TDOTDO
    84GNDGND
    85I/OnVPA_FSB
    86I/OA_FSB<11>
    87I/ORA<0>
    88VCCIOVCC
    89I/OA_FSB<10>
    90I/OA_FSB<1>
    91I/ORA<2>
    92I/OA_FSB<12>
    93I/OA_FSB<14>
    94I/ORA<4>
    95I/OA_FSB<16>
    96I/OA_FSB<17>
    97I/OnDinOE
    98VCCINTVCC
    99I/O/GSRnRES
    100GNDGND
    + + +
    + +
    + + diff --git a/cpld/XC95144/MXSE_html/fit/pins.htm b/cpld/XC95144XL/MXSE_html/fit/pins.htm similarity index 97% rename from cpld/XC95144/MXSE_html/fit/pins.htm rename to cpld/XC95144XL/MXSE_html/fit/pins.htm index 6df490a..ca27360 100644 --- a/cpld/XC95144/MXSE_html/fit/pins.htm +++ b/cpld/XC95144XL/MXSE_html/fit/pins.htm @@ -1,521 +1,521 @@ - - - - - - -

    Pin List

    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    Pin NumPin TypeAssigned Signal
    1I/O/GTS3KPR
    2I/O/GTS4KPR
    3I/O/GTS1KPR
    4I/O/GTS2KPR
    5VCCINTVCC
    6I/OnLDS_IOB
    7I/OnUDS_IOB
    8I/OnAS_IOB
    9I/OnLDS_FSB
    10I/OnDoutOE
    11I/OnBERR_FSB
    12I/OA_FSB<13>
    13I/OnDinOE
    14I/OA_FSB<20>
    15I/OnROMCS
    16I/OA_FSB<5>
    17I/OnVMA_IOB
    18I/OnUDS_FSB
    19I/ORA<10>
    20I/OnDTACK_IOB
    21GNDGND
    22I/O/GCK1CLK2X_IOB
    23I/O/GCK2CLK_FSB
    24I/OnRAS
    25I/OnVPA_IOB
    26VCCIOVCC
    27I/O/GCK3CLK_IOB
    28I/OKPR
    29I/ORA<0>
    30I/OKPR
    31GNDGND
    32I/OKPR
    33I/ORA<11>
    34I/OKPR
    35I/ORA<2>
    36I/OKPR
    37I/ORA<3>
    38VCCIOVCC
    39I/OKPR
    40I/ORA<4>
    41I/OKPR
    42I/ORA<9>
    43I/OKPR
    44GNDGND
    45TDITDI
    46I/OnCAS
    47TMSTMS
    48TCKTCK
    49I/OKPR
    50I/OnDinLE
    51VCCIOVCC
    52I/OKPR
    53I/OE_IOB
    54I/OnADoutLE0
    55I/OA_FSB<2>
    56I/OnBERR_IOB
    57VCCINTVCC
    58I/OnVPA_FSB
    59I/OA_FSB<4>
    60I/OnWE_FSB
    61I/OA_FSB<19>
    62GNDGND
    63I/OA_FSB<14>
    64I/OnDTACK_FSB
    65I/OA_FSB<12>
    66I/OA_FSB<11>
    67I/OA_FSB<3>
    68I/OnAoutOE
    69GNDGND
    70I/OnAS_FSB
    71I/OA_FSB<9>
    72I/OA_FSB<1>
    73I/OA_FSB<8>
    74I/ORA<5>
    75GNDGND
    76I/OA_FSB<7>
    77I/ORA<6>
    78I/OA_FSB<23>
    79I/ORA<7>
    80I/OA_FSB<21>
    81I/ORA<8>
    82I/OA_FSB<17>
    83TDOTDO
    84GNDGND
    85I/OnADoutLE1
    86I/OA_FSB<15>
    87I/ORA<1>
    88VCCIOVCC
    89I/OA_FSB<10>
    90I/OnOE
    91I/OA_FSB<16>
    92I/OnRAMLWE
    93I/OA_FSB<18>
    94I/OnRAMUWE
    95I/OA_FSB<22>
    96I/OnROMWE
    97I/OA_FSB<6>
    98VCCINTVCC
    99I/O/GSRnRES
    100GNDGND
    - - -
    - -
    - - + + + + + + +

    Pin List

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Pin NumPin TypeAssigned Signal
    1I/O/GTS3KPR
    2I/O/GTS4A_FSB<5>
    3I/O/GTS1A_FSB<6>
    4I/O/GTS2A_FSB<7>
    5VCCINTVCC
    6I/OA_FSB<8>
    7I/OA_FSB<9>
    8I/OA_FSB<10>
    9I/OA_FSB<11>
    10I/OA_FSB<12>
    11I/OA_FSB<13>
    12I/OA_FSB<14>
    13I/OA_FSB<15>
    14I/OA_FSB<16>
    15I/OA_FSB<17>
    16I/OA_FSB<18>
    17I/OA_FSB<19>
    18I/OA_FSB<20>
    19I/OA_FSB<21>
    20I/OA_FSB<22>
    21GNDGND
    22I/O/GCK1CLK2X_IOB
    23I/O/GCK2CLK_IOB
    24I/OA_FSB<23>
    25I/OE_IOB
    26VCCIOVCC
    27I/O/GCK3CLK_FSB
    28I/OnDTACK_FSB
    29I/OnWE_FSB
    30I/OnLDS_FSB
    31GNDGND
    32I/OnAS_FSB
    33I/OnUDS_FSB
    34I/OnROMWE
    35I/OnROMCS
    36I/OnCAS
    37I/OnOE
    38VCCIOVCC
    39I/OKPR
    40I/ORA<4>
    41I/ORA<3>
    42I/ORA<5>
    43I/ORA<2>
    44GNDGND
    45TDITDI
    46I/ORA<6>
    47TMSTMS
    48TCKTCK
    49I/OKPR
    50I/ORA<1>
    51VCCIOVCC
    52I/ORA<7>
    53I/ORA<0>
    54I/ORA<8>
    55I/ORA<10>
    56I/ORA<9>
    57VCCINTVCC
    58I/OKPR
    59I/OKPR
    60I/OKPR
    61I/OKPR
    62GNDGND
    63I/ORA<11>
    64I/OnRAS
    65I/OnRAMLWE
    66I/OnRAMUWE
    67I/OKPR
    68I/OKPR
    69GNDGND
    70I/OnBERR_FSB
    71I/OKPR
    72I/OKPR
    73I/OKPR
    74I/OnVMA_IOB
    75GNDGND
    76I/OnBERR_IOB
    77I/OnVPA_IOB
    78I/OnDTACK_IOB
    79I/OnLDS_IOB
    80I/OnUDS_IOB
    81I/OnAS_IOB
    82I/OnADoutLE1
    83TDOTDO
    84GNDGND
    85I/OnADoutLE0
    86I/OnDinLE
    87I/OnAoutOE
    88VCCIOVCC
    89I/OnDoutOE
    90I/OnDinOE
    91I/OnRES
    92I/OKPR
    93I/OnVPA_FSB
    94I/OA_FSB<1>
    95I/OA_FSB<2>
    96I/OA_FSB<3>
    97I/OA_FSB<4>
    98VCCINTVCC
    99I/O/GSRKPR
    100GNDGND
    + + +
    + +
    + + diff --git a/cpld/XC95144/MXSE_html/fit/pins.js b/cpld/XC95144XL/MXSE_html/fit/pins.js similarity index 100% rename from cpld/XC95144/MXSE_html/fit/pins.js rename to cpld/XC95144XL/MXSE_html/fit/pins.js diff --git a/cpld/XC95144/MXSE_html/fit/pinsdoc.htm b/cpld/XC95144XL/MXSE_html/fit/pinsdoc.htm similarity index 100% rename from cpld/XC95144/MXSE_html/fit/pinsdoc.htm rename to cpld/XC95144XL/MXSE_html/fit/pinsdoc.htm diff --git a/cpld/XC95144/MXSE_html/fit/pinview.jpg b/cpld/XC95144XL/MXSE_html/fit/pinview.jpg similarity index 100% rename from cpld/XC95144/MXSE_html/fit/pinview.jpg rename to cpld/XC95144XL/MXSE_html/fit/pinview.jpg diff --git a/cpld/XC95144/MXSE_html/fit/plugin.js b/cpld/XC95144XL/MXSE_html/fit/plugin.js similarity index 100% rename from cpld/XC95144/MXSE_html/fit/plugin.js rename to cpld/XC95144XL/MXSE_html/fit/plugin.js diff --git a/cpld/XC95144/MXSE_html/fit/prev.jpg b/cpld/XC95144XL/MXSE_html/fit/prev.jpg similarity index 100% rename from cpld/XC95144/MXSE_html/fit/prev.jpg rename to cpld/XC95144XL/MXSE_html/fit/prev.jpg diff --git a/cpld/XC95144/MXSE_html/fit/print.jpg b/cpld/XC95144XL/MXSE_html/fit/print.jpg similarity index 100% rename from cpld/XC95144/MXSE_html/fit/print.jpg rename to cpld/XC95144XL/MXSE_html/fit/print.jpg diff --git a/cpld/XC95144/MXSE_html/fit/products.gif b/cpld/XC95144XL/MXSE_html/fit/products.gif similarity index 100% rename from cpld/XC95144/MXSE_html/fit/products.gif rename to cpld/XC95144XL/MXSE_html/fit/products.gif diff --git a/cpld/XC95144/MXSE_html/fit/purchase.gif b/cpld/XC95144XL/MXSE_html/fit/purchase.gif similarity index 100% rename from cpld/XC95144/MXSE_html/fit/purchase.gif rename to cpld/XC95144XL/MXSE_html/fit/purchase.gif diff --git a/cpld/XC95144/MXSE_html/fit/report.htm b/cpld/XC95144XL/MXSE_html/fit/report.htm similarity index 100% rename from cpld/XC95144/MXSE_html/fit/report.htm rename to cpld/XC95144XL/MXSE_html/fit/report.htm diff --git a/cpld/XC95144/MXSE_html/fit/result.htm b/cpld/XC95144XL/MXSE_html/fit/result.htm similarity index 100% rename from cpld/XC95144/MXSE_html/fit/result.htm rename to cpld/XC95144XL/MXSE_html/fit/result.htm diff --git a/cpld/XC95144/MXSE_html/fit/search.gif b/cpld/XC95144XL/MXSE_html/fit/search.gif similarity index 100% rename from cpld/XC95144/MXSE_html/fit/search.gif rename to cpld/XC95144XL/MXSE_html/fit/search.gif diff --git a/cpld/XC95144/MXSE_html/fit/spacer.gif b/cpld/XC95144XL/MXSE_html/fit/spacer.gif similarity index 100% rename from cpld/XC95144/MXSE_html/fit/spacer.gif rename to cpld/XC95144XL/MXSE_html/fit/spacer.gif diff --git a/cpld/XC95144/MXSE_html/fit/style.css b/cpld/XC95144XL/MXSE_html/fit/style.css similarity index 100% rename from cpld/XC95144/MXSE_html/fit/style.css rename to cpld/XC95144XL/MXSE_html/fit/style.css diff --git a/cpld/XC95144XL/MXSE_html/fit/summary 2.htm b/cpld/XC95144XL/MXSE_html/fit/summary 2.htm new file mode 100644 index 0000000..be94ff0 --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/fit/summary 2.htm @@ -0,0 +1,155 @@ + + + + + + +

    Summary

    + + + + + + + + + + + + + + + + + + + + + +
     Design Name + MXSE
     Fitting Status + Successful
     Software Version + P.20131013
     Device Used + XC95144XL-10-TQ100 +
     Date + 12-11-2021, 6:24AM

    RESOURCES SUMMARY
    + + + + + + + + + + + + + + + +
    Macrocells UsedPterms UsedRegisters UsedPins UsedFunction Block Inputs Used
    110/144  (77%)439/720  (61%)84/144  (59%)67/81  (83%)244/432  (57%)

    PIN RESOURCES
    + + + +
    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Signal TypeRequiredMapped
     Input 31 31
     Output 32 32
     Bidirectional 0 0
     GCK 3 3
     GTS 0 0
     GSR 1 1
    + + + + + + + + + + + + + + + + + + + + + + + + + +
    Pin TypeUsedTotal
     I/O  + 63 74
     GCK/IO 3 3
     GTS/IO 0 4
     GSR/IO 1 1

    GLOBAL RESOURCES
    + + + + + + + + + + + + + + + + + +
     Signal mapped onto global clock net (GCK1) CLK2X_IOB
     Signal mapped onto global clock net (GCK2) CLK_FSB
     Signal mapped onto global clock net (GCK3) CLK_IOB
     Signal mapped onto global output enable net (GSR) nRES

    POWER DATA
    + + + + + + + + + + + + + +
     Macrocells in high performance mode (MCHP) 110
     Macrocells in low power mode (MCLP) 0
     Total macrocells used (MC) 110
    + + +
    + + diff --git a/cpld/XC95144/MXSE_html/fit/summary.htm b/cpld/XC95144XL/MXSE_html/fit/summary.htm similarity index 89% rename from cpld/XC95144/MXSE_html/fit/summary.htm rename to cpld/XC95144XL/MXSE_html/fit/summary.htm index 97ed597..b7f831f 100644 --- a/cpld/XC95144/MXSE_html/fit/summary.htm +++ b/cpld/XC95144XL/MXSE_html/fit/summary.htm @@ -1,155 +1,151 @@ - - - - - - -

    Summary

    - - - - - - - - - - - - - - - - - - - - - -
     Design Name - MXSE
     Fitting Status - Successful
     Software Version - P.20131013
     Device Used - XC95144XL-10-TQ100 -
     Date -  2- 7-2022, 0:04AM

    RESOURCES SUMMARY
    - - - - - - - - - - - - - - - -
    Macrocells UsedPterms UsedRegisters UsedPins UsedFunction Block Inputs Used
    105/144  (73%)427/720  (60%)80/144  (56%)67/81  (83%)234/432  (55%)

    PIN RESOURCES
    - - - -
    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    Signal TypeRequiredMapped
     Input 31 31
     Output 32 32
     Bidirectional 0 0
     GCK 3 3
     GTS 0 0
     GSR 1 1
    - - - - - - - - - - - - - - - - - - - - - - - - - -
    Pin TypeUsedTotal
     I/O  - 63 74
     GCK/IO 3 3
     GTS/IO 0 4
     GSR/IO 1 1

    GLOBAL RESOURCES
    - - - - - - - - - - - - - - - - - -
     Signal mapped onto global clock net (GCK1) CLK2X_IOB
     Signal mapped onto global clock net (GCK2) CLK_FSB
     Signal mapped onto global clock net (GCK3) CLK_IOB
     Signal mapped onto global output enable net (GSR) nRES

    POWER DATA
    - - - - - - - - - - - - - -
     Macrocells in high performance mode (MCHP) 105
     Macrocells in low power mode (MCLP) 0
     Total macrocells used (MC) 105
    - - -
    - - + + + + + + +

    Summary

    + + + + + + + + + + + + + + + + + + + + + +
     Design Name + MXSE
     Fitting Status + Successful
     Software Version + P.20131013
     Device Used + XC95144XL-10-TQ100 +
     Date +  2- 7-2022, 4:04AM

    RESOURCES SUMMARY
    + + + + + + + + + + + + + + + +
    Macrocells UsedPterms UsedRegisters UsedPins UsedFunction Block Inputs Used
    105/144  (73%)429/720  (60%)80/144  (56%)67/81  (83%)227/432  (53%)

    PIN RESOURCES
    + + + +
    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Signal TypeRequiredMapped
     Input 32 32
     Output 32 32
     Bidirectional 0 0
     GCK 3 3
     GTS 0 0
     GSR 0 0
    + + + + + + + + + + + + + + + + + + + + + + + + + +
    Pin TypeUsedTotal
     I/O  + 61 74
     GCK/IO 3 3
     GTS/IO 3 4
     GSR/IO 0 1

    GLOBAL RESOURCES
    + + + + + + + + + + + + + +
     Signal mapped onto global clock net (GCK1) CLK2X_IOB
     Signal mapped onto global clock net (GCK2) CLK_IOB
     Signal mapped onto global clock net (GCK3) CLK_FSB

    POWER DATA
    + + + + + + + + + + + + + +
     Macrocells in high performance mode (MCHP) 105
     Macrocells in low power mode (MCLP) 0
     Total macrocells used (MC) 105
    + + +
    + + diff --git a/cpld/XC95144/MXSE_html/fit/summary.js b/cpld/XC95144XL/MXSE_html/fit/summary.js similarity index 100% rename from cpld/XC95144/MXSE_html/fit/summary.js rename to cpld/XC95144XL/MXSE_html/fit/summary.js diff --git a/cpld/XC95144/MXSE_html/fit/summarydoc.htm b/cpld/XC95144XL/MXSE_html/fit/summarydoc.htm similarity index 100% rename from cpld/XC95144/MXSE_html/fit/summarydoc.htm rename to cpld/XC95144XL/MXSE_html/fit/summarydoc.htm diff --git a/cpld/XC95144/MXSE_html/fit/support.gif b/cpld/XC95144XL/MXSE_html/fit/support.gif similarity index 100% rename from cpld/XC95144/MXSE_html/fit/support.gif rename to cpld/XC95144XL/MXSE_html/fit/support.gif diff --git a/cpld/XC95144/MXSE_html/fit/time.htm b/cpld/XC95144XL/MXSE_html/fit/time.htm similarity index 91% rename from cpld/XC95144/MXSE_html/fit/time.htm rename to cpld/XC95144XL/MXSE_html/fit/time.htm index 2856558..f8cf5e6 100644 --- a/cpld/XC95144/MXSE_html/fit/time.htm +++ b/cpld/XC95144XL/MXSE_html/fit/time.htm @@ -1,4 +1,4 @@ - -
    -
    - + +
    +
    + diff --git a/cpld/XC95144/MXSE_html/fit/tooltips.js b/cpld/XC95144XL/MXSE_html/fit/tooltips.js similarity index 100% rename from cpld/XC95144/MXSE_html/fit/tooltips.js rename to cpld/XC95144XL/MXSE_html/fit/tooltips.js diff --git a/cpld/XC95144/MXSE_html/fit/topnav.htm b/cpld/XC95144XL/MXSE_html/fit/topnav.htm similarity index 97% rename from cpld/XC95144/MXSE_html/fit/topnav.htm rename to cpld/XC95144XL/MXSE_html/fit/topnav.htm index ac2b452..e6e700f 100644 --- a/cpld/XC95144/MXSE_html/fit/topnav.htm +++ b/cpld/XC95144XL/MXSE_html/fit/topnav.htm @@ -1,10 +1,10 @@ - - - - - - - - -
    - + + + + + + + + +
    + diff --git a/cpld/XC95144/MXSE_html/fit/topnav.js b/cpld/XC95144XL/MXSE_html/fit/topnav.js similarity index 100% rename from cpld/XC95144/MXSE_html/fit/topnav.js rename to cpld/XC95144XL/MXSE_html/fit/topnav.js diff --git a/cpld/XC95144/MXSE_html/fit/unmapinputdoc.htm b/cpld/XC95144XL/MXSE_html/fit/unmapinputdoc.htm similarity index 100% rename from cpld/XC95144/MXSE_html/fit/unmapinputdoc.htm rename to cpld/XC95144XL/MXSE_html/fit/unmapinputdoc.htm diff --git a/cpld/XC95144/MXSE_html/fit/unmaplogicdoc.htm b/cpld/XC95144XL/MXSE_html/fit/unmaplogicdoc.htm similarity index 100% rename from cpld/XC95144/MXSE_html/fit/unmaplogicdoc.htm rename to cpld/XC95144XL/MXSE_html/fit/unmaplogicdoc.htm diff --git a/cpld/XC95144/MXSE_html/fit/verboseview.jpg b/cpld/XC95144XL/MXSE_html/fit/verboseview.jpg similarity index 100% rename from cpld/XC95144/MXSE_html/fit/verboseview.jpg rename to cpld/XC95144XL/MXSE_html/fit/verboseview.jpg diff --git a/cpld/XC95144/MXSE_html/fit/view.gif b/cpld/XC95144XL/MXSE_html/fit/view.gif similarity index 100% rename from cpld/XC95144/MXSE_html/fit/view.gif rename to cpld/XC95144XL/MXSE_html/fit/view.gif diff --git a/cpld/XC95144/MXSE_html/fit/wait.htm b/cpld/XC95144XL/MXSE_html/fit/wait.htm similarity index 96% rename from cpld/XC95144/MXSE_html/fit/wait.htm rename to cpld/XC95144XL/MXSE_html/fit/wait.htm index 2b6486c..887b926 100644 --- a/cpld/XC95144/MXSE_html/fit/wait.htm +++ b/cpld/XC95144XL/MXSE_html/fit/wait.htm @@ -1,7 +1,7 @@ - - - - - -

    Processing...

    - + + + + + +

    Processing...

    + diff --git a/cpld/XC95144/MXSE_html/fit/xc9500_logo.gif b/cpld/XC95144XL/MXSE_html/fit/xc9500_logo.gif similarity index 100% rename from cpld/XC95144/MXSE_html/fit/xc9500_logo.gif rename to cpld/XC95144XL/MXSE_html/fit/xc9500_logo.gif diff --git a/cpld/XC95144/MXSE_html/fit/xc9500_logo.jpg b/cpld/XC95144XL/MXSE_html/fit/xc9500_logo.jpg similarity index 100% rename from cpld/XC95144/MXSE_html/fit/xc9500_logo.jpg rename to cpld/XC95144XL/MXSE_html/fit/xc9500_logo.jpg diff --git a/cpld/XC95144/MXSE_html/fit/xc9500xl_logo.gif b/cpld/XC95144XL/MXSE_html/fit/xc9500xl_logo.gif similarity index 100% rename from cpld/XC95144/MXSE_html/fit/xc9500xl_logo.gif rename to cpld/XC95144XL/MXSE_html/fit/xc9500xl_logo.gif diff --git a/cpld/XC95144/MXSE_html/fit/xc9500xl_logo.jpg b/cpld/XC95144XL/MXSE_html/fit/xc9500xl_logo.jpg similarity index 100% rename from cpld/XC95144/MXSE_html/fit/xc9500xl_logo.jpg rename to cpld/XC95144XL/MXSE_html/fit/xc9500xl_logo.jpg diff --git a/cpld/XC95144/MXSE_html/fit/xc9500xv_logo.jpg b/cpld/XC95144XL/MXSE_html/fit/xc9500xv_logo.jpg similarity index 100% rename from cpld/XC95144/MXSE_html/fit/xc9500xv_logo.jpg rename to cpld/XC95144XL/MXSE_html/fit/xc9500xv_logo.jpg diff --git a/cpld/XC95144/MXSE_html/fit/xcenter.gif b/cpld/XC95144XL/MXSE_html/fit/xcenter.gif similarity index 100% rename from cpld/XC95144/MXSE_html/fit/xcenter.gif rename to cpld/XC95144XL/MXSE_html/fit/xcenter.gif diff --git a/cpld/XC95144/MXSE_html/fit/xlogo.gif b/cpld/XC95144XL/MXSE_html/fit/xlogo.gif similarity index 100% rename from cpld/XC95144/MXSE_html/fit/xlogo.gif rename to cpld/XC95144XL/MXSE_html/fit/xlogo.gif diff --git a/cpld/XC95144/MXSE_html/fit/xml5.jpg b/cpld/XC95144XL/MXSE_html/fit/xml5.jpg similarity index 100% rename from cpld/XC95144/MXSE_html/fit/xml5.jpg rename to cpld/XC95144XL/MXSE_html/fit/xml5.jpg diff --git a/cpld/XC95144/MXSE_html/fit/xml6.jpg b/cpld/XC95144XL/MXSE_html/fit/xml6.jpg similarity index 100% rename from cpld/XC95144/MXSE_html/fit/xml6.jpg rename to cpld/XC95144XL/MXSE_html/fit/xml6.jpg diff --git a/cpld/XC95144/MXSE_html/fit/xml7.jpg b/cpld/XC95144XL/MXSE_html/fit/xml7.jpg similarity index 100% rename from cpld/XC95144/MXSE_html/fit/xml7.jpg rename to cpld/XC95144XL/MXSE_html/fit/xml7.jpg diff --git a/cpld/XC95144/MXSE_html/fit/xml8.jpg b/cpld/XC95144XL/MXSE_html/fit/xml8.jpg similarity index 100% rename from cpld/XC95144/MXSE_html/fit/xml8.jpg rename to cpld/XC95144XL/MXSE_html/fit/xml8.jpg diff --git a/cpld/XC95144/MXSE_html/images/acr2_logo.jpg b/cpld/XC95144XL/MXSE_html/images/acr2_logo.jpg similarity index 100% rename from cpld/XC95144/MXSE_html/images/acr2_logo.jpg rename to cpld/XC95144XL/MXSE_html/images/acr2_logo.jpg diff --git a/cpld/XC95144/MXSE_html/images/blackBar.jpg b/cpld/XC95144XL/MXSE_html/images/blackBar.jpg similarity index 100% rename from cpld/XC95144/MXSE_html/images/blackBar.jpg rename to cpld/XC95144XL/MXSE_html/images/blackBar.jpg diff --git a/cpld/XC95144/MXSE_html/images/cpldBanner.jpg b/cpld/XC95144XL/MXSE_html/images/cpldBanner.jpg similarity index 100% rename from cpld/XC95144/MXSE_html/images/cpldBanner.jpg rename to cpld/XC95144XL/MXSE_html/images/cpldBanner.jpg diff --git a/cpld/XC95144/MXSE_html/images/cr2s_logo.jpg b/cpld/XC95144XL/MXSE_html/images/cr2s_logo.jpg similarity index 100% rename from cpld/XC95144/MXSE_html/images/cr2s_logo.jpg rename to cpld/XC95144XL/MXSE_html/images/cr2s_logo.jpg diff --git a/cpld/XC95144/MXSE_html/images/fitterRpt.jpg b/cpld/XC95144XL/MXSE_html/images/fitterRpt.jpg similarity index 100% rename from cpld/XC95144/MXSE_html/images/fitterRpt.jpg rename to cpld/XC95144XL/MXSE_html/images/fitterRpt.jpg diff --git a/cpld/XC95144/MXSE_html/images/logo.jpg b/cpld/XC95144XL/MXSE_html/images/logo.jpg similarity index 100% rename from cpld/XC95144/MXSE_html/images/logo.jpg rename to cpld/XC95144XL/MXSE_html/images/logo.jpg diff --git a/cpld/XC95144/MXSE_html/images/spacer.jpg b/cpld/XC95144XL/MXSE_html/images/spacer.jpg similarity index 100% rename from cpld/XC95144/MXSE_html/images/spacer.jpg rename to cpld/XC95144XL/MXSE_html/images/spacer.jpg diff --git a/cpld/XC95144/MXSE_html/images/timingRpt.jpg b/cpld/XC95144XL/MXSE_html/images/timingRpt.jpg similarity index 100% rename from cpld/XC95144/MXSE_html/images/timingRpt.jpg rename to cpld/XC95144XL/MXSE_html/images/timingRpt.jpg diff --git a/cpld/XC95144/MXSE_html/images/xa9500xl_logo.jpg b/cpld/XC95144XL/MXSE_html/images/xa9500xl_logo.jpg similarity index 100% rename from cpld/XC95144/MXSE_html/images/xa9500xl_logo.jpg rename to cpld/XC95144XL/MXSE_html/images/xa9500xl_logo.jpg diff --git a/cpld/XC95144/MXSE_html/images/xbr_logo.jpg b/cpld/XC95144XL/MXSE_html/images/xbr_logo.jpg similarity index 100% rename from cpld/XC95144/MXSE_html/images/xbr_logo.jpg rename to cpld/XC95144XL/MXSE_html/images/xbr_logo.jpg diff --git a/cpld/XC95144/MXSE_html/images/xc9500_logo.jpg b/cpld/XC95144XL/MXSE_html/images/xc9500_logo.jpg similarity index 100% rename from cpld/XC95144/MXSE_html/images/xc9500_logo.jpg rename to cpld/XC95144XL/MXSE_html/images/xc9500_logo.jpg diff --git a/cpld/XC95144/MXSE_html/images/xc9500xl_logo.jpg b/cpld/XC95144XL/MXSE_html/images/xc9500xl_logo.jpg similarity index 100% rename from cpld/XC95144/MXSE_html/images/xc9500xl_logo.jpg rename to cpld/XC95144XL/MXSE_html/images/xc9500xl_logo.jpg diff --git a/cpld/XC95144/MXSE_html/images/xc9500xv_logo.jpg b/cpld/XC95144XL/MXSE_html/images/xc9500xv_logo.jpg similarity index 100% rename from cpld/XC95144/MXSE_html/images/xc9500xv_logo.jpg rename to cpld/XC95144XL/MXSE_html/images/xc9500xv_logo.jpg diff --git a/cpld/XC95144/MXSE_html/images/xpla3_logo.jpg b/cpld/XC95144XL/MXSE_html/images/xpla3_logo.jpg similarity index 100% rename from cpld/XC95144/MXSE_html/images/xpla3_logo.jpg rename to cpld/XC95144XL/MXSE_html/images/xpla3_logo.jpg diff --git a/cpld/XC95144/MXSE_html/tim/cpldta_glossary.htm b/cpld/XC95144XL/MXSE_html/tim/cpldta_glossary.htm similarity index 100% rename from cpld/XC95144/MXSE_html/tim/cpldta_glossary.htm rename to cpld/XC95144XL/MXSE_html/tim/cpldta_glossary.htm diff --git a/cpld/XC95144/MXSE_html/tim/cpldta_style.css b/cpld/XC95144XL/MXSE_html/tim/cpldta_style.css similarity index 100% rename from cpld/XC95144/MXSE_html/tim/cpldta_style.css rename to cpld/XC95144XL/MXSE_html/tim/cpldta_style.css diff --git a/cpld/XC95144/MXSE_html/tim/genreport.htm b/cpld/XC95144XL/MXSE_html/tim/genreport.htm similarity index 100% rename from cpld/XC95144/MXSE_html/tim/genreport.htm rename to cpld/XC95144XL/MXSE_html/tim/genreport.htm diff --git a/cpld/XC95144/MXSE_html/tim/leftnav.htm b/cpld/XC95144XL/MXSE_html/tim/leftnav.htm similarity index 100% rename from cpld/XC95144/MXSE_html/tim/leftnav.htm rename to cpld/XC95144XL/MXSE_html/tim/leftnav.htm diff --git a/cpld/XC95144/MXSE_html/tim/report.htm b/cpld/XC95144XL/MXSE_html/tim/report.htm similarity index 100% rename from cpld/XC95144/MXSE_html/tim/report.htm rename to cpld/XC95144XL/MXSE_html/tim/report.htm diff --git a/cpld/XC95144XL/MXSE_html/tim/timing_report 2.htm b/cpld/XC95144XL/MXSE_html/tim/timing_report 2.htm new file mode 100644 index 0000000..d147136 --- /dev/null +++ b/cpld/XC95144XL/MXSE_html/tim/timing_report 2.htm @@ -0,0 +1,2935 @@ + + + + + + + +Timing report for MXSE + + + +

    Timing Report

    +
    Need help reading this report?

    + + + + + + + + + + + + + + + + + + +
    Design NameMXSE
    Device, Speed (SpeedFile Version)XC95144XL, -10 (3.0)
    Date Created Sat Dec 11 06:24:30 2021 +
    Created By Timing Report Generator: version P.20131013
    Copyright Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.
    +

    Summary

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Performance Summary
    Min. Clock Period20.100 ns.
    Max. Clock Frequency (fSYSTEM)49.751 MHz.
    Limited by Cycle Time for CLK_FSB
    Clock to Setup (tCYC)20.100 ns.
    Pad to Pad Delay (tPD)11.000 ns.
    Setup to Clock at the Pad (tSU)16.600 ns.
    Clock Pad to Output Pad Delay (tCO)14.500 ns.
    +
    +

    Timing Constraints

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Constraint NameRequirement (ns)Delay (ns)PathsPaths Failing
    TS_CLK_IOB142.80.000
    TS_CLK_FSB40.020.12730
    TS_CLK2X_IOB66.611.01200
    +
    +
    + +

    Constraint: TS_CLK_IOB

    + + + + + + + + +
    Description: PERIOD:CLK_IOB:142.857nS:HIGH:71.428nS
    PathRequirement (ns)Delay (ns)Slack (ns)
    +
    +
    +
    +

    Constraint: TS_CLK_FSB

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Description: PERIOD:CLK_FSB:40.000nS:HIGH:20.000nS
    PathRequirement (ns)Delay (ns)Slack (ns)
    TimeoutA.Q to fsb/VPA.D40.00020.10019.900
    cs/nOverlay1.Q to fsb/VPA.D40.00020.10019.900
    fsb/Ready2r.Q to fsb/VPA.D40.00020.10019.900
    +
    +
    + +

    Constraint: TS_CLK2X_IOB

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Description: PERIOD:CLK2X_IOB:66.666nS:HIGH:33.333nS
    PathRequirement (ns)Delay (ns)Slack (ns)
    IOBERR.Q to IOBERR.D66.60011.00055.600
    iobm/BERRrf.Q to IOACT.D33.30011.00022.300
    iobm/BERRrf.Q to IOBERR.D33.30011.00022.300
    +
    +
    +
    Number of constraints not met: 0
    +
    + +

    Data Sheet Report

    + +

    Maximum External Clock Speeds

    + + + + + + + + + + + + + + + + + + + + + +
    ClockfEXT (MHz)Reason
    CLK_IOB111.111Limited by Clock Pulse Width for CLK_IOB
    CLK_FSB49.751Limited by Cycle Time for CLK_FSB
    CLK2X_IOB90.909Limited by Cycle Time for CLK2X_IOB
    +
    + +

    Setup/Hold Times for Clocks

    + + + + + + + + + + + + +
    Setup/Hold Times for Clock CLK_IOB
    Source PadSetup to clk (edge) Hold to clk (edge)
    E_IOB6.5000.000

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Setup/Hold Times for Clock CLK_FSB
    Source PadSetup to clk (edge) Hold to clk (edge)
    A_FSB<10>16.6000.000
    A_FSB<11>16.6000.000
    A_FSB<12>16.6000.000
    A_FSB<13>16.6000.000
    A_FSB<14>16.6000.000
    A_FSB<15>16.6000.000
    A_FSB<16>16.6000.000
    A_FSB<17>16.6000.000
    A_FSB<18>16.6000.000
    A_FSB<19>16.6000.000
    A_FSB<20>16.6000.000
    A_FSB<21>16.6000.000
    A_FSB<22>16.6000.000
    A_FSB<23>16.6000.000
    A_FSB<8>16.6000.000
    A_FSB<9>16.6000.000
    nAS_FSB7.9000.000
    nLDS_FSB6.5000.000
    nUDS_FSB6.5000.000
    nWE_FSB16.6000.000

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Setup/Hold Times for Clock CLK2X_IOB
    Source PadSetup to clk (edge) Hold to clk (edge)
    CLK_IOB7.5000.000
    nBERR_IOB7.5000.000
    nDTACK_IOB6.5000.000
    nRES6.5000.000
    nVPA_IOB6.5000.000

    +
    + +

    Clock to Pad Timing

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Clock CLK_FSB to Pad
    Destination PadClock (edge) to Pad
    RA<0>14.500
    RA<8>14.500
    RA<1>13.500
    RA<2>13.500
    RA<3>13.500
    RA<4>13.500
    RA<5>13.500
    RA<6>13.500
    RA<7>13.500
    RA<9>13.500
    nADoutLE013.500
    nBERR_FSB13.500
    nRAMLWE13.500
    nRAMUWE13.500
    nRAS13.500
    nROMCS13.500
    nVPA_FSB13.500
    nADoutLE15.800
    nCAS5.800
    nDTACK_FSB5.800

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Clock CLK2X_IOB to Pad
    Destination PadClock (edge) to Pad
    nADoutLE013.500
    nAS_IOB5.800
    nDinLE5.800
    nDoutOE5.800
    nLDS_IOB5.800
    nUDS_IOB5.800
    nVMA_IOB5.800

    +
    + +

    Clock to Setup Times for Clocks

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Clock to Setup for clock CLK_FSB
    SourceDestinationDelay
    TimeoutA.Qfsb/VPA.D20.100
    cs/nOverlay1.Qfsb/VPA.D20.100
    fsb/Ready2r.Qfsb/VPA.D20.100
    nADoutLE1.Qfsb/VPA.D20.100
    TimeoutB.Qfsb/VPA.D19.100
    TimeoutB.QnDTACK_FSB.D19.100
    BERR_IOBS.Qfsb/VPA.D11.400
    BERR_IOBS.QnDTACK_FSB.D11.400
    IORW0.QIORW0.D11.400
    TimeoutA.QnDTACK_FSB.D11.400
    cnt/RefCnt<5>.Qram/RAMDIS1.D11.400
    cnt/RefCnt<5>.Qram/RAMReady.D11.400
    cnt/RefCnt<5>.Qram/RASEL.D11.400
    cnt/RefCnt<6>.Qram/RAMDIS1.D11.400
    cnt/RefCnt<6>.Qram/RAMReady.D11.400
    cnt/RefCnt<6>.Qram/RASEL.D11.400
    cnt/RefCnt<7>.Qram/RAMDIS1.D11.400
    cnt/RefCnt<7>.Qram/RAMReady.D11.400
    cnt/RefCnt<7>.Qram/RASEL.D11.400
    cnt/RefDone.Qram/RAMDIS1.D11.400
    cnt/RefDone.Qram/RAMReady.D11.400
    cnt/RefDone.Qram/RASEL.D11.400
    cs/nOverlay1.QIORW0.D11.400
    cs/nOverlay1.Qiobs/IORW1.D11.400
    cs/nOverlay1.Qiobs/Once.D11.400
    cs/nOverlay1.QnDTACK_FSB.D11.400
    cs/nOverlay1.Qram/RAMDIS1.D11.400
    cs/nOverlay1.Qram/RASEL.D11.400
    fsb/ASrf.QIORW0.D11.400
    fsb/ASrf.Qfsb/VPA.D11.400
    fsb/ASrf.Qram/RASEL.D11.400
    fsb/BERR0r.Qfsb/VPA.D11.400
    fsb/BERR0r.QnDTACK_FSB.D11.400
    fsb/BERR1r.Qfsb/VPA.D11.400
    fsb/BERR1r.QnDTACK_FSB.D11.400
    fsb/Ready0r.Qfsb/VPA.D11.400
    fsb/Ready1r.Qfsb/VPA.D11.400
    fsb/Ready1r.QnDTACK_FSB.D11.400
    fsb/Ready2r.QnDTACK_FSB.D11.400
    fsb/VPA.Qfsb/VPA.D11.400
    iobs/IOReady.Qfsb/VPA.D11.400
    iobs/IOReady.QnDTACK_FSB.D11.400
    iobs/Once.QIORW0.D11.400
    iobs/Once.Qiobs/Once.D11.400
    iobs/PS_FSM_FFd1.QIORW0.D11.400
    iobs/PS_FSM_FFd2.QIORW0.D11.400
    nADoutLE1.QIORW0.D11.400
    nDTACK_FSB.QnDTACK_FSB.D11.400
    ram/Once.Qram/RASEL.D11.400
    ram/RAMReady.Qfsb/VPA.D11.400
    ram/RS_FSM_FFd1.Qram/RAMDIS1.D11.400
    ram/RS_FSM_FFd1.Qram/RASEL.D11.400
    ram/RS_FSM_FFd2.Qram/RASEL.D11.400
    TimeoutA.Qfsb/Ready2r.D11.000
    cnt/RefCnt<0>.Qcnt/RefCnt<6>.D11.000
    cnt/RefCnt<1>.Qcnt/RefCnt<6>.D11.000
    cnt/RefCnt<2>.Qcnt/RefCnt<6>.D11.000
    cnt/RefCnt<3>.Qcnt/RefCnt<6>.D11.000
    cnt/RefCnt<4>.Qcnt/RefCnt<6>.D11.000
    cnt/RefCnt<5>.Qcnt/RefCnt<6>.D11.000
    cnt/RefCnt<5>.Qram/RAMDIS2.D11.000
    cnt/RefCnt<5>.Qram/RS_FSM_FFd2.D11.000
    cnt/RefCnt<5>.Qram/RS_FSM_FFd3.D11.000
    cnt/RefCnt<6>.Qram/RAMDIS2.D11.000
    cnt/RefCnt<6>.Qram/RS_FSM_FFd2.D11.000
    cnt/RefCnt<6>.Qram/RS_FSM_FFd3.D11.000
    cnt/RefCnt<7>.Qram/RAMDIS2.D11.000
    cnt/RefCnt<7>.Qram/RS_FSM_FFd2.D11.000
    cnt/RefCnt<7>.Qram/RS_FSM_FFd3.D11.000
    cnt/RefDone.Qram/RAMDIS2.D11.000
    cnt/RefDone.Qram/RS_FSM_FFd2.D11.000
    cnt/RefDone.Qram/RS_FSM_FFd3.D11.000
    cs/nOverlay1.QIOREQ.D11.000
    cs/nOverlay1.Qfsb/Ready1r.D11.000
    cs/nOverlay1.Qfsb/Ready2r.D11.000
    cs/nOverlay1.Qiobs/Load1.D11.000
    cs/nOverlay1.Qiobs/PS_FSM_FFd2.D11.000
    cs/nOverlay1.Qram/Once.D11.000
    cs/nOverlay1.Qram/RAMDIS2.D11.000
    cs/nOverlay1.Qram/RAMReady.D11.000
    cs/nOverlay1.Qram/RS_FSM_FFd2.D11.000
    cs/nOverlay1.Qram/RS_FSM_FFd3.D11.000
    fsb/ASrf.Qfsb/Ready2r.D11.000
    fsb/ASrf.Qiobs/IORW1.D11.000
    fsb/ASrf.Qram/Once.D11.000
    fsb/ASrf.Qram/RAMDIS1.D11.000
    fsb/ASrf.Qram/RAMDIS2.D11.000
    fsb/ASrf.Qram/RAMReady.D11.000
    fsb/ASrf.Qram/RS_FSM_FFd2.D11.000
    fsb/ASrf.Qram/RS_FSM_FFd3.D11.000
    fsb/Ready0r.QnDTACK_FSB.D11.000
    fsb/Ready1r.Qfsb/Ready1r.D11.000
    fsb/Ready2r.Qfsb/Ready2r.D11.000
    iobs/IOACTr.Qiobs/PS_FSM_FFd1.D11.000
    iobs/IORW1.Qiobs/IORW1.D11.000
    iobs/IOReady.Qfsb/Ready1r.D11.000
    iobs/PS_FSM_FFd1.Qiobs/IORW1.D11.000
    iobs/PS_FSM_FFd1.Qiobs/Once.D11.000
    iobs/PS_FSM_FFd1.Qiobs/PS_FSM_FFd1.D11.000
    iobs/PS_FSM_FFd1.Qiobs/PS_FSM_FFd2.D11.000
    iobs/PS_FSM_FFd2.QIOREQ.D11.000
    iobs/PS_FSM_FFd2.Qiobs/IORW1.D11.000
    iobs/PS_FSM_FFd2.Qiobs/Once.D11.000
    iobs/PS_FSM_FFd2.Qiobs/PS_FSM_FFd1.D11.000
    iobs/PS_FSM_FFd2.Qiobs/PS_FSM_FFd2.D11.000
    nADoutLE1.QIOREQ.D11.000
    nADoutLE1.Qfsb/Ready1r.D11.000
    nADoutLE1.Qiobs/Once.D11.000
    nADoutLE1.Qiobs/PS_FSM_FFd2.D11.000
    nADoutLE1.QnDTACK_FSB.D11.000
    ram/BACTr.Qram/RAMDIS1.D11.000
    ram/BACTr.Qram/RAMReady.D11.000
    ram/BACTr.Qram/RASEL.D11.000
    ram/Once.Qram/Once.D11.000
    ram/Once.Qram/RAMDIS1.D11.000
    ram/Once.Qram/RAMDIS2.D11.000
    ram/Once.Qram/RAMReady.D11.000
    ram/RAMDIS2.Qram/RAMDIS2.D11.000
    ram/RAMReady.QnDTACK_FSB.D11.000
    ram/RS_FSM_FFd1.Qram/Once.D11.000
    ram/RS_FSM_FFd1.Qram/RAMDIS2.D11.000
    ram/RS_FSM_FFd1.Qram/RAMReady.D11.000
    ram/RS_FSM_FFd1.Qram/RS_FSM_FFd2.D11.000
    ram/RS_FSM_FFd1.Qram/RS_FSM_FFd3.D11.000
    ram/RS_FSM_FFd2.Qram/Once.D11.000
    ram/RS_FSM_FFd2.Qram/RAMDIS1.D11.000
    ram/RS_FSM_FFd2.Qram/RAMDIS2.D11.000
    ram/RS_FSM_FFd2.Qram/RAMReady.D11.000
    ram/RS_FSM_FFd2.Qram/RS_FSM_FFd2.D11.000
    ram/RS_FSM_FFd2.Qram/RS_FSM_FFd3.D11.000
    ram/RS_FSM_FFd3.Qram/Once.D11.000
    ram/RS_FSM_FFd3.Qram/RAMDIS1.D11.000
    ram/RS_FSM_FFd3.Qram/RAMDIS2.D11.000
    ram/RS_FSM_FFd3.Qram/RAMReady.D11.000
    ram/RS_FSM_FFd3.Qram/RASEL.D11.000
    ram/RS_FSM_FFd3.Qram/RS_FSM_FFd2.D11.000
    ram/RS_FSM_FFd3.Qram/RS_FSM_FFd3.D11.000
    BERR_IOBS.QBERR_IOBS.D10.000
    BERR_IOBS.Qfsb/BERR1r.D10.000
    RefAck.Qcnt/RefDone.D10.000
    TimeoutA.QTimeoutA.D10.000
    TimeoutB.QTimeoutB.D10.000
    TimeoutB.Qfsb/BERR0r.D10.000
    cnt/RefCnt<0>.QTimeoutA.D10.000
    cnt/RefCnt<0>.QTimeoutB.D10.000
    cnt/RefCnt<0>.Qcnt/RefCnt<1>.D10.000
    cnt/RefCnt<0>.Qcnt/RefCnt<2>.D10.000
    cnt/RefCnt<0>.Qcnt/RefCnt<3>.D10.000
    cnt/RefCnt<0>.Qcnt/RefCnt<4>.D10.000
    cnt/RefCnt<0>.Qcnt/RefCnt<5>.D10.000
    cnt/RefCnt<0>.Qcnt/RefCnt<7>.D10.000
    cnt/RefCnt<0>.Qcnt/RefDone.D10.000
    cnt/RefCnt<0>.Qcnt/TimeoutBPre.D10.000
    cnt/RefCnt<1>.QTimeoutA.D10.000
    cnt/RefCnt<1>.QTimeoutB.D10.000
    cnt/RefCnt<1>.Qcnt/RefCnt<2>.D10.000
    cnt/RefCnt<1>.Qcnt/RefCnt<3>.D10.000
    cnt/RefCnt<1>.Qcnt/RefCnt<4>.D10.000
    cnt/RefCnt<1>.Qcnt/RefCnt<5>.D10.000
    cnt/RefCnt<1>.Qcnt/RefCnt<7>.D10.000
    cnt/RefCnt<1>.Qcnt/RefDone.D10.000
    cnt/RefCnt<1>.Qcnt/TimeoutBPre.D10.000
    cnt/RefCnt<2>.QTimeoutA.D10.000
    cnt/RefCnt<2>.QTimeoutB.D10.000
    cnt/RefCnt<2>.Qcnt/RefCnt<3>.D10.000
    cnt/RefCnt<2>.Qcnt/RefCnt<4>.D10.000
    cnt/RefCnt<2>.Qcnt/RefCnt<5>.D10.000
    cnt/RefCnt<2>.Qcnt/RefCnt<7>.D10.000
    cnt/RefCnt<2>.Qcnt/RefDone.D10.000
    cnt/RefCnt<2>.Qcnt/TimeoutBPre.D10.000
    cnt/RefCnt<3>.QTimeoutA.D10.000
    cnt/RefCnt<3>.QTimeoutB.D10.000
    cnt/RefCnt<3>.Qcnt/RefCnt<4>.D10.000
    cnt/RefCnt<3>.Qcnt/RefCnt<5>.D10.000
    cnt/RefCnt<3>.Qcnt/RefCnt<7>.D10.000
    cnt/RefCnt<3>.Qcnt/RefDone.D10.000
    cnt/RefCnt<3>.Qcnt/TimeoutBPre.D10.000
    cnt/RefCnt<4>.QTimeoutA.D10.000
    cnt/RefCnt<4>.QTimeoutB.D10.000
    cnt/RefCnt<4>.Qcnt/RefCnt<5>.D10.000
    cnt/RefCnt<4>.Qcnt/RefCnt<7>.D10.000
    cnt/RefCnt<4>.Qcnt/RefDone.D10.000
    cnt/RefCnt<4>.Qcnt/TimeoutBPre.D10.000
    cnt/RefCnt<5>.QTimeoutA.D10.000
    cnt/RefCnt<5>.QTimeoutB.D10.000
    cnt/RefCnt<5>.Qcnt/RefCnt<7>.D10.000
    cnt/RefCnt<5>.Qcnt/RefDone.D10.000
    cnt/RefCnt<5>.Qcnt/TimeoutBPre.D10.000
    cnt/RefCnt<6>.QTimeoutA.D10.000
    cnt/RefCnt<6>.QTimeoutB.D10.000
    cnt/RefCnt<6>.Qcnt/RefCnt<7>.D10.000
    cnt/RefCnt<6>.Qcnt/RefDone.D10.000
    cnt/RefCnt<6>.Qcnt/TimeoutBPre.D10.000
    cnt/RefCnt<7>.QTimeoutB.D10.000
    cnt/RefCnt<7>.Qcnt/RefDone.D10.000
    cnt/RefCnt<7>.Qcnt/TimeoutBPre.D10.000
    cnt/RefDone.Qcnt/RefDone.D10.000
    cnt/TimeoutBPre.QTimeoutB.D10.000
    cnt/TimeoutBPre.Qcnt/TimeoutBPre.D10.000
    cs/nOverlay0.Qcs/nOverlay0.D10.000
    cs/nOverlay0.Qcs/nOverlay1.D10.000
    cs/nOverlay1.Qfsb/Ready0r.D10.000
    cs/nOverlay1.Qram/RS_FSM_FFd1.D10.000
    fsb/ASrf.QBERR_IOBS.D10.000
    fsb/ASrf.QIOREQ.D10.000
    fsb/ASrf.QTimeoutA.D10.000
    fsb/ASrf.QTimeoutB.D10.000
    fsb/ASrf.Qcnt/TimeoutBPre.D10.000
    fsb/ASrf.Qcs/nOverlay0.D10.000
    fsb/ASrf.Qcs/nOverlay1.CE10.000
    fsb/ASrf.Qfsb/BERR0r.D10.000
    fsb/ASrf.Qfsb/BERR1r.D10.000
    fsb/ASrf.Qfsb/Ready0r.D10.000
    fsb/ASrf.Qfsb/Ready1r.D10.000
    fsb/ASrf.Qiobs/IOReady.D10.000
    fsb/ASrf.Qiobs/Load1.D10.000
    fsb/ASrf.Qiobs/Once.D10.000
    fsb/ASrf.Qiobs/PS_FSM_FFd2.D10.000
    fsb/ASrf.QnDTACK_FSB.D10.000
    fsb/ASrf.Qram/BACTr.D10.000
    fsb/ASrf.Qram/RS_FSM_FFd1.D10.000
    fsb/BERR0r.Qfsb/BERR0r.D10.000
    fsb/BERR1r.Qfsb/BERR1r.D10.000
    fsb/Ready0r.Qfsb/Ready0r.D10.000
    iobs/Clear1.QnADoutLE1.D10.000
    iobs/IOACTr.QBERR_IOBS.D10.000
    iobs/IOACTr.QIOREQ.D10.000
    iobs/IOACTr.Qiobs/IOReady.D10.000
    iobs/IOACTr.Qiobs/PS_FSM_FFd2.D10.000
    iobs/IOL1.QIOL0.D10.000
    iobs/IORW1.QIORW0.D10.000
    iobs/IOReady.Qiobs/IOReady.D10.000
    iobs/IOU1.QIOU0.D10.000
    iobs/Load1.Qiobs/IOL1.CE10.000
    iobs/Load1.Qiobs/IOU1.CE10.000
    iobs/Load1.QnADoutLE1.D10.000
    iobs/Once.QBERR_IOBS.D10.000
    iobs/Once.QIOREQ.D10.000
    iobs/Once.Qiobs/IORW1.D10.000
    iobs/Once.Qiobs/IOReady.D10.000
    iobs/Once.Qiobs/Load1.D10.000
    iobs/Once.Qiobs/PS_FSM_FFd2.D10.000
    iobs/PS_FSM_FFd1.QALE0S.D10.000
    iobs/PS_FSM_FFd1.QIOL0.CE10.000
    iobs/PS_FSM_FFd1.QIOREQ.D10.000
    iobs/PS_FSM_FFd1.QIOU0.CE10.000
    iobs/PS_FSM_FFd1.Qiobs/Clear1.D10.000
    iobs/PS_FSM_FFd1.Qiobs/Load1.D10.000
    iobs/PS_FSM_FFd2.QALE0S.D10.000
    iobs/PS_FSM_FFd2.QBERR_IOBS.D10.000
    iobs/PS_FSM_FFd2.QIOL0.CE10.000
    iobs/PS_FSM_FFd2.QIOU0.CE10.000
    iobs/PS_FSM_FFd2.Qiobs/Clear1.D10.000
    iobs/PS_FSM_FFd2.Qiobs/IOReady.D10.000
    iobs/PS_FSM_FFd2.Qiobs/Load1.D10.000
    nADoutLE1.QBERR_IOBS.D10.000
    nADoutLE1.QIOL0.D10.000
    nADoutLE1.QIOU0.D10.000
    nADoutLE1.Qiobs/Clear1.D10.000
    nADoutLE1.Qiobs/IORW1.D10.000
    nADoutLE1.Qiobs/IOReady.D10.000
    nADoutLE1.Qiobs/Load1.D10.000
    nADoutLE1.QnADoutLE1.D10.000
    ram/BACTr.Qram/RS_FSM_FFd2.D10.000
    ram/Once.Qram/RS_FSM_FFd1.D10.000
    ram/Once.Qram/RS_FSM_FFd3.D10.000
    ram/RAMReady.Qfsb/Ready0r.D10.000
    ram/RASEL.QnCAS.D10.000
    ram/RS_FSM_FFd1.QRefAck.D10.000
    ram/RS_FSM_FFd1.Qram/RS_FSM_FFd1.D10.000
    ram/RS_FSM_FFd2.QRefAck.D10.000
    ram/RS_FSM_FFd2.Qram/RS_FSM_FFd1.D10.000
    ram/RS_FSM_FFd3.Qram/RS_FSM_FFd1.D10.000

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Clock to Setup for clock CLK2X_IOB
    SourceDestinationDelay
    IOBERR.QIOBERR.D11.000
    iobm/BERRrf.QIOACT.D11.000
    iobm/BERRrf.QIOBERR.D11.000
    iobm/BERRrr.QIOACT.D11.000
    iobm/BERRrr.QIOBERR.D11.000
    iobm/DTACKrf.QIOACT.D11.000
    iobm/DTACKrf.QIOBERR.D11.000
    iobm/DTACKrr.QIOACT.D11.000
    iobm/DTACKrr.QIOBERR.D11.000
    iobm/IOREQr.QIOACT.D11.000
    iobm/IOS_FSM_FFd3.QIOBERR.D11.000
    iobm/IOS_FSM_FFd4.QIOACT.D11.000
    iobm/IOS_FSM_FFd5.QIOACT.D11.000
    iobm/IOS_FSM_FFd6.QIOACT.D11.000
    iobm/IOS_FSM_FFd7.QIOACT.D11.000
    iobm/IOS_FSM_FFd8.QIOACT.D11.000
    iobm/RESrf.QIOACT.D11.000
    iobm/RESrf.QIOBERR.D11.000
    iobm/RESrr.QIOACT.D11.000
    iobm/RESrr.QIOBERR.D11.000
    IOACT.QnVMA_IOB.D10.000
    iobm/BERRrf.Qiobm/IOS_FSM_FFd2.D10.000
    iobm/BERRrf.Qiobm/IOS_FSM_FFd3.D10.000
    iobm/BERRrr.Qiobm/IOS_FSM_FFd2.D10.000
    iobm/BERRrr.Qiobm/IOS_FSM_FFd3.D10.000
    iobm/DTACKrf.Qiobm/IOS_FSM_FFd2.D10.000
    iobm/DTACKrf.Qiobm/IOS_FSM_FFd3.D10.000
    iobm/DTACKrr.Qiobm/IOS_FSM_FFd2.D10.000
    iobm/DTACKrr.Qiobm/IOS_FSM_FFd3.D10.000
    iobm/ES<0>.Qiobm/ES<0>.D10.000
    iobm/ES<0>.Qiobm/ES<1>.D10.000
    iobm/ES<0>.Qiobm/ES<2>.D10.000
    iobm/ES<0>.Qiobm/ES<3>.D10.000
    iobm/ES<0>.Qiobm/ES<4>.D10.000
    iobm/ES<0>.Qiobm/ETACK.D10.000
    iobm/ES<0>.QnVMA_IOB.D10.000
    iobm/ES<1>.Qiobm/ES<0>.D10.000
    iobm/ES<1>.Qiobm/ES<1>.D10.000
    iobm/ES<1>.Qiobm/ES<2>.D10.000
    iobm/ES<1>.Qiobm/ES<3>.D10.000
    iobm/ES<1>.Qiobm/ES<4>.D10.000
    iobm/ES<1>.Qiobm/ETACK.D10.000
    iobm/ES<1>.QnVMA_IOB.D10.000
    iobm/ES<2>.Qiobm/ES<0>.D10.000
    iobm/ES<2>.Qiobm/ES<2>.D10.000
    iobm/ES<2>.Qiobm/ES<3>.D10.000
    iobm/ES<2>.Qiobm/ES<4>.D10.000
    iobm/ES<2>.Qiobm/ETACK.D10.000
    iobm/ES<2>.QnVMA_IOB.D10.000
    iobm/ES<3>.Qiobm/ES<0>.D10.000
    iobm/ES<3>.Qiobm/ES<2>.D10.000
    iobm/ES<3>.Qiobm/ES<3>.D10.000
    iobm/ES<3>.Qiobm/ES<4>.D10.000
    iobm/ES<3>.Qiobm/ETACK.D10.000
    iobm/ES<3>.QnVMA_IOB.D10.000
    iobm/ES<4>.Qiobm/ES<0>.D10.000
    iobm/ES<4>.Qiobm/ES<2>.D10.000
    iobm/ES<4>.Qiobm/ES<4>.D10.000
    iobm/ES<4>.Qiobm/ETACK.D10.000
    iobm/ES<4>.QnVMA_IOB.D10.000
    iobm/ETACK.QIOACT.D10.000
    iobm/ETACK.QIOBERR.D10.000
    iobm/ETACK.Qiobm/IOS_FSM_FFd2.D10.000
    iobm/ETACK.Qiobm/IOS_FSM_FFd3.D10.000
    iobm/Er2.Qiobm/ES<0>.D10.000
    iobm/Er2.Qiobm/ES<1>.D10.000
    iobm/Er2.Qiobm/ES<2>.D10.000
    iobm/Er2.Qiobm/ES<3>.D10.000
    iobm/Er2.Qiobm/ES<4>.D10.000
    iobm/IOREQr.QALE0M.D10.000
    iobm/IOREQr.Qiobm/IOS_FSM_FFd7.D10.000
    iobm/IOREQr.Qiobm/IOS_FSM_FFd8.D10.000
    iobm/IOS_FSM_FFd1.Qiobm/IOS_FSM_FFd8.D10.000
    iobm/IOS_FSM_FFd2.Qiobm/IOS_FSM_FFd1.D10.000
    iobm/IOS_FSM_FFd2.QnDoutOE.D10.000
    iobm/IOS_FSM_FFd3.QALE0M.D10.000
    iobm/IOS_FSM_FFd3.QIOACT.D10.000
    iobm/IOS_FSM_FFd3.Qiobm/IOS_FSM_FFd2.D10.000
    iobm/IOS_FSM_FFd3.Qiobm/IOS_FSM_FFd3.D10.000
    iobm/IOS_FSM_FFd3.QnAS_IOB.D10.000
    iobm/IOS_FSM_FFd3.QnDinLE.D10.000
    iobm/IOS_FSM_FFd3.QnDoutOE.D10.000
    iobm/IOS_FSM_FFd3.QnLDS_IOB.D10.000
    iobm/IOS_FSM_FFd3.QnUDS_IOB.D10.000
    iobm/IOS_FSM_FFd4.QALE0M.D10.000
    iobm/IOS_FSM_FFd4.Qiobm/IOS_FSM_FFd3.D10.000
    iobm/IOS_FSM_FFd4.QnAS_IOB.D10.000
    iobm/IOS_FSM_FFd4.QnDinLE.D10.000
    iobm/IOS_FSM_FFd4.QnDoutOE.D10.000
    iobm/IOS_FSM_FFd4.QnLDS_IOB.D10.000
    iobm/IOS_FSM_FFd4.QnUDS_IOB.D10.000
    iobm/IOS_FSM_FFd5.QALE0M.D10.000
    iobm/IOS_FSM_FFd5.Qiobm/IOS_FSM_FFd4.D10.000
    iobm/IOS_FSM_FFd5.QnAS_IOB.D10.000
    iobm/IOS_FSM_FFd5.QnDoutOE.D10.000
    iobm/IOS_FSM_FFd5.QnLDS_IOB.D10.000
    iobm/IOS_FSM_FFd5.QnUDS_IOB.D10.000
    iobm/IOS_FSM_FFd6.QALE0M.D10.000
    iobm/IOS_FSM_FFd6.Qiobm/IOS_FSM_FFd5.D10.000
    iobm/IOS_FSM_FFd6.QnAS_IOB.D10.000
    iobm/IOS_FSM_FFd6.QnDoutOE.D10.000
    iobm/IOS_FSM_FFd6.QnLDS_IOB.D10.000
    iobm/IOS_FSM_FFd6.QnUDS_IOB.D10.000
    iobm/IOS_FSM_FFd7.QALE0M.D10.000
    iobm/IOS_FSM_FFd7.Qiobm/IOS_FSM_FFd6.D10.000
    iobm/IOS_FSM_FFd7.QnAS_IOB.D10.000
    iobm/IOS_FSM_FFd7.QnDoutOE.D10.000
    iobm/IOS_FSM_FFd7.QnLDS_IOB.D10.000
    iobm/IOS_FSM_FFd7.QnUDS_IOB.D10.000
    iobm/IOS_FSM_FFd8.QALE0M.D10.000
    iobm/IOS_FSM_FFd8.Qiobm/IOS_FSM_FFd7.D10.000
    iobm/IOS_FSM_FFd8.Qiobm/IOS_FSM_FFd8.D10.000
    iobm/RESrf.Qiobm/IOS_FSM_FFd2.D10.000
    iobm/RESrf.Qiobm/IOS_FSM_FFd3.D10.000
    iobm/RESrr.Qiobm/IOS_FSM_FFd2.D10.000
    iobm/RESrr.Qiobm/IOS_FSM_FFd3.D10.000
    iobm/VPArf.QnVMA_IOB.D10.000
    iobm/VPArr.QnVMA_IOB.D10.000
    nVMA_IOB.Qiobm/ETACK.D10.000
    nVMA_IOB.QnVMA_IOB.D10.000

    +
    + +

    Pad to Pad List

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Source PadDestination PadDelay
    A_FSB<10>RA<0>11.000
    A_FSB<1>RA<0>11.000
    A_FSB<20>nDinOE11.000
    A_FSB<21>nDinOE11.000
    A_FSB<22>nDinOE11.000
    A_FSB<23>nDinOE11.000
    A_FSB<9>RA<8>11.000
    nAS_FSBnDinOE11.000
    nWE_FSBnDinOE11.000
    A_FSB<11>RA<1>10.000
    A_FSB<12>RA<2>10.000
    A_FSB<13>RA<3>10.000
    A_FSB<14>RA<4>10.000
    A_FSB<15>RA<5>10.000
    A_FSB<16>RA<6>10.000
    A_FSB<17>RA<7>10.000
    A_FSB<18>RA<8>10.000
    A_FSB<19>RA<11>10.000
    A_FSB<19>RA<9>10.000
    A_FSB<20>RA<9>10.000
    A_FSB<20>nBERR_FSB10.000
    A_FSB<20>nROMCS10.000
    A_FSB<21>RA<10>10.000
    A_FSB<21>nBERR_FSB10.000
    A_FSB<21>nRAS10.000
    A_FSB<21>nROMCS10.000
    A_FSB<22>nBERR_FSB10.000
    A_FSB<22>nRAS10.000
    A_FSB<22>nROMCS10.000
    A_FSB<23>nBERR_FSB10.000
    A_FSB<23>nRAS10.000
    A_FSB<23>nROMCS10.000
    A_FSB<2>RA<1>10.000
    A_FSB<3>RA<2>10.000
    A_FSB<4>RA<3>10.000
    A_FSB<5>RA<4>10.000
    A_FSB<6>RA<5>10.000
    A_FSB<7>RA<6>10.000
    A_FSB<8>RA<7>10.000
    nAS_FSBnBERR_FSB10.000
    nAS_FSBnOE10.000
    nAS_FSBnRAMLWE10.000
    nAS_FSBnRAMUWE10.000
    nAS_FSBnRAS10.000
    nAS_FSBnROMWE10.000
    nAS_FSBnVPA_FSB10.000
    nLDS_FSBnRAMLWE10.000
    nUDS_FSBnRAMUWE10.000
    nWE_FSBnOE10.000
    nWE_FSBnRAMLWE10.000
    nWE_FSBnRAMUWE10.000
    nWE_FSBnROMWE10.000
    +
    +
    +
    Number of paths analyzed: +393 +
    Number of Timing errors: +0 +
    Analysis Completed: Sat Dec 11 06:24:30 2021 + +
    + diff --git a/cpld/XC95144/MXSE_html/tim/timing_report.htm b/cpld/XC95144XL/MXSE_html/tim/timing_report.htm similarity index 80% rename from cpld/XC95144/MXSE_html/tim/timing_report.htm rename to cpld/XC95144XL/MXSE_html/tim/timing_report.htm index e61dade..2e300f7 100644 --- a/cpld/XC95144/MXSE_html/tim/timing_report.htm +++ b/cpld/XC95144XL/MXSE_html/tim/timing_report.htm @@ -1,3244 +1,2881 @@ - - - - - - - -Timing report for MXSE - - - -

    Timing Report

    -
    Need help reading this report?

    - - - - - - - - - - - - - - - - - - -
    Design NameMXSE
    Device, Speed (SpeedFile Version)XC95144XL, -10 (3.0)
    Date Created Mon Feb 07 00:05:04 2022 -
    Created By Timing Report Generator: version P.20131013
    Copyright Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.
    -

    Summary

    - - - - - - - - - - -
    Notes and Warnings
    Note: This design contains no timing constraints.
    Note: A default set of constraints using a delay of 0.000ns will be used for analysis.

    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    Performance Summary
    Min. Clock Period19.100 ns.
    Max. Clock Frequency (fSYSTEM)52.356 MHz.
    Limited by Cycle Time for CLK_FSB
    Clock to Setup (tCYC)19.100 ns.
    Pad to Pad Delay (tPD)11.000 ns.
    Setup to Clock at the Pad (tSU)15.600 ns.
    Clock Pad to Output Pad Delay (tCO)14.500 ns.
    -
    -

    Timing Constraints

    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    Constraint NameRequirement (ns)Delay (ns)PathsPaths Failing
    TS10000.00.000
    TS10010.00.000
    TS10020.00.000
    AUTO_TS_F2F0.019.1398398
    AUTO_TS_P2P0.014.57979
    AUTO_TS_P2F0.017.4216216
    AUTO_TS_F2P0.012.73535
    -
    -
    - -

    Constraint: TS1000

    - - - - - - - - -
    Description: PERIOD:PERIOD_CLK_IOB:0.000 nS
    PathRequirement (ns)Delay (ns)Slack (ns)
    -
    -
    -
    -

    Constraint: TS1001

    - - - - - - - - -
    Description: PERIOD:PERIOD_CLK_FSB:0.000 nS
    PathRequirement (ns)Delay (ns)Slack (ns)
    -
    -
    -
    -

    Constraint: TS1002

    - - - - - - - - -
    Description: PERIOD:PERIOD_CLK2X_IOB:0.000 nS
    PathRequirement (ns)Delay (ns)Slack (ns)
    -
    -
    -
    -

    Constraint: AUTO_TS_F2F

    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    Description: MAXDELAY:FROM:FFS(*):TO:FFS(*):0.000 nS
    PathRequirement (ns)Delay (ns)Slack (ns)
    cs/nOverlay1.Q to nDTACK_FSB.D0.00019.100-19.100
    fsb/ASrf.Q to fsb/VPA.D0.00019.100-19.100
    BERR_IOBS.Q to fsb/VPA.D0.00011.400-11.400
    -
    -
    - -

    Constraint: AUTO_TS_P2P

    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    Description: MAXDELAY:FROM:PADS(*):TO:PADS(*):0.000 nS
    PathRequirement (ns)Delay (ns)Slack (ns)
    CLK_FSB to nBERR_FSB0.00014.500-14.500
    CLK_FSB to nRAS0.00014.500-14.500
    CLK_FSB to nROMCS0.00014.500-14.500
    -
    -
    - -

    Constraint: AUTO_TS_P2F

    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    Description: MAXDELAY:FROM:PADS(*):TO:FFS(*):0.000 nS
    PathRequirement (ns)Delay (ns)Slack (ns)
    A_FSB<22> to nDTACK_FSB.D0.00017.400-17.400
    nAS_FSB to fsb/VPA.D0.00017.400-17.400
    A_FSB<10> to fsb/VPA.D0.0009.700-9.700
    -
    -
    - -

    Constraint: AUTO_TS_F2P

    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    Description: MAXDELAY:FROM:FFS(*):TO:PADS(*):0.000 nS
    PathRequirement (ns)Delay (ns)Slack (ns)
    BERR_IOBS.Q to nBERR_FSB0.00012.700-12.700
    RefAck.Q to nRAS0.00012.700-12.700
    cs/nOverlay1.Q to nRAS0.00012.700-12.700
    -
    -
    -
    Number of constraints not met: 4
    -
    - -

    Data Sheet Report

    - -

    Maximum External Clock Speeds

    - - - - - - - - - - - - - - - - - - - - - -
    ClockfEXT (MHz)Reason
    CLK_IOB111.111Limited by Clock Pulse Width for CLK_IOB
    CLK_FSB52.356Limited by Cycle Time for CLK_FSB
    CLK2X_IOB90.909Limited by Cycle Time for CLK2X_IOB
    -
    - -

    Setup/Hold Times for Clocks

    - - - - - - - - - - - - -
    Setup/Hold Times for Clock CLK_IOB
    Source PadSetup to clk (edge) Hold to clk (edge)
    E_IOB6.5000.000

    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    Setup/Hold Times for Clock CLK_FSB
    Source PadSetup to clk (edge) Hold to clk (edge)
    A_FSB<10>7.9000.000
    A_FSB<11>7.9000.000
    A_FSB<12>7.9000.000
    A_FSB<13>7.9000.000
    A_FSB<14>7.9000.000
    A_FSB<15>7.9000.000
    A_FSB<16>7.9000.000
    A_FSB<17>7.9000.000
    A_FSB<18>7.9000.000
    A_FSB<19>7.9000.000
    A_FSB<20>7.9000.000
    A_FSB<21>7.9000.000
    A_FSB<22>15.6000.000
    A_FSB<23>7.9000.000
    A_FSB<8>7.9000.000
    A_FSB<9>7.9000.000
    nAS_FSB15.6000.000
    nLDS_FSB6.5000.000
    nUDS_FSB6.5000.000
    nWE_FSB7.9000.000

    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    Setup/Hold Times for Clock CLK2X_IOB
    Source PadSetup to clk (edge) Hold to clk (edge)
    CLK_IOB7.5000.000
    nBERR_IOB7.5000.000
    nDTACK_IOB6.5000.000
    nRES6.5000.000
    nVPA_IOB6.5000.000

    -
    - -

    Clock to Pad Timing

    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    Clock CLK_FSB to Pad
    Destination PadClock (edge) to Pad
    nBERR_FSB14.500
    nRAS14.500
    nROMCS14.500
    RA<0>13.500
    RA<1>13.500
    RA<2>13.500
    RA<3>13.500
    RA<4>13.500
    RA<5>13.500
    RA<6>13.500
    RA<7>13.500
    RA<8>13.500
    RA<9>13.500
    nADoutLE013.500
    nRAMLWE13.500
    nRAMUWE13.500
    nVPA_FSB13.500
    nADoutLE15.800
    nCAS5.800
    nDTACK_FSB5.800

    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    Clock CLK2X_IOB to Pad
    Destination PadClock (edge) to Pad
    nADoutLE013.500
    nAS_IOB5.800
    nDinLE5.800
    nDoutOE5.800
    nLDS_IOB5.800
    nUDS_IOB5.800
    nVMA_IOB5.800

    -
    - -

    Clock to Setup Times for Clocks

    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    Clock to Setup for clock CLK_FSB
    SourceDestinationDelay
    cs/nOverlay1.QnDTACK_FSB.D19.100
    fsb/ASrf.Qfsb/VPA.D19.100
    BERR_IOBS.Qfsb/VPA.D11.400
    BERR_IOBS.QnDTACK_FSB.D11.400
    IORW0.QIORW0.D11.400
    TimeoutA.Qfsb/VPA.D11.400
    TimeoutA.QnDTACK_FSB.D11.400
    TimeoutB.Qfsb/VPA.D11.400
    TimeoutB.QnDTACK_FSB.D11.400
    cnt/RefCnt<5>.Qram/RAMDIS1.D11.400
    cnt/RefCnt<5>.Qram/RAMReady.D11.400
    cnt/RefCnt<5>.Qram/RASEL.D11.400
    cnt/RefCnt<6>.Qram/RAMDIS1.D11.400
    cnt/RefCnt<6>.Qram/RAMReady.D11.400
    cnt/RefCnt<6>.Qram/RASEL.D11.400
    cnt/RefCnt<7>.Qram/RAMDIS1.D11.400
    cnt/RefCnt<7>.Qram/RAMReady.D11.400
    cnt/RefCnt<7>.Qram/RASEL.D11.400
    cnt/RefDone.Qram/RAMDIS1.D11.400
    cnt/RefDone.Qram/RAMReady.D11.400
    cnt/RefDone.Qram/RASEL.D11.400
    cs/nOverlay1.QIORW0.D11.400
    cs/nOverlay1.Qfsb/Ready1r.D11.400
    cs/nOverlay1.Qfsb/VPA.D11.400
    cs/nOverlay1.Qiobs/IORW1.D11.400
    cs/nOverlay1.Qiobs/Once.D11.400
    cs/nOverlay1.Qiobs/PS_FSM_FFd2.D11.400
    cs/nOverlay1.Qram/RAMDIS1.D11.400
    cs/nOverlay1.Qram/RASEL.D11.400
    fsb/ASrf.QIORW0.D11.400
    fsb/ASrf.Qram/RASEL.D11.400
    fsb/BERR0r.Qfsb/VPA.D11.400
    fsb/BERR0r.QnDTACK_FSB.D11.400
    fsb/BERR1r.Qfsb/VPA.D11.400
    fsb/BERR1r.QnDTACK_FSB.D11.400
    fsb/Ready1r.Qfsb/Ready1r.D11.400
    fsb/Ready1r.Qfsb/VPA.D11.400
    fsb/Ready1r.QnDTACK_FSB.D11.400
    fsb/Ready2r.Qfsb/VPA.D11.400
    fsb/Ready2r.QnDTACK_FSB.D11.400
    fsb/VPA.Qfsb/VPA.D11.400
    iobs/IOReady.Qfsb/Ready1r.D11.400
    iobs/IOReady.Qfsb/VPA.D11.400
    iobs/IOReady.QnDTACK_FSB.D11.400
    iobs/Once.QIORW0.D11.400
    iobs/Once.Qiobs/Once.D11.400
    iobs/PS_FSM_FFd1.QIORW0.D11.400
    iobs/PS_FSM_FFd1.Qiobs/PS_FSM_FFd2.D11.400
    iobs/PS_FSM_FFd2.QIORW0.D11.400
    iobs/PS_FSM_FFd2.Qiobs/PS_FSM_FFd2.D11.400
    nADoutLE1.QIORW0.D11.400
    nADoutLE1.Qfsb/Ready1r.D11.400
    nADoutLE1.Qiobs/PS_FSM_FFd2.D11.400
    nADoutLE1.QnDTACK_FSB.D11.400
    nDTACK_FSB.QnDTACK_FSB.D11.400
    ram/Once.Qram/RASEL.D11.400
    ram/RS_FSM_FFd1.Qram/RAMDIS1.D11.400
    ram/RS_FSM_FFd1.Qram/RASEL.D11.400
    ram/RS_FSM_FFd2.Qram/RASEL.D11.400
    BERR_IOBS.QBERR_IOBS.D11.000
    TimeoutA.Qfsb/Ready2r.D11.000
    cnt/RefCnt<5>.Qram/RAMDIS2.D11.000
    cnt/RefCnt<5>.Qram/RS_FSM_FFd2.D11.000
    cnt/RefCnt<5>.Qram/RS_FSM_FFd3.D11.000
    cnt/RefCnt<6>.Qram/RAMDIS2.D11.000
    cnt/RefCnt<6>.Qram/RS_FSM_FFd2.D11.000
    cnt/RefCnt<6>.Qram/RS_FSM_FFd3.D11.000
    cnt/RefCnt<7>.Qram/RAMDIS2.D11.000
    cnt/RefCnt<7>.Qram/RS_FSM_FFd2.D11.000
    cnt/RefCnt<7>.Qram/RS_FSM_FFd3.D11.000
    cnt/RefDone.Qram/RAMDIS2.D11.000
    cnt/RefDone.Qram/RS_FSM_FFd2.D11.000
    cnt/RefDone.Qram/RS_FSM_FFd3.D11.000
    cs/nOverlay0.Qcs/nOverlay0.D11.000
    cs/nOverlay1.QIOREQ.D11.000
    cs/nOverlay1.Qfsb/Ready2r.D11.000
    cs/nOverlay1.Qiobs/Load1.D11.000
    cs/nOverlay1.Qram/RAMDIS2.D11.000
    cs/nOverlay1.Qram/RAMReady.D11.000
    cs/nOverlay1.Qram/RS_FSM_FFd2.D11.000
    cs/nOverlay1.Qram/RS_FSM_FFd3.D11.000
    fsb/ASrf.QBERR_IOBS.D11.000
    fsb/ASrf.Qcs/nOverlay0.D11.000
    fsb/ASrf.Qfsb/Ready2r.D11.000
    fsb/ASrf.Qiobs/IORW1.D11.000
    fsb/ASrf.Qiobs/PS_FSM_FFd2.D11.000
    fsb/ASrf.Qram/RAMDIS1.D11.000
    fsb/ASrf.Qram/RAMDIS2.D11.000
    fsb/ASrf.Qram/RAMReady.D11.000
    fsb/ASrf.Qram/RS_FSM_FFd2.D11.000
    fsb/ASrf.Qram/RS_FSM_FFd3.D11.000
    fsb/Ready0r.Qfsb/VPA.D11.000
    fsb/Ready0r.QnDTACK_FSB.D11.000
    fsb/Ready2r.Qfsb/Ready2r.D11.000
    iobs/IOACTr.QBERR_IOBS.D11.000
    iobs/IORW1.Qiobs/IORW1.D11.000
    iobs/Once.QBERR_IOBS.D11.000
    iobs/PS_FSM_FFd1.Qiobs/IORW1.D11.000
    iobs/PS_FSM_FFd1.Qiobs/Once.D11.000
    iobs/PS_FSM_FFd2.QBERR_IOBS.D11.000
    iobs/PS_FSM_FFd2.QIOREQ.D11.000
    iobs/PS_FSM_FFd2.Qiobs/IORW1.D11.000
    iobs/PS_FSM_FFd2.Qiobs/Once.D11.000
    nADoutLE1.QBERR_IOBS.D11.000
    nADoutLE1.QIOREQ.D11.000
    nADoutLE1.Qfsb/VPA.D11.000
    nADoutLE1.Qiobs/Once.D11.000
    ram/BACTr.Qram/RAMDIS1.D11.000
    ram/BACTr.Qram/RAMReady.D11.000
    ram/BACTr.Qram/RASEL.D11.000
    ram/Once.Qram/RAMDIS1.D11.000
    ram/Once.Qram/RAMDIS2.D11.000
    ram/Once.Qram/RAMReady.D11.000
    ram/RAMDIS2.Qram/RAMDIS2.D11.000
    ram/RAMReady.Qfsb/VPA.D11.000
    ram/RAMReady.QnDTACK_FSB.D11.000
    ram/RS_FSM_FFd1.Qram/RAMDIS2.D11.000
    ram/RS_FSM_FFd1.Qram/RAMReady.D11.000
    ram/RS_FSM_FFd1.Qram/RS_FSM_FFd2.D11.000
    ram/RS_FSM_FFd1.Qram/RS_FSM_FFd3.D11.000
    ram/RS_FSM_FFd2.Qram/RAMDIS1.D11.000
    ram/RS_FSM_FFd2.Qram/RAMDIS2.D11.000
    ram/RS_FSM_FFd2.Qram/RAMReady.D11.000
    ram/RS_FSM_FFd2.Qram/RS_FSM_FFd2.D11.000
    ram/RS_FSM_FFd2.Qram/RS_FSM_FFd3.D11.000
    ram/RS_FSM_FFd3.Qram/RAMDIS1.D11.000
    ram/RS_FSM_FFd3.Qram/RAMDIS2.D11.000
    ram/RS_FSM_FFd3.Qram/RAMReady.D11.000
    ram/RS_FSM_FFd3.Qram/RASEL.D11.000
    ram/RS_FSM_FFd3.Qram/RS_FSM_FFd2.D11.000
    ram/RS_FSM_FFd3.Qram/RS_FSM_FFd3.D11.000
    BERR_IOBS.Qfsb/BERR1r.D10.000
    RefAck.Qcnt/RefDone.D10.000
    TimeoutA.QTimeoutA.D10.000
    TimeoutB.QTimeoutB.D10.000
    TimeoutB.Qfsb/BERR0r.D10.000
    cnt/RefCnt<0>.QTimeoutA.D10.000
    cnt/RefCnt<0>.QTimeoutB.D10.000
    cnt/RefCnt<0>.Qcnt/RefCnt<1>.D10.000
    cnt/RefCnt<0>.Qcnt/RefCnt<2>.D10.000
    cnt/RefCnt<0>.Qcnt/RefCnt<3>.D10.000
    cnt/RefCnt<0>.Qcnt/RefCnt<4>.D10.000
    cnt/RefCnt<0>.Qcnt/RefCnt<5>.D10.000
    cnt/RefCnt<0>.Qcnt/RefCnt<6>.D10.000
    cnt/RefCnt<0>.Qcnt/RefCnt<7>.D10.000
    cnt/RefCnt<0>.Qcnt/RefDone.D10.000
    cnt/RefCnt<0>.Qcnt/TimeoutBPre.D10.000
    cnt/RefCnt<1>.QTimeoutA.D10.000
    cnt/RefCnt<1>.QTimeoutB.D10.000
    cnt/RefCnt<1>.Qcnt/RefCnt<2>.D10.000
    cnt/RefCnt<1>.Qcnt/RefCnt<3>.D10.000
    cnt/RefCnt<1>.Qcnt/RefCnt<4>.D10.000
    cnt/RefCnt<1>.Qcnt/RefCnt<5>.D10.000
    cnt/RefCnt<1>.Qcnt/RefCnt<6>.D10.000
    cnt/RefCnt<1>.Qcnt/RefCnt<7>.D10.000
    cnt/RefCnt<1>.Qcnt/RefDone.D10.000
    cnt/RefCnt<1>.Qcnt/TimeoutBPre.D10.000
    cnt/RefCnt<2>.QTimeoutA.D10.000
    cnt/RefCnt<2>.QTimeoutB.D10.000
    cnt/RefCnt<2>.Qcnt/RefCnt<3>.D10.000
    cnt/RefCnt<2>.Qcnt/RefCnt<4>.D10.000
    cnt/RefCnt<2>.Qcnt/RefCnt<5>.D10.000
    cnt/RefCnt<2>.Qcnt/RefCnt<6>.D10.000
    cnt/RefCnt<2>.Qcnt/RefCnt<7>.D10.000
    cnt/RefCnt<2>.Qcnt/RefDone.D10.000
    cnt/RefCnt<2>.Qcnt/TimeoutBPre.D10.000
    cnt/RefCnt<3>.QTimeoutA.D10.000
    cnt/RefCnt<3>.QTimeoutB.D10.000
    cnt/RefCnt<3>.Qcnt/RefCnt<4>.D10.000
    cnt/RefCnt<3>.Qcnt/RefCnt<5>.D10.000
    cnt/RefCnt<3>.Qcnt/RefCnt<6>.D10.000
    cnt/RefCnt<3>.Qcnt/RefCnt<7>.D10.000
    cnt/RefCnt<3>.Qcnt/RefDone.D10.000
    cnt/RefCnt<3>.Qcnt/TimeoutBPre.D10.000
    cnt/RefCnt<4>.QTimeoutA.D10.000
    cnt/RefCnt<4>.QTimeoutB.D10.000
    cnt/RefCnt<4>.Qcnt/RefCnt<5>.D10.000
    cnt/RefCnt<4>.Qcnt/RefCnt<6>.D10.000
    cnt/RefCnt<4>.Qcnt/RefCnt<7>.D10.000
    cnt/RefCnt<4>.Qcnt/RefDone.D10.000
    cnt/RefCnt<4>.Qcnt/TimeoutBPre.D10.000
    cnt/RefCnt<5>.QTimeoutA.D10.000
    cnt/RefCnt<5>.QTimeoutB.D10.000
    cnt/RefCnt<5>.Qcnt/RefCnt<6>.D10.000
    cnt/RefCnt<5>.Qcnt/RefCnt<7>.D10.000
    cnt/RefCnt<5>.Qcnt/RefDone.D10.000
    cnt/RefCnt<5>.Qcnt/TimeoutBPre.D10.000
    cnt/RefCnt<6>.QTimeoutA.D10.000
    cnt/RefCnt<6>.QTimeoutB.D10.000
    cnt/RefCnt<6>.Qcnt/RefCnt<7>.D10.000
    cnt/RefCnt<6>.Qcnt/RefDone.D10.000
    cnt/RefCnt<6>.Qcnt/TimeoutBPre.D10.000
    cnt/RefCnt<7>.QTimeoutB.D10.000
    cnt/RefCnt<7>.Qcnt/RefDone.D10.000
    cnt/RefCnt<7>.Qcnt/TimeoutBPre.D10.000
    cnt/RefDone.Qcnt/RefDone.D10.000
    cnt/TimeoutBPre.QTimeoutB.D10.000
    cnt/TimeoutBPre.Qcnt/TimeoutBPre.D10.000
    cs/nOverlay0.Qcs/nOverlay1.D10.000
    cs/nOverlay1.Qfsb/Ready0r.D10.000
    cs/nOverlay1.Qram/Once.D10.000
    cs/nOverlay1.Qram/RS_FSM_FFd1.D10.000
    fsb/ASrf.QIOREQ.D10.000
    fsb/ASrf.QTimeoutA.D10.000
    fsb/ASrf.QTimeoutB.D10.000
    fsb/ASrf.Qcnt/TimeoutBPre.D10.000
    fsb/ASrf.Qcs/nOverlay1.CE10.000
    fsb/ASrf.Qfsb/BERR0r.D10.000
    fsb/ASrf.Qfsb/BERR1r.D10.000
    fsb/ASrf.Qfsb/Ready0r.D10.000
    fsb/ASrf.Qfsb/Ready1r.D10.000
    fsb/ASrf.Qiobs/IOReady.D10.000
    fsb/ASrf.Qiobs/Load1.D10.000
    fsb/ASrf.Qiobs/Once.D10.000
    fsb/ASrf.QnDTACK_FSB.D10.000
    fsb/ASrf.Qram/BACTr.D10.000
    fsb/ASrf.Qram/Once.D10.000
    fsb/ASrf.Qram/RS_FSM_FFd1.D10.000
    fsb/BERR0r.Qfsb/BERR0r.D10.000
    fsb/BERR1r.Qfsb/BERR1r.D10.000
    fsb/Ready0r.Qfsb/Ready0r.D10.000
    iobs/Clear1.QnADoutLE1.D10.000
    iobs/IOACTr.QIOREQ.D10.000
    iobs/IOACTr.Qiobs/IOReady.D10.000
    iobs/IOACTr.Qiobs/PS_FSM_FFd1.D10.000
    iobs/IOACTr.Qiobs/PS_FSM_FFd2.D10.000
    iobs/IOL1.QIOL0.D10.000
    iobs/IORW1.QIORW0.D10.000
    iobs/IOReady.Qiobs/IOReady.D10.000
    iobs/IOU1.QIOU0.D10.000
    iobs/Load1.Qiobs/IOL1.CE10.000
    iobs/Load1.Qiobs/IOU1.CE10.000
    iobs/Load1.QnADoutLE1.D10.000
    iobs/Once.QIOREQ.D10.000
    iobs/Once.Qiobs/IORW1.D10.000
    iobs/Once.Qiobs/IOReady.D10.000
    iobs/Once.Qiobs/Load1.D10.000
    iobs/Once.Qiobs/PS_FSM_FFd2.D10.000
    iobs/PS_FSM_FFd1.QALE0S.D10.000
    iobs/PS_FSM_FFd1.QIOL0.CE10.000
    iobs/PS_FSM_FFd1.QIOREQ.D10.000
    iobs/PS_FSM_FFd1.QIOU0.CE10.000
    iobs/PS_FSM_FFd1.Qiobs/Clear1.D10.000
    iobs/PS_FSM_FFd1.Qiobs/Load1.D10.000
    iobs/PS_FSM_FFd1.Qiobs/PS_FSM_FFd1.D10.000
    iobs/PS_FSM_FFd2.QALE0S.D10.000
    iobs/PS_FSM_FFd2.QIOL0.CE10.000
    iobs/PS_FSM_FFd2.QIOU0.CE10.000
    iobs/PS_FSM_FFd2.Qiobs/Clear1.D10.000
    iobs/PS_FSM_FFd2.Qiobs/IOReady.D10.000
    iobs/PS_FSM_FFd2.Qiobs/Load1.D10.000
    iobs/PS_FSM_FFd2.Qiobs/PS_FSM_FFd1.D10.000
    nADoutLE1.QIOL0.D10.000
    nADoutLE1.QIOU0.D10.000
    nADoutLE1.Qiobs/Clear1.D10.000
    nADoutLE1.Qiobs/IORW1.D10.000
    nADoutLE1.Qiobs/IOReady.D10.000
    nADoutLE1.Qiobs/Load1.D10.000
    nADoutLE1.QnADoutLE1.D10.000
    ram/BACTr.Qram/RS_FSM_FFd2.D10.000
    ram/Once.Qram/Once.D10.000
    ram/Once.Qram/RS_FSM_FFd1.D10.000
    ram/Once.Qram/RS_FSM_FFd3.D10.000
    ram/RAMReady.Qfsb/Ready0r.D10.000
    ram/RASEL.QnCAS.D10.000
    ram/RS_FSM_FFd1.QRefAck.D10.000
    ram/RS_FSM_FFd1.Qram/Once.D10.000
    ram/RS_FSM_FFd1.Qram/RS_FSM_FFd1.D10.000
    ram/RS_FSM_FFd2.QRefAck.D10.000
    ram/RS_FSM_FFd2.Qram/Once.D10.000
    ram/RS_FSM_FFd2.Qram/RS_FSM_FFd1.D10.000
    ram/RS_FSM_FFd3.Qram/Once.D10.000
    ram/RS_FSM_FFd3.Qram/RS_FSM_FFd1.D10.000

    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    Clock to Setup for clock CLK2X_IOB
    SourceDestinationDelay
    IOACT.QnVMA_IOB.D11.000
    IOBERR.QIOBERR.D11.000
    iobm/BERRrf.QIOBERR.D11.000
    iobm/BERRrr.QIOBERR.D11.000
    iobm/DTACKrf.QIOBERR.D11.000
    iobm/DTACKrr.QIOBERR.D11.000
    iobm/ES<0>.QnVMA_IOB.D11.000
    iobm/ES<1>.QnVMA_IOB.D11.000
    iobm/ES<2>.QnVMA_IOB.D11.000
    iobm/ES<3>.QnVMA_IOB.D11.000
    iobm/ES<4>.QnVMA_IOB.D11.000
    iobm/ETACK.QIOBERR.D11.000
    iobm/IOS_FSM_FFd1.QIOACT.D11.000
    iobm/IOS_FSM_FFd1.QIOBERR.D11.000
    iobm/IOS_FSM_FFd2.QIOACT.D11.000
    iobm/IOS_FSM_FFd2.QIOBERR.D11.000
    iobm/IOS_FSM_FFd3.QIOBERR.D11.000
    iobm/IOS_FSM_FFd4.QIOACT.D11.000
    iobm/IOS_FSM_FFd4.QIOBERR.D11.000
    iobm/IOS_FSM_FFd4.Qiobm/IOS_FSM_FFd3.D11.000
    iobm/RESrf.QIOACT.D11.000
    iobm/RESrf.QIOBERR.D11.000
    iobm/RESrf.Qiobm/IOS_FSM_FFd3.D11.000
    iobm/RESrr.QIOACT.D11.000
    iobm/RESrr.QIOBERR.D11.000
    iobm/RESrr.Qiobm/IOS_FSM_FFd3.D11.000
    iobm/VPArf.QnVMA_IOB.D11.000
    iobm/VPArr.QnVMA_IOB.D11.000
    nVMA_IOB.QnVMA_IOB.D11.000
    iobm/BERRrf.QIOACT.D10.000
    iobm/BERRrf.Qiobm/IOS_FSM_FFd3.D10.000
    iobm/BERRrr.QIOACT.D10.000
    iobm/BERRrr.Qiobm/IOS_FSM_FFd3.D10.000
    iobm/DTACKrf.QIOACT.D10.000
    iobm/DTACKrf.Qiobm/IOS_FSM_FFd3.D10.000
    iobm/DTACKrr.QIOACT.D10.000
    iobm/DTACKrr.Qiobm/IOS_FSM_FFd3.D10.000
    iobm/ES<0>.Qiobm/ES<0>.D10.000
    iobm/ES<0>.Qiobm/ES<1>.D10.000
    iobm/ES<0>.Qiobm/ES<2>.D10.000
    iobm/ES<0>.Qiobm/ES<3>.D10.000
    iobm/ES<0>.Qiobm/ES<4>.D10.000
    iobm/ES<0>.Qiobm/ETACK.D10.000
    iobm/ES<1>.Qiobm/ES<0>.D10.000
    iobm/ES<1>.Qiobm/ES<1>.D10.000
    iobm/ES<1>.Qiobm/ES<2>.D10.000
    iobm/ES<1>.Qiobm/ES<3>.D10.000
    iobm/ES<1>.Qiobm/ES<4>.D10.000
    iobm/ES<1>.Qiobm/ETACK.D10.000
    iobm/ES<2>.Qiobm/ES<0>.D10.000
    iobm/ES<2>.Qiobm/ES<2>.D10.000
    iobm/ES<2>.Qiobm/ES<3>.D10.000
    iobm/ES<2>.Qiobm/ES<4>.D10.000
    iobm/ES<2>.Qiobm/ETACK.D10.000
    iobm/ES<3>.Qiobm/ES<0>.D10.000
    iobm/ES<3>.Qiobm/ES<2>.D10.000
    iobm/ES<3>.Qiobm/ES<3>.D10.000
    iobm/ES<3>.Qiobm/ES<4>.D10.000
    iobm/ES<3>.Qiobm/ETACK.D10.000
    iobm/ES<4>.Qiobm/ES<0>.D10.000
    iobm/ES<4>.Qiobm/ES<2>.D10.000
    iobm/ES<4>.Qiobm/ES<4>.D10.000
    iobm/ES<4>.Qiobm/ETACK.D10.000
    iobm/ETACK.QIOACT.D10.000
    iobm/ETACK.Qiobm/IOS_FSM_FFd3.D10.000
    iobm/Er2.Qiobm/ES<0>.D10.000
    iobm/Er2.Qiobm/ES<1>.D10.000
    iobm/Er2.Qiobm/ES<2>.D10.000
    iobm/Er2.Qiobm/ES<3>.D10.000
    iobm/Er2.Qiobm/ES<4>.D10.000
    iobm/IOREQr.QALE0M.D10.000
    iobm/IOREQr.QIOACT.D10.000
    iobm/IOREQr.Qiobm/IOS_FSM_FFd4.D10.000
    iobm/IOS_FSM_FFd1.QALE0M.D10.000
    iobm/IOS_FSM_FFd1.Qiobm/IOS_FSM_FFd1.D10.000
    iobm/IOS_FSM_FFd1.Qiobm/IOS_FSM_FFd2.D10.000
    iobm/IOS_FSM_FFd1.Qiobm/IOS_FSM_FFd4.D10.000
    iobm/IOS_FSM_FFd1.QnAS_IOB.D10.000
    iobm/IOS_FSM_FFd1.QnDinLE.D10.000
    iobm/IOS_FSM_FFd1.QnLDS_IOB.D10.000
    iobm/IOS_FSM_FFd1.QnUDS_IOB.D10.000
    iobm/IOS_FSM_FFd2.QALE0M.D10.000
    iobm/IOS_FSM_FFd2.Qiobm/IOS_FSM_FFd1.D10.000
    iobm/IOS_FSM_FFd2.Qiobm/IOS_FSM_FFd2.D10.000
    iobm/IOS_FSM_FFd2.Qiobm/IOS_FSM_FFd4.D10.000
    iobm/IOS_FSM_FFd2.QnAS_IOB.D10.000
    iobm/IOS_FSM_FFd2.QnDoutOE.D10.000
    iobm/IOS_FSM_FFd2.QnLDS_IOB.D10.000
    iobm/IOS_FSM_FFd2.QnUDS_IOB.D10.000
    iobm/IOS_FSM_FFd3.QALE0M.D10.000
    iobm/IOS_FSM_FFd3.QIOACT.D10.000
    iobm/IOS_FSM_FFd3.Qiobm/IOS_FSM_FFd1.D10.000
    iobm/IOS_FSM_FFd3.Qiobm/IOS_FSM_FFd2.D10.000
    iobm/IOS_FSM_FFd3.Qiobm/IOS_FSM_FFd3.D10.000
    iobm/IOS_FSM_FFd3.Qiobm/IOS_FSM_FFd4.D10.000
    iobm/IOS_FSM_FFd3.QnAS_IOB.D10.000
    iobm/IOS_FSM_FFd3.QnDinLE.D10.000
    iobm/IOS_FSM_FFd3.QnDoutOE.D10.000
    iobm/IOS_FSM_FFd3.QnLDS_IOB.D10.000
    iobm/IOS_FSM_FFd3.QnUDS_IOB.D10.000
    iobm/IOS_FSM_FFd4.QALE0M.D10.000
    iobm/IOS_FSM_FFd4.Qiobm/IOS_FSM_FFd1.D10.000
    iobm/IOS_FSM_FFd4.Qiobm/IOS_FSM_FFd2.D10.000
    iobm/IOS_FSM_FFd4.Qiobm/IOS_FSM_FFd4.D10.000
    iobm/IOS_FSM_FFd4.QnAS_IOB.D10.000
    iobm/IOS_FSM_FFd4.QnDinLE.D10.000
    iobm/IOS_FSM_FFd4.QnDoutOE.D10.000
    iobm/IOS_FSM_FFd4.QnLDS_IOB.D10.000
    iobm/IOS_FSM_FFd4.QnUDS_IOB.D10.000
    nVMA_IOB.Qiobm/ETACK.D10.000

    -
    - -

    Pad to Pad List

    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    Source PadDestination PadDelay
    A_FSB<20>nBERR_FSB11.000
    A_FSB<20>nROMCS11.000
    A_FSB<21>nBERR_FSB11.000
    A_FSB<21>nRAS11.000
    A_FSB<21>nROMCS11.000
    A_FSB<22>nBERR_FSB11.000
    A_FSB<22>nRAS11.000
    A_FSB<23>nBERR_FSB11.000
    A_FSB<23>nRAS11.000
    A_FSB<23>nROMCS11.000
    nAS_FSBnRAS11.000
    A_FSB<10>RA<0>10.000
    A_FSB<11>RA<1>10.000
    A_FSB<12>RA<2>10.000
    A_FSB<13>RA<3>10.000
    A_FSB<14>RA<4>10.000
    A_FSB<15>RA<5>10.000
    A_FSB<16>RA<6>10.000
    A_FSB<17>RA<7>10.000
    A_FSB<18>RA<8>10.000
    A_FSB<19>RA<11>10.000
    A_FSB<19>RA<9>10.000
    A_FSB<1>RA<0>10.000
    A_FSB<20>RA<9>10.000
    A_FSB<20>nDinOE10.000
    A_FSB<21>RA<10>10.000
    A_FSB<21>nDinOE10.000
    A_FSB<22>nDinOE10.000
    A_FSB<22>nROMCS10.000
    A_FSB<23>nDinOE10.000
    A_FSB<2>RA<1>10.000
    A_FSB<3>RA<2>10.000
    A_FSB<4>RA<3>10.000
    A_FSB<5>RA<4>10.000
    A_FSB<6>RA<5>10.000
    A_FSB<7>RA<6>10.000
    A_FSB<8>RA<7>10.000
    A_FSB<9>RA<8>10.000
    nAS_FSBnBERR_FSB10.000
    nAS_FSBnDinOE10.000
    nAS_FSBnOE10.000
    nAS_FSBnRAMLWE10.000
    nAS_FSBnRAMUWE10.000
    nAS_FSBnROMWE10.000
    nAS_FSBnVPA_FSB10.000
    nLDS_FSBnRAMLWE10.000
    nUDS_FSBnRAMUWE10.000
    nWE_FSBnDinOE10.000
    nWE_FSBnOE10.000
    nWE_FSBnRAMLWE10.000
    nWE_FSBnRAMUWE10.000
    nWE_FSBnROMWE10.000
    -
    -
    -
    Number of paths analyzed: -728 -
    Number of Timing errors: -728 -
    Analysis Completed: Mon Feb 07 00:05:04 2022 - -
    - + + + + + + + +Timing report for MXSE + + + +

    Timing Report

    +
    Need help reading this report?

    + + + + + + + + + + + + + + + + + + +
    Design NameMXSE
    Device, Speed (SpeedFile Version)XC95144XL, -10 (3.0)
    Date Created Mon Feb 07 04:05:06 2022 +
    Created By Timing Report Generator: version P.20131013
    Copyright Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.
    +

    Summary

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Performance Summary
    Min. Clock Period19.100 ns.
    Max. Clock Frequency (fSYSTEM)52.356 MHz.
    Limited by Cycle Time for CLK_FSB
    Clock to Setup (tCYC)19.100 ns.
    Pad to Pad Delay (tPD)11.000 ns.
    Setup to Clock at the Pad (tSU)15.600 ns.
    Clock Pad to Output Pad Delay (tCO)14.500 ns.
    +
    +

    Timing Constraints

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Constraint NameRequirement (ns)Delay (ns)PathsPaths Failing
    TS_CLK_IOB142.80.000
    TS_CLK_FSB40.019.12730
    TS_CLK2X_IOB66.611.01100
    +
    +
    + +

    Constraint: TS_CLK_IOB

    + + + + + + + + +
    Description: PERIOD:CLK_IOB:142.857nS:HIGH:71.428nS
    PathRequirement (ns)Delay (ns)Slack (ns)
    +
    +
    +
    +

    Constraint: TS_CLK_FSB

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Description: PERIOD:CLK_FSB:40.000nS:HIGH:20.000nS
    PathRequirement (ns)Delay (ns)Slack (ns)
    TimeoutB.Q to nDTACK_FSB.D40.00019.10020.900
    fsb/ASrf.Q to fsb/VPA.D20.00019.1000.900
    BERR_IOBS.Q to fsb/VPA.D40.00011.40028.600
    +
    +
    + +

    Constraint: TS_CLK2X_IOB

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Description: PERIOD:CLK2X_IOB:66.666nS:HIGH:33.333nS
    PathRequirement (ns)Delay (ns)Slack (ns)
    IOBERR.Q to IOBERR.D66.60011.00055.600
    iobm/BERRrf.Q to IOBERR.D33.30011.00022.300
    iobm/BERRrr.Q to IOBERR.D66.60011.00055.600
    +
    +
    +
    Number of constraints not met: 0
    +
    + +

    Data Sheet Report

    + +

    Maximum External Clock Speeds

    + + + + + + + + + + + + + + + + + + + + + +
    ClockfEXT (MHz)Reason
    CLK_IOB111.111Limited by Clock Pulse Width for CLK_IOB
    CLK_FSB52.356Limited by Cycle Time for CLK_FSB
    CLK2X_IOB90.909Limited by Cycle Time for CLK2X_IOB
    +
    + +

    Setup/Hold Times for Clocks

    + + + + + + + + + + + + +
    Setup/Hold Times for Clock CLK_IOB
    Source PadSetup to clk (edge) Hold to clk (edge)
    E_IOB6.5000.000

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Setup/Hold Times for Clock CLK_FSB
    Source PadSetup to clk (edge) Hold to clk (edge)
    A_FSB<10>7.9000.000
    A_FSB<11>7.9000.000
    A_FSB<12>7.9000.000
    A_FSB<13>7.9000.000
    A_FSB<14>7.9000.000
    A_FSB<15>7.9000.000
    A_FSB<16>7.9000.000
    A_FSB<17>7.9000.000
    A_FSB<18>7.9000.000
    A_FSB<19>7.9000.000
    A_FSB<20>15.6000.000
    A_FSB<21>15.6000.000
    A_FSB<22>15.6000.000
    A_FSB<23>15.6000.000
    A_FSB<8>7.9000.000
    A_FSB<9>7.9000.000
    nAS_FSB15.6000.000
    nLDS_FSB6.5000.000
    nUDS_FSB6.5000.000
    nWE_FSB7.9000.000

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Setup/Hold Times for Clock CLK2X_IOB
    Source PadSetup to clk (edge) Hold to clk (edge)
    CLK_IOB7.5000.000
    nBERR_IOB7.5000.000
    nDTACK_IOB6.5000.000
    nRES6.5000.000
    nVPA_IOB6.5000.000

    +
    + +

    Clock to Pad Timing

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Clock CLK_FSB to Pad
    Destination PadClock (edge) to Pad
    RA<2>14.500
    RA<4>14.500
    RA<5>14.500
    nBERR_FSB14.500
    RA<0>13.500
    RA<1>13.500
    RA<3>13.500
    RA<6>13.500
    RA<7>13.500
    RA<8>13.500
    RA<9>13.500
    nADoutLE013.500
    nRAMLWE13.500
    nRAMUWE13.500
    nRAS13.500
    nROMCS13.500
    nVPA_FSB13.500
    nADoutLE15.800
    nCAS5.800
    nDTACK_FSB5.800

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Clock CLK2X_IOB to Pad
    Destination PadClock (edge) to Pad
    nADoutLE013.500
    nAS_IOB5.800
    nDinLE5.800
    nDoutOE5.800
    nLDS_IOB5.800
    nUDS_IOB5.800
    nVMA_IOB5.800

    +
    + +

    Clock to Setup Times for Clocks

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Clock to Setup for clock CLK_FSB
    SourceDestinationDelay
    TimeoutB.QnDTACK_FSB.D19.100
    fsb/ASrf.Qfsb/VPA.D19.100
    BERR_IOBS.Qfsb/VPA.D11.400
    BERR_IOBS.QnDTACK_FSB.D11.400
    IORW0.QIORW0.D11.400
    TimeoutA.Qfsb/VPA.D11.400
    TimeoutA.QnDTACK_FSB.D11.400
    TimeoutB.Qfsb/VPA.D11.400
    cnt/RefCnt<5>.Qram/RAMDIS1.D11.400
    cnt/RefCnt<5>.Qram/RAMReady.D11.400
    cnt/RefCnt<5>.Qram/RASEL.D11.400
    cnt/RefCnt<5>.Qram/RS_FSM_FFd2.D11.400
    cnt/RefCnt<5>.Qram/RS_FSM_FFd3.D11.400
    cnt/RefCnt<6>.Qram/RAMDIS1.D11.400
    cnt/RefCnt<6>.Qram/RAMReady.D11.400
    cnt/RefCnt<6>.Qram/RASEL.D11.400
    cnt/RefCnt<6>.Qram/RS_FSM_FFd2.D11.400
    cnt/RefCnt<6>.Qram/RS_FSM_FFd3.D11.400
    cnt/RefCnt<7>.Qram/RAMDIS1.D11.400
    cnt/RefCnt<7>.Qram/RAMReady.D11.400
    cnt/RefCnt<7>.Qram/RASEL.D11.400
    cnt/RefCnt<7>.Qram/RS_FSM_FFd2.D11.400
    cnt/RefCnt<7>.Qram/RS_FSM_FFd3.D11.400
    cnt/RefDone.Qram/RAMDIS1.D11.400
    cnt/RefDone.Qram/RAMReady.D11.400
    cnt/RefDone.Qram/RASEL.D11.400
    cnt/RefDone.Qram/RS_FSM_FFd2.D11.400
    cnt/RefDone.Qram/RS_FSM_FFd3.D11.400
    cs/nOverlay1.QIORW0.D11.400
    cs/nOverlay1.Qfsb/VPA.D11.400
    cs/nOverlay1.Qiobs/Once.D11.400
    cs/nOverlay1.QnDTACK_FSB.D11.400
    cs/nOverlay1.Qram/RAMDIS1.D11.400
    cs/nOverlay1.Qram/RASEL.D11.400
    cs/nOverlay1.Qram/RS_FSM_FFd2.D11.400
    cs/nOverlay1.Qram/RS_FSM_FFd3.D11.400
    fsb/ASrf.QIORW0.D11.400
    fsb/ASrf.Qram/RASEL.D11.400
    fsb/ASrf.Qram/RS_FSM_FFd2.D11.400
    fsb/ASrf.Qram/RS_FSM_FFd3.D11.400
    fsb/BERR0r.Qfsb/VPA.D11.400
    fsb/BERR0r.QnDTACK_FSB.D11.400
    fsb/BERR1r.Qfsb/VPA.D11.400
    fsb/BERR1r.QnDTACK_FSB.D11.400
    fsb/Ready1r.Qfsb/VPA.D11.400
    fsb/Ready1r.QnDTACK_FSB.D11.400
    fsb/Ready2r.Qfsb/VPA.D11.400
    fsb/Ready2r.QnDTACK_FSB.D11.400
    fsb/VPA.Qfsb/VPA.D11.400
    iobs/IOReady.Qfsb/VPA.D11.400
    iobs/IOReady.QnDTACK_FSB.D11.400
    iobs/Once.QIORW0.D11.400
    iobs/Once.Qiobs/Once.D11.400
    iobs/PS_FSM_FFd1.QIORW0.D11.400
    iobs/PS_FSM_FFd2.QIORW0.D11.400
    nADoutLE1.QIORW0.D11.400
    nDTACK_FSB.QnDTACK_FSB.D11.400
    ram/Once.Qram/RASEL.D11.400
    ram/RS_FSM_FFd1.Qram/RAMDIS1.D11.400
    ram/RS_FSM_FFd1.Qram/RASEL.D11.400
    ram/RS_FSM_FFd1.Qram/RS_FSM_FFd2.D11.400
    ram/RS_FSM_FFd1.Qram/RS_FSM_FFd3.D11.400
    ram/RS_FSM_FFd2.Qram/RASEL.D11.400
    ram/RS_FSM_FFd2.Qram/RS_FSM_FFd2.D11.400
    ram/RS_FSM_FFd2.Qram/RS_FSM_FFd3.D11.400
    ram/RS_FSM_FFd3.Qram/RS_FSM_FFd2.D11.400
    ram/RS_FSM_FFd3.Qram/RS_FSM_FFd3.D11.400
    TimeoutA.QTimeoutA.D11.000
    TimeoutA.Qfsb/Ready2r.D11.000
    TimeoutB.QTimeoutB.D11.000
    cnt/RefCnt<0>.QTimeoutA.D11.000
    cnt/RefCnt<0>.QTimeoutB.D11.000
    cnt/RefCnt<1>.QTimeoutA.D11.000
    cnt/RefCnt<1>.QTimeoutB.D11.000
    cnt/RefCnt<2>.QTimeoutA.D11.000
    cnt/RefCnt<2>.QTimeoutB.D11.000
    cnt/RefCnt<3>.QTimeoutA.D11.000
    cnt/RefCnt<3>.QTimeoutB.D11.000
    cnt/RefCnt<4>.QTimeoutA.D11.000
    cnt/RefCnt<4>.QTimeoutB.D11.000
    cnt/RefCnt<5>.QTimeoutA.D11.000
    cnt/RefCnt<5>.QTimeoutB.D11.000
    cnt/RefCnt<5>.Qram/RAMDIS2.D11.000
    cnt/RefCnt<6>.QTimeoutA.D11.000
    cnt/RefCnt<6>.QTimeoutB.D11.000
    cnt/RefCnt<6>.Qram/RAMDIS2.D11.000
    cnt/RefCnt<7>.QTimeoutB.D11.000
    cnt/RefCnt<7>.Qram/RAMDIS2.D11.000
    cnt/RefDone.Qram/RAMDIS2.D11.000
    cnt/TimeoutBPre.QTimeoutB.D11.000
    cs/nOverlay1.QIOREQ.D11.000
    cs/nOverlay1.Qfsb/Ready1r.D11.000
    cs/nOverlay1.Qfsb/Ready2r.D11.000
    cs/nOverlay1.Qiobs/IORW1.D11.000
    cs/nOverlay1.Qiobs/Load1.D11.000
    cs/nOverlay1.Qiobs/PS_FSM_FFd2.D11.000
    cs/nOverlay1.Qram/RAMDIS2.D11.000
    cs/nOverlay1.Qram/RAMReady.D11.000
    fsb/ASrf.QTimeoutA.D11.000
    fsb/ASrf.QTimeoutB.D11.000
    fsb/ASrf.Qfsb/Ready2r.D11.000
    fsb/ASrf.Qiobs/IORW1.D11.000
    fsb/ASrf.Qram/RAMDIS1.D11.000
    fsb/ASrf.Qram/RAMDIS2.D11.000
    fsb/ASrf.Qram/RAMReady.D11.000
    fsb/Ready0r.Qfsb/VPA.D11.000
    fsb/Ready0r.QnDTACK_FSB.D11.000
    fsb/Ready1r.Qfsb/Ready1r.D11.000
    fsb/Ready2r.Qfsb/Ready2r.D11.000
    iobs/IORW1.Qiobs/IORW1.D11.000
    iobs/IOReady.Qfsb/Ready1r.D11.000
    iobs/PS_FSM_FFd1.Qiobs/IORW1.D11.000
    iobs/PS_FSM_FFd1.Qiobs/Once.D11.000
    iobs/PS_FSM_FFd1.Qiobs/PS_FSM_FFd2.D11.000
    iobs/PS_FSM_FFd2.QIOREQ.D11.000
    iobs/PS_FSM_FFd2.Qiobs/IORW1.D11.000
    iobs/PS_FSM_FFd2.Qiobs/Once.D11.000
    iobs/PS_FSM_FFd2.Qiobs/PS_FSM_FFd2.D11.000
    nADoutLE1.QIOREQ.D11.000
    nADoutLE1.Qfsb/Ready1r.D11.000
    nADoutLE1.Qfsb/VPA.D11.000
    nADoutLE1.Qiobs/Once.D11.000
    nADoutLE1.Qiobs/PS_FSM_FFd2.D11.000
    nADoutLE1.QnDTACK_FSB.D11.000
    ram/BACTr.Qram/RAMDIS1.D11.000
    ram/BACTr.Qram/RAMReady.D11.000
    ram/BACTr.Qram/RASEL.D11.000
    ram/BACTr.Qram/RS_FSM_FFd2.D11.000
    ram/Once.Qram/RAMDIS1.D11.000
    ram/Once.Qram/RAMDIS2.D11.000
    ram/Once.Qram/RAMReady.D11.000
    ram/Once.Qram/RS_FSM_FFd3.D11.000
    ram/RAMDIS2.Qram/RAMDIS2.D11.000
    ram/RAMReady.Qfsb/VPA.D11.000
    ram/RAMReady.QnDTACK_FSB.D11.000
    ram/RS_FSM_FFd1.Qram/RAMDIS2.D11.000
    ram/RS_FSM_FFd1.Qram/RAMReady.D11.000
    ram/RS_FSM_FFd2.Qram/RAMDIS1.D11.000
    ram/RS_FSM_FFd2.Qram/RAMDIS2.D11.000
    ram/RS_FSM_FFd2.Qram/RAMReady.D11.000
    ram/RS_FSM_FFd3.Qram/RAMDIS1.D11.000
    ram/RS_FSM_FFd3.Qram/RAMDIS2.D11.000
    ram/RS_FSM_FFd3.Qram/RAMReady.D11.000
    ram/RS_FSM_FFd3.Qram/RASEL.D11.000
    BERR_IOBS.QBERR_IOBS.D10.000
    BERR_IOBS.Qfsb/BERR1r.D10.000
    RefAck.Qcnt/RefDone.D10.000
    TimeoutB.Qfsb/BERR0r.D10.000
    cnt/RefCnt<0>.Qcnt/RefCnt<1>.D10.000
    cnt/RefCnt<0>.Qcnt/RefCnt<2>.D10.000
    cnt/RefCnt<0>.Qcnt/RefCnt<3>.D10.000
    cnt/RefCnt<0>.Qcnt/RefCnt<4>.D10.000
    cnt/RefCnt<0>.Qcnt/RefCnt<5>.D10.000
    cnt/RefCnt<0>.Qcnt/RefCnt<6>.D10.000
    cnt/RefCnt<0>.Qcnt/RefCnt<7>.D10.000
    cnt/RefCnt<0>.Qcnt/RefDone.D10.000
    cnt/RefCnt<0>.Qcnt/TimeoutBPre.D10.000
    cnt/RefCnt<1>.Qcnt/RefCnt<2>.D10.000
    cnt/RefCnt<1>.Qcnt/RefCnt<3>.D10.000
    cnt/RefCnt<1>.Qcnt/RefCnt<4>.D10.000
    cnt/RefCnt<1>.Qcnt/RefCnt<5>.D10.000
    cnt/RefCnt<1>.Qcnt/RefCnt<6>.D10.000
    cnt/RefCnt<1>.Qcnt/RefCnt<7>.D10.000
    cnt/RefCnt<1>.Qcnt/RefDone.D10.000
    cnt/RefCnt<1>.Qcnt/TimeoutBPre.D10.000
    cnt/RefCnt<2>.Qcnt/RefCnt<3>.D10.000
    cnt/RefCnt<2>.Qcnt/RefCnt<4>.D10.000
    cnt/RefCnt<2>.Qcnt/RefCnt<5>.D10.000
    cnt/RefCnt<2>.Qcnt/RefCnt<6>.D10.000
    cnt/RefCnt<2>.Qcnt/RefCnt<7>.D10.000
    cnt/RefCnt<2>.Qcnt/RefDone.D10.000
    cnt/RefCnt<2>.Qcnt/TimeoutBPre.D10.000
    cnt/RefCnt<3>.Qcnt/RefCnt<4>.D10.000
    cnt/RefCnt<3>.Qcnt/RefCnt<5>.D10.000
    cnt/RefCnt<3>.Qcnt/RefCnt<6>.D10.000
    cnt/RefCnt<3>.Qcnt/RefCnt<7>.D10.000
    cnt/RefCnt<3>.Qcnt/RefDone.D10.000
    cnt/RefCnt<3>.Qcnt/TimeoutBPre.D10.000
    cnt/RefCnt<4>.Qcnt/RefCnt<5>.D10.000
    cnt/RefCnt<4>.Qcnt/RefCnt<6>.D10.000
    cnt/RefCnt<4>.Qcnt/RefCnt<7>.D10.000
    cnt/RefCnt<4>.Qcnt/RefDone.D10.000
    cnt/RefCnt<4>.Qcnt/TimeoutBPre.D10.000
    cnt/RefCnt<5>.Qcnt/RefCnt<6>.D10.000
    cnt/RefCnt<5>.Qcnt/RefCnt<7>.D10.000
    cnt/RefCnt<5>.Qcnt/RefDone.D10.000
    cnt/RefCnt<5>.Qcnt/TimeoutBPre.D10.000
    cnt/RefCnt<6>.Qcnt/RefCnt<7>.D10.000
    cnt/RefCnt<6>.Qcnt/RefDone.D10.000
    cnt/RefCnt<6>.Qcnt/TimeoutBPre.D10.000
    cnt/RefCnt<7>.Qcnt/RefDone.D10.000
    cnt/RefCnt<7>.Qcnt/TimeoutBPre.D10.000
    cnt/RefDone.Qcnt/RefDone.D10.000
    cnt/TimeoutBPre.Qcnt/TimeoutBPre.D10.000
    cs/nOverlay0.Qcs/nOverlay0.D10.000
    cs/nOverlay0.Qcs/nOverlay1.D10.000
    cs/nOverlay1.Qfsb/Ready0r.D10.000
    cs/nOverlay1.Qram/Once.D10.000
    cs/nOverlay1.Qram/RS_FSM_FFd1.D10.000
    fsb/ASrf.QBERR_IOBS.D10.000
    fsb/ASrf.QIOREQ.D10.000
    fsb/ASrf.Qcnt/TimeoutBPre.D10.000
    fsb/ASrf.Qcs/nOverlay0.D10.000
    fsb/ASrf.Qcs/nOverlay1.CE10.000
    fsb/ASrf.Qfsb/BERR0r.D10.000
    fsb/ASrf.Qfsb/BERR1r.D10.000
    fsb/ASrf.Qfsb/Ready0r.D10.000
    fsb/ASrf.Qfsb/Ready1r.D10.000
    fsb/ASrf.Qiobs/IOReady.D10.000
    fsb/ASrf.Qiobs/Load1.D10.000
    fsb/ASrf.Qiobs/Once.D10.000
    fsb/ASrf.Qiobs/PS_FSM_FFd2.D10.000
    fsb/ASrf.QnDTACK_FSB.D10.000
    fsb/ASrf.Qram/BACTr.D10.000
    fsb/ASrf.Qram/Once.D10.000
    fsb/ASrf.Qram/RS_FSM_FFd1.D10.000
    fsb/BERR0r.Qfsb/BERR0r.D10.000
    fsb/BERR1r.Qfsb/BERR1r.D10.000
    fsb/Ready0r.Qfsb/Ready0r.D10.000
    iobs/Clear1.QnADoutLE1.D10.000
    iobs/IOACTr.QBERR_IOBS.D10.000
    iobs/IOACTr.QIOREQ.D10.000
    iobs/IOACTr.Qiobs/IOReady.D10.000
    iobs/IOACTr.Qiobs/PS_FSM_FFd1.D10.000
    iobs/IOACTr.Qiobs/PS_FSM_FFd2.D10.000
    iobs/IOL1.QIOL0.D10.000
    iobs/IORW1.QIORW0.D10.000
    iobs/IOReady.Qiobs/IOReady.D10.000
    iobs/IOU1.QIOU0.D10.000
    iobs/Load1.Qiobs/IOL1.CE10.000
    iobs/Load1.Qiobs/IOU1.CE10.000
    iobs/Load1.QnADoutLE1.D10.000
    iobs/Once.QBERR_IOBS.D10.000
    iobs/Once.QIOREQ.D10.000
    iobs/Once.Qiobs/IORW1.D10.000
    iobs/Once.Qiobs/IOReady.D10.000
    iobs/Once.Qiobs/Load1.D10.000
    iobs/Once.Qiobs/PS_FSM_FFd2.D10.000
    iobs/PS_FSM_FFd1.QALE0S.D10.000
    iobs/PS_FSM_FFd1.QIOL0.CE10.000
    iobs/PS_FSM_FFd1.QIOREQ.D10.000
    iobs/PS_FSM_FFd1.QIOU0.CE10.000
    iobs/PS_FSM_FFd1.Qiobs/Clear1.D10.000
    iobs/PS_FSM_FFd1.Qiobs/Load1.D10.000
    iobs/PS_FSM_FFd1.Qiobs/PS_FSM_FFd1.D10.000
    iobs/PS_FSM_FFd2.QALE0S.D10.000
    iobs/PS_FSM_FFd2.QBERR_IOBS.D10.000
    iobs/PS_FSM_FFd2.QIOL0.CE10.000
    iobs/PS_FSM_FFd2.QIOU0.CE10.000
    iobs/PS_FSM_FFd2.Qiobs/Clear1.D10.000
    iobs/PS_FSM_FFd2.Qiobs/IOReady.D10.000
    iobs/PS_FSM_FFd2.Qiobs/Load1.D10.000
    iobs/PS_FSM_FFd2.Qiobs/PS_FSM_FFd1.D10.000
    nADoutLE1.QBERR_IOBS.D10.000
    nADoutLE1.QIOL0.D10.000
    nADoutLE1.QIOU0.D10.000
    nADoutLE1.Qiobs/Clear1.D10.000
    nADoutLE1.Qiobs/IORW1.D10.000
    nADoutLE1.Qiobs/IOReady.D10.000
    nADoutLE1.Qiobs/Load1.D10.000
    nADoutLE1.QnADoutLE1.D10.000
    ram/Once.Qram/Once.D10.000
    ram/Once.Qram/RS_FSM_FFd1.D10.000
    ram/RAMReady.Qfsb/Ready0r.D10.000
    ram/RASEL.QnCAS.D10.000
    ram/RS_FSM_FFd1.QRefAck.D10.000
    ram/RS_FSM_FFd1.Qram/Once.D10.000
    ram/RS_FSM_FFd1.Qram/RS_FSM_FFd1.D10.000
    ram/RS_FSM_FFd2.QRefAck.D10.000
    ram/RS_FSM_FFd2.Qram/Once.D10.000
    ram/RS_FSM_FFd2.Qram/RS_FSM_FFd1.D10.000
    ram/RS_FSM_FFd3.Qram/Once.D10.000
    ram/RS_FSM_FFd3.Qram/RS_FSM_FFd1.D10.000

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Clock to Setup for clock CLK2X_IOB
    SourceDestinationDelay
    IOBERR.QIOBERR.D11.000
    iobm/BERRrf.QIOBERR.D11.000
    iobm/BERRrr.QIOBERR.D11.000
    iobm/DTACKrf.QIOBERR.D11.000
    iobm/DTACKrr.QIOBERR.D11.000
    iobm/ETACK.QIOBERR.D11.000
    iobm/IOS_FSM_FFd1.QIOACT.D11.000
    iobm/IOS_FSM_FFd1.QIOBERR.D11.000
    iobm/IOS_FSM_FFd2.QIOACT.D11.000
    iobm/IOS_FSM_FFd2.QIOBERR.D11.000
    iobm/IOS_FSM_FFd3.QIOBERR.D11.000
    iobm/IOS_FSM_FFd4.QIOACT.D11.000
    iobm/IOS_FSM_FFd4.QIOBERR.D11.000
    iobm/RESrf.QIOACT.D11.000
    iobm/RESrf.QIOBERR.D11.000
    iobm/RESrr.QIOACT.D11.000
    iobm/RESrr.QIOBERR.D11.000
    IOACT.QnVMA_IOB.D10.000
    iobm/BERRrf.QIOACT.D10.000
    iobm/BERRrf.Qiobm/IOS_FSM_FFd3.D10.000
    iobm/BERRrr.QIOACT.D10.000
    iobm/BERRrr.Qiobm/IOS_FSM_FFd3.D10.000
    iobm/DTACKrf.QIOACT.D10.000
    iobm/DTACKrf.Qiobm/IOS_FSM_FFd3.D10.000
    iobm/DTACKrr.QIOACT.D10.000
    iobm/DTACKrr.Qiobm/IOS_FSM_FFd3.D10.000
    iobm/ES<0>.Qiobm/ES<0>.D10.000
    iobm/ES<0>.Qiobm/ES<1>.D10.000
    iobm/ES<0>.Qiobm/ES<2>.D10.000
    iobm/ES<0>.Qiobm/ES<3>.D10.000
    iobm/ES<0>.Qiobm/ES<4>.D10.000
    iobm/ES<0>.Qiobm/ETACK.D10.000
    iobm/ES<0>.QnVMA_IOB.D10.000
    iobm/ES<1>.Qiobm/ES<0>.D10.000
    iobm/ES<1>.Qiobm/ES<1>.D10.000
    iobm/ES<1>.Qiobm/ES<2>.D10.000
    iobm/ES<1>.Qiobm/ES<3>.D10.000
    iobm/ES<1>.Qiobm/ES<4>.D10.000
    iobm/ES<1>.Qiobm/ETACK.D10.000
    iobm/ES<1>.QnVMA_IOB.D10.000
    iobm/ES<2>.Qiobm/ES<0>.D10.000
    iobm/ES<2>.Qiobm/ES<2>.D10.000
    iobm/ES<2>.Qiobm/ES<3>.D10.000
    iobm/ES<2>.Qiobm/ES<4>.D10.000
    iobm/ES<2>.Qiobm/ETACK.D10.000
    iobm/ES<2>.QnVMA_IOB.D10.000
    iobm/ES<3>.Qiobm/ES<0>.D10.000
    iobm/ES<3>.Qiobm/ES<2>.D10.000
    iobm/ES<3>.Qiobm/ES<3>.D10.000
    iobm/ES<3>.Qiobm/ES<4>.D10.000
    iobm/ES<3>.Qiobm/ETACK.D10.000
    iobm/ES<3>.QnVMA_IOB.D10.000
    iobm/ES<4>.Qiobm/ES<0>.D10.000
    iobm/ES<4>.Qiobm/ES<2>.D10.000
    iobm/ES<4>.Qiobm/ES<4>.D10.000
    iobm/ES<4>.Qiobm/ETACK.D10.000
    iobm/ES<4>.QnVMA_IOB.D10.000
    iobm/ETACK.QIOACT.D10.000
    iobm/ETACK.Qiobm/IOS_FSM_FFd3.D10.000
    iobm/Er2.Qiobm/ES<0>.D10.000
    iobm/Er2.Qiobm/ES<1>.D10.000
    iobm/Er2.Qiobm/ES<2>.D10.000
    iobm/Er2.Qiobm/ES<3>.D10.000
    iobm/Er2.Qiobm/ES<4>.D10.000
    iobm/IOREQr.QALE0M.D10.000
    iobm/IOREQr.QIOACT.D10.000
    iobm/IOREQr.Qiobm/IOS_FSM_FFd4.D10.000
    iobm/IOS_FSM_FFd1.QALE0M.D10.000
    iobm/IOS_FSM_FFd1.Qiobm/IOS_FSM_FFd1.D10.000
    iobm/IOS_FSM_FFd1.Qiobm/IOS_FSM_FFd2.D10.000
    iobm/IOS_FSM_FFd1.Qiobm/IOS_FSM_FFd4.D10.000
    iobm/IOS_FSM_FFd1.QnAS_IOB.D10.000
    iobm/IOS_FSM_FFd1.QnDinLE.D10.000
    iobm/IOS_FSM_FFd1.QnLDS_IOB.D10.000
    iobm/IOS_FSM_FFd1.QnUDS_IOB.D10.000
    iobm/IOS_FSM_FFd2.QALE0M.D10.000
    iobm/IOS_FSM_FFd2.Qiobm/IOS_FSM_FFd1.D10.000
    iobm/IOS_FSM_FFd2.Qiobm/IOS_FSM_FFd2.D10.000
    iobm/IOS_FSM_FFd2.Qiobm/IOS_FSM_FFd4.D10.000
    iobm/IOS_FSM_FFd2.QnAS_IOB.D10.000
    iobm/IOS_FSM_FFd2.QnDoutOE.D10.000
    iobm/IOS_FSM_FFd2.QnLDS_IOB.D10.000
    iobm/IOS_FSM_FFd2.QnUDS_IOB.D10.000
    iobm/IOS_FSM_FFd3.QALE0M.D10.000
    iobm/IOS_FSM_FFd3.QIOACT.D10.000
    iobm/IOS_FSM_FFd3.Qiobm/IOS_FSM_FFd1.D10.000
    iobm/IOS_FSM_FFd3.Qiobm/IOS_FSM_FFd2.D10.000
    iobm/IOS_FSM_FFd3.Qiobm/IOS_FSM_FFd3.D10.000
    iobm/IOS_FSM_FFd3.Qiobm/IOS_FSM_FFd4.D10.000
    iobm/IOS_FSM_FFd3.QnAS_IOB.D10.000
    iobm/IOS_FSM_FFd3.QnDinLE.D10.000
    iobm/IOS_FSM_FFd3.QnDoutOE.D10.000
    iobm/IOS_FSM_FFd3.QnLDS_IOB.D10.000
    iobm/IOS_FSM_FFd3.QnUDS_IOB.D10.000
    iobm/IOS_FSM_FFd4.QALE0M.D10.000
    iobm/IOS_FSM_FFd4.Qiobm/IOS_FSM_FFd1.D10.000
    iobm/IOS_FSM_FFd4.Qiobm/IOS_FSM_FFd2.D10.000
    iobm/IOS_FSM_FFd4.Qiobm/IOS_FSM_FFd3.D10.000
    iobm/IOS_FSM_FFd4.Qiobm/IOS_FSM_FFd4.D10.000
    iobm/IOS_FSM_FFd4.QnAS_IOB.D10.000
    iobm/IOS_FSM_FFd4.QnDinLE.D10.000
    iobm/IOS_FSM_FFd4.QnDoutOE.D10.000
    iobm/IOS_FSM_FFd4.QnLDS_IOB.D10.000
    iobm/IOS_FSM_FFd4.QnUDS_IOB.D10.000
    iobm/RESrf.Qiobm/IOS_FSM_FFd3.D10.000
    iobm/RESrr.Qiobm/IOS_FSM_FFd3.D10.000
    iobm/VPArf.QnVMA_IOB.D10.000
    iobm/VPArr.QnVMA_IOB.D10.000
    nVMA_IOB.Qiobm/ETACK.D10.000
    nVMA_IOB.QnVMA_IOB.D10.000

    +
    + +

    Pad to Pad List

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Source PadDestination PadDelay
    A_FSB<14>RA<4>11.000
    A_FSB<15>RA<5>11.000
    A_FSB<19>RA<11>11.000
    A_FSB<20>nBERR_FSB11.000
    A_FSB<21>nBERR_FSB11.000
    A_FSB<22>nBERR_FSB11.000
    A_FSB<23>nBERR_FSB11.000
    A_FSB<3>RA<2>11.000
    A_FSB<5>RA<4>11.000
    A_FSB<6>RA<5>11.000
    nAS_FSBnBERR_FSB11.000
    A_FSB<10>RA<0>10.000
    A_FSB<11>RA<1>10.000
    A_FSB<12>RA<2>10.000
    A_FSB<13>RA<3>10.000
    A_FSB<16>RA<6>10.000
    A_FSB<17>RA<7>10.000
    A_FSB<18>RA<8>10.000
    A_FSB<19>RA<9>10.000
    A_FSB<1>RA<0>10.000
    A_FSB<20>RA<9>10.000
    A_FSB<20>nDinOE10.000
    A_FSB<20>nROMCS10.000
    A_FSB<21>RA<10>10.000
    A_FSB<21>nDinOE10.000
    A_FSB<21>nRAS10.000
    A_FSB<21>nROMCS10.000
    A_FSB<22>nDinOE10.000
    A_FSB<22>nRAS10.000
    A_FSB<22>nROMCS10.000
    A_FSB<23>nDinOE10.000
    A_FSB<23>nRAS10.000
    A_FSB<23>nROMCS10.000
    A_FSB<2>RA<1>10.000
    A_FSB<4>RA<3>10.000
    A_FSB<7>RA<6>10.000
    A_FSB<8>RA<7>10.000
    A_FSB<9>RA<8>10.000
    nAS_FSBnDinOE10.000
    nAS_FSBnOE10.000
    nAS_FSBnRAMLWE10.000
    nAS_FSBnRAMUWE10.000
    nAS_FSBnRAS10.000
    nAS_FSBnROMWE10.000
    nAS_FSBnVPA_FSB10.000
    nLDS_FSBnRAMLWE10.000
    nUDS_FSBnRAMUWE10.000
    nWE_FSBnDinOE10.000
    nWE_FSBnOE10.000
    nWE_FSBnRAMLWE10.000
    nWE_FSBnRAMUWE10.000
    nWE_FSBnROMWE10.000
    +
    +
    +
    Number of paths analyzed: +383 +
    Number of Timing errors: +0 +
    Analysis Completed: Mon Feb 07 04:05:08 2022 + +
    + diff --git a/cpld/XC95144/MXSE_html/tim/toc.css b/cpld/XC95144XL/MXSE_html/tim/toc.css similarity index 100% rename from cpld/XC95144/MXSE_html/tim/toc.css rename to cpld/XC95144XL/MXSE_html/tim/toc.css diff --git a/cpld/XC95144/MXSE_html/tim/topnav.htm b/cpld/XC95144XL/MXSE_html/tim/topnav.htm similarity index 100% rename from cpld/XC95144/MXSE_html/tim/topnav.htm rename to cpld/XC95144XL/MXSE_html/tim/topnav.htm diff --git a/cpld/XC95144XL/MXSE_ngdbuild 2.xrpt b/cpld/XC95144XL/MXSE_ngdbuild 2.xrpt new file mode 100644 index 0000000..43afc64 --- /dev/null +++ b/cpld/XC95144XL/MXSE_ngdbuild 2.xrpt @@ -0,0 +1,107 @@ + + + + + + +
    + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + + + + + + + + + + + + +
    +
    + +
    + + + + +
    +
    + +
    + + + + + +
    +
    + + + + + + + + + + + + + + + +
    +
    + + + + + + + + + + + + + + +
    +
    +
    +
    + + + + diff --git a/cpld/XC95144/MXSE_ngdbuild.xrpt b/cpld/XC95144XL/MXSE_ngdbuild.xrpt similarity index 81% rename from cpld/XC95144/MXSE_ngdbuild.xrpt rename to cpld/XC95144XL/MXSE_ngdbuild.xrpt index de1456b..874870a 100644 --- a/cpld/XC95144/MXSE_ngdbuild.xrpt +++ b/cpld/XC95144XL/MXSE_ngdbuild.xrpt @@ -5,14 +5,14 @@ The structure and the elements are likely to change over the next few releases. This means code written to parse this file will need to be revisited each subsequent release.--> - +
    - + @@ -36,16 +36,20 @@
    - - + + - + - - + + + + + +
    @@ -54,7 +58,7 @@ - +
    @@ -92,6 +96,7 @@ + diff --git a/cpld/XC95144XL/MXSE_summary 2.html b/cpld/XC95144XL/MXSE_summary 2.html new file mode 100644 index 0000000..14e9283 --- /dev/null +++ b/cpld/XC95144XL/MXSE_summary 2.html @@ -0,0 +1,98 @@ +Xilinx Design Summary + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    MXSE Project Status (10/23/2021 - 06:51:21)
    Project File:MXSE.xiseParser Errors: No Errors
    Module Name:MXSEImplementation State:Fitted
    Target Device:xc95144xl-10TQ100
    • Errors:
    +No Errors
    Product Version:ISE 14.7
    • Warnings:
    No Warnings
    Design Goal:Balanced
    • Routing Results:
    Design Strategy:Xilinx Default (unlocked)
    • Timing Constraints:
     
    Environment: + +System Settings +
    • Final Timing Score:
      
    + + + + 
    + + +
    Current Errors [-]
    No Errors Found
    + + + + 
    + + +
    Current Warnings [-]
    No Warnings Found
    + + + + + + + + + + + + + + 
    + + + + + + + +
    Detailed Reports [-]
    Report NameStatusGeneratedErrorsWarningsInfos
    Synthesis ReportCurrentSat Dec 11 06:24:06 2021000
    Translation ReportCurrentSat Dec 11 06:24:10 2021000
    CPLD Fitter Report (Text)CurrentSat Dec 11 06:24:23 202101 Warning (1 new)0
    Power Report     

    + + + + +
    Secondary Reports [-]
    Report NameStatusGenerated
    ISIM Simulator LogOut of DateSat Dec 11 07:14:04 2021
    Post-Fit Simulation Model Report  
    + + +
    Date Generated: 12/11/2021 - 07:16:19
    + \ No newline at end of file diff --git a/cpld/XC95144/MXSE_summary.html b/cpld/XC95144XL/MXSE_summary.html similarity index 68% rename from cpld/XC95144/MXSE_summary.html rename to cpld/XC95144XL/MXSE_summary.html index c103e9f..0d98158 100644 --- a/cpld/XC95144/MXSE_summary.html +++ b/cpld/XC95144XL/MXSE_summary.html @@ -2,7 +2,7 @@ - + @@ -13,7 +13,7 @@ - + @@ -43,7 +43,7 @@ No Errors @@ -65,9 +65,9 @@ System Settings - - - + + +
    MXSE Project Status (02/07/2022 - 00:19:10)
    MXSE Project Status (02/07/2022 - 04:05:11)
    Project File: WarpSE.xiseModule Name: MXSE Implementation State:TranslatedFitted
    Target Device:
    Environment: - + System Settings
    • Final Timing Score:
    Detailed Reports [-]
    Report NameStatusGenerated ErrorsWarningsInfos
    Synthesis ReportCurrentMon Feb 7 00:19:05 2022000
    Translation ReportCurrentMon Feb 7 00:19:08 2022000
    CPLD Fitter Report (Text)CurrentMon Feb 7 00:19:20 202201 Warning (1 new)3 Infos (3 new)
    Synthesis ReportCurrentMon Feb 7 03:26:05 2022000
    Translation ReportCurrentMon Feb 7 04:08:55 2022000
    CPLD Fitter Report (Text)CurrentMon Feb 7 04:09:28 202201 Warning (1 new)3 Infos (3 new)
    Power Report     
     
    @@ -77,5 +77,5 @@ System Settings
    -
    Date Generated: 02/07/2022 - 00:19:45
    +
    Date Generated: 02/07/2022 - 04:05:11
    \ No newline at end of file diff --git a/cpld/XC95144XL/MXSE_xst 2.xrpt b/cpld/XC95144XL/MXSE_xst 2.xrpt new file mode 100644 index 0000000..4ce5df1 --- /dev/null +++ b/cpld/XC95144XL/MXSE_xst 2.xrpt @@ -0,0 +1,134 @@ + + + + + + +
    + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    + + + + + + + + + + + + +
    +
    +
    + + + + + + + + + + + + + + + + + + + + + + + +
    +
    + + + + +
    +
    + + + + + +
    +
    +
    +
    +
    +
    +
    +
    + + + + + +
    +
    + +
    +
    + + + + + + + + + + + + + + + + + + +
    +
    +
    + + + +
    + + + diff --git a/cpld/XC95144/MXSE_xst.xrpt b/cpld/XC95144XL/MXSE_xst.xrpt similarity index 85% rename from cpld/XC95144/MXSE_xst.xrpt rename to cpld/XC95144XL/MXSE_xst.xrpt index f2a2ce6..1cc22ba 100644 --- a/cpld/XC95144/MXSE_xst.xrpt +++ b/cpld/XC95144XL/MXSE_xst.xrpt @@ -5,14 +5,14 @@ The structure and the elements are likely to change over the next few releases. This means code written to parse this file will need to be revisited each subsequent release.--> - +
    - + @@ -36,16 +36,20 @@
    - - + + - + - - + + + + + +
    diff --git a/cpld/XC95144/WarpSE.gise b/cpld/XC95144XL/WarpSE.gise similarity index 74% rename from cpld/XC95144/WarpSE.gise rename to cpld/XC95144XL/WarpSE.gise index e9fdc77..da6f409 100644 --- a/cpld/XC95144/WarpSE.gise +++ b/cpld/XC95144XL/WarpSE.gise @@ -1,125 +1,125 @@ - - - - - - - - - - - - - - - - - - - - 11.1 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + 11.1 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/cpld/XC95144/WarpSE.xise b/cpld/XC95144XL/WarpSE.xise similarity index 98% rename from cpld/XC95144/WarpSE.xise rename to cpld/XC95144XL/WarpSE.xise index bb538be..86a0304 100644 --- a/cpld/XC95144/WarpSE.xise +++ b/cpld/XC95144XL/WarpSE.xise @@ -35,6 +35,9 @@ + + + @@ -43,9 +46,6 @@ - - - @@ -121,7 +121,6 @@ - @@ -338,7 +337,6 @@ - @@ -406,8 +404,8 @@ - - + + diff --git a/cpld/XC95144XL/_ngo/netlist.lst b/cpld/XC95144XL/_ngo/netlist.lst new file mode 100644 index 0000000..6d0f71d --- /dev/null +++ b/cpld/XC95144XL/_ngo/netlist.lst @@ -0,0 +1,2 @@ +\\192.168.64.1\Repos\Warp-SE\cpld\XC95144XL\MXSE.ngc 1644222364 +OK diff --git a/cpld/XC95144/_xmsgs/cpldfit.xmsgs b/cpld/XC95144XL/_xmsgs/cpldfit.xmsgs similarity index 99% rename from cpld/XC95144/_xmsgs/cpldfit.xmsgs rename to cpld/XC95144XL/_xmsgs/cpldfit.xmsgs index 2c34018..410648a 100644 --- a/cpld/XC95144/_xmsgs/cpldfit.xmsgs +++ b/cpld/XC95144XL/_xmsgs/cpldfit.xmsgs @@ -1,20 +1,21 @@ - - + + -Unable to retrieve the path to the iSE Project Repository. Will use the default filename of 'MXSE.ise'. - +Unable to retrieve the path to the iSE Project Repository. Will use the default filename of 'MXSE.ise'. + -Inferring BUFG constraint for signal 'CLK2X_IOB' based upon the LOC constraint 'P22'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored. - +Inferring BUFG constraint for signal 'CLK2X_IOB' based upon the LOC constraint 'P22'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored. + -Inferring BUFG constraint for signal 'CLK_FSB' based upon the LOC constraint 'P27'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored. - +Inferring BUFG constraint for signal 'CLK_FSB' based upon the LOC constraint 'P27'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored. + -Inferring BUFG constraint for signal 'CLK_IOB' based upon the LOC constraint 'P23'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored. - +Inferring BUFG constraint for signal 'CLK_IOB' based upon the LOC constraint 'P23'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored. + + diff --git a/cpld/XC95144/_xmsgs/ngdbuild.xmsgs b/cpld/XC95144XL/_xmsgs/ngdbuild.xmsgs similarity index 98% rename from cpld/XC95144/_xmsgs/ngdbuild.xmsgs rename to cpld/XC95144XL/_xmsgs/ngdbuild.xmsgs index f84336a..c42b14a 100644 --- a/cpld/XC95144/_xmsgs/ngdbuild.xmsgs +++ b/cpld/XC95144XL/_xmsgs/ngdbuild.xmsgs @@ -1,9 +1,9 @@ - - + + - + diff --git a/cpld/XC95144XL/_xmsgs/pn_parser 2.xmsgs b/cpld/XC95144XL/_xmsgs/pn_parser 2.xmsgs new file mode 100644 index 0000000..f66074b --- /dev/null +++ b/cpld/XC95144XL/_xmsgs/pn_parser 2.xmsgs @@ -0,0 +1,15 @@ + + + + + + + + + + +Analyzing Verilog file "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/t_ram.v" into library work + + + + diff --git a/cpld/XC95144/_xmsgs/pn_parser.xmsgs b/cpld/XC95144XL/_xmsgs/pn_parser.xmsgs similarity index 97% rename from cpld/XC95144/_xmsgs/pn_parser.xmsgs rename to cpld/XC95144XL/_xmsgs/pn_parser.xmsgs index a38ed26..6d46583 100644 --- a/cpld/XC95144/_xmsgs/pn_parser.xmsgs +++ b/cpld/XC95144XL/_xmsgs/pn_parser.xmsgs @@ -1,12 +1,12 @@ - - - - - - - - - - - - + + + + + + + + + + + + diff --git a/cpld/XC95144/_xmsgs/taengine.xmsgs b/cpld/XC95144XL/_xmsgs/taengine.xmsgs similarity index 98% rename from cpld/XC95144/_xmsgs/taengine.xmsgs rename to cpld/XC95144XL/_xmsgs/taengine.xmsgs index f84336a..c42b14a 100644 --- a/cpld/XC95144/_xmsgs/taengine.xmsgs +++ b/cpld/XC95144XL/_xmsgs/taengine.xmsgs @@ -1,9 +1,9 @@ - - + + - + diff --git a/cpld/XC95144/_xmsgs/tsim.xmsgs b/cpld/XC95144XL/_xmsgs/tsim.xmsgs similarity index 98% rename from cpld/XC95144/_xmsgs/tsim.xmsgs rename to cpld/XC95144XL/_xmsgs/tsim.xmsgs index f84336a..c42b14a 100644 --- a/cpld/XC95144/_xmsgs/tsim.xmsgs +++ b/cpld/XC95144XL/_xmsgs/tsim.xmsgs @@ -1,9 +1,9 @@ - - + + - + diff --git a/cpld/XC95144/_xmsgs/xst.xmsgs b/cpld/XC95144XL/_xmsgs/xst.xmsgs similarity index 98% rename from cpld/XC95144/_xmsgs/xst.xmsgs rename to cpld/XC95144XL/_xmsgs/xst.xmsgs index f84336a..c42b14a 100644 --- a/cpld/XC95144/_xmsgs/xst.xmsgs +++ b/cpld/XC95144XL/_xmsgs/xst.xmsgs @@ -1,9 +1,9 @@ - - + + - + diff --git a/cpld/XC95144XL/iseconfig/MXSE 2.xreport b/cpld/XC95144XL/iseconfig/MXSE 2.xreport new file mode 100644 index 0000000..6bba386 --- /dev/null +++ b/cpld/XC95144XL/iseconfig/MXSE 2.xreport @@ -0,0 +1,215 @@ + + +
    + 2021-12-11T06:56:18 + MXSE + 2021-10-23T06:51:21 + C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/iseconfig/MXSE.xreport + C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL\ + 2021-10-07T05:05:00 + false +
    + + + + + + + + + + + + + + + + + + + + + + + +
    diff --git a/cpld/XC95144/iseconfig/MXSE.xreport b/cpld/XC95144XL/iseconfig/MXSE.xreport similarity index 98% rename from cpld/XC95144/iseconfig/MXSE.xreport rename to cpld/XC95144XL/iseconfig/MXSE.xreport index eb72b36..686e4d5 100644 --- a/cpld/XC95144/iseconfig/MXSE.xreport +++ b/cpld/XC95144XL/iseconfig/MXSE.xreport @@ -1,12 +1,12 @@
    - 2022-02-06T23:52:59 + 2022-02-07T03:25:13 MXSE - 2022-02-06T23:52:20 - C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/iseconfig/MXSE.xreport - C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144 - 2022-02-06T23:49:21 + Unknown + //192.168.64.1/Repos/Warp-SE/cpld/XC95144XL/iseconfig/MXSE.xreport + //192.168.64.1/Repos/Warp-SE/cpld/XC95144XL + 2022-02-07T03:25:12 false
    diff --git a/cpld/XC95144XL/tmperr.err b/cpld/XC95144XL/tmperr.err new file mode 100644 index 0000000..e69de29 diff --git a/cpld/XC95144XL/xlnx_auto_0_xdb/cst 2.xbcd b/cpld/XC95144XL/xlnx_auto_0_xdb/cst 2.xbcd new file mode 100644 index 0000000..c3e3d2e Binary files /dev/null and b/cpld/XC95144XL/xlnx_auto_0_xdb/cst 2.xbcd differ diff --git a/cpld/XC95144XL/xlnx_auto_0_xdb/cst.xbcd b/cpld/XC95144XL/xlnx_auto_0_xdb/cst.xbcd new file mode 100644 index 0000000..10f24e2 Binary files /dev/null and b/cpld/XC95144XL/xlnx_auto_0_xdb/cst.xbcd differ diff --git a/cpld/XC95144XL/xst/work/hdllib.ref b/cpld/XC95144XL/xst/work/hdllib.ref new file mode 100644 index 0000000..706a760 --- /dev/null +++ b/cpld/XC95144XL/xst/work/hdllib.ref @@ -0,0 +1,7 @@ +MO CNT NULL ../CNT.v vlg65/_c_n_t.bin 1644222338 +MO CS NULL ../CS.v vlg22/_c_s.bin 1644222338 +MO FSB NULL ../FSB.v vlg37/_f_s_b.bin 1644222338 +MO IOBM NULL ../IOBM.v vlg73/_i_o_b_m.bin 1644222338 +MO IOBS NULL ../IOBS.v vlg79/_i_o_b_s.bin 1644222338 +MO RAM NULL ../RAM.v vlg14/_r_a_m.bin 1644222337 +MO MXSE NULL ../MXSE.v vlg15/_m_x_s_e.bin 1644222338 diff --git a/cpld/XC95144XL/xst/work/vlg14/_r_a_m 2.bin b/cpld/XC95144XL/xst/work/vlg14/_r_a_m 2.bin new file mode 100644 index 0000000..af3c10e Binary files /dev/null and b/cpld/XC95144XL/xst/work/vlg14/_r_a_m 2.bin differ diff --git a/cpld/XC95144/xst/work/vlg14/_r_a_m.bin b/cpld/XC95144XL/xst/work/vlg14/_r_a_m.bin similarity index 99% rename from cpld/XC95144/xst/work/vlg14/_r_a_m.bin rename to cpld/XC95144XL/xst/work/vlg14/_r_a_m.bin index 1fd1aaa..e20d6ec 100644 Binary files a/cpld/XC95144/xst/work/vlg14/_r_a_m.bin and b/cpld/XC95144XL/xst/work/vlg14/_r_a_m.bin differ diff --git a/cpld/XC95144XL/xst/work/vlg15/_m_x_s_e 2.bin b/cpld/XC95144XL/xst/work/vlg15/_m_x_s_e 2.bin new file mode 100644 index 0000000..f942a46 Binary files /dev/null and b/cpld/XC95144XL/xst/work/vlg15/_m_x_s_e 2.bin differ diff --git a/cpld/XC95144/xst/work/vlg15/_m_x_s_e.bin b/cpld/XC95144XL/xst/work/vlg15/_m_x_s_e.bin similarity index 99% rename from cpld/XC95144/xst/work/vlg15/_m_x_s_e.bin rename to cpld/XC95144XL/xst/work/vlg15/_m_x_s_e.bin index 75a8cea..35738bd 100644 Binary files a/cpld/XC95144/xst/work/vlg15/_m_x_s_e.bin and b/cpld/XC95144XL/xst/work/vlg15/_m_x_s_e.bin differ diff --git a/cpld/XC95144XL/xst/work/vlg22/_c_s 2.bin b/cpld/XC95144XL/xst/work/vlg22/_c_s 2.bin new file mode 100644 index 0000000..5bbd0fb Binary files /dev/null and b/cpld/XC95144XL/xst/work/vlg22/_c_s 2.bin differ diff --git a/cpld/XC95144/xst/work/vlg22/_c_s.bin b/cpld/XC95144XL/xst/work/vlg22/_c_s.bin similarity index 99% rename from cpld/XC95144/xst/work/vlg22/_c_s.bin rename to cpld/XC95144XL/xst/work/vlg22/_c_s.bin index f2775d2..f39d410 100644 Binary files a/cpld/XC95144/xst/work/vlg22/_c_s.bin and b/cpld/XC95144XL/xst/work/vlg22/_c_s.bin differ diff --git a/cpld/XC95144XL/xst/work/vlg37/_f_s_b 2.bin b/cpld/XC95144XL/xst/work/vlg37/_f_s_b 2.bin new file mode 100644 index 0000000..48d07e9 Binary files /dev/null and b/cpld/XC95144XL/xst/work/vlg37/_f_s_b 2.bin differ diff --git a/cpld/XC95144/xst/work/vlg37/_f_s_b.bin b/cpld/XC95144XL/xst/work/vlg37/_f_s_b.bin similarity index 98% rename from cpld/XC95144/xst/work/vlg37/_f_s_b.bin rename to cpld/XC95144XL/xst/work/vlg37/_f_s_b.bin index 0f90f7e..25e28f4 100644 Binary files a/cpld/XC95144/xst/work/vlg37/_f_s_b.bin and b/cpld/XC95144XL/xst/work/vlg37/_f_s_b.bin differ diff --git a/cpld/XC95144/xst/work/vlg65/_c_n_t.bin b/cpld/XC95144XL/xst/work/vlg65/_c_n_t.bin similarity index 97% rename from cpld/XC95144/xst/work/vlg65/_c_n_t.bin rename to cpld/XC95144XL/xst/work/vlg65/_c_n_t.bin index 72fd8b4..587e801 100644 Binary files a/cpld/XC95144/xst/work/vlg65/_c_n_t.bin and b/cpld/XC95144XL/xst/work/vlg65/_c_n_t.bin differ diff --git a/cpld/XC95144XL/xst/work/vlg73/_i_o_b_m 2.bin b/cpld/XC95144XL/xst/work/vlg73/_i_o_b_m 2.bin new file mode 100644 index 0000000..65d7377 Binary files /dev/null and b/cpld/XC95144XL/xst/work/vlg73/_i_o_b_m 2.bin differ diff --git a/cpld/XC95144/xst/work/vlg73/_i_o_b_m.bin b/cpld/XC95144XL/xst/work/vlg73/_i_o_b_m.bin similarity index 99% rename from cpld/XC95144/xst/work/vlg73/_i_o_b_m.bin rename to cpld/XC95144XL/xst/work/vlg73/_i_o_b_m.bin index 401a422..65bf9be 100644 Binary files a/cpld/XC95144/xst/work/vlg73/_i_o_b_m.bin and b/cpld/XC95144XL/xst/work/vlg73/_i_o_b_m.bin differ diff --git a/cpld/XC95144XL/xst/work/vlg79/_i_o_b_s 2.bin b/cpld/XC95144XL/xst/work/vlg79/_i_o_b_s 2.bin new file mode 100644 index 0000000..43a1da4 Binary files /dev/null and b/cpld/XC95144XL/xst/work/vlg79/_i_o_b_s 2.bin differ diff --git a/cpld/XC95144/xst/work/vlg79/_i_o_b_s.bin b/cpld/XC95144XL/xst/work/vlg79/_i_o_b_s.bin similarity index 99% rename from cpld/XC95144/xst/work/vlg79/_i_o_b_s.bin rename to cpld/XC95144XL/xst/work/vlg79/_i_o_b_s.bin index 9bfc149..c6eb9ce 100644 Binary files a/cpld/XC95144/xst/work/vlg79/_i_o_b_s.bin and b/cpld/XC95144XL/xst/work/vlg79/_i_o_b_s.bin differ