From 6045a957d58b9f23ffb7ea922101c808fbb30209 Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Wed, 14 Sep 2022 02:04:31 -0400 Subject: [PATCH] more --- .gitignore | 1 + PDSBuf.kicad_sch | 2545 ++++++++++++++--------------------------- RAM.kicad_sch | 693 +---------- WarpSE B Pin Planning | 30 + WarpSE.kicad_pcb | 2187 +++++++++++++++++++---------------- WarpSE.kicad_sch | 451 ++++---- 6 files changed, 2344 insertions(+), 3563 deletions(-) create mode 100644 WarpSE B Pin Planning diff --git a/.gitignore b/.gitignore index ee186eb..f866be8 100644 --- a/.gitignore +++ b/.gitignore @@ -13,3 +13,4 @@ WarpSE-backups/* *.DS_Store Documentation/Thumbs.db *.kicad_prl +PowerAdapter/SEPowerAdapter-backups/* diff --git a/PDSBuf.kicad_sch b/PDSBuf.kicad_sch index 46327ad..aed7269 100644 --- a/PDSBuf.kicad_sch +++ b/PDSBuf.kicad_sch @@ -56,6 +56,45 @@ ) ) ) + (symbol "Device:R_Small" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes) + (property "Reference" "R" (id 0) (at 0.762 0.508 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "R_Small" (id 1) (at 0.762 -1.016 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "R resistor" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Resistor, small symbol" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "R_*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "R_Small_0_1" + (rectangle (start -0.762 1.778) (end 0.762 -1.778) + (stroke (width 0.2032) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "R_Small_1_1" + (pin passive line (at 0 2.54 270) (length 0.762) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -2.54 90) (length 0.762) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) (symbol "GW_Logic:74245" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) (property "Reference" "U" (id 0) (at 0 15.24 0) (effects (font (size 1.27 1.27))) @@ -260,6 +299,58 @@ ) ) ) + (symbol "power:+3V3" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (id 0) (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (id 1) (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "power-flag" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"+3V3\"" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "+3V3_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "+3V3_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "+3V3" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) (symbol "power:+5V" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) (property "Reference" "#PWR" (id 0) (at 0 -3.81 0) (effects (font (size 1.27 1.27)) hide) @@ -378,9 +469,15 @@ (junction (at 88.9 182.88) (diameter 0) (color 0 0 0 0) (uuid 2fb9964c-4cd4-4e81-b5e8-f78759d3adb5) ) + (junction (at 109.22 58.42) (diameter 0) (color 0 0 0 0) + (uuid 30eee518-2a2d-4d07-90c8-bc1998f59e17) + ) (junction (at 48.26 182.88) (diameter 0) (color 0 0 0 0) (uuid 33891c62-a79f-4243-b776-6be292690ac3) ) + (junction (at 149.86 50.8) (diameter 0) (color 0 0 0 0) + (uuid 3c102341-2f81-4226-af10-89fed58378d2) + ) (junction (at 88.9 187.96) (diameter 0) (color 0 0 0 0) (uuid 40b38567-9d6a-4691-bccf-1b4dbe39957b) ) @@ -402,18 +499,15 @@ (junction (at 88.9 172.72) (diameter 0) (color 0 0 0 0) (uuid 9404ce4c-2ce6-4f88-8062-13577800d257) ) - (junction (at 139.7 58.42) (diameter 0) (color 0 0 0 0) - (uuid b0d187e3-d023-42ec-a3e9-d88d09489762) + (junction (at 109.22 53.34) (diameter 0) (color 0 0 0 0) + (uuid a7f9c3fc-56b7-4de6-b6ec-6d1c686162f4) + ) + (junction (at 149.86 55.88) (diameter 0) (color 0 0 0 0) + (uuid b9e355ca-4e33-4f92-97b2-56da34e69b07) ) (junction (at 58.42 172.72) (diameter 0) (color 0 0 0 0) (uuid c2211bf7-6ed0-4800-9f21-d6a078bedba2) ) - (junction (at 139.7 60.96) (diameter 0) (color 0 0 0 0) - (uuid dbb73342-ca44-485d-8e94-db2be6fc82f6) - ) - (junction (at 139.7 55.88) (diameter 0) (color 0 0 0 0) - (uuid dfdef591-a33f-4ca5-9716-1ee0d1e1afd7) - ) (junction (at 48.26 172.72) (diameter 0) (color 0 0 0 0) (uuid e2df2a45-3811-4210-89e0-9a66f3cb9430) ) @@ -424,23 +518,19 @@ (uuid f87a4771-a0a7-489f-9d85-4574dbea71cc) ) - (no_connect (at 119.38 58.42) (uuid 81f646b8-5a8f-4115-a479-a7d666ac1b04)) - (no_connect (at 119.38 55.88) (uuid 81f646b8-5a8f-4115-a479-a7d666ac1b05)) - (no_connect (at 119.38 53.34) (uuid 81f646b8-5a8f-4115-a479-a7d666ac1b06)) - (bus_entry (at 78.74 144.78) (size 2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 02491520-945f-40c4-9160-4e5db9ac115d) ) + (bus_entry (at 147.32 91.44) (size 2.54 2.54) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 02b2c0d3-614d-4c94-9db0-84ac80dd15e6) + ) (bus_entry (at 43.18 142.24) (size -2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 03e71835-b8f5-43fb-947e-b66fa1413c2f) ) - (bus_entry (at 264.16 66.04) (size 2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 041a4cb4-10bb-4f70-a795-b2bd35da9bab) - ) - (bus_entry (at 43.18 139.7) (size -2.54 2.54) + (bus_entry (at 43.18 127) (size -2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 04299b53-c0a5-455a-b269-ba4dbd24f8fc) ) @@ -448,37 +538,29 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 04db8b27-d31b-48d0-a5db-a22a4629a541) ) - (bus_entry (at 264.16 38.1) (size 2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 05122b34-05d4-4233-8196-30a874b88c86) - ) - (bus_entry (at 264.16 109.22) (size 2.54 2.54) + (bus_entry (at 261.62 109.22) (size 2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 06a58d63-d82c-4606-b8f8-c9467c1aced3) ) - (bus_entry (at 264.16 45.72) (size 2.54 2.54) + (bus_entry (at 78.74 157.48) (size 2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 07167142-d0ff-4647-9e74-18ea27ee562c) + (uuid 07369580-1dba-4a9a-8290-c491ea34eb3a) ) (bus_entry (at 78.74 78.74) (size 2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 0c9bbc06-f1c0-4359-8448-9c515b32a886) ) - (bus_entry (at 78.74 109.22) (size 2.54 2.54) + (bus_entry (at 78.74 96.52) (size 2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 0f62e92c-dce6-45dc-a560-b9db10f66ff3) ) - (bus_entry (at 203.2 127) (size 2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 0fbe5cac-36f5-4684-a0fb-4c410ee00761) - ) (bus_entry (at 78.74 152.4) (size 2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 100847e3-630c-4c13-ba45-180e92370805) ) - (bus_entry (at 264.16 78.74) (size 2.54 2.54) + (bus_entry (at 111.76 93.98) (size -2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 10b93fc9-7302-4f0e-9784-49e4c6b8ceb6) + (uuid 107f8acf-461d-4fbe-8ff4-fef7e88f6572) ) (bus_entry (at 43.18 144.78) (size -2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) @@ -488,31 +570,19 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 14a41f8a-8dc0-40ea-b648-2c4247bead8f) ) - (bus_entry (at 228.6 96.52) (size -2.54 2.54) + (bus_entry (at 226.06 99.06) (size -2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 1774292f-9fba-46a0-bf11-d58ae72db307) ) - (bus_entry (at 203.2 109.22) (size 2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 1b063d9b-1e1d-4efe-9185-fdc0296e939b) - ) - (bus_entry (at 228.6 124.46) (size -2.54 2.54) + (bus_entry (at 226.06 127) (size -2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 1bf46d81-8069-48cb-9a38-48be7597550e) ) - (bus_entry (at 203.2 101.6) (size 2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 1e89dd76-1a54-40e8-9914-75d27be3a045) - ) - (bus_entry (at 167.64 101.6) (size -2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 1ec1cd7b-cb81-436d-bac7-a7becbfaf667) - ) - (bus_entry (at 228.6 106.68) (size -2.54 2.54) + (bus_entry (at 226.06 109.22) (size -2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 1fa5d017-762c-44db-91c3-c36af9fdd520) ) - (bus_entry (at 264.16 91.44) (size 2.54 2.54) + (bus_entry (at 261.62 91.44) (size 2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 201f2d4e-d8f8-47b7-a431-45634abeef80) ) @@ -528,7 +598,7 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 22ab392d-1989-4185-9178-8083812ea067) ) - (bus_entry (at 43.18 124.46) (size -2.54 2.54) + (bus_entry (at 43.18 121.92) (size -2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 2481a469-563f-4027-861d-8e50b95ba213) ) @@ -536,71 +606,51 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 25ff36de-ce45-4ea8-b85f-d665820e62ed) ) - (bus_entry (at 78.74 116.84) (size 2.54 2.54) + (bus_entry (at 78.74 114.3) (size 2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 2938bf2d-2d32-4cb0-9d4d-563ea28ffffa) ) - (bus_entry (at 167.64 121.92) (size -2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 2c17a7d0-d3cb-41de-8575-37c8ff00e940) - ) (bus_entry (at 78.74 83.82) (size 2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 2dc66f7e-d85d-4081-ae71-fd8851d6aeda) ) - (bus_entry (at 203.2 99.06) (size 2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 2e14f03c-5d42-4b7c-a915-d84094bc80ab) - ) - (bus_entry (at 264.16 139.7) (size 2.54 2.54) + (bus_entry (at 261.62 139.7) (size 2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 2e31cf3d-00c3-4bb6-8bae-12aeee5f997e) ) - (bus_entry (at 264.16 127) (size 2.54 2.54) + (bus_entry (at 261.62 127) (size 2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 2e92cbf9-43ec-47a8-a5f6-32eb9f6bf98e) ) - (bus_entry (at 203.2 124.46) (size 2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 2f32158d-fd4d-4125-ad85-aa5a52b59d3d) - ) - (bus_entry (at 264.16 30.48) (size 2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 2f5f85a5-2bb6-485b-8693-23021ed2e39f) - ) (bus_entry (at 43.18 147.32) (size -2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 2f76f5b3-884e-4c89-9653-20b1059f8108) ) - (bus_entry (at 43.18 121.92) (size -2.54 2.54) + (bus_entry (at 43.18 119.38) (size -2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 31ce98d7-457b-48b7-81f5-a18ea014fb39) ) - (bus_entry (at 43.18 116.84) (size -2.54 2.54) + (bus_entry (at 147.32 86.36) (size 2.54 2.54) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 335d337e-8b54-4bf4-b165-ba384b63727a) + ) + (bus_entry (at 43.18 114.3) (size -2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 3394ff2d-baaf-4fbd-9a35-c87f054182ec) ) - (bus_entry (at 264.16 132.08) (size 2.54 2.54) + (bus_entry (at 261.62 132.08) (size 2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 34e149e2-371a-4319-9772-dd7658e81ee4) ) - (bus_entry (at 203.2 132.08) (size 2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 353ae250-e54a-44d8-9a1e-d5ce4bcee5b1) - ) - (bus_entry (at 264.16 137.16) (size 2.54 2.54) + (bus_entry (at 261.62 137.16) (size 2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 3cdab175-6f33-4a8b-b731-63b9372e4943) ) - (bus_entry (at 264.16 68.58) (size 2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 4032632a-8684-4af5-bfbc-087f8431ef19) - ) - (bus_entry (at 228.6 109.22) (size -2.54 2.54) + (bus_entry (at 226.06 111.76) (size -2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 47f4e592-0e25-47f4-a708-95be534084ef) ) - (bus_entry (at 228.6 129.54) (size -2.54 2.54) + (bus_entry (at 226.06 132.08) (size -2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 4c3210da-36ba-4d82-b50d-f4f7cdac11a8) ) @@ -608,50 +658,22 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 4c6a1dad-7acf-4a52-99b0-316025d1ab04) ) - (bus_entry (at 203.2 121.92) (size 2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 4dd4783f-e902-4c67-b2ec-4809a783b138) - ) - (bus_entry (at 264.16 60.96) (size 2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 533b64a2-3347-4c11-b083-855e7fd78716) - ) - (bus_entry (at 78.74 111.76) (size 2.54 2.54) + (bus_entry (at 78.74 109.22) (size 2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 53fda1fb-12bd-4536-80e1-aab5c0e3fc58) ) - (bus_entry (at 264.16 99.06) (size 2.54 2.54) + (bus_entry (at 261.62 99.06) (size 2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 58185a92-5ace-457f-90a9-c3f7f789e1d5) ) - (bus_entry (at 228.6 104.14) (size -2.54 2.54) + (bus_entry (at 226.06 106.68) (size -2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 587acc63-488e-47f0-a0c0-f14972f0dd63) ) - (bus_entry (at 264.16 33.02) (size 2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 5b33d134-8ba8-421d-989f-2acdcd912aae) - ) - (bus_entry (at 167.64 104.14) (size -2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 5bd6271c-eb0e-4a99-af75-047996a2fe6c) - ) - (bus_entry (at 167.64 134.62) (size -2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 5f572231-3044-4c93-a253-8a7e8ad7abae) - ) - (bus_entry (at 264.16 96.52) (size 2.54 2.54) + (bus_entry (at 261.62 96.52) (size 2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 608425dc-34e6-4b79-9f34-78b2164eaab6) ) - (bus_entry (at 264.16 76.2) (size 2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 61567de4-1630-4a38-b464-06a44385764f) - ) - (bus_entry (at 167.64 106.68) (size -2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 641ec726-3520-4b2e-bafc-e0a8d343f102) - ) (bus_entry (at 78.74 147.32) (size 2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 64269ac3-771b-4c0d-91e0-eafc3dc4a07f) @@ -660,27 +682,11 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 6fd21292-6577-40e1-bbda-18906b5e9f6f) ) - (bus_entry (at 264.16 43.18) (size 2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 700b14ad-9973-4893-aaa7-7a76eb3a31af) - ) - (bus_entry (at 203.2 104.14) (size 2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 71f9909a-7458-488d-964b-eab2e1ba2871) - ) - (bus_entry (at 167.64 109.22) (size -2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 76d320e7-61be-4ab8-a7da-e084599228d6) - ) - (bus_entry (at 228.6 132.08) (size -2.54 2.54) + (bus_entry (at 226.06 134.62) (size -2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 78c2fd92-9983-4529-9819-e0916d83647b) ) - (bus_entry (at 167.64 124.46) (size -2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 794d1d79-4efe-4885-96ac-1498a523dcea) - ) - (bus_entry (at 228.6 101.6) (size -2.54 2.54) + (bus_entry (at 226.06 104.14) (size -2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 7993e10f-c37b-4e69-a86b-d659a7d7edc3) ) @@ -688,15 +694,7 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 79d92549-ade5-4da8-b1c8-481fd9698928) ) - (bus_entry (at 203.2 139.7) (size 2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 7af11b04-c6e4-4236-831a-17076f89baea) - ) - (bus_entry (at 264.16 48.26) (size 2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 7b2edb07-3ff2-43dd-af58-d04296c51d67) - ) - (bus_entry (at 43.18 127) (size -2.54 2.54) + (bus_entry (at 43.18 124.46) (size -2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 7c2f2d18-15a5-41b4-9b0d-0a63974b257f) ) @@ -704,79 +702,47 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 7d86cef9-03f0-41b7-856d-415670f538a0) ) - (bus_entry (at 203.2 137.16) (size 2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 7ea9a254-4538-4677-8758-5f81f064ea2d) - ) - (bus_entry (at 203.2 96.52) (size 2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 7fc845cd-9229-4703-aecd-49e1d1ddce28) - ) - (bus_entry (at 228.6 139.7) (size -2.54 2.54) + (bus_entry (at 226.06 142.24) (size -2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 8534f30c-a038-429d-9c10-19dfb36eee4f) ) - (bus_entry (at 264.16 101.6) (size 2.54 2.54) + (bus_entry (at 261.62 101.6) (size 2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 853f1e35-7f09-4db5-a8ca-4b7523fcde15) ) - (bus_entry (at 78.74 127) (size 2.54 2.54) + (bus_entry (at 78.74 124.46) (size 2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 87a0ffb1-5477-4b20-a3ac-fef5af129a33) ) - (bus_entry (at 203.2 129.54) (size 2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 88af4805-61d9-4926-9442-1e79f7d09a98) - ) - (bus_entry (at 78.74 119.38) (size 2.54 2.54) + (bus_entry (at 78.74 116.84) (size 2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 89bd1fdd-6a91-474e-8495-7a2ba7eb6260) ) - (bus_entry (at 228.6 137.16) (size -2.54 2.54) + (bus_entry (at 226.06 139.7) (size -2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 89e78814-b5e1-4d8b-9ff8-9b4b5a386ec4) ) - (bus_entry (at 167.64 129.54) (size -2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 8a602c17-44fb-477d-9ecc-ae901561e845) - ) - (bus_entry (at 264.16 129.54) (size 2.54 2.54) + (bus_entry (at 261.62 129.54) (size 2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 8ae94f86-133d-49eb-85c8-03f89db8fdb1) ) - (bus_entry (at 78.74 121.92) (size 2.54 2.54) + (bus_entry (at 78.74 119.38) (size 2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 8b022692-69b7-4bd6-bf38-57edecf356fa) ) - (bus_entry (at 167.64 127) (size -2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 8c15fc58-87e0-4ff4-a673-286d752bed8f) - ) - (bus_entry (at 167.64 137.16) (size -2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 8de66999-e2a1-4ade-a2f2-123738ee5f35) - ) - (bus_entry (at 78.74 139.7) (size 2.54 2.54) + (bus_entry (at 78.74 127) (size 2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 909d0bdd-8a15-40f2-9dfd-be4a5d2d6b25) ) - (bus_entry (at 78.74 114.3) (size 2.54 2.54) + (bus_entry (at 78.74 111.76) (size 2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 929c74c0-78bf-4efe-a778-fa328e951865) ) - (bus_entry (at 264.16 40.64) (size 2.54 2.54) + (bus_entry (at 147.32 88.9) (size 2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 93babda2-d03d-485e-a80e-3130ec874f87) + (uuid 96ff75a6-a528-4cbc-96d5-3779595982fc) ) - (bus_entry (at 167.64 139.7) (size -2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 95573fc5-f2e3-497f-ae8b-99d66057d291) - ) - (bus_entry (at 203.2 93.98) (size 2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 970a2093-e737-4616-abf4-899bf0909026) - ) - (bus_entry (at 228.6 93.98) (size -2.54 2.54) + (bus_entry (at 226.06 96.52) (size -2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 97d05605-03ad-4802-a363-dc2ea4e25398) ) @@ -784,7 +750,7 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 9e657d00-ea4c-4b5a-afe9-0b0a89e155d1) ) - (bus_entry (at 264.16 121.92) (size 2.54 2.54) + (bus_entry (at 261.62 121.92) (size 2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid a1a5aea0-1bd6-4e78-aece-93baec13b1e9) ) @@ -796,67 +762,47 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid a46a2b22-69cf-45fb-b1d2-32ac89bbd3c8) ) - (bus_entry (at 228.6 91.44) (size -2.54 2.54) + (bus_entry (at 226.06 93.98) (size -2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid a62e24d5-81a6-4358-97a8-0f430b8d3f1d) ) - (bus_entry (at 264.16 104.14) (size 2.54 2.54) + (bus_entry (at 261.62 104.14) (size 2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid a984ec69-ddb1-49ea-95aa-6517697fb94e) ) - (bus_entry (at 167.64 93.98) (size -2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid ad99bb65-86c9-4523-99a3-a324507a9b10) - ) - (bus_entry (at 167.64 91.44) (size -2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid af635551-6aff-4abe-82ac-558bc0b2741b) - ) - (bus_entry (at 264.16 73.66) (size 2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid b15d7509-63cc-44af-9e87-300b4fe919bd) - ) - (bus_entry (at 167.64 96.52) (size -2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid b4bf5a30-5720-4fed-9bfd-a265f0d91165) - ) (bus_entry (at 78.74 81.28) (size 2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid b606e532-e4c7-444d-b9ff-879f52cfde92) ) - (bus_entry (at 43.18 109.22) (size -2.54 2.54) + (bus_entry (at 43.18 96.52) (size -2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid b9a4250d-ae09-430f-b731-4f5d28c96290) ) - (bus_entry (at 228.6 121.92) (size -2.54 2.54) + (bus_entry (at 226.06 124.46) (size -2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid ba34779b-7a5b-4c2f-8ac6-cd36cdf16838) ) - (bus_entry (at 264.16 134.62) (size 2.54 2.54) + (bus_entry (at 261.62 134.62) (size 2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid bd01923e-d7cc-49ab-93fc-adf5e3bd7f7f) ) - (bus_entry (at 264.16 63.5) (size 2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid bda76a22-bfaf-472c-8dcc-005896f8a398) - ) - (bus_entry (at 228.6 134.62) (size -2.54 2.54) + (bus_entry (at 226.06 137.16) (size -2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid be79d357-d08d-4689-a29d-3cd49c48aa58) ) - (bus_entry (at 111.76 83.82) (size -2.54 2.54) + (bus_entry (at 111.76 88.9) (size -2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid c46c93a6-04ff-4afe-86e7-cce46bf679cf) ) - (bus_entry (at 78.74 124.46) (size 2.54 2.54) + (bus_entry (at 78.74 121.92) (size 2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid c62adb8b-b306-48da-b0ae-f6a287e54f62) ) - (bus_entry (at 264.16 124.46) (size 2.54 2.54) + (bus_entry (at 261.62 124.46) (size 2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid cb1e25bb-d3e5-4eb8-90f8-bb6c69783d0b) ) - (bus_entry (at 228.6 99.06) (size -2.54 2.54) + (bus_entry (at 226.06 101.6) (size -2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid cfc7b54e-15ee-4344-b8a7-7b2b138a7066) ) @@ -864,47 +810,27 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid d0cc5e67-d986-451d-8235-7a437f4c8aa9) ) - (bus_entry (at 43.18 114.3) (size -2.54 2.54) + (bus_entry (at 43.18 111.76) (size -2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid d240c487-bcbf-45ed-b578-54c7eb93d70d) ) - (bus_entry (at 203.2 134.62) (size 2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d447a7e3-480b-42b4-8db4-7391122c994c) - ) - (bus_entry (at 264.16 71.12) (size 2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d4b35a68-ef93-4d9a-be75-a1d10c348c8a) - ) - (bus_entry (at 203.2 106.68) (size 2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d522c9a8-28da-4af3-bf10-fd2a03544752) - ) (bus_entry (at 78.74 86.36) (size 2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid d5a7688c-7438-4b6d-999f-4f2a3cb18fd6) ) - (bus_entry (at 264.16 35.56) (size 2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d880ef1d-6cc5-438a-9346-1cf710e9c9e9) - ) - (bus_entry (at 167.64 132.08) (size -2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d9f0ef69-5a7e-4535-9793-153707aa5c24) - ) - (bus_entry (at 43.18 111.76) (size -2.54 2.54) + (bus_entry (at 43.18 109.22) (size -2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid dbc2327d-684a-42b2-9672-f8247414fa96) ) - (bus_entry (at 43.18 119.38) (size -2.54 2.54) + (bus_entry (at 43.18 116.84) (size -2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid de01cf7c-0bdc-472f-9113-02babcd42ad3) ) - (bus_entry (at 167.64 99.06) (size -2.54 2.54) + (bus_entry (at 111.76 91.44) (size -2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid e26e3fa5-c953-4439-a77c-e8ff2b3ef193) + (uuid e464e64b-030a-46a7-b50a-136e5ea9700a) ) - (bus_entry (at 264.16 93.98) (size 2.54 2.54) + (bus_entry (at 261.62 93.98) (size 2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid e594ed34-886d-49a6-acc2-55bf70e67029) ) @@ -916,19 +842,15 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid f030cfe8-f922-4a12-a58d-2ff6e60a9bb9) ) - (bus_entry (at 228.6 127) (size -2.54 2.54) + (bus_entry (at 226.06 129.54) (size -2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid f1f2f278-3463-4f83-8c6d-69156cc9b92e) ) - (bus_entry (at 203.2 91.44) (size 2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid f829e81e-3d28-422c-a13f-c69a6b716465) - ) (bus_entry (at 43.18 154.94) (size -2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid fbc6c444-7120-4e41-b8e8-53e3c70330fc) ) - (bus_entry (at 264.16 106.68) (size 2.54 2.54) + (bus_entry (at 261.62 106.68) (size 2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid fc35183c-d9fd-4f21-8a8e-7321a79a3f93) ) @@ -941,18 +863,14 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 017667a9-f5de-49c7-af53-4f9af2f3a311) ) - (wire (pts (xy 228.6 132.08) (xy 236.22 132.08)) + (wire (pts (xy 226.06 134.62) (xy 233.68 134.62)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 01adb2e3-05d6-4598-b9b0-999b0b5d8efe) ) - (bus (pts (xy 266.7 129.54) (xy 266.7 132.08)) + (bus (pts (xy 264.16 129.54) (xy 264.16 132.08)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 03c71bcb-b963-40dd-8c36-3842cf281c00) ) - (bus (pts (xy 165.1 137.16) (xy 165.1 139.7)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 04dc7da3-a85d-4a19-83ec-01e9c5c8f7ab) - ) (wire (pts (xy 71.12 83.82) (xy 78.74 83.82)) (stroke (width 0) (type default) (color 0 0 0 0)) @@ -962,40 +880,48 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 05e45f00-3c6b-4c0c-9ffb-3fe26fcda007) ) + (wire (pts (xy 116.84 106.68) (xy 119.38 106.68)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 064272a3-6cf0-4d8d-9d8a-f25233d73bb3) + ) (bus (pts (xy 40.64 86.36) (xy 40.64 88.9)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 072901fc-7006-43dd-a773-c26950338c97) ) - (bus (pts (xy 266.7 109.22) (xy 266.7 111.76)) + (bus (pts (xy 264.16 109.22) (xy 264.16 111.76)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 0a7d750f-715c-474d-be0d-9f589b5d01cf) ) - (wire (pts (xy 256.54 91.44) (xy 264.16 91.44)) + (wire (pts (xy 254 91.44) (xy 261.62 91.44)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 0b89cd81-fa71-46f5-8748-87d99ef97712) ) - (wire (pts (xy 228.6 139.7) (xy 236.22 139.7)) + (wire (pts (xy 226.06 142.24) (xy 233.68 142.24)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 0c006065-fe32-4b4a-9615-c8a78f4e9948) ) - (wire (pts (xy 167.64 132.08) (xy 175.26 132.08)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 0c96cbb7-64a5-423b-af60-b10be408d2ed) - ) - (bus (pts (xy 205.74 101.6) (xy 205.74 104.14)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 0d820215-915f-4389-8a06-8f1f062d0ca7) - ) (bus (pts (xy 81.28 152.4) (xy 81.28 154.94)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 0e1e0333-f78d-456a-b834-247c08c60811) ) - (wire (pts (xy 43.18 111.76) (xy 50.8 111.76)) + (wire (pts (xy 43.18 124.46) (xy 50.8 124.46)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 0e59f407-70b9-4dd1-adf9-45641b81b920) ) + (wire (pts (xy 139.7 55.88) (xy 149.86 55.88)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1160f368-cade-42be-a3f9-dfbe5cac00f3) + ) + (wire (pts (xy 139.7 106.68) (xy 146.05 106.68)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 12459611-2824-4a1b-937a-346d76a921e2) + ) + (wire (pts (xy 149.86 50.8) (xy 149.86 55.88)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 131bc8d3-e8ca-456d-84a7-0385748e1b9c) + ) (bus (pts (xy 40.64 152.4) (xy 40.64 154.94)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 13754c2e-2fa2-40be-bc88-4d0fbf7fc09f) @@ -1005,88 +931,49 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 13e3abd8-ceca-4043-9894-c0f93aa2a546) ) - (wire (pts (xy 50.8 160.02) (xy 48.26 160.02)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 13f8471c-bedd-4829-8b80-35a7833eed01) - ) - (wire (pts (xy 43.18 154.94) (xy 50.8 154.94)) + (wire (pts (xy 43.18 144.78) (xy 50.8 144.78)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 152287e5-ae3d-412d-a73a-cf1923398089) ) - (wire (pts (xy 43.18 142.24) (xy 50.8 142.24)) + (wire (pts (xy 43.18 157.48) (xy 50.8 157.48)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 164593fa-cde0-4d67-bd67-105e73662efa) ) - (bus (pts (xy 165.1 93.98) (xy 165.1 96.52)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 182f3372-0872-4147-9e00-21dea3071347) - ) - (bus (pts (xy 266.7 76.2) (xy 266.7 78.74)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 1960e7a4-33d7-46a3-8074-329a150520ed) - ) - - (wire (pts (xy 71.12 139.7) (xy 78.74 139.7)) + (wire (pts (xy 71.12 127) (xy 78.74 127)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 1ae3634a-f90f-4c6a-8ba7-b38f98d4ccb2) ) - (wire (pts (xy 256.54 33.02) (xy 264.16 33.02)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 1b276332-ae71-40af-8e1e-0fb545cc3104) - ) (wire (pts (xy 48.26 182.88) (xy 58.42 182.88)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 1b98de85-f9de-4825-baf2-c96991615275) ) - (bus (pts (xy 106.68 86.36) (xy 109.22 86.36)) + (bus (pts (xy 106.68 91.44) (xy 109.22 91.44)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 1d2a0b48-e141-4588-a748-f578e0e3453e) ) - - (wire (pts (xy 48.26 160.02) (xy 48.26 162.56)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 201d16ae-7160-4781-af07-ba1c5bb2cb27) - ) - (bus (pts (xy 266.7 134.62) (xy 266.7 137.16)) + (bus (pts (xy 264.16 134.62) (xy 264.16 137.16)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 219d9bb5-a89f-4602-b732-3de6ed75133a) ) - (wire (pts (xy 139.7 53.34) (xy 139.7 55.88)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 2248b74c-5917-40aa-930b-8e64a8ab5f5e) - ) (wire (pts (xy 50.8 93.98) (xy 43.18 93.98)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 24b9776c-3a85-43f7-af05-c45d1ecd20ce) ) - (wire (pts (xy 139.7 55.88) (xy 139.7 58.42)) + (bus (pts (xy 109.22 93.98) (xy 109.22 96.52)) (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 27245843-1c4a-4103-a416-94a92a125efe) + (uuid 2729cc57-ccae-420d-8ab3-c9cd0647ad2f) ) - (bus (pts (xy 266.7 48.26) (xy 266.7 50.8)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 2809b5e0-01b6-4282-9403-58a9c0f423ab) - ) - (bus (pts (xy 266.7 101.6) (xy 266.7 104.14)) + (bus (pts (xy 264.16 101.6) (xy 264.16 104.14)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 28cc763d-8c3b-4bb3-be99-e4cebe471a2b) ) - (bus (pts (xy 205.74 104.14) (xy 205.74 106.68)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 293660ec-41f4-4990-a059-ff6b382e5374) - ) - (wire (pts (xy 71.12 121.92) (xy 78.74 121.92)) + (wire (pts (xy 71.12 119.38) (xy 78.74 119.38)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 2a4f1c24-6486-4fd8-8092-72bb07a81274) ) - (bus (pts (xy 266.7 50.8) (xy 266.7 63.5)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 2a6a4b7c-f1e0-4c7d-be46-36884fdbecb3) - ) - - (wire (pts (xy 71.12 119.38) (xy 78.74 119.38)) + (wire (pts (xy 71.12 116.84) (xy 78.74 116.84)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 2c10387c-3cac-4a7c-bbfb-95d69f41a890) ) @@ -1094,24 +981,20 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 2c488362-c230-4f6d-82f9-a229b1171a23) ) - (wire (pts (xy 139.7 58.42) (xy 139.7 60.96)) + (bus (pts (xy 149.86 88.9) (xy 149.86 91.44)) (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 2d119c3d-6689-490e-b512-57e63918c28f) + (uuid 2c568601-467f-4f75-b075-b1ae439aaff8) ) (bus (pts (xy 81.28 149.86) (xy 81.28 152.4)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 2d8d02af-8c95-4a57-bab3-8c47776af968) ) - (wire (pts (xy 167.64 106.68) (xy 175.26 106.68)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 2e3062df-175f-4047-9cbf-56e3cfa52cea) - ) - (wire (pts (xy 228.6 96.52) (xy 236.22 96.52)) + (wire (pts (xy 226.06 99.06) (xy 233.68 99.06)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 2fb1cf66-6670-4ce7-b41d-93d99a0a8327) ) - (bus (pts (xy 266.7 106.68) (xy 266.7 109.22)) + (bus (pts (xy 264.16 106.68) (xy 264.16 109.22)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 310178e0-7ea8-4f8c-8d34-5dda11ea88ba) ) @@ -1124,28 +1007,16 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 3273ec61-4a33-41c2-82bf-cde7c8587c1b) ) - (wire (pts (xy 167.64 137.16) (xy 175.26 137.16)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 339cab8f-5782-4c6c-8e5b-757a589ba78a) - ) - (wire (pts (xy 256.54 73.66) (xy 264.16 73.66)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 342ed530-23cc-4258-9ae6-37006514b96d) - ) - (bus (pts (xy 165.1 124.46) (xy 165.1 127)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 348a4c94-ba7b-4cbb-a115-7fc58b7d6753) - ) - (bus (pts (xy 266.7 96.52) (xy 266.7 99.06)) + (bus (pts (xy 264.16 96.52) (xy 264.16 99.06)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 36f4118c-5098-4d54-9c1b-0f8a5c88a6c9) ) - (bus (pts (xy 226.06 99.06) (xy 226.06 101.6)) + (bus (pts (xy 223.52 101.6) (xy 223.52 104.14)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 38521967-ed75-499a-9a0e-544718cd35d0) ) - (wire (pts (xy 228.6 134.62) (xy 236.22 134.62)) + (wire (pts (xy 226.06 137.16) (xy 233.68 137.16)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 38bb49d8-04e0-477b-b14c-a04ed2336ab2) ) @@ -1153,16 +1024,12 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 38fb5f5a-cf87-43bf-aa7b-c8e261438701) ) - (bus (pts (xy 40.64 96.52) (xy 40.64 111.76)) + (bus (pts (xy 40.64 96.52) (xy 40.64 99.06)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 39f15257-eeb5-46cf-98ee-321d5e17f8c9) ) - (wire (pts (xy 167.64 127) (xy 175.26 127)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 3ac3508f-da75-4bf4-a059-45851ca4f99e) - ) - (wire (pts (xy 228.6 101.6) (xy 236.22 101.6)) + (wire (pts (xy 226.06 104.14) (xy 233.68 104.14)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 3ac66624-4b28-445b-92be-62df1174266b) ) @@ -1170,110 +1037,83 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 3d70e675-48ae-4edd-b95d-3ca51e634018) ) - (bus (pts (xy 40.64 142.24) (xy 40.64 144.78)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 3d956aac-c467-4eda-9ae3-ce26ef779a67) - ) - - (wire (pts (xy 43.18 116.84) (xy 50.8 116.84)) + (wire (pts (xy 43.18 119.38) (xy 50.8 119.38)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 3de0b009-ac31-4d9c-b26e-7b180ea43fa0) ) - (bus (pts (xy 205.74 137.16) (xy 205.74 139.7)) + (bus (pts (xy 149.86 91.44) (xy 149.86 93.98)) (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 3e1f5298-f4a6-4ddf-9f73-e1c6bc317431) - ) - (bus (pts (xy 165.1 104.14) (xy 165.1 106.68)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 3f02e00d-3e6d-4cd8-aecd-dbac936416b3) - ) - - (wire (pts (xy 142.24 68.58) (xy 142.24 73.66)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 3f4eb25b-47a2-4f9a-bbc3-e1c58db4c244) + (uuid 3f20366c-34a4-40d5-90b4-f53eb8fbd45e) ) (bus (pts (xy 40.64 147.32) (xy 40.64 149.86)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 3fa70cb7-3fff-451c-9fe1-9809d692cefa) ) - (bus (pts (xy 266.7 111.76) (xy 266.7 124.46)) + (bus (pts (xy 264.16 111.76) (xy 264.16 124.46)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 3fb053f5-06e5-4cdb-a8ab-27005ffd0dab) ) - (wire (pts (xy 43.18 127) (xy 50.8 127)) + (wire (pts (xy 43.18 109.22) (xy 50.8 109.22)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 3fe1f917-4b89-4f9c-8382-bda61e5617db) ) - (bus (pts (xy 226.06 124.46) (xy 226.06 127)) + (wire (pts (xy 139.7 111.76) (xy 151.13 111.76)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 40516d5a-a530-4d01-bd6f-f6e6abcf1176) + ) + (bus (pts (xy 223.52 127) (xy 223.52 129.54)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 426bb9e5-f12f-46c4-81d0-8c645e51f393) ) - (bus (pts (xy 81.28 96.52) (xy 81.28 111.76)) + (bus (pts (xy 81.28 96.52) (xy 81.28 99.06)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 42e7bb2b-70ca-476b-a65a-4faadea14803) ) + (wire (pts (xy 139.7 43.18) (xy 149.86 43.18)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 43b10474-0a07-4754-85e8-fccc93fc9b1c) + ) (wire (pts (xy 71.12 152.4) (xy 78.74 152.4)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 44509293-79e2-4fab-8860-b0cecb591afa) ) - (wire (pts (xy 43.18 147.32) (xy 50.8 147.32)) + (bus (pts (xy 40.64 111.76) (xy 40.64 114.3)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 48276932-aa87-4190-aac2-cf3f88bb1104) + ) + + (wire (pts (xy 43.18 152.4) (xy 50.8 152.4)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 4850f662-9a35-4364-b7e1-afe0264599e7) ) - (wire (pts (xy 256.54 38.1) (xy 264.16 38.1)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 490186a3-4595-493a-b9a3-1974e170c769) - ) (bus (pts (xy 40.64 91.44) (xy 40.64 93.98)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 4959cf95-a736-46ea-8c62-e50decd09b33) ) - (wire (pts (xy 256.54 93.98) (xy 264.16 93.98)) + (wire (pts (xy 254 93.98) (xy 261.62 93.98)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 49c8625e-93a0-4f8d-8696-e4e6e46a07fe) ) - (wire (pts (xy 195.58 137.16) (xy 203.2 137.16)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 4ac6fc93-8392-4bcc-b8ec-ec20ec7f1bc9) - ) (wire (pts (xy 71.12 78.74) (xy 78.74 78.74)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 4b042b6c-c042-4cf1-ba6e-bd77c51dbedb) ) + (wire (pts (xy 114.3 102.87) (xy 116.84 102.87)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 4c067285-a08d-4157-a546-a861264cf9a3) + ) (wire (pts (xy 71.12 144.78) (xy 78.74 144.78)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 4c144ffa-02d0-42da-aef1-f5175cbde9c0) ) - (wire (pts (xy 167.64 124.46) (xy 175.26 124.46)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 4c9d7d3a-0ec7-46ca-8ccf-864c5941f1fa) - ) (wire (pts (xy 50.8 86.36) (xy 43.18 86.36)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 4d0bdc9f-c4a4-47ff-b16d-ad8a2ebe2bb2) ) - (bus (pts (xy 165.1 101.6) (xy 165.1 104.14)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 4f02ac78-3a65-4c42-85cd-3b55be295094) - ) - - (wire (pts (xy 195.58 101.6) (xy 203.2 101.6)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 51f0d42d-b243-41c3-a823-6101aa07b855) - ) - (bus (pts (xy 205.74 99.06) (xy 205.74 101.6)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 527d500a-7ab6-4dad-92ee-46cfdad2fc14) - ) - - (wire (pts (xy 195.58 109.22) (xy 203.2 109.22)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 52e9535b-eb1b-48d3-a2c5-48a242d92be7) - ) - (wire (pts (xy 256.54 96.52) (xy 264.16 96.52)) + (wire (pts (xy 254 96.52) (xy 261.62 96.52)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 52f8e836-73bb-4c86-a335-539cfa69aa54) ) @@ -1282,7 +1122,7 @@ (uuid 539c9793-2d5a-493c-ac04-3f3008f63371) ) - (wire (pts (xy 256.54 127) (xy 264.16 127)) + (wire (pts (xy 254 127) (xy 261.62 127)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 53ad67d5-db21-4777-84fb-2048dc2f7834) ) @@ -1290,33 +1130,42 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 53ae21b8-f187-4817-8c27-1f06278d249b) ) - (wire (pts (xy 195.58 129.54) (xy 203.2 129.54)) + (wire (pts (xy 147.32 88.9) (xy 139.7 88.9)) (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 55207e80-ec4d-4164-906f-f1183932997e) + (uuid 543810a5-c505-44db-a7c3-a5b93537080d) ) - (bus (pts (xy 226.06 106.68) (xy 226.06 109.22)) + (bus (pts (xy 40.64 129.54) (xy 40.64 144.78)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 548c7a85-0a03-4cf2-a79c-50f74848e6c0) + ) + + (wire (pts (xy 139.7 50.8) (xy 149.86 50.8)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 5490c64a-9b69-4062-b184-d17af909aac2) + ) + (bus (pts (xy 81.28 157.48) (xy 81.28 160.02)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 55861941-7c08-4d2a-8307-0ca6274a493d) + ) + (bus (pts (xy 223.52 109.22) (xy 223.52 111.76)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 56604700-ecb4-410c-99e0-7a14517eaa1b) ) - (bus (pts (xy 81.28 127) (xy 81.28 129.54)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 5813e2f8-0f67-4c02-85c7-b45fdeb9205c) - ) - (wire (pts (xy 48.26 132.08) (xy 45.72 132.08)) + (wire (pts (xy 139.7 100.33) (xy 139.7 104.14)) (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 5a7ecaa4-9481-472e-a25a-5168a9289c4c) + (uuid 5680cec1-f286-45e6-8bfa-db38a94a09b0) ) (bus (pts (xy 40.64 88.9) (xy 40.64 91.44)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 5ac7bc7a-8ff8-4d42-8bfc-3bfef0abc4f4) ) - (bus (pts (xy 266.7 93.98) (xy 266.7 96.52)) + (bus (pts (xy 264.16 93.98) (xy 264.16 96.52)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 5dab2fe4-ccb6-4350-9052-43d4b91cb2cb) ) - (wire (pts (xy 256.54 101.6) (xy 264.16 101.6)) + (wire (pts (xy 254 101.6) (xy 261.62 101.6)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 5e41bdb4-ea65-40da-ab21-b91ac3c61bac) ) @@ -1324,22 +1173,10 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 5e72673d-28ec-4ce2-b33a-c53f966b92e8) ) - (bus (pts (xy 266.7 127) (xy 266.7 129.54)) + (bus (pts (xy 264.16 127) (xy 264.16 129.54)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 5e937446-8aa4-4a07-9fb8-2ed63eaccafb) ) - (bus (pts (xy 266.7 73.66) (xy 266.7 76.2)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 60156ed5-ca8a-4ad1-903e-5b4127ec9aad) - ) - (bus (pts (xy 266.7 68.58) (xy 266.7 71.12)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 6086a607-332c-4090-9ab4-ca03c70f3e10) - ) - (bus (pts (xy 266.7 71.12) (xy 266.7 73.66)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 61e64f88-ba49-401c-8b11-2eecf1319188) - ) (bus (pts (xy 81.28 114.3) (xy 81.28 116.84)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 623893c0-10fd-4497-8d2a-e5ef1d0bb0b6) @@ -1349,102 +1186,53 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 62cbcc21-2cec-41ab-be06-499e1a78d7e7) ) - (bus (pts (xy 81.28 142.24) (xy 81.28 144.78)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 634068c4-5420-4c1f-be72-59be13cb913d) - ) - - (wire (pts (xy 111.76 83.82) (xy 119.38 83.82)) + (wire (pts (xy 111.76 88.9) (xy 119.38 88.9)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 638c350b-b725-43c2-a5ff-cfa9cfe6e6af) ) - (wire (pts (xy 144.78 68.58) (xy 142.24 68.58)) + (wire (pts (xy 142.24 67.31) (xy 139.7 67.31)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 64d2adf0-48ab-4011-9d39-a3fdb3c680c3) ) - (wire (pts (xy 195.58 121.92) (xy 203.2 121.92)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 651ed8c3-b0a6-44ee-82ad-22e158c9d7ae) - ) - (bus (pts (xy 205.74 106.68) (xy 205.74 109.22)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 65a4361a-40d9-4b7e-9384-c5feae65dd60) - ) - - (wire (pts (xy 50.8 109.22) (xy 43.18 109.22)) + (wire (pts (xy 50.8 96.52) (xy 43.18 96.52)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 668734b0-c3e3-46a5-988f-8d33c49ddb7e) ) - (bus (pts (xy 165.1 132.08) (xy 165.1 134.62)) + (bus (pts (xy 81.28 99.06) (xy 81.28 111.76)) (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 6831ad9c-edf2-43ab-b4bb-9b0dbbb0722d) + (uuid 686c538d-a41c-42aa-9927-a258531d19ed) ) - - (wire (pts (xy 167.64 96.52) (xy 175.26 96.52)) + (bus (pts (xy 81.28 129.54) (xy 81.28 144.78)) (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 6943836e-0de0-4ed1-822c-262aadb10a5a) + (uuid 6a23f93c-7996-4338-978e-f34deb5d9467) ) (bus (pts (xy 40.64 114.3) (xy 40.64 116.84)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 6b6ee22c-05b0-45b4-a11e-ddfdfae897fc) ) - - (wire (pts (xy 256.54 48.26) (xy 264.16 48.26)) + (bus (pts (xy 152.4 88.9) (xy 149.86 88.9)) (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 6ba35cec-ed0b-4c90-891d-19c2b1e6b758) - ) - (bus (pts (xy 205.74 134.62) (xy 205.74 137.16)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 6bd14f2c-280c-47c7-b3bf-36a37f61bb09) + (uuid 6c597c67-7267-497d-820e-b11a54283c66) ) - (wire (pts (xy 48.26 162.56) (xy 45.72 162.56)) + (wire (pts (xy 139.7 116.84) (xy 156.21 116.84)) (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 6c0fb975-2528-4acb-82f5-0fd0f1813795) - ) - (bus (pts (xy 266.7 45.72) (xy 266.7 48.26)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 6c2c5ba4-42cd-4d8a-aa02-4a1aa3ff06b2) - ) - (bus (pts (xy 205.74 93.98) (xy 205.74 96.52)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 6c3d9da3-bac0-463a-8482-fcd8c9810416) - ) - (bus (pts (xy 165.1 111.76) (xy 165.1 124.46)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 6d14121a-4404-4832-9551-9ca1b5485b68) + (uuid 6cd40714-6f72-437f-8033-cd6c4aacde6b) ) (bus (pts (xy 81.28 121.92) (xy 81.28 124.46)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 6d67856c-9c61-421e-a15c-3db0c8a27384) ) - (wire (pts (xy 256.54 40.64) (xy 264.16 40.64)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 6e8da31b-8e59-4acd-9926-5ad099105503) - ) - (bus (pts (xy 165.1 134.62) (xy 165.1 137.16)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 6ff10bc8-ad12-4531-bf9f-ebfbf1caf617) - ) - - (wire (pts (xy 167.64 109.22) (xy 175.26 109.22)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 72728e92-6515-4dbc-91aa-4560475c3b20) - ) - (wire (pts (xy 167.64 129.54) (xy 175.26 129.54)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 727f90e7-1b7a-4fe5-861c-c0b400b14cd8) - ) - (wire (pts (xy 256.54 137.16) (xy 264.16 137.16)) + (wire (pts (xy 254 137.16) (xy 261.62 137.16)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 72af6030-a2f6-4944-a1d0-2e251fcc69db) ) - (wire (pts (xy 43.18 157.48) (xy 50.8 157.48)) + (wire (pts (xy 43.18 142.24) (xy 50.8 142.24)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 72e305f3-8f21-402f-bc24-9dca4009e30a) ) - (wire (pts (xy 228.6 91.44) (xy 236.22 91.44)) + (wire (pts (xy 226.06 93.98) (xy 233.68 93.98)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 73a920c8-1cfb-4521-85ff-39ae9263f22f) ) @@ -1452,16 +1240,16 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 74096bdc-b668-408c-af3a-b048c20bd605) ) - (bus (pts (xy 266.7 104.14) (xy 266.7 106.68)) + (bus (pts (xy 264.16 104.14) (xy 264.16 106.68)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 7412975e-9c99-4b2d-b513-5686675a6edf) ) - (wire (pts (xy 228.6 127) (xy 236.22 127)) + (wire (pts (xy 226.06 129.54) (xy 233.68 129.54)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 7445a479-7a08-4bed-8853-4092d076109a) ) - (bus (pts (xy 226.06 137.16) (xy 226.06 139.7)) + (bus (pts (xy 223.52 139.7) (xy 223.52 142.24)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 74c65b45-d084-40bc-884e-9f161e11a03b) ) @@ -1470,43 +1258,18 @@ (uuid 74eb8833-4011-4e05-a229-985775181b82) ) - (wire (pts (xy 50.8 99.06) (xy 48.26 99.06)) + (wire (pts (xy 139.7 100.33) (xy 142.24 100.33)) (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 76091ad7-9e90-40f6-8053-d50a75528780) - ) - (wire (pts (xy 256.54 35.56) (xy 264.16 35.56)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 766e6066-795f-48d3-b5ae-9c351d5fbe4f) + (uuid 752574f2-7056-4649-9798-03492fe08c9c) ) (wire (pts (xy 88.9 177.8) (xy 99.06 177.8)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 7700fef1-de5b-4197-be2d-18385e1e18f9) ) - (bus (pts (xy 266.7 66.04) (xy 266.7 68.58)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 77284e78-3674-4186-844e-3e751f495727) - ) - - (wire (pts (xy 167.64 91.44) (xy 175.26 91.44)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 7a77e7af-9ad4-4ff2-84f4-565d2d4e731e) - ) - (wire (pts (xy 144.78 101.6) (xy 142.24 101.6)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 7ae27a65-f4c3-4e7b-8284-b01e95fd9ff1) - ) - (wire (pts (xy 116.84 63.5) (xy 119.38 63.5)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 7b22445e-f589-4e97-875d-3b596d3e9df7) - ) (bus (pts (xy 81.28 147.32) (xy 81.28 149.86)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 7bc0c54f-4d03-4d84-bccd-eb92753b0765) ) - (bus (pts (xy 266.7 81.28) (xy 266.7 93.98)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 7bd6a940-cfd7-4876-a067-0d7eb8df68d4) - ) (wire (pts (xy 68.58 187.96) (xy 78.74 187.96)) (stroke (width 0) (type default) (color 0 0 0 0)) @@ -1520,16 +1283,8 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 7d48a50a-a3e3-4138-a797-1b1140721a4c) ) - (bus (pts (xy 165.1 106.68) (xy 165.1 109.22)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 7da54d7c-434f-4a81-8d42-485183d39673) - ) - (wire (pts (xy 195.58 93.98) (xy 203.2 93.98)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 7ed13846-1faf-4390-b447-8ea73807b9ff) - ) - (wire (pts (xy 71.12 114.3) (xy 78.74 114.3)) + (wire (pts (xy 71.12 111.76) (xy 78.74 111.76)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 80b9a57f-3326-43ca-b6ca-5e911992b3c4) ) @@ -1537,28 +1292,16 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 8275a8f1-1400-41e0-af1d-6b4dc13c7821) ) - (bus (pts (xy 226.06 96.52) (xy 226.06 99.06)) + (bus (pts (xy 223.52 99.06) (xy 223.52 101.6)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 8311bc61-b134-4e31-8395-ce51fed35552) ) - (bus (pts (xy 266.7 78.74) (xy 266.7 81.28)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 83b4262d-c0f8-499c-9d4b-abadf81de8c6) - ) (wire (pts (xy 71.12 91.44) (xy 78.74 91.44)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 83d85a81-e014-4ee9-9433-a9a045c80893) ) - (wire (pts (xy 195.58 104.14) (xy 203.2 104.14)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 84787d47-85c6-42a2-a7e9-d465b9b69638) - ) - (wire (pts (xy 167.64 104.14) (xy 175.26 104.14)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 849a994f-46d2-45cc-a70d-00ccea4e99c2) - ) - (wire (pts (xy 228.6 137.16) (xy 236.22 137.16)) + (wire (pts (xy 226.06 139.7) (xy 233.68 139.7)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 852ce053-0b5a-4cf7-a7e2-36be45b536f4) ) @@ -1567,37 +1310,36 @@ (uuid 85976505-6669-4bb1-bf63-0b2fcfd2d29e) ) - (wire (pts (xy 256.54 68.58) (xy 264.16 68.58)) + (wire (pts (xy 151.13 106.68) (xy 161.29 106.68)) (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 87d14efa-082e-481b-b49a-18da643f2b41) + (uuid 85d88907-a8ec-4735-8f6d-ab9e50324fb2) ) - (wire (pts (xy 256.54 99.06) (xy 264.16 99.06)) + (wire (pts (xy 139.7 67.31) (xy 139.7 71.12)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 87f99198-5f98-4bda-a1c6-7c3f0cbf3ca3) + ) + (wire (pts (xy 254 99.06) (xy 261.62 99.06)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 884ec985-f2db-48db-b37b-a6f23d5385fd) ) - (bus (pts (xy 165.1 96.52) (xy 165.1 99.06)) + (wire (pts (xy 111.76 91.44) (xy 119.38 91.44)) (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 8865f3e7-5a4f-4ff8-a7ea-ac2df9acf244) + (uuid 88f484fe-1389-46ca-8e34-5dc7c65d3f76) ) - - (wire (pts (xy 195.58 132.08) (xy 203.2 132.08)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 8962bd9e-3461-49d1-9570-c391240d26c6) - ) - (wire (pts (xy 71.12 111.76) (xy 78.74 111.76)) + (wire (pts (xy 71.12 109.22) (xy 78.74 109.22)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 897277a3-b7ce-4d18-8c5f-1c984a246298) ) - (bus (pts (xy 266.7 99.06) (xy 266.7 101.6)) + (bus (pts (xy 264.16 99.06) (xy 264.16 101.6)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 8a81f5ef-69d1-4771-98ff-4694853067e9) ) - (bus (pts (xy 226.06 129.54) (xy 226.06 132.08)) + (bus (pts (xy 223.52 132.08) (xy 223.52 134.62)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 8b19eeea-513f-4c25-8f00-4f525d397c6d) ) - (wire (pts (xy 43.18 152.4) (xy 50.8 152.4)) + (wire (pts (xy 43.18 147.32) (xy 50.8 147.32)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 8b62f87b-94f0-4c7c-bbef-2cd727b9a257) ) @@ -1609,19 +1351,11 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 8cab9e38-4736-4c60-a0de-19d27cad2f95) ) - (bus (pts (xy 205.74 124.46) (xy 205.74 127)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 8ec4060d-be51-4e2c-a800-43f79f0040e2) - ) - (wire (pts (xy 43.18 144.78) (xy 50.8 144.78)) + (wire (pts (xy 43.18 154.94) (xy 50.8 154.94)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 8ef0baf1-9c04-4d74-abcf-3476e82568a6) ) - (wire (pts (xy 256.54 43.18) (xy 264.16 43.18)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 8fc72fa2-153a-46f3-bfb3-603e4f70e042) - ) (bus (pts (xy 40.64 157.48) (xy 40.64 160.02)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 90cb443d-0405-4990-ba99-c13044d168aa) @@ -1635,34 +1369,23 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 90fa0465-7fe5-474b-8e7c-9f955c02a0f6) ) - (bus (pts (xy 205.74 96.52) (xy 205.74 99.06)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 922fafce-70e5-4f57-b88d-feeb82fc3892) - ) - (bus (pts (xy 40.64 129.54) (xy 40.64 142.24)) + (bus (pts (xy 40.64 127) (xy 40.64 129.54)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 9259e075-62f0-4bff-8245-820fc2e846ac) ) - (bus (pts (xy 226.06 132.08) (xy 226.06 134.62)) + + (wire (pts (xy 147.32 86.36) (xy 139.7 86.36)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 92dded68-48ed-452b-8894-cd3083b26d0d) + ) + (bus (pts (xy 223.52 134.62) (xy 223.52 137.16)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 938b934a-e719-4ccf-8910-cfe49d956e83) ) - (bus (pts (xy 165.1 129.54) (xy 165.1 132.08)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 949f34d6-9977-4518-ac39-1d31289bc87c) - ) (bus (pts (xy 81.28 83.82) (xy 81.28 86.36)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 95246a2d-04d9-4321-a6a9-c19fa836de16) ) - (bus (pts (xy 40.64 127) (xy 40.64 129.54)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 97c05899-83e1-4d27-b08e-bbb2d73767b5) - ) - (bus (pts (xy 266.7 43.18) (xy 266.7 45.72)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 99ccbe79-add4-4fdd-b6c8-a7ad32f072bc) - ) (wire (pts (xy 71.12 86.36) (xy 78.74 86.36)) (stroke (width 0) (type default) (color 0 0 0 0)) @@ -1677,15 +1400,11 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid a026b099-3215-4636-99b2-0cac19a6ee9a) ) - (wire (pts (xy 228.6 121.92) (xy 236.22 121.92)) + (wire (pts (xy 226.06 124.46) (xy 233.68 124.46)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid a0800cf1-6461-4a36-84ca-d0dfa25981bf) ) - (wire (pts (xy 256.54 66.04) (xy 264.16 66.04)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid a084378a-17ea-4da4-8a54-7334b0bd9f39) - ) - (wire (pts (xy 256.54 132.08) (xy 264.16 132.08)) + (wire (pts (xy 254 132.08) (xy 261.62 132.08)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid a1d08df3-8722-4ce3-96e2-110ded740cc9) ) @@ -1698,67 +1417,49 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid a42df53b-b9e9-461b-a6b7-20b9e5f7bb5f) ) - (bus (pts (xy 205.74 132.08) (xy 205.74 134.62)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid a457227e-708f-4fe6-800c-d51b0902fee2) - ) - (bus (pts (xy 205.74 139.7) (xy 205.74 142.24)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid a48b12cc-1ce3-497d-a8e1-466b34cb67c7) - ) - (wire (pts (xy 78.74 182.88) (xy 88.9 182.88)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid a6c7f556-10bb-4a6d-b61b-a732ec6fa5cc) ) - (wire (pts (xy 256.54 121.92) (xy 264.16 121.92)) + (wire (pts (xy 254 121.92) (xy 261.62 121.92)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid a8b021db-a100-4b5b-afa8-0ab8d5a6ce67) ) - (wire (pts (xy 167.64 134.62) (xy 175.26 134.62)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid a8d0c594-3432-4bc6-acb2-8f9117633173) - ) - (bus (pts (xy 266.7 35.56) (xy 266.7 38.1)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid a94e4620-cf4d-44e5-9c5c-c32d8e07d7c6) - ) - - (wire (pts (xy 228.6 109.22) (xy 236.22 109.22)) + (wire (pts (xy 226.06 111.76) (xy 233.68 111.76)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid a954f695-068d-4f98-a4d9-0fe8cb00ca7d) ) - (wire (pts (xy 167.64 99.06) (xy 175.26 99.06)) + (wire (pts (xy 147.32 91.44) (xy 139.7 91.44)) (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid aaf5a0d3-7b82-41c8-9dcb-a39d4a8dee47) + (uuid a9eed149-db7d-4fb7-a03e-a2485edef303) ) - (wire (pts (xy 228.6 124.46) (xy 236.22 124.46)) + (bus (pts (xy 81.28 111.76) (xy 81.28 114.3)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid abb584e5-2628-4ca7-be7b-248ee5382d6b) + ) + + (wire (pts (xy 226.06 127) (xy 233.68 127)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid acbe6641-a72b-4a3e-9f72-0028c502912f) ) - (wire (pts (xy 167.64 139.7) (xy 175.26 139.7)) + (wire (pts (xy 149.86 43.18) (xy 149.86 50.8)) (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid ad5d36a6-e3c9-4871-b8e7-6630f26a0dd7) + (uuid aeac112d-337e-41cb-9c53-71307713cf77) ) - (bus (pts (xy 266.7 139.7) (xy 266.7 142.24)) + (bus (pts (xy 264.16 139.7) (xy 264.16 142.24)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid aecba5d3-e4b7-461d-b853-7bd1839c0ab3) ) - (bus (pts (xy 226.06 93.98) (xy 226.06 96.52)) + (bus (pts (xy 223.52 96.52) (xy 223.52 99.06)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid af14b6f9-2635-4920-894e-9936f70631d5) ) - - (wire (pts (xy 256.54 71.12) (xy 264.16 71.12)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid afcfd203-1031-40da-9d24-63f45e569a31) - ) - (bus (pts (xy 266.7 132.08) (xy 266.7 134.62)) + (bus (pts (xy 264.16 132.08) (xy 264.16 134.62)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid b008dc97-e199-4a25-a29a-e03d662284f3) ) - (wire (pts (xy 228.6 99.06) (xy 236.22 99.06)) + (wire (pts (xy 226.06 101.6) (xy 233.68 101.6)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid b0ac7d84-2d4c-4e0d-8beb-4876a1dde56b) ) @@ -1766,11 +1467,11 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid b0c2ead0-564c-4a2f-b338-d6f39c1cbcb2) ) - (bus (pts (xy 81.28 111.76) (xy 81.28 114.3)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid b2c1399b-afc9-4226-975a-d8ebf5e268a3) - ) + (wire (pts (xy 156.21 111.76) (xy 161.29 111.76)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b2f59cdb-71d4-4d11-ae5d-86e42aa8b3e9) + ) (wire (pts (xy 88.9 187.96) (xy 99.06 187.96)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid b45059f3-613f-4b7a-a70a-ed75a9e941e6) @@ -1779,7 +1480,11 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid b5ffe018-0d06-4a1b-95ee-b5763a35798d) ) - (bus (pts (xy 226.06 139.7) (xy 226.06 142.24)) + (wire (pts (xy 119.38 53.34) (xy 109.22 53.34)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b6035b1e-2334-40a3-bfe2-869c993a2864) + ) + (bus (pts (xy 223.52 142.24) (xy 223.52 144.78)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid b67e44df-0647-4695-96e4-9730f22e8f98) ) @@ -1788,76 +1493,57 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid b6f041a4-3ea0-418b-94a2-50c938beafa2) ) - (bus (pts (xy 205.74 127) (xy 205.74 129.54)) + (wire (pts (xy 109.22 53.34) (xy 109.22 58.42)) (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid b8d492e6-7ec8-429d-9cc9-ac5dfbefa744) + (uuid b7be5553-7b93-4db4-a9c4-85516fed1ec5) ) - (bus (pts (xy 266.7 63.5) (xy 266.7 66.04)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid b8e60924-d422-458f-83c0-c5c606846d66) - ) - (wire (pts (xy 71.12 149.86) (xy 78.74 149.86)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid bc204c79-0619-4b16-889d-335bfdd71ce0) ) - (bus (pts (xy 226.06 109.22) (xy 226.06 111.76)) + (bus (pts (xy 223.52 111.76) (xy 223.52 114.3)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid bc4056b3-ba70-46ac-8c71-27391d6d5e2d) ) - (wire (pts (xy 43.18 114.3) (xy 50.8 114.3)) + (wire (pts (xy 43.18 121.92) (xy 50.8 121.92)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid bd1e27e2-0d9a-4ab2-85e5-47afd5a64505) ) - (wire (pts (xy 256.54 109.22) (xy 264.16 109.22)) + (wire (pts (xy 254 109.22) (xy 261.62 109.22)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid c095c182-8915-4a12-b4f7-b240c1c4ef02) ) + (wire (pts (xy 119.38 45.72) (xy 109.22 45.72)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c0d718ab-b0e3-4045-82d7-0af4639677c6) + ) (bus (pts (xy 40.64 149.86) (xy 40.64 152.4)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid c24a8e63-5377-4021-ae53-e762c6504372) ) - - (wire (pts (xy 195.58 96.52) (xy 203.2 96.52)) + (bus (pts (xy 109.22 91.44) (xy 109.22 93.98)) (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid c8d9fc72-25d2-4f70-b849-130ec27f0371) + (uuid c66d29c0-0460-4a96-a342-7a77d34628fa) ) (bus (pts (xy 40.64 121.92) (xy 40.64 124.46)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid cb9c537c-057d-4e2d-a6b3-2d2b7dcb1289) ) - - (wire (pts (xy 195.58 99.06) (xy 203.2 99.06)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid cd0725db-f702-40fa-8707-0c5d904779cd) - ) - (wire (pts (xy 142.24 106.68) (xy 139.7 106.68)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid cd260e69-22f4-4dfb-9c63-48e5bd2f9b90) - ) - (bus (pts (xy 40.64 111.76) (xy 40.64 114.3)) + (bus (pts (xy 40.64 99.06) (xy 40.64 111.76)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid cd3bb342-c8cd-4618-8cf2-a2bf6e14bf11) ) - (wire (pts (xy 228.6 93.98) (xy 236.22 93.98)) + (wire (pts (xy 226.06 96.52) (xy 233.68 96.52)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid cd4f202f-cb7d-43f0-a012-13c0a0e18906) ) - (wire (pts (xy 195.58 134.62) (xy 203.2 134.62)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid cd6fb4b2-6588-445c-b1ec-7828d3d48fd6) - ) - (wire (pts (xy 256.54 60.96) (xy 264.16 60.96)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid ce17e31c-4623-4b18-8291-0da406e5948a) - ) - (wire (pts (xy 256.54 139.7) (xy 264.16 139.7)) + (wire (pts (xy 254 139.7) (xy 261.62 139.7)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid ce233654-3c57-4ed2-b2e7-30f133d6532d) ) - (bus (pts (xy 266.7 137.16) (xy 266.7 139.7)) + (bus (pts (xy 264.16 137.16) (xy 264.16 139.7)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid d01e6df6-7f6f-45b6-801e-e4139e539fa0) ) @@ -1866,72 +1552,37 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid d04eabf5-018b-4006-a739-ce16277681b7) ) - (wire (pts (xy 167.64 101.6) (xy 175.26 101.6)) + (wire (pts (xy 111.76 93.98) (xy 119.38 93.98)) (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d0667aa2-4505-40bd-a0f1-b7f0e178ed61) + (uuid d05fc100-7e1e-4e64-9ff6-3f1738e3e32e) ) - (bus (pts (xy 165.1 109.22) (xy 165.1 111.76)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d10e60df-6ee1-4487-8898-adc9871b2bdf) - ) - - (wire (pts (xy 256.54 63.5) (xy 264.16 63.5)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d1420047-bff5-400b-a48e-885b80756ca5) - ) - (wire (pts (xy 228.6 129.54) (xy 236.22 129.54)) + (wire (pts (xy 226.06 132.08) (xy 233.68 132.08)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid d1ef063d-20ae-4231-bd30-3e354d514d01) ) - (bus (pts (xy 226.06 104.14) (xy 226.06 106.68)) + (bus (pts (xy 223.52 106.68) (xy 223.52 109.22)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid d42fade3-b111-4b42-9dbd-1f9cd6463f56) ) - (wire (pts (xy 119.38 63.5) (xy 119.38 60.96)) + (wire (pts (xy 109.22 45.72) (xy 109.22 53.34)) (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d4930b37-530d-44ef-a9a1-b488b4915728) + (uuid d459327f-061e-4aa2-9781-fced86c2bc74) ) (bus (pts (xy 83.82 81.28) (xy 81.28 81.28)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid d4e4ffa8-e3e2-4590-b9df-630d1880f3e4) ) - (bus (pts (xy 165.1 127) (xy 165.1 129.54)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d4ea16e8-90d0-4de7-ba38-e3c1dae763fc) - ) - (wire (pts (xy 195.58 127) (xy 203.2 127)) + (wire (pts (xy 116.84 102.87) (xy 116.84 106.68)) (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d5311695-9fb7-476e-a643-3f618ed60257) + (uuid d7ac5c01-6311-4046-8554-3d42258feffd) ) - (wire (pts (xy 195.58 91.44) (xy 203.2 91.44)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d55adc1c-1a2a-46db-85a6-e8f911e6304b) - ) - (wire (pts (xy 256.54 78.74) (xy 264.16 78.74)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d5b09152-3508-44d8-a2e8-d3bf0cd8fe07) - ) - (bus (pts (xy 266.7 33.02) (xy 266.7 35.56)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d73538fe-f106-49c4-9139-a66a1796c93b) - ) - - (wire (pts (xy 142.24 73.66) (xy 139.7 73.66)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d7cdf5d5-f50e-48c9-907d-081b805a4578) - ) - (bus (pts (xy 267.97 33.02) (xy 266.7 33.02)) + (bus (pts (xy 265.43 93.98) (xy 264.16 93.98)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid d881275c-c246-473b-a120-34c3c4ba8250) ) - - (wire (pts (xy 50.8 129.54) (xy 48.26 129.54)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d8b5f9aa-b6c0-47ce-8200-507c124cecc7) - ) - (bus (pts (xy 226.06 127) (xy 226.06 129.54)) + (bus (pts (xy 223.52 129.54) (xy 223.52 132.08)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid d8f23114-fe3c-4831-a001-0dd68dde045d) ) @@ -1940,24 +1591,16 @@ (uuid da231e7d-8ef9-4d6c-9dac-f4c2b8fa6284) ) - (wire (pts (xy 195.58 124.46) (xy 203.2 124.46)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid dbca89c9-53e0-4443-9b13-7153c3cc0885) - ) (wire (pts (xy 48.26 187.96) (xy 58.42 187.96)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid dc628a9d-67e8-4a03-b99f-8cc7a42af6ef) ) - (bus (pts (xy 81.28 129.54) (xy 81.28 142.24)) + (bus (pts (xy 81.28 127) (xy 81.28 129.54)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid ddba338f-97e4-4f42-ac66-4b6ce2368ec6) ) - (bus (pts (xy 205.74 129.54) (xy 205.74 132.08)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid ddc74cc9-3690-422b-a618-9953c965ea7a) - ) - (wire (pts (xy 256.54 134.62) (xy 264.16 134.62)) + (wire (pts (xy 254 134.62) (xy 261.62 134.62)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid decf8244-6db7-4fd7-a33a-3bcad409ef7c) ) @@ -1965,27 +1608,19 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid df9a1242-2d73-4343-b170-237bc9a8080f) ) - (wire (pts (xy 43.18 124.46) (xy 50.8 124.46)) + (wire (pts (xy 43.18 111.76) (xy 50.8 111.76)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid e01aa676-85f4-4460-b419-2d5ec620a887) ) - (wire (pts (xy 167.64 93.98) (xy 175.26 93.98)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid e02059c6-5499-48e9-a951-75ac90b2ef94) - ) (wire (pts (xy 50.8 83.82) (xy 43.18 83.82)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid e1c4f8ca-626d-4eba-a4ca-bda8af005cc6) ) - (wire (pts (xy 71.12 109.22) (xy 78.74 109.22)) + (wire (pts (xy 71.12 96.52) (xy 78.74 96.52)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid e1c71a89-4e45-4a56-a6ef-342af5f92d5c) ) - (wire (pts (xy 167.64 121.92) (xy 175.26 121.92)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid e3d64a5e-d433-44a0-b720-78962b9cbac1) - ) - (wire (pts (xy 228.6 104.14) (xy 236.22 104.14)) + (wire (pts (xy 226.06 106.68) (xy 233.68 106.68)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid e53e8f24-29e2-470b-9527-d7d6e72ccf69) ) @@ -1993,11 +1628,11 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid e5480674-8920-48cc-831b-53486e6a46a0) ) - (wire (pts (xy 43.18 139.7) (xy 50.8 139.7)) + (wire (pts (xy 43.18 127) (xy 50.8 127)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid e5afb78c-1998-417b-91cb-cd1490bb70d4) ) - (wire (pts (xy 256.54 124.46) (xy 264.16 124.46)) + (wire (pts (xy 254 124.46) (xy 261.62 124.46)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid e65f9cc8-5d73-4263-bdb3-d095dad5d886) ) @@ -2006,23 +1641,23 @@ (uuid e68c4be3-09a8-46f1-9dad-b1cc51e1c36c) ) - (wire (pts (xy 71.12 127) (xy 78.74 127)) + (wire (pts (xy 71.12 124.46) (xy 78.74 124.46)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid e6bf257d-5112-423c-b70a-adf8446f29da) ) - (wire (pts (xy 256.54 129.54) (xy 264.16 129.54)) + (wire (pts (xy 254 129.54) (xy 261.62 129.54)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid e6e1a19b-a00d-4903-b2d3-ed0ad0fdfc9a) ) - (wire (pts (xy 43.18 121.92) (xy 50.8 121.92)) + (wire (pts (xy 43.18 114.3) (xy 50.8 114.3)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid e843f69e-3825-4bc6-8457-b89a25bfbaf1) ) - (wire (pts (xy 228.6 106.68) (xy 236.22 106.68)) + (wire (pts (xy 226.06 109.22) (xy 233.68 109.22)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid e855a7ff-961e-4711-8254-68ad81da57e3) ) - (bus (pts (xy 226.06 101.6) (xy 226.06 104.14)) + (bus (pts (xy 223.52 104.14) (xy 223.52 106.68)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid ea136a8e-3402-4211-8156-d1eafd5587b6) ) @@ -2030,25 +1665,12 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid ea2ebe8c-155f-489e-b167-58836839e608) ) - - (wire (pts (xy 195.58 139.7) (xy 203.2 139.7)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid ea409385-11ae-44e8-b601-edbdf85c4fe5) - ) - (bus (pts (xy 205.74 111.76) (xy 205.74 124.46)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid ea58f08e-fd0e-49ef-882e-c2246df5920b) - ) - (bus (pts (xy 226.06 111.76) (xy 226.06 124.46)) + (bus (pts (xy 223.52 114.3) (xy 223.52 127)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid ead4daf4-d242-41d9-8b5b-ee758e8ba402) ) - (bus (pts (xy 165.1 139.7) (xy 165.1 142.24)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid eb0744f0-cf8d-4d41-ad98-b7b490714053) - ) - (wire (pts (xy 71.12 116.84) (xy 78.74 116.84)) + (wire (pts (xy 71.12 114.3) (xy 78.74 114.3)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid ed612f6d-67c1-4198-976d-84139f8d99bc) ) @@ -2056,37 +1678,20 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid ef400389-7e37-4c93-8647-76318089d59f) ) - (bus (pts (xy 165.1 99.06) (xy 165.1 101.6)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid ef554e6d-4348-4310-9a49-f7ecb24d7f8a) - ) - (bus (pts (xy 205.74 109.22) (xy 205.74 111.76)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid f03cfe8a-5e05-49cc-af0c-adb9251e354d) - ) - - (wire (pts (xy 256.54 76.2) (xy 264.16 76.2)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid f04070ad-3001-4870-8b49-141addb2a051) - ) - (bus (pts (xy 266.7 124.46) (xy 266.7 127)) + (bus (pts (xy 264.16 124.46) (xy 264.16 127)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid f087cb94-8a4f-4473-b991-c92a29aed88a) ) - (wire (pts (xy 48.26 99.06) (xy 48.26 104.14)) + (wire (pts (xy 119.38 58.42) (xy 109.22 58.42)) (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid f0e381d6-b194-43f3-a73e-9dcf2dd98a41) + (uuid f166a481-6fe4-4ec2-ae2a-9e7adacb0703) ) - (wire (pts (xy 256.54 45.72) (xy 264.16 45.72)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid f1a46997-b175-4021-8d46-a91ee8051d3d) - ) - (wire (pts (xy 71.12 124.46) (xy 78.74 124.46)) + (wire (pts (xy 71.12 121.92) (xy 78.74 121.92)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid f1c2e9b0-6f9f-485b-b482-d408df476d0f) ) - (wire (pts (xy 256.54 106.68) (xy 264.16 106.68)) + (wire (pts (xy 254 106.68) (xy 261.62 106.68)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid f1f5013c-b9d6-4525-aaa9-205b41b34e9f) ) @@ -2098,23 +1703,15 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid f2c43eeb-76da-49f4-b8e6-cd74ebb3190b) ) - (wire (pts (xy 256.54 30.48) (xy 264.16 30.48)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid f35dd7b0-9699-45e8-8b1d-5a7bbf16db55) - ) - (bus (pts (xy 226.06 134.62) (xy 226.06 137.16)) + (bus (pts (xy 223.52 137.16) (xy 223.52 139.7)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid f453415c-c3f0-4aef-80e8-ccb394c1fe4f) ) - (wire (pts (xy 43.18 119.38) (xy 50.8 119.38)) + (wire (pts (xy 43.18 116.84) (xy 50.8 116.84)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid f4abf531-9fb6-4f75-97db-a84262f8b0c8) ) - (wire (pts (xy 142.24 101.6) (xy 142.24 106.68)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid f593de19-d85b-4583-91ad-87371c4d1522) - ) (bus (pts (xy 81.28 91.44) (xy 81.28 93.98)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid f5df2f96-0b2b-44c4-a278-36079decc514) @@ -2123,86 +1720,62 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid f6e1e9c2-9061-483d-a0ce-2f41aa2e99bc) ) - (bus (pts (xy 266.7 40.64) (xy 266.7 43.18)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid f807e2dc-85c4-489b-a79b-dbd6dacea4d3) - ) (wire (pts (xy 50.8 88.9) (xy 43.18 88.9)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid f941c0ce-47f9-4d01-af98-6aea191162df) ) - (wire (pts (xy 48.26 104.14) (xy 45.72 104.14)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid f9afd6df-6d6a-42dc-810f-d42f95433b61) - ) - (wire (pts (xy 48.26 129.54) (xy 48.26 132.08)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid fa4443e1-c673-498b-863b-47a71cbc1489) - ) - (bus (pts (xy 266.7 38.1) (xy 266.7 40.64)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid fb0013a8-034c-43a0-a2dd-29878577832e) - ) (bus (pts (xy 38.1 81.28) (xy 40.64 81.28)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid fb0a8ed8-3961-4bde-b991-36dd6748d39c) ) - (wire (pts (xy 256.54 104.14) (xy 264.16 104.14)) + (wire (pts (xy 254 104.14) (xy 261.62 104.14)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid fd700466-05f4-46dc-b74e-5e9fe89710f4) ) - (wire (pts (xy 195.58 106.68) (xy 203.2 106.68)) + (wire (pts (xy 71.12 157.48) (xy 78.74 157.48)) (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid fdef1869-6084-4fd5-a239-c56066db1740) + (uuid fdccbaee-e800-4a22-bb51-52985aa1a259) ) (wire (pts (xy 68.58 172.72) (xy 78.74 172.72)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid ffb86135-b43f-4a42-9aa6-73aa7ba972a9) ) - (label "~{IPL}2" (at 119.38 83.82 180) + (label "~{IPL}2" (at 119.38 88.9 180) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 01661d5d-aacd-4337-a790-3fc548871f53) ) - (label "FD24" (at 256.54 48.26 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 016be903-58c2-4717-ab70-e6f163d9d681) - ) (label "FA18" (at 71.12 91.44 0) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 02b1295e-cf95-47ff-9c57-f8ada28f2e94) ) - (label "A15" (at 50.8 127 180) + (label "A15" (at 50.8 109.22 180) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 0493c47c-5a77-48c1-b575-4334fb516025) ) - (label "FD7" (at 256.54 121.92 0) + (label "FD7" (at 254 121.92 0) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 05dfe6ce-0709-405d-bdc0-7116124523f6) ) - (label "FA10" (at 71.12 124.46 0) + (label "FA10" (at 71.12 121.92 0) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 0b43a8fb-b3d3-4444-a4b0-cf952c07dcfe) ) - (label "D10" (at 236.22 104.14 180) + (label "D10" (at 233.68 106.68 180) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 0e4500c9-3c70-45cd-bc40-12f7ffa0a3d8) ) - (label "D14" (at 236.22 93.98 180) + (label "D14" (at 233.68 96.52 180) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 0ef78232-e070-4b15-9318-e0a4306d5d85) ) - (label "FA14" (at 71.12 114.3 0) + (label "FA14" (at 71.12 111.76 0) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 1020b588-7eb0-4b70-bbff-c77a867c3142) ) - (label "FD31" (at 256.54 30.48 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 14fab21e-3254-428f-b191-b9489743e863) - ) - (label "D4" (at 236.22 129.54 180) + (label "D4" (at 233.68 132.08 180) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 1b62b590-2a47-48af-b735-eb8b8d151246) ) @@ -2210,145 +1783,69 @@ (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 1c8edd3d-4e44-424a-9375-0fd33d85f837) ) - (label "FD3" (at 195.58 132.08 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 1d90bf72-cfde-444d-9d6a-ec88d9e2bff5) - ) - (label "D1" (at 175.26 137.16 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 1f83bdc8-3567-4260-8f45-0cb1d809ff74) - ) - (label "FD13" (at 195.58 96.52 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 20580a7a-a048-42dd-9d8d-76cdd935207d) - ) - (label "FD21" (at 256.54 66.04 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 20c08723-d7b2-4b02-a139-7019fe84e75c) - ) - (label "D4" (at 175.26 129.54 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 2104c7bf-3a58-4a48-be6a-b6eb73174515) - ) - (label "FD13" (at 256.54 96.52 0) + (label "FD13" (at 254 96.52 0) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 2295a793-dfca-4b86-a3e5-abf1834e2790) ) - (label "FD5" (at 256.54 127 0) + (label "FD5" (at 254 127 0) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 22c0da11-2375-41fa-bb66-691aee60c321) ) - (label "FD29" (at 256.54 35.56 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 2585a60a-be0e-48c1-a3d8-ff791fb230db) - ) - (label "FD23" (at 256.54 60.96 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 26873d65-1836-47d5-9eec-7406f083cd96) - ) - (label "D11" (at 175.26 101.6 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 29c8ec23-8b73-411b-a3d8-8d140d7bbc9e) - ) - (label "A9" (at 50.8 111.76 180) + (label "A9" (at 50.8 124.46 180) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 2ce05fa4-313d-499e-8b5c-aec445589265) ) - (label "FD18" (at 256.54 73.66 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 2f906384-5d6a-472b-9b45-b1834b1216e4) - ) - (label "A6" (at 50.8 154.94 180) + (label "A6" (at 50.8 144.78 180) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 31f9db83-4996-49c8-91b3-bfeb5925e53a) ) - (label "FD25" (at 256.54 45.72 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 35259a99-f0f5-4078-8bba-23b8685f9cea) - ) (label "FA4" (at 71.12 149.86 0) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 3675ad1a-972f-4046-b23a-e6ca04304035) ) - (label "FD4" (at 195.58 129.54 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 3cfb0383-24f9-4a6b-8a68-58d2801bc325) - ) - (label "FA16" (at 71.12 109.22 0) + (label "FA16" (at 71.12 96.52 0) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 3e147ce1-21a6-4e77-a3db-fd00d575cd22) ) - (label "FD15" (at 195.58 91.44 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 3f66d384-e368-4b52-a171-213c0713a6cd) - ) - (label "FD10" (at 195.58 104.14 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 419a1364-741c-4021-a300-288216d16713) - ) - (label "FD7" (at 195.58 121.92 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 425b41dd-5d76-4638-ae65-dca7b9771e5a) - ) - (label "D0" (at 175.26 139.7 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 44548b61-4a6e-4c50-9b24-caadd363ab89) - ) - (label "D10" (at 175.26 104.14 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 45243f40-02fa-4b33-9022-16b8b1245223) - ) - (label "FD11" (at 256.54 101.6 0) + (label "FD11" (at 254 101.6 0) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 46491a9d-8b3d-4c74-b09a-70c876f162e5) ) - (label "FD2" (at 256.54 134.62 0) + (label "B~{IPL}2" (at 139.7 86.36 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 46a359a4-4716-4377-b7e8-347386268f9c) + ) + (label "FD2" (at 254 134.62 0) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 4762b271-02ba-497f-afbc-500cf6273ba3) ) - (label "D15" (at 175.26 91.44 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 48ba94a5-817a-477c-b2e7-58f7117caff1) - ) (label "FA23" (at 71.12 78.74 0) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 4d55ddc7-73be-49f7-98ea-a0ba474cbdb0) ) - (label "FD0" (at 195.58 139.7 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 4f22c10d-6331-4c56-8c9c-5713d05aefd5) - ) - (label "A2" (at 50.8 144.78 180) + (label "A2" (at 50.8 154.94 180) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 523629f8-a3c5-4944-b5f4-c0b22e0ab9c5) ) - (label "D6" (at 236.22 124.46 180) + (label "D6" (at 233.68 127 180) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 53b49830-1b49-4ef4-a83a-708ff031ff80) ) - (label "A1" (at 50.8 142.24 180) + (label "A1" (at 50.8 157.48 180) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 557c0e33-df45-4052-b5a7-110e0f5d7fdf) ) - (label "FD16" (at 256.54 78.74 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 58535cd1-0b45-4321-b5d0-ab2eb3d5c924) - ) - (label "D2" (at 175.26 134.62 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 58e38894-3506-493e-9569-8ac675900e04) - ) - (label "FA8" (at 71.12 139.7 0) + (label "FA8" (at 71.12 127 0) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 5b04e20f-8575-4362-b040-2e2133d670c8) ) - (label "FA15" (at 71.12 111.76 0) + (label "FA15" (at 71.12 109.22 0) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 5bb32dcb-8a97-4374-8a16-bc17822d4db3) ) - (label "FD6" (at 195.58 124.46 0) + (label "B~{IPL}0" (at 139.7 91.44 0) (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 604fe3e7-c368-4fd3-97c5-d27cadbaa6cf) + (uuid 61082159-53d6-47c0-9916-ed63af93cd5e) ) (label "FA17" (at 71.12 93.98 0) (effects (font (size 1.27 1.27)) (justify left bottom)) @@ -2362,7 +1859,7 @@ (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 663012d4-6dfa-4c41-9064-1b20f11a5800) ) - (label "D12" (at 236.22 99.06 180) + (label "D12" (at 233.68 101.6 180) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 6689730a-98ca-4bee-b90f-a693eae13942) ) @@ -2374,7 +1871,7 @@ (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 6bf5b426-8d17-45a7-86ef-fafdfc0a9451) ) - (label "FA11" (at 71.12 121.92 0) + (label "FA11" (at 71.12 119.38 0) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 6df433d7-73cd-4877-8d2e-047853b9077c) ) @@ -2382,175 +1879,115 @@ (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 6e7b72f6-1ad1-40de-9b35-18f9e534bec9) ) - (label "FD8" (at 256.54 109.22 0) + (label "FD8" (at 254 109.22 0) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 6ea0f2f7-b064-4b8f-bd17-48195d1c83d1) ) - (label "FD27" (at 256.54 40.64 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 6ef032c7-7e9a-47b4-83d4-c5d4c000e979) - ) (label "A18" (at 50.8 91.44 180) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 6f4c9c8d-befd-4183-a66a-5e671651eb1b) ) - (label "FD26" (at 256.54 43.18 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 700e4302-b132-4c05-a35d-6ca9915f3545) - ) - (label "FD1" (at 256.54 137.16 0) + (label "FD1" (at 254 137.16 0) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 7017d976-3b89-4d7c-9042-512cf3bb41ea) ) - (label "D0" (at 236.22 139.7 180) + (label "D0" (at 233.68 142.24 180) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 7228d519-113a-434c-819c-76b43090a202) ) - (label "A10" (at 50.8 114.3 180) + (label "A10" (at 50.8 121.92 180) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 7790f44d-c06d-4d84-8a3f-c3c54d2d2d99) ) - (label "FD22" (at 256.54 63.5 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 77c07bc9-3d2f-4177-97ac-313db0139d55) - ) (label "A21" (at 50.8 83.82 180) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 7b170324-34eb-487d-9193-d57074757693) ) - (label "FD14" (at 195.58 93.98 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 7b7d865e-1228-405f-8e62-3237057460a8) - ) - (label "A13" (at 50.8 121.92 180) + (label "A13" (at 50.8 114.3 180) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 7e7d8830-2bb6-478e-85f2-99e9d3ecc440) ) - (label "D7" (at 175.26 121.92 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 831e05f9-2ced-45d1-a522-a0f7776bb014) - ) - (label "D6" (at 175.26 124.46 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 83abc982-fdfe-4dca-a5b8-ef52a6bf7d97) - ) - (label "D14" (at 175.26 93.98 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 845ab5b0-5ebe-4317-954b-e7d31ce69d01) - ) - (label "FD12" (at 195.58 99.06 0) + (label "B~{IPL}1" (at 139.7 88.9 0) (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 854a5c3d-8440-4696-9619-c5158375c6e5) + (uuid 8505e8ef-30e9-4c7e-9751-8f9ffe236e62) ) - (label "FD3" (at 256.54 132.08 0) + (label "FD3" (at 254 132.08 0) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 85642e12-fcbc-416d-9183-dedad6202a1e) ) - (label "D1" (at 236.22 137.16 180) + (label "D1" (at 233.68 139.7 180) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 89062662-5629-4fed-9d39-b3a9fb7bfea4) ) - (label "A7" (at 50.8 157.48 180) + (label "A7" (at 50.8 142.24 180) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 8e961d05-a6dd-474b-8888-4b147caba40b) ) - (label "FD30" (at 256.54 33.02 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 9064a324-c33d-4c0c-a1ff-33f3a44b6898) - ) - (label "FD1" (at 195.58 137.16 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 90cdbfc9-c39a-44ab-979b-10b6ff0609a9) - ) (label "A17" (at 50.8 93.98 180) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 9101287b-1486-4bd3-a4f0-8720842f006d) ) - (label "FD2" (at 195.58 134.62 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 9277ba7e-2d53-4716-ae4a-a21d0d20fe53) + (label "~{IPL}1" (at 119.38 91.44 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 913d1088-8042-4cf7-9be8-2e3c061b5fd5) ) (label "FA5" (at 71.12 147.32 0) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 92ec60c8-e914-4456-8d37-4b88fc0eb9c6) ) - (label "A8" (at 50.8 139.7 180) + (label "A8" (at 50.8 127 180) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 92f65956-4883-42c6-849e-98bac331b1f0) ) - (label "FD20" (at 256.54 68.58 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 94680795-9d04-483b-bf4d-04f546fd613e) - ) - (label "A16" (at 50.8 109.22 180) + (label "A16" (at 50.8 96.52 180) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 98d571d8-f67c-433d-b48d-954f121acb93) ) - (label "D5" (at 175.26 127 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 9ae12f80-6ab4-4e11-8258-4bb3a5019af9) - ) - (label "D9" (at 175.26 106.68 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 9b40683e-d8a8-49f0-b21d-ff0af83551f7) - ) - (label "D11" (at 236.22 101.6 180) + (label "D11" (at 233.68 104.14 180) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 9cd44c43-726c-4d39-84aa-e0f66329f79f) ) - (label "D13" (at 175.26 96.52 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid a10fffae-328f-450a-9e15-056ccc7542ff) - ) - (label "FD15" (at 256.54 91.44 0) + (label "FD15" (at 254 91.44 0) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid a150f0c9-1a23-4200-b489-18791f6d5ce5) ) - (label "A12" (at 50.8 119.38 180) + (label "A12" (at 50.8 116.84 180) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid a1510eb5-ad7c-4af8-810b-b1cd966f0100) ) - (label "FD0" (at 256.54 139.7 0) + (label "FD0" (at 254 139.7 0) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid a539406d-7144-4991-9027-7fff1b377347) ) - (label "FD11" (at 195.58 101.6 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid a990cc80-887e-46ae-875d-f456a57b763c) - ) - (label "D5" (at 236.22 127 180) + (label "D5" (at 233.68 129.54 180) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid a9f054cd-ad3a-4e35-8a05-09cde9872b37) ) - (label "FA9" (at 71.12 127 0) + (label "FA9" (at 71.12 124.46 0) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid aa0e7fe7-e9c2-477f-bcb2-53a1ebd9e3a6) ) - (label "FD9" (at 256.54 106.68 0) + (label "FD9" (at 254 106.68 0) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid acb0068c-c0e7-44cf-a209-296716acb6a2) ) - (label "A14" (at 50.8 124.46 180) + (label "FA1" (at 71.12 157.48 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid ad8de27e-6320-4973-afd4-fa7e49c1fd92) + ) + (label "A14" (at 50.8 111.76 180) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid adcd2eea-2c1d-47aa-a48d-2365df385343) ) - (label "FD8" (at 195.58 109.22 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid adfc081f-b0d9-4e14-8321-7cc38e30f58e) - ) (label "FA22" (at 71.12 81.28 0) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid ae293969-fa6d-4cb1-9969-16f8784d07e3) ) - (label "D15" (at 236.22 91.44 180) + (label "D15" (at 233.68 93.98 180) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid aea81119-033c-4016-a236-90c599b16345) ) - (label "FD5" (at 195.58 127 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid b227f75f-cca1-4b5a-a78f-45889813b290) - ) - (label "D9" (at 236.22 106.68 180) + (label "D9" (at 233.68 109.22 180) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid b28496e8-94f1-4fc7-8db5-69e9691477db) ) @@ -2566,71 +2003,63 @@ (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid bb673c7a-d2b0-45b0-bfe2-0b113c092a77) ) - (label "D13" (at 236.22 96.52 180) + (label "D13" (at 233.68 99.06 180) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid c7a26eaf-2523-469c-90d6-c70b07f15e45) ) - (label "FD4" (at 256.54 129.54 0) + (label "FD4" (at 254 129.54 0) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid c81b7f6a-fea5-4f8b-b4e9-4a6bf23882ca) ) + (label "~{IPL}0" (at 119.38 93.98 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid c93954c9-25ef-4ae8-a841-8963d5b7b347) + ) (label "A20" (at 50.8 86.36 180) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid ca73ebcd-1729-4790-8f85-d351af03fba6) ) - (label "D3" (at 236.22 132.08 180) + (label "D3" (at 233.68 134.62 180) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid cb45cb7a-ce18-46d3-8d19-6e9696cce417) ) - (label "D2" (at 236.22 134.62 180) + (label "D2" (at 233.68 137.16 180) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid cc86711b-eb2f-46da-9c9a-385f9002871f) ) - (label "FD10" (at 256.54 104.14 0) + (label "FD10" (at 254 104.14 0) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid cdfb661b-489b-4b76-99f4-62b92bb1ab18) ) - (label "FA12" (at 71.12 119.38 0) + (label "FA12" (at 71.12 116.84 0) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid d5b0938b-9efb-4b58-8ac4-d92da9ed2e30) ) - (label "D8" (at 236.22 109.22 180) + (label "D8" (at 233.68 111.76 180) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid d64f529e-b4d5-4ebf-a268-1dc2a7b102a1) ) - (label "D7" (at 236.22 121.92 180) + (label "D7" (at 233.68 124.46 180) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid d86add1a-afae-42fe-a8f7-c65dac3b0616) ) - (label "FD6" (at 256.54 124.46 0) + (label "FD6" (at 254 124.46 0) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid dbbacf83-2a14-4c92-aab0-95b52f4864e4) ) - (label "A3" (at 50.8 147.32 180) + (label "A3" (at 50.8 152.4 180) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid dbee02be-e102-46de-9e5b-46e546503af6) ) - (label "D12" (at 175.26 99.06 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid dc2ec410-364c-417b-b149-f1cfed79aaa0) - ) - (label "D8" (at 175.26 109.22 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid dc6eae0d-9ba0-4c05-b67d-9e29b87ba706) - ) - (label "D3" (at 175.26 132.08 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid dfa4bcab-68c6-4a47-94ca-b7851821bba2) - ) - (label "FD14" (at 256.54 93.98 0) + (label "FD14" (at 254 93.98 0) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid e77c17df-b20e-4e7d-b937-f281c75a0014) ) - (label "FD12" (at 256.54 99.06 0) + (label "FD12" (at 254 99.06 0) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid e80b0e91-f15f-4e36-9a9c-b2cfd5a01d2a) ) - (label "A11" (at 50.8 116.84 180) + (label "A11" (at 50.8 119.38 180) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid ed865f80-ff3d-44d4-8631-db1ab653e644) ) @@ -2638,164 +2067,132 @@ (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid edb2db40-12f7-45b3-a514-2a1299ac0231) ) - (label "FD17" (at 256.54 76.2 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid f0ae7187-667f-43e4-a20f-40374f55fa78) - ) (label "FA3" (at 71.12 152.4 0) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid f58fca4c-73af-416f-b236-f3bb62b8fd00) ) - (label "A5" (at 50.8 152.4 180) + (label "A5" (at 50.8 147.32 180) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid f7ffdeeb-f598-4137-9b72-250a6ce26389) ) - (label "FD9" (at 195.58 106.68 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid f8e5ac0c-de5c-4562-a949-436c8b900c6b) - ) - (label "FD28" (at 256.54 38.1 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid f9b3c40f-c078-482e-9de8-9a1578647c0e) - ) - (label "FA13" (at 71.12 116.84 0) + (label "FA13" (at 71.12 114.3 0) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid fd146ca2-8fb8-4c71-9277-84f69bc5d3fc) ) - (label "FD19" (at 256.54 71.12 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid ff0f04e4-e99e-4097-a125-8d0e2e3bf2ec) - ) - (hierarchical_label "P~{LDS}" (shape input) (at 139.7 40.64 0) + (hierarchical_label "O~{LDS}" (shape input) (at 139.7 45.72 0) (effects (font (size 1.27 1.27)) (justify left)) (uuid 0495eb22-1073-43b8-bd54-48df73141d71) ) - (hierarchical_label "~{VPA}" (shape input) (at 119.38 88.9 180) + (hierarchical_label "~{R}W" (shape tri_state) (at 119.38 43.18 180) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 06024570-83aa-4160-978d-40d2469318bd) + ) + (hierarchical_label "~{VPA}" (shape input) (at 119.38 81.28 180) (effects (font (size 1.27 1.27)) (justify right)) (uuid 11e9aa45-0969-4747-9e5b-861263a30f92) ) - (hierarchical_label "~{IPL}[2..0]" (shape bidirectional) (at 106.68 86.36 180) + (hierarchical_label "ALoutLE" (shape input) (at 50.8 160.02 180) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 1752f35c-18a7-40af-981a-5699f1ba37dc) + ) + (hierarchical_label "~{IPL}[2..0]" (shape input) (at 106.68 91.44 180) (effects (font (size 1.27 1.27)) (justify right)) (uuid 24945247-069e-419f-81b4-b243b4f8ea15) ) - (hierarchical_label "ADoutLE" (shape input) (at 236.22 142.24 180) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 254fb377-eed0-4bea-8081-839a92daec9b) - ) - (hierarchical_label "P~{BERR}" (shape output) (at 139.7 88.9 0) + (hierarchical_label "B~{BERR}" (shape output) (at 139.7 119.38 0) (effects (font (size 1.27 1.27)) (justify left)) (uuid 26720b0c-e385-474c-a5be-15ffecebcfde) ) - (hierarchical_label "P~{BR}" (shape input) (at 139.7 50.8 0) - (effects (font (size 1.27 1.27)) (justify left)) + (hierarchical_label "O~{BR}" (shape input) (at 119.38 78.74 180) + (effects (font (size 1.27 1.27)) (justify right)) (uuid 26846284-529f-4895-b5de-5030e9d1173b) ) - (hierarchical_label "~{IPL}2o" (shape output) (at 139.7 86.36 0) + (hierarchical_label "B~{IPL}[2..0]" (shape output) (at 152.4 88.9 0) (effects (font (size 1.27 1.27)) (justify left)) - (uuid 27593adf-1bab-49d6-9389-48dff456c80c) + (uuid 38bfc7c9-d8c4-4233-a43a-fb3505ba22c8) ) - (hierarchical_label "DinLE" (shape input) (at 195.58 111.76 0) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid 2deff97e-1b97-46c2-b978-fda4f8a4881d) - ) - (hierarchical_label "FA[24..0]" (shape input) (at 83.82 81.28 0) + (hierarchical_label "FA[23..1]" (shape input) (at 83.82 81.28 0) (effects (font (size 1.27 1.27)) (justify left)) (uuid 3c646c61-400f-4f60-98b8-05ed5e632a3f) ) - (hierarchical_label "FBA1" (shape input) (at 71.12 157.48 0) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid 3d961711-34b9-414b-92f5-0bd33350e70d) - ) - (hierarchical_label "P~{AS}" (shape input) (at 139.7 45.72 0) + (hierarchical_label "O~{AS}" (shape input) (at 139.7 53.34 0) (effects (font (size 1.27 1.27)) (justify left)) (uuid 3dfe6ca0-c84b-4ead-ab97-f74c9cf61220) ) - (hierarchical_label "ADoutLE" (shape input) (at 236.22 50.8 180) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 4adede24-4d21-406d-a293-2d75f21ee51b) - ) - (hierarchical_label "C16M" (shape input) (at 119.38 78.74 180) + (hierarchical_label "C16M" (shape input) (at 119.38 109.22 180) (effects (font (size 1.27 1.27)) (justify right)) (uuid 4c0ef170-71dc-4c4f-a273-50265f684ec5) ) - (hierarchical_label "~{R}W" (shape tri_state) (at 50.8 96.52 180) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 4fc3183f-297c-42b7-b3bd-25a9ea18c844) - ) - (hierarchical_label "P~{UDS}" (shape input) (at 139.7 43.18 0) + (hierarchical_label "O~{UDS}" (shape input) (at 139.7 48.26 0) (effects (font (size 1.27 1.27)) (justify left)) (uuid 564a08fc-44f2-4dce-a002-6cc0c665d4b5) ) - (hierarchical_label "DinLE" (shape input) (at 195.58 142.24 0) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid 673780fe-d16e-4bb0-8d62-7bf0398ac1c5) - ) - (hierarchical_label "ADoutLE" (shape input) (at 236.22 111.76 180) + (hierarchical_label "AHoutLE" (shape input) (at 50.8 129.54 180) (effects (font (size 1.27 1.27)) (justify right)) - (uuid 6a1ae8ee-dea6-4015-b83e-baf8fcdfaf0f) + (uuid 5652a673-28df-4df4-8548-f37ed9649563) ) - (hierarchical_label "Aout~{OE}" (shape input) (at 139.7 38.1 0) + (hierarchical_label "DDIR" (shape input) (at 254 88.9 0) (effects (font (size 1.27 1.27)) (justify left)) + (uuid 5dfcf6c6-f397-4f37-92f4-b34283d7f500) + ) + (hierarchical_label "O~{R}W" (shape input) (at 139.7 40.64 0) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 6c45c579-492f-4f20-b1ba-eb0e22d65b56) + ) + (hierarchical_label "Aout~{OE}" (shape input) (at 119.38 40.64 180) + (effects (font (size 1.27 1.27)) (justify right)) (uuid 75f3e38f-4abf-4765-ab07-e7501ab84fd5) ) - (hierarchical_label "PC8M" (shape output) (at 139.7 78.74 0) + (hierarchical_label "BC8M" (shape output) (at 161.29 111.76 0) (effects (font (size 1.27 1.27)) (justify left)) (uuid 769e1125-3c5c-4320-9d17-6c63fceac754) ) - (hierarchical_label "~{UDS}" (shape output) (at 119.38 43.18 180) + (hierarchical_label "~{UDS}" (shape tri_state) (at 119.38 50.8 180) (effects (font (size 1.27 1.27)) (justify right)) (uuid 79cfd5dc-e20e-45e3-95f3-9d62e2a05dab) ) - (hierarchical_label "Dout0~{OE}" (shape input) (at 256.54 27.94 0) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid 7a31309c-94f1-4d7e-9b88-0509176739a7) - ) - (hierarchical_label "Dout1~{OE}" (shape input) (at 256.54 119.38 0) - (effects (font (size 1.27 1.27)) (justify left)) + (hierarchical_label "D~{OE}" (shape input) (at 233.68 121.92 180) + (effects (font (size 1.27 1.27)) (justify right)) (uuid 839bc451-efb6-49c7-990d-c92f5843151f) ) (hierarchical_label "Aout~{OE}" (shape input) (at 71.12 76.2 0) (effects (font (size 1.27 1.27)) (justify left)) (uuid 848c6095-3966-404d-9f2a-51150fd8dc54) ) - (hierarchical_label "A[23..1]" (shape input) (at 38.1 81.28 180) + (hierarchical_label "A[23..1]" (shape tri_state) (at 38.1 81.28 180) (effects (font (size 1.27 1.27)) (justify right)) (uuid 8545345a-4013-4367-a7b5-eb650e3fce98) ) - (hierarchical_label "F~{R}W" (shape input) (at 71.12 96.52 0) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid 8e715b73-353f-4cfc-aa33-1eac54b89b6c) - ) - (hierarchical_label "~{DTACK}" (shape input) (at 119.38 91.44 180) + (hierarchical_label "~{DTACK}" (shape input) (at 119.38 83.82 180) (effects (font (size 1.27 1.27)) (justify right)) (uuid 8ff63619-970c-411b-80f3-363f13cb3dfd) ) - (hierarchical_label "Din~{OE}" (shape input) (at 175.26 88.9 180) + (hierarchical_label "AHoutLE" (shape input) (at 50.8 99.06 180) (effects (font (size 1.27 1.27)) (justify right)) - (uuid 92ced990-9bc2-41eb-b4fa-9521ac361c2e) + (uuid 9c08108c-8fbc-4774-96cb-7d3e868dfddd) ) (hierarchical_label "Aout~{OE}" (shape input) (at 71.12 106.68 0) (effects (font (size 1.27 1.27)) (justify left)) (uuid a4541b62-7a39-4707-9c6f-80dce1be9cee) ) - (hierarchical_label "PC16M" (shape output) (at 139.7 81.28 0) + (hierarchical_label "BC16M" (shape output) (at 161.29 106.68 0) (effects (font (size 1.27 1.27)) (justify left)) (uuid a5d94e46-8fc5-45b5-b233-3b5a2cf81566) ) - (hierarchical_label "Din~{OE}" (shape input) (at 175.26 119.38 180) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid aac2c73c-ec75-4af4-b1b0-11cd380d51d8) + (hierarchical_label "DDIR" (shape input) (at 254 119.38 0) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid a7603a30-862a-45f1-a7b6-50146baadf23) ) - (hierarchical_label "PE" (shape output) (at 139.7 76.2 0) + (hierarchical_label "BE" (shape output) (at 161.29 116.84 0) (effects (font (size 1.27 1.27)) (justify left)) (uuid b06322cb-7a78-40d2-976a-aa7d577c8125) ) - (hierarchical_label "~{RESET}o" (shape output) (at 139.7 83.82 0) + (hierarchical_label "B~{RESET}" (shape output) (at 139.7 83.82 0) (effects (font (size 1.27 1.27)) (justify left)) (uuid b0dbbc8e-efc1-44ea-a279-2447c5e3ca09) ) - (hierarchical_label "~{VMA}" (shape output) (at 119.38 48.26 180) + (hierarchical_label "~{VMA}" (shape tri_state) (at 119.38 60.96 180) (effects (font (size 1.27 1.27)) (justify right)) (uuid b59c0054-19e6-4c49-92cf-9696bfc40f05) ) @@ -2803,67 +2200,59 @@ (effects (font (size 1.27 1.27)) (justify left)) (uuid b9c0c276-e6f1-47dd-b072-0f92904248ca) ) - (hierarchical_label "Dout1~{OE}" (shape input) (at 256.54 88.9 0) - (effects (font (size 1.27 1.27)) (justify left)) + (hierarchical_label "D~{OE}" (shape input) (at 233.68 91.44 180) + (effects (font (size 1.27 1.27)) (justify right)) (uuid bce17ed3-6d51-4fcc-bba3-62ca760c2567) ) - (hierarchical_label "D[15..0]" (shape bidirectional) (at 267.97 33.02 0) + (hierarchical_label "D[15..0]" (shape bidirectional) (at 265.43 93.98 0) (effects (font (size 1.27 1.27)) (justify left)) (uuid caab173f-1d42-4ff7-a3ac-d0b9be6bc4ee) ) - (hierarchical_label "~{BR}" (shape output) (at 119.38 50.8 180) - (effects (font (size 1.27 1.27)) (justify right)) + (hierarchical_label "~{BR}" (shape output) (at 139.7 76.2 0) + (effects (font (size 1.27 1.27)) (justify left)) (uuid cdf49780-4fdb-4f94-84ed-2e24ac543054) ) - (hierarchical_label "P~{BG}" (shape output) (at 139.7 109.22 0) + (hierarchical_label "B~{BG}" (shape output) (at 139.7 73.66 0) (effects (font (size 1.27 1.27)) (justify left)) (uuid ce5c2402-7c37-4310-9118-ee748d27d9d1) ) - (hierarchical_label "~{AS}" (shape output) (at 119.38 45.72 180) + (hierarchical_label "~{AS}" (shape tri_state) (at 119.38 55.88 180) (effects (font (size 1.27 1.27)) (justify right)) (uuid d209af25-534e-42f1-804c-0de3679a677f) ) - (hierarchical_label "P~{VMA}" (shape input) (at 139.7 48.26 0) + (hierarchical_label "O~{VMA}" (shape input) (at 139.7 58.42 0) (effects (font (size 1.27 1.27)) (justify left)) (uuid d30b2c38-9d7a-44ea-8b4e-a69e7a13cd87) ) - (hierarchical_label "E" (shape input) (at 119.38 73.66 180) + (hierarchical_label "E" (shape input) (at 119.38 119.38 180) (effects (font (size 1.27 1.27)) (justify right)) (uuid d7741ac5-913b-4305-bf62-f09bf733712a) ) - (hierarchical_label "P~{VPA}" (shape output) (at 139.7 91.44 0) + (hierarchical_label "B~{VPA}" (shape output) (at 139.7 78.74 0) (effects (font (size 1.27 1.27)) (justify left)) (uuid d8e5d535-1f87-45eb-ac43-97dcd15e385c) ) - (hierarchical_label "P~{DTACK}" (shape output) (at 139.7 93.98 0) + (hierarchical_label "B~{DTACK}" (shape output) (at 139.7 81.28 0) (effects (font (size 1.27 1.27)) (justify left)) (uuid e1a87831-cbcf-432c-bfb5-7bc7821fdc91) ) - (hierarchical_label "~{RESET}in" (shape input) (at 119.38 81.28 180) + (hierarchical_label "~{RESET}" (shape bidirectional) (at 119.38 86.36 180) (effects (font (size 1.27 1.27)) (justify right)) (uuid e5a4282d-bdaf-4063-8857-77c0790fa18a) ) - (hierarchical_label "C8M" (shape input) (at 119.38 76.2 180) + (hierarchical_label "C8M" (shape input) (at 119.38 114.3 180) (effects (font (size 1.27 1.27)) (justify right)) (uuid ee3f51f8-5b12-41a9-8f0f-376245153f71) ) - (hierarchical_label "ADoutLE" (shape input) (at 236.22 81.28 180) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid f00a545f-943d-4d61-9c12-5401eb29503f) - ) - (hierarchical_label "~{BG}" (shape input) (at 119.38 106.68 180) + (hierarchical_label "~{BG}" (shape input) (at 119.38 76.2 180) (effects (font (size 1.27 1.27)) (justify right)) (uuid f6bdafc0-f6d5-4e1d-a756-515cf04b64f2) ) - (hierarchical_label "~{BERR}" (shape input) (at 119.38 86.36 180) + (hierarchical_label "~{BERR}" (shape input) (at 119.38 121.92 180) (effects (font (size 1.27 1.27)) (justify right)) (uuid f832a18f-31ad-45b7-8ed5-109870393859) ) - (hierarchical_label "Dout0~{OE}" (shape input) (at 256.54 58.42 0) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid fa2acf58-f38e-4206-927d-ba34d9e88ff6) - ) - (hierarchical_label "~{LDS}" (shape output) (at 119.38 40.64 180) + (hierarchical_label "~{LDS}" (shape tri_state) (at 119.38 48.26 180) (effects (font (size 1.27 1.27)) (justify right)) (uuid fb7a1a3e-e448-41ab-aa2f-15c21c650ab5) ) @@ -3220,74 +2609,6 @@ (pin "1" (uuid 42c2e65e-11f5-41ec-bcae-0824dc68199d)) ) - (symbol (lib_id "GW_Logic:74573") (at 246.38 100.33 0) (mirror y) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-0000617fcc42) - (property "Reference" "U?" (id 0) (at 246.38 85.09 0)) - (property "Value" "74AHCT573PW" (id 1) (at 246.38 100.33 90)) - (property "Footprint" "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (id 2) (at 246.38 116.84 0) - (effects (font (size 1.27 1.27)) (justify top) hide) - ) - (property "Datasheet" "" (id 3) (at 246.38 97.79 0) - (effects (font (size 1.524 1.524)) hide) - ) - (property "LCSC Part" "C141311" (id 4) (at 246.38 100.33 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 935fddf1-ca48-4b9b-9452-0d7a4b3312ba)) - (pin "10" (uuid 541d28a4-d832-483e-9ae1-3a014710c3a2)) - (pin "11" (uuid fcf83c82-c79b-4e27-84d7-981ef29510ce)) - (pin "12" (uuid cd50eced-a7c3-4cad-b464-d88783e08f32)) - (pin "13" (uuid 7802567c-2c46-42ef-ba45-d2f84fc9caf4)) - (pin "14" (uuid 4f4ced1d-370b-4641-ada0-9a34c9f31a73)) - (pin "15" (uuid c4d623b9-8c75-4e40-bc6e-a5d5688346ec)) - (pin "16" (uuid a7ab3a6d-7fb7-4e2d-94ce-6e6935fb551e)) - (pin "17" (uuid 1241c5c8-3bf9-4ac1-a0e7-c680388dae3e)) - (pin "18" (uuid 0d4f0a30-f97a-41dc-b3aa-18b8d0e13503)) - (pin "19" (uuid 6348f86c-0277-439e-a031-277bbf2aecc1)) - (pin "2" (uuid 871e802b-5d42-4c23-a235-034cb7fc92df)) - (pin "20" (uuid cb625074-8b51-439a-93c6-92637a7aa716)) - (pin "3" (uuid 80506596-780f-44ef-a828-76196f695e24)) - (pin "4" (uuid b2d9595e-fc7c-4082-8c21-8d4961300eb3)) - (pin "5" (uuid cab3d9da-85df-43bc-912d-e55aee412d29)) - (pin "6" (uuid 8315c97a-7ce8-46f1-82b1-991584e0e5b3)) - (pin "7" (uuid 7f17fbb0-d352-4988-a0e2-119b5ac3fcc1)) - (pin "8" (uuid e0331075-5005-442e-8370-cecd94a5890f)) - (pin "9" (uuid 9cfda4ce-a4e6-4a25-a468-4b0987cfa711)) - ) - - (symbol (lib_id "power:+5V") (at 236.22 88.9 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-0000617febe9) - (property "Reference" "#PWR?" (id 0) (at 236.22 92.71 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+5V" (id 1) (at 236.22 85.09 0)) - (property "Footprint" "" (id 2) (at 236.22 88.9 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 236.22 88.9 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 94ccca49-7d09-4857-8af7-4fa58d24c83d)) - ) - - (symbol (lib_id "power:GND") (at 256.54 81.28 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-0000618471db) - (property "Reference" "#PWR?" (id 0) (at 256.54 87.63 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (id 1) (at 256.54 85.09 0)) - (property "Footprint" "" (id 2) (at 256.54 81.28 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 256.54 81.28 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid ddae5ee2-f3ad-4e2b-adf5-248281019a71)) - ) - (symbol (lib_id "power:+5V") (at 48.26 172.72 0) (unit 1) (in_bom yes) (on_board yes) (uuid 00000000-0000-0000-0000-000061b00ec2) @@ -3468,192 +2789,70 @@ (pin "1" (uuid 59511861-d618-4cc2-8009-b365f0076287)) ) - (symbol (lib_id "power:+5V") (at 119.38 38.1 0) (unit 1) + (symbol (lib_id "Device:R_Small") (at 153.67 111.76 90) (unit 1) (in_bom yes) (on_board yes) - (uuid 00a2240d-d5b8-4931-9c1f-61bcb6f865f3) - (property "Reference" "#PWR?" (id 0) (at 119.38 41.91 0) + (uuid 08db6324-4271-4438-bc50-b4f874b66eae) + (property "Reference" "R?" (id 0) (at 153.67 102.87 90)) + (property "Value" "47" (id 1) (at 153.67 105.41 90) + (effects (font (size 1.27 1.27)) (justify top)) + ) + (property "Footprint" "" (id 2) (at 153.67 111.76 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "+5V" (id 1) (at 119.38 34.29 0)) - (property "Footprint" "" (id 2) (at 119.38 38.1 0) + (property "Datasheet" "~" (id 3) (at 153.67 111.76 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 119.38 38.1 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid dfda176a-bd68-4e37-ac84-ee702ab0b132)) + (pin "1" (uuid 8e3200c1-97a2-4eb7-a271-7a6c480e5ba0)) + (pin "2" (uuid 3a6e432f-cf24-477d-b992-5d984076da34)) ) - (symbol (lib_id "GW_Logic:74573") (at 185.42 100.33 0) (unit 1) + (symbol (lib_id "power:GND") (at 114.3 102.87 0) (unit 1) (in_bom yes) (on_board yes) - (uuid 183788a2-d6ed-4c69-8275-e5bce824006d) - (property "Reference" "U?" (id 0) (at 185.42 85.09 0)) - (property "Value" "74AHCT573PW" (id 1) (at 185.42 100.33 90)) - (property "Footprint" "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (id 2) (at 185.42 116.84 0) + (uuid 0e7051ab-9323-4360-b4bb-175b8d251326) + (property "Reference" "#PWR?" (id 0) (at 114.3 109.22 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 114.3 106.68 0)) + (property "Footprint" "" (id 2) (at 114.3 102.87 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 114.3 102.87 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 5f6b0e6c-dfd1-415e-8c9b-06044374ce01)) + ) + + (symbol (lib_id "GW_Logic:74245") (at 243.84 100.33 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) + (uuid 2c0d06e7-2d00-4963-aacf-15e1d22e22a2) + (property "Reference" "U?" (id 0) (at 243.84 85.09 0)) + (property "Value" "74LVC245APW" (id 1) (at 243.84 100.33 90)) + (property "Footprint" "" (id 2) (at 243.84 116.84 0) (effects (font (size 1.27 1.27)) (justify top) hide) ) - (property "Datasheet" "" (id 3) (at 185.42 97.79 0) + (property "Datasheet" "" (id 3) (at 243.84 97.79 0) (effects (font (size 1.524 1.524)) hide) ) - (property "LCSC Part" "C141311" (id 4) (at 185.42 100.33 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid c5beef21-925d-4392-bd7c-244f58561adb)) - (pin "10" (uuid 94d0dd1c-2b3a-4015-ba31-2b7624c0aadc)) - (pin "11" (uuid ffcedc70-6075-4010-9341-c932c0753616)) - (pin "12" (uuid 8ef5f2a0-58ca-4f8f-be03-077ace1c0167)) - (pin "13" (uuid 0ff7f784-fa76-4556-833c-537d119ec2f7)) - (pin "14" (uuid 6bb6ce89-9b3e-4fcd-9eec-1e4c0f320b7b)) - (pin "15" (uuid e9886f2b-f48b-4342-b12d-26fb1df68e93)) - (pin "16" (uuid aa020369-e600-4d4b-82dc-8c232169a58c)) - (pin "17" (uuid 9992f5fb-3ee4-4068-8cb0-0dd1ec66d32b)) - (pin "18" (uuid fb3a8965-6b64-456e-b862-06f757a00f42)) - (pin "19" (uuid 51701e0e-cdfd-47fa-b909-872fd4c9649d)) - (pin "2" (uuid b84d3bb2-dee5-40fa-87fa-01aaf0841891)) - (pin "20" (uuid 2951a134-b3e5-4084-8cfc-0840492b215b)) - (pin "3" (uuid af355d58-8ae1-4c10-b0ff-39fe52c5b3cf)) - (pin "4" (uuid e119b2f2-7048-4d8e-b90f-c6b7d15e7eda)) - (pin "5" (uuid 1e05ffab-0cd1-4b0c-8610-5b32e7b273f8)) - (pin "6" (uuid ef2ccb66-465e-4c8e-8d6c-a984dcdb3610)) - (pin "7" (uuid 170551ba-b4e6-4b54-b7bf-fc79c098d3ec)) - (pin "8" (uuid 7dc30251-7f2e-441e-a356-26d20be94e41)) - (pin "9" (uuid 93d538c8-8049-4d47-abfb-a72887c3b0ea)) - ) - - (symbol (lib_id "power:+5V") (at 119.38 71.12 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 185d4313-b33e-4898-9053-5ca1a3ed65a9) - (property "Reference" "#PWR?" (id 0) (at 119.38 74.93 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+5V" (id 1) (at 119.38 67.31 0)) - (property "Footprint" "" (id 2) (at 119.38 71.12 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 119.38 71.12 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid a39fbdfc-88f4-4ff5-b9cd-fb95e20c157f)) - ) - - (symbol (lib_id "GW_Logic:74573") (at 246.38 130.81 0) (mirror y) (unit 1) - (in_bom yes) (on_board yes) - (uuid 238682dd-6926-4582-8b71-69d53e4627fa) - (property "Reference" "U?" (id 0) (at 246.38 115.57 0)) - (property "Value" "74AHCT573PW" (id 1) (at 246.38 130.81 90)) - (property "Footprint" "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (id 2) (at 246.38 147.32 0) - (effects (font (size 1.27 1.27)) (justify top) hide) - ) - (property "Datasheet" "" (id 3) (at 246.38 128.27 0) - (effects (font (size 1.524 1.524)) hide) - ) - (property "LCSC Part" "C141311" (id 4) (at 246.38 130.81 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 1d9e4f7c-142d-4c33-b4ea-ff0c33c6c0c1)) - (pin "10" (uuid fd7ee6ff-07cf-4a08-8f23-a22782daf9ee)) - (pin "11" (uuid 5137fa69-433d-41e6-8784-68e81f57002f)) - (pin "12" (uuid 88621d3c-06e8-4820-b716-d6795f96ec99)) - (pin "13" (uuid ba99b91e-9294-4657-b80b-4c1c2f312b48)) - (pin "14" (uuid 2255b853-cdb9-4a6e-9408-d305ab210e04)) - (pin "15" (uuid 82eb7af8-a321-4379-91dc-e531653d66ee)) - (pin "16" (uuid 29ad749c-a829-4ea5-b807-af57453e1d41)) - (pin "17" (uuid e3e93991-e7ca-4844-b537-0e8c9dc724c0)) - (pin "18" (uuid 1fda7852-0e20-4afc-804a-949ca27dc25f)) - (pin "19" (uuid 0880bfe3-3dbd-4358-9c98-27709d30b4c1)) - (pin "2" (uuid 9e7a7c6c-e3a5-4813-acb5-57088177a675)) - (pin "20" (uuid 1ee1e139-690f-4b98-964f-6f92f0beabd7)) - (pin "3" (uuid 27f2f6b4-50b4-45ed-ace7-f2c3cf9055de)) - (pin "4" (uuid 9e3ddd58-b6f5-4673-9ada-314331f8b044)) - (pin "5" (uuid d283a335-fc4e-4278-a20e-21209365dfd3)) - (pin "6" (uuid 6ae0c198-b3fc-48c0-b52a-c7480cbe034d)) - (pin "7" (uuid 906573f7-b229-475d-8cc2-3eea93012818)) - (pin "8" (uuid 785829be-1e83-4480-9841-1f18c454c5fc)) - (pin "9" (uuid 6f618b24-fda0-418f-a47f-cbfdb4e875c5)) - ) - - (symbol (lib_id "power:+5V") (at 45.72 132.08 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 243aac87-256b-4037-9b73-75c9961ed5df) - (property "Reference" "#PWR?" (id 0) (at 45.72 135.89 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+5V" (id 1) (at 45.72 128.27 0)) - (property "Footprint" "" (id 2) (at 45.72 132.08 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 45.72 132.08 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid acfe2df2-ba29-4a66-8607-fc43f72a4b5d)) - ) - - (symbol (lib_id "GW_Logic:74573") (at 185.42 130.81 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 3885cebd-12ab-471a-ba1a-49100e31dd20) - (property "Reference" "U?" (id 0) (at 185.42 115.57 0)) - (property "Value" "74AHCT573PW" (id 1) (at 185.42 130.81 90)) - (property "Footprint" "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (id 2) (at 185.42 147.32 0) - (effects (font (size 1.27 1.27)) (justify top) hide) - ) - (property "Datasheet" "" (id 3) (at 185.42 128.27 0) - (effects (font (size 1.524 1.524)) hide) - ) - (property "LCSC Part" "C141311" (id 4) (at 185.42 130.81 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 3d1d4a5f-a070-4703-869e-0d1b89e6c174)) - (pin "10" (uuid 9bac2664-ecf4-40b0-8c1f-c235343f45b5)) - (pin "11" (uuid 09097fed-b8ff-429d-adee-e811ee438463)) - (pin "12" (uuid 2a81e234-d86a-4102-bb2f-86ac8af4bfb7)) - (pin "13" (uuid 5c36b240-56ea-4dd2-afab-93fd17b5f7a4)) - (pin "14" (uuid c035cabd-7114-470f-871a-438f079ae65b)) - (pin "15" (uuid e3f400a1-e401-4dcb-93fb-0a34e902bf15)) - (pin "16" (uuid 77969b3e-dd7d-46f2-b248-9ad5e90df2ce)) - (pin "17" (uuid 80c2457f-d6b0-4183-b133-527371e7dd30)) - (pin "18" (uuid 25abd7a8-5e23-40d4-8790-23eef7249a66)) - (pin "19" (uuid ba83ee83-24f3-43e5-839d-194e0e56ad94)) - (pin "2" (uuid 910bad39-9811-4a3e-a134-29dd433c2df3)) - (pin "20" (uuid d97a0366-0a44-422b-a4db-09ca9d8c9708)) - (pin "3" (uuid 4633b46c-f279-4c3a-a93f-795d2de6c654)) - (pin "4" (uuid 52a37adf-0ee3-45ee-97a9-c7fe57e429b5)) - (pin "5" (uuid 7b017eb3-960c-4a73-90b7-808aa53714b9)) - (pin "6" (uuid 35772cba-d676-4e1a-a3ae-52fbbad1b176)) - (pin "7" (uuid 79908798-8ae1-413d-aae3-a6f980597eb4)) - (pin "8" (uuid 96a1fc9d-9e90-47aa-8e74-7bffb1880c02)) - (pin "9" (uuid e2bf754b-8563-45c3-960d-9cff0ed2eefc)) - ) - - (symbol (lib_id "power:+5V") (at 139.7 104.14 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 3dcc9e4e-41c1-4834-9db7-a6ce82abb62c) - (property "Reference" "#PWR?" (id 0) (at 139.7 107.95 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+5V" (id 1) (at 139.7 100.33 0)) - (property "Footprint" "" (id 2) (at 139.7 104.14 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 139.7 104.14 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid b60577f2-cec4-4f12-8a58-2469d94e37a3)) - ) - - (symbol (lib_id "power:GND") (at 119.38 93.98 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 468a3287-acaf-4363-b26e-9194a39d5f51) - (property "Reference" "#PWR?" (id 0) (at 119.38 100.33 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (id 1) (at 119.38 97.79 0)) - (property "Footprint" "" (id 2) (at 119.38 93.98 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 119.38 93.98 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid f86d14cc-3567-4bfd-9420-aeb5e231b056)) + (pin "1" (uuid e8dae4b0-aab2-4d9b-9473-78d9c980951a)) + (pin "10" (uuid 19148fbd-edc0-4305-a46a-78ed62da9412)) + (pin "11" (uuid 7e1a74c7-5a66-44bd-af00-aa0d4a8534ca)) + (pin "12" (uuid 0c565260-a286-43a0-b764-24184883a6df)) + (pin "13" (uuid f83c145e-1af8-4edb-9d1a-530df38e6758)) + (pin "14" (uuid da37872f-adc1-4d37-89e4-597ebcfac367)) + (pin "15" (uuid 264acac0-e396-41e1-bf0d-5e8f9a121d36)) + (pin "16" (uuid b6e07500-0142-4ae6-8e3d-a7ec3108026f)) + (pin "17" (uuid 829f7ff5-7193-4108-98f9-251e133c48a1)) + (pin "18" (uuid fc800bca-3bdb-45d4-8a6e-12f050a1b52d)) + (pin "19" (uuid cdfbc1dc-45c3-4dc7-9823-765eccce790c)) + (pin "2" (uuid 316cb4dc-1fd9-40e3-95e4-13a09e29daa4)) + (pin "20" (uuid f5c88cb2-c02c-4c01-83a0-60505ccc5f32)) + (pin "3" (uuid 4f43e8c1-d3bb-42f4-8dc8-b089d0a0fb3c)) + (pin "4" (uuid 800c8bfa-e551-4784-b5d0-20c7fd89e3d4)) + (pin "5" (uuid 5ef75fad-b91d-4d83-b107-274ffaa54430)) + (pin "6" (uuid e289f63f-5fbe-4d2d-bbe9-b6c1ce4a2f0c)) + (pin "7" (uuid a4d8aae4-4873-49d6-aa0b-4335ae95a9b3)) + (pin "8" (uuid 884ed176-40a5-4c63-be85-be79c18a1360)) + (pin "9" (uuid c718daa9-f54b-41ff-9ace-3b37c0ded4df)) ) (symbol (lib_id "power:GND") (at 139.7 60.96 0) (unit 1) @@ -3672,342 +2871,301 @@ (pin "1" (uuid b0346913-fd0d-4692-a720-d9bec453f752)) ) - (symbol (lib_id "power:+5V") (at 236.22 58.42 0) (unit 1) + (symbol (lib_id "GW_Logic:74245") (at 129.54 49.53 0) (mirror y) (unit 1) (in_bom yes) (on_board yes) - (uuid 5a97214c-b884-4e30-a715-f4f5819b6e89) - (property "Reference" "#PWR?" (id 0) (at 236.22 62.23 0) - (effects (font (size 1.27 1.27)) hide) + (uuid 49aadb62-8269-4ec8-9e17-0528d184f952) + (property "Reference" "U?" (id 0) (at 129.54 34.29 0)) + (property "Value" "74AHC245PW" (id 1) (at 129.54 49.53 90)) + (property "Footprint" "" (id 2) (at 129.54 66.04 0) + (effects (font (size 1.27 1.27)) (justify top) hide) ) - (property "Value" "+5V" (id 1) (at 236.22 54.61 0)) - (property "Footprint" "" (id 2) (at 236.22 58.42 0) - (effects (font (size 1.27 1.27)) hide) + (property "Datasheet" "" (id 3) (at 129.54 46.99 0) + (effects (font (size 1.524 1.524)) hide) ) - (property "Datasheet" "" (id 3) (at 236.22 58.42 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 7b0ed153-9d79-452f-9ef9-76f9617136bd)) + (pin "1" (uuid 71971261-3acd-404c-9893-712e44f42f61)) + (pin "10" (uuid 47f5c9f5-3211-4805-a99c-fdb5e27f4038)) + (pin "11" (uuid cdc8e8f4-13f6-4fd7-8134-b4eac06fe0b2)) + (pin "12" (uuid 88add0bd-6bac-464d-9e19-6d881d1cbb23)) + (pin "13" (uuid 7531a00a-6028-4063-84d7-89927a8cc993)) + (pin "14" (uuid aac802a7-f026-4ef7-94c1-b1bc0396d526)) + (pin "15" (uuid e6bfec82-f7cc-4349-87a5-47286500b210)) + (pin "16" (uuid bd3dd10c-bf1b-44a5-b864-ef3175afb7bb)) + (pin "17" (uuid 23d4852a-b544-4925-8557-803b1b2edb87)) + (pin "18" (uuid c374cf01-da45-44cf-a82e-97cfed7bdbab)) + (pin "19" (uuid a81cc625-c83c-4e0c-a83a-f29eea7d8eb3)) + (pin "2" (uuid df46ffd0-b521-4bcb-ab64-b7f71d5e2e03)) + (pin "20" (uuid 67a7d53c-90a5-4122-a568-8d790cf6b27c)) + (pin "3" (uuid d65d540d-f351-48a3-9e15-053928a733fc)) + (pin "4" (uuid 9808376c-76e8-4781-a68a-01c886b9698e)) + (pin "5" (uuid e2dc5a6f-d13b-41de-82f5-ea1b9f1032bd)) + (pin "6" (uuid 6690f53c-e126-42f6-a673-7e325c472d21)) + (pin "7" (uuid 060af933-0f72-4954-9fe6-b93792ef7639)) + (pin "8" (uuid 7f2b5507-319e-43c6-89a0-19471503a83d)) + (pin "9" (uuid 982e965f-a24e-4f05-b726-4519d3f78aa3)) ) - (symbol (lib_id "GW_Logic:74245") (at 129.54 115.57 0) (unit 1) + (symbol (lib_id "GW_Logic:74245") (at 243.84 130.81 0) (mirror y) (unit 1) (in_bom yes) (on_board yes) - (uuid 626f338b-fc3e-4031-9eda-bf85729cd3fa) + (uuid 546821eb-cfd6-4d93-b3ea-6d624b34d623) + (property "Reference" "U?" (id 0) (at 243.84 115.57 0)) + (property "Value" "74LVC245APW" (id 1) (at 243.84 130.81 90)) + (property "Footprint" "" (id 2) (at 243.84 147.32 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (id 3) (at 243.84 128.27 0) + (effects (font (size 1.524 1.524)) hide) + ) + (pin "1" (uuid 3b74ff45-a6a9-402c-a1e7-ce46c6920c1d)) + (pin "10" (uuid e3512346-b38f-490a-b5ed-01ddbae3951d)) + (pin "11" (uuid 5df5295f-6bd5-42eb-a093-2f6e8f936efb)) + (pin "12" (uuid c6e7f786-1071-48c8-bd2c-6631b04a6ddf)) + (pin "13" (uuid 2edd9456-145a-4729-a872-9b3a3ad73ab5)) + (pin "14" (uuid 6b64e15b-ccdd-4102-ab1b-b4da0d5642fc)) + (pin "15" (uuid 96019cdd-92c0-493c-8686-a6f6392c8abb)) + (pin "16" (uuid f7b25b10-4c5c-4480-9d12-99bfd03e1e0a)) + (pin "17" (uuid 0a2c91aa-91ea-4b4e-bf35-6b67c851df78)) + (pin "18" (uuid d99c1b3f-2c70-4ded-a8da-b206a3e700f5)) + (pin "19" (uuid 574d2103-a6cd-4668-b44d-4e02beeb35f8)) + (pin "2" (uuid 9a42ce30-72c8-48ec-9e35-dc34c243cffa)) + (pin "20" (uuid a1d92859-faeb-4efd-9fd5-528fe1d21f41)) + (pin "3" (uuid 48daa289-a093-4fca-8c8a-5757565c9fe1)) + (pin "4" (uuid edc58e45-7e6f-44ed-8695-a2d71bee3d7a)) + (pin "5" (uuid 742f50fb-70f6-4d0f-8e1e-84e1bd94ee86)) + (pin "6" (uuid 0877d0e6-6a87-4b45-bb07-a093003d6f8d)) + (pin "7" (uuid f62e2839-50b7-4e8f-9c53-dd376fb51bc9)) + (pin "8" (uuid c9f48c1d-9f89-45a0-ad4b-4d11a3181299)) + (pin "9" (uuid 2c282704-5ea5-446e-9263-a83f040cdadb)) + ) + + (symbol (lib_id "power:+3V3") (at 233.6467 119.38 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 78510aa1-2106-4d91-9212-c607958ccd1e) + (property "Reference" "#PWR?" (id 0) (at 233.6467 123.19 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (id 1) (at 233.6467 115.57 0)) + (property "Footprint" "" (id 2) (at 233.6467 119.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 233.6467 119.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 708aad96-41de-4fc0-9687-95a076cccb0b)) + ) + + (symbol (lib_id "Device:R_Small") (at 148.59 106.68 90) (unit 1) + (in_bom yes) (on_board yes) + (uuid 7b0b939d-3617-4d3e-b1d1-7c49580de797) + (property "Reference" "R?" (id 0) (at 148.59 102.87 90)) + (property "Value" "47" (id 1) (at 148.59 105.41 90) + (effects (font (size 1.27 1.27)) (justify top)) + ) + (property "Footprint" "" (id 2) (at 148.59 106.68 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 148.59 106.68 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 43fbe623-a30c-437e-867f-0415f0829174)) + (pin "2" (uuid 2ce57d81-d12f-4b37-91ec-efd3d2c28bcf)) + ) + + (symbol (lib_id "power:+3V3") (at 139.7 38.1 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 7b597a26-a727-4930-b9b5-749d0e5a32de) + (property "Reference" "#PWR?" (id 0) (at 139.7 41.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (id 1) (at 139.7 34.29 0)) + (property "Footprint" "" (id 2) (at 139.7 38.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 139.7 38.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 0ebfff07-3cce-4d6c-ba16-d81716b27174)) + ) + + (symbol (lib_id "power:GND") (at 139.7 93.98 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 82227135-4b49-447a-beb4-5254c3a3bca4) + (property "Reference" "#PWR?" (id 0) (at 139.7 100.33 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 139.7 97.79 0)) + (property "Footprint" "" (id 2) (at 139.7 93.98 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 139.7 93.98 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid b75c4591-dd73-4dac-a6ad-ec4eb2cb3410)) + ) + + (symbol (lib_id "power:GND") (at 142.24 100.33 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 82288757-c155-4a04-836b-0bf4c542bd6d) + (property "Reference" "#PWR?" (id 0) (at 142.24 106.68 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 142.24 104.14 0)) + (property "Footprint" "" (id 2) (at 142.24 100.33 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 142.24 100.33 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid a8d5f271-dea8-4400-849e-e8ece9ff2172)) + ) + + (symbol (lib_id "power:GND") (at 254 111.76 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) + (uuid 85d0da62-f375-453c-802d-4e4e3ad402d2) + (property "Reference" "#PWR?" (id 0) (at 254 118.11 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 254 115.57 0)) + (property "Footprint" "" (id 2) (at 254 111.76 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 254 111.76 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 323ac8c9-09cd-4d7d-811b-7ddd812a3889)) + ) + + (symbol (lib_id "GW_Logic:74245") (at 129.54 115.57 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) + (uuid 8825bb76-a188-4049-ac17-17aacf08d640) (property "Reference" "U?" (id 0) (at 129.54 100.33 0)) - (property "Value" "74LVC245APW" (id 1) (at 129.54 115.57 90)) + (property "Value" "74AHC245PW" (id 1) (at 129.54 115.57 90)) (property "Footprint" "" (id 2) (at 129.54 132.08 0) (effects (font (size 1.27 1.27)) (justify top) hide) ) (property "Datasheet" "" (id 3) (at 129.54 113.03 0) (effects (font (size 1.524 1.524)) hide) ) - (pin "1" (uuid d3f9b94f-a406-49b5-bd01-31c07578fee0)) - (pin "10" (uuid 61ff95fd-bd65-489d-b66c-16b8d7c0e54b)) - (pin "11" (uuid 44671e1a-49aa-4155-bb85-1037c61f9585)) - (pin "12" (uuid e03d4e17-611f-45ea-bb01-d0b49f29f57f)) - (pin "13" (uuid aa506719-ab78-445c-9be5-d344f7109dfa)) - (pin "14" (uuid d9432ee2-f3cf-4340-af4d-2a98be81cfb9)) - (pin "15" (uuid 6a2f8b33-2141-428e-bc6f-601cc77a3fc3)) - (pin "16" (uuid cefc954f-84aa-441f-acd9-b4efc1f4be9d)) - (pin "17" (uuid e728b1f0-ad0f-43ca-b890-bec7945ca301)) - (pin "18" (uuid bc258527-4cb6-486a-aba1-049f906b1c2e)) - (pin "19" (uuid a3533c1d-999a-4bf7-aa3f-e723e3cab7cc)) - (pin "2" (uuid 7701e4e8-ae62-49b0-a47f-2041202a3192)) - (pin "20" (uuid 8437c3f7-42ab-4a90-a37c-cd33ecbe2536)) - (pin "3" (uuid 100eafed-2ffb-465b-9638-de360551dc09)) - (pin "4" (uuid cd09ec41-13f2-445e-b821-21cd27a10e65)) - (pin "5" (uuid 707fd23f-b0f7-4478-a73a-d5484ae8c823)) - (pin "6" (uuid f6e86fd2-fa15-461d-acc2-9e5be5a9ef1f)) - (pin "7" (uuid ee7470b5-00b0-404f-8350-cac2a27d5d68)) - (pin "8" (uuid dd129a8e-842a-4d75-b447-8eeb658fc97b)) - (pin "9" (uuid 664e513e-762c-4f19-9d46-e6dba0714079)) + (pin "1" (uuid 85e40198-baeb-446a-8e2c-5c77dbcf843f)) + (pin "10" (uuid cc843657-2d40-4d16-b0ad-bee0586c1313)) + (pin "11" (uuid 7b577ee5-96ab-44be-9fc6-64730950a460)) + (pin "12" (uuid abd85c68-159e-493f-be80-2b6c4d60f6df)) + (pin "13" (uuid 6961afc7-3b58-40fd-9ed8-3cc1afafa1e7)) + (pin "14" (uuid 8aa129ec-6feb-4e64-8fbe-1c6109203893)) + (pin "15" (uuid 99e58e53-eadd-4341-bdcb-b4f7763ca6f0)) + (pin "16" (uuid cf7f918a-6661-4369-93e3-e501c0ab6ea0)) + (pin "17" (uuid 27d39e01-35fe-46cc-8160-594e84e6a3c6)) + (pin "18" (uuid 9024949a-694e-48a0-a14c-a85831757a0b)) + (pin "19" (uuid c0fb41b8-4f1d-4a97-b5f5-b11ada3a0c7e)) + (pin "2" (uuid 1b2417a4-0c52-413d-b661-4adabd1ca3a7)) + (pin "20" (uuid 6c8f1601-a72c-4cd8-aa34-6560759fdbf2)) + (pin "3" (uuid fd045c45-1807-498b-baa4-09ed7a2a9125)) + (pin "4" (uuid 2acdfdad-200b-4aaf-9ec1-d02c77054719)) + (pin "5" (uuid 8b0483c5-9170-439f-9f8b-b6dc3b225c08)) + (pin "6" (uuid 12adecda-610b-4755-8c8d-4ab544e46b19)) + (pin "7" (uuid 01229b4a-4815-444d-bdf7-44f0e431626f)) + (pin "8" (uuid 319ae1b0-d83a-4234-8c93-54d796ec55a4)) + (pin "9" (uuid ea388a34-32d9-4231-8fb1-668b24b693c1)) ) - (symbol (lib_id "power:+5V") (at 236.22 27.94 0) (unit 1) + (symbol (lib_id "power:+3V3") (at 119.38 71.12 0) (unit 1) (in_bom yes) (on_board yes) - (uuid 6b219851-964d-4f1f-a9ac-da06cf893941) - (property "Reference" "#PWR?" (id 0) (at 236.22 31.75 0) + (uuid 8a0cdb74-29e9-408e-b453-c41677175503) + (property "Reference" "#PWR?" (id 0) (at 119.38 74.93 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "+5V" (id 1) (at 236.22 24.13 0)) - (property "Footprint" "" (id 2) (at 236.22 27.94 0) + (property "Value" "+3V3" (id 1) (at 119.38 67.31 0)) + (property "Footprint" "" (id 2) (at 119.38 71.12 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 236.22 27.94 0) + (property "Datasheet" "" (id 3) (at 119.38 71.12 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid b5866070-0abc-4949-bd76-9f16bd437682)) + (pin "1" (uuid d81b4f01-3f64-4187-a075-cac0de16bfe4)) ) - (symbol (lib_id "power:GND") (at 175.26 142.24 0) (mirror y) (unit 1) + (symbol (lib_id "power:GND") (at 149.86 55.88 0) (unit 1) (in_bom yes) (on_board yes) - (uuid 6b8189fa-0dd2-4789-8a32-a6bb1ddc5ee8) - (property "Reference" "#PWR?" (id 0) (at 175.26 148.59 0) + (uuid 97025b58-5d58-4b6b-a442-79ca014c9d57) + (property "Reference" "#PWR?" (id 0) (at 149.86 62.23 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "GND" (id 1) (at 175.26 146.05 0)) - (property "Footprint" "" (id 2) (at 175.26 142.24 0) + (property "Value" "GND" (id 1) (at 149.86 59.69 0)) + (property "Footprint" "" (id 2) (at 149.86 55.88 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 175.26 142.24 0) + (property "Datasheet" "" (id 3) (at 149.86 55.88 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid 1ce112ce-6edf-4b92-a5ac-2e9748efab78)) + (pin "1" (uuid c155f2d3-e302-4687-a6a4-c65bb3830892)) ) - (symbol (lib_id "GW_Logic:74573") (at 246.38 39.37 0) (mirror y) (unit 1) + (symbol (lib_id "power:GND") (at 139.7 127 0) (unit 1) (in_bom yes) (on_board yes) - (uuid 6ebbd45c-593f-4357-bc92-0d724e88b772) - (property "Reference" "U?" (id 0) (at 246.38 24.13 0)) - (property "Value" "74AHCT573PW" (id 1) (at 246.38 39.37 90)) - (property "Footprint" "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (id 2) (at 246.38 55.88 0) - (effects (font (size 1.27 1.27)) (justify top) hide) - ) - (property "Datasheet" "" (id 3) (at 246.38 36.83 0) - (effects (font (size 1.524 1.524)) hide) - ) - (property "LCSC Part" "C141311" (id 4) (at 246.38 39.37 0) + (uuid 9faac1a4-281b-4539-bbd2-f9878347746c) + (property "Reference" "#PWR?" (id 0) (at 139.7 133.35 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid 48042748-3def-4113-a9b7-8b3c3d2bebdd)) - (pin "10" (uuid 57491d8a-ee68-42a2-95f3-e1c896d04ca2)) - (pin "11" (uuid 513d44f9-deda-4a52-a17c-0af0b49768bf)) - (pin "12" (uuid bbbb5193-3108-4a8c-8f7a-d0267bc1f603)) - (pin "13" (uuid 1a68eff4-6a00-405c-ab60-3eecc67d6c6e)) - (pin "14" (uuid 64bf0251-e03c-4dec-acf9-23c85ad28f80)) - (pin "15" (uuid 6c2d4117-79cd-469c-92ef-f636526ff877)) - (pin "16" (uuid e9b19303-ec33-446b-bd1b-c97df8429d24)) - (pin "17" (uuid 216d169a-c6b3-45cc-a468-ee770e5bd06f)) - (pin "18" (uuid 2efabc05-c144-4ad8-82b8-65fa510e3085)) - (pin "19" (uuid fa65d109-7c5d-4123-aa55-091b276ab38b)) - (pin "2" (uuid 08dc1635-c2a7-4823-aa02-3856529665cd)) - (pin "20" (uuid aeb38d42-2daf-4b76-b0e1-708739ed5252)) - (pin "3" (uuid fa3dfd8e-23fe-4078-9fec-4ddf2fb782fa)) - (pin "4" (uuid d36e461a-d01f-4a1f-a2a9-746c2ede539b)) - (pin "5" (uuid b5990af4-2d61-451b-a466-79769919345c)) - (pin "6" (uuid fc1c9ff3-36ff-434e-a07c-b827fa641fc7)) - (pin "7" (uuid dff2fb91-b927-4845-a4d1-69ddb100738c)) - (pin "8" (uuid 5eff23c7-1924-407e-ad6e-9ac7f8448845)) - (pin "9" (uuid ef167206-8d0e-463f-95d9-cba52b8e1a6f)) + (property "Value" "GND" (id 1) (at 139.7 130.81 0)) + (property "Footprint" "" (id 2) (at 139.7 127 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 139.7 127 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d15ca7e9-804d-4bd8-9b53-b905a60b05c1)) ) - (symbol (lib_id "power:GND") (at 144.78 101.6 0) (unit 1) + (symbol (lib_id "power:+3V3") (at 119.38 38.1 0) (unit 1) (in_bom yes) (on_board yes) - (uuid 779a9e0a-5231-42a3-94b7-d74482018d6a) - (property "Reference" "#PWR?" (id 0) (at 144.78 107.95 0) + (uuid a4959482-ff50-40b7-9727-3b930a3a57fb) + (property "Reference" "#PWR?" (id 0) (at 119.38 41.91 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "GND" (id 1) (at 144.78 105.41 0)) - (property "Footprint" "" (id 2) (at 144.78 101.6 0) + (property "Value" "+3V3" (id 1) (at 119.38 34.29 0)) + (property "Footprint" "" (id 2) (at 119.38 38.1 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 144.78 101.6 0) + (property "Datasheet" "" (id 3) (at 119.38 38.1 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid 5e1a42b9-7d28-493f-93f8-93a75868009a)) + (pin "1" (uuid 494a3c5b-fd9e-40dc-aa0f-afb119721cd9)) ) - (symbol (lib_id "power:GND") (at 256.54 50.8 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 84f0b4e5-cb96-4fac-93c8-5a8150a539d4) - (property "Reference" "#PWR?" (id 0) (at 256.54 57.15 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (id 1) (at 256.54 54.61 0)) - (property "Footprint" "" (id 2) (at 256.54 50.8 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 256.54 50.8 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 232ce4e6-715c-45cd-a7c0-cbf592faf176)) - ) - - (symbol (lib_id "power:GND") (at 256.54 111.76 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 85d0da62-f375-453c-802d-4e4e3ad402d2) - (property "Reference" "#PWR?" (id 0) (at 256.54 118.11 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (id 1) (at 256.54 115.57 0)) - (property "Footprint" "" (id 2) (at 256.54 111.76 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 256.54 111.76 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 323ac8c9-09cd-4d7d-811b-7ddd812a3889)) - ) - - (symbol (lib_id "power:+5V") (at 195.58 119.38 0) (mirror y) (unit 1) - (in_bom yes) (on_board yes) - (uuid 89c7780c-e1e8-4939-98b5-81c58dd34a5c) - (property "Reference" "#PWR?" (id 0) (at 195.58 123.19 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+5V" (id 1) (at 195.58 115.57 0)) - (property "Footprint" "" (id 2) (at 195.58 119.38 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 195.58 119.38 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid d56ff46f-22d0-4a72-88f9-91b408e4c46b)) - ) - - (symbol (lib_id "power:GND") (at 175.26 111.76 0) (mirror y) (unit 1) - (in_bom yes) (on_board yes) - (uuid 91e8596b-ff7d-4428-a3bd-d2caa1e1397a) - (property "Reference" "#PWR?" (id 0) (at 175.26 118.11 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (id 1) (at 175.26 115.57 0)) - (property "Footprint" "" (id 2) (at 175.26 111.76 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 175.26 111.76 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 4807c835-31be-487f-a122-f6a663f74692)) - ) - - (symbol (lib_id "GW_Logic:74573") (at 129.54 49.53 0) (mirror y) (unit 1) - (in_bom yes) (on_board yes) - (uuid 99f12c6e-ec59-4685-afc5-0eeafaed785d) - (property "Reference" "U?" (id 0) (at 129.54 34.29 0)) - (property "Value" "74AHCT573PW" (id 1) (at 129.54 49.53 90)) - (property "Footprint" "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (id 2) (at 129.54 66.04 0) - (effects (font (size 1.27 1.27)) (justify top) hide) - ) - (property "Datasheet" "" (id 3) (at 129.54 46.99 0) - (effects (font (size 1.524 1.524)) hide) - ) - (property "LCSC Part" "C141311" (id 4) (at 129.54 49.53 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 14b1d67c-f813-4e9d-a5fe-40d959f37032)) - (pin "10" (uuid b4d68c43-940c-4305-bf4b-cedd251a1487)) - (pin "11" (uuid 3fdd1370-1bc3-4bcd-99d1-2a7b1eae55b9)) - (pin "12" (uuid 70529f93-1dd9-4e29-acdd-c9ab8485cf42)) - (pin "13" (uuid 54163ff3-eb84-410b-b935-c52a47a2b556)) - (pin "14" (uuid 1ca90b1f-8304-43cb-9892-1241cac064c3)) - (pin "15" (uuid f6656920-886a-4a40-a344-6a28463d368f)) - (pin "16" (uuid 6b6e60cb-9dfa-42d3-a2f6-e0e6b3b309ae)) - (pin "17" (uuid 5764d019-074c-4f90-8d8d-4fc1d42f6c9b)) - (pin "18" (uuid 5020271e-4b13-4b29-8d39-d9fe758d2282)) - (pin "19" (uuid ed574d1a-7c38-40c7-918d-3d6c42610b53)) - (pin "2" (uuid 9d072447-d898-4573-b035-70507a44162f)) - (pin "20" (uuid eb5d4702-7719-4615-94e7-46a4957e3787)) - (pin "3" (uuid ff9b361a-ea86-45dd-8942-1a2641ab2140)) - (pin "4" (uuid 503c5fe5-8228-495f-84b9-996de73fd003)) - (pin "5" (uuid a37754ba-2c1b-43cc-a84e-b0a190bb0638)) - (pin "6" (uuid 4364d655-0d90-4e33-9d5d-10bf8e4a7089)) - (pin "7" (uuid 0504afdf-55f6-49b9-b873-0fc746a4a4d5)) - (pin "8" (uuid 997dadcf-4b40-41a7-b9c8-4551b0fdd248)) - (pin "9" (uuid 79b2a363-a67a-4d1f-8608-32e2bcbfee08)) - ) - - (symbol (lib_id "GW_Logic:74573") (at 246.38 69.85 0) (mirror y) (unit 1) - (in_bom yes) (on_board yes) - (uuid aaf50c0d-29aa-43ae-9b49-01e1734c71a3) - (property "Reference" "U?" (id 0) (at 246.38 54.61 0)) - (property "Value" "74AHCT573PW" (id 1) (at 246.38 69.85 90)) - (property "Footprint" "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (id 2) (at 246.38 86.36 0) - (effects (font (size 1.27 1.27)) (justify top) hide) - ) - (property "Datasheet" "" (id 3) (at 246.38 67.31 0) - (effects (font (size 1.524 1.524)) hide) - ) - (property "LCSC Part" "C141311" (id 4) (at 246.38 69.85 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 0bdbbc07-f46a-4fc8-9800-f9e52807e40a)) - (pin "10" (uuid e38a53cb-c926-484e-bc62-eb73363dc8cb)) - (pin "11" (uuid 2e18a5fa-4d6a-4127-8c68-cad8cd9ee7e3)) - (pin "12" (uuid 964e8764-8f5d-4e53-b614-bf21d83a94bc)) - (pin "13" (uuid fa1ba9a2-59cc-4044-957b-31c42c603840)) - (pin "14" (uuid 8718780a-87c2-46e2-a2fa-1f4cf9a78d17)) - (pin "15" (uuid f30ee17b-8bf1-43c2-b7e4-98428ee5b925)) - (pin "16" (uuid 6e84b647-898c-4b44-960f-a53bb360542c)) - (pin "17" (uuid 7f4245db-67be-43f7-8ca7-10e55e29a2c8)) - (pin "18" (uuid 8d74de88-885b-44f1-81ce-eb6c8d43b062)) - (pin "19" (uuid 8f4e09d3-c4fc-4427-ae26-0139d5dbb415)) - (pin "2" (uuid fb537261-6757-409f-8292-98161974eb87)) - (pin "20" (uuid 6d2aa1d2-e726-4cea-b33e-fd7b90c8d98b)) - (pin "3" (uuid 7ff2f6ec-c94c-4299-bcc2-00856105659e)) - (pin "4" (uuid 8adb823f-3d66-4bd3-a27b-97362dd32286)) - (pin "5" (uuid 0dceb351-bc35-4ad0-9ce9-26801980747b)) - (pin "6" (uuid de44743a-1dcf-421f-b18e-68ac30e3d0d7)) - (pin "7" (uuid 16237fa1-94e8-4236-a994-bf9da269186f)) - (pin "8" (uuid 5a85c39e-378d-4c54-bd30-348db404ce28)) - (pin "9" (uuid 59b842d6-42f6-4f86-b438-55c56300e2f7)) - ) - - (symbol (lib_id "power:GND") (at 256.54 142.24 0) (unit 1) + (symbol (lib_id "power:GND") (at 254 142.24 0) (mirror y) (unit 1) (in_bom yes) (on_board yes) (uuid bb00627b-586f-400a-803e-ecd57558d5ef) - (property "Reference" "#PWR?" (id 0) (at 256.54 148.59 0) + (property "Reference" "#PWR?" (id 0) (at 254 148.59 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "GND" (id 1) (at 256.54 146.05 0)) - (property "Footprint" "" (id 2) (at 256.54 142.24 0) + (property "Value" "GND" (id 1) (at 254 146.05 0)) + (property "Footprint" "" (id 2) (at 254 142.24 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 256.54 142.24 0) + (property "Datasheet" "" (id 3) (at 254 142.24 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 75213a47-568e-4207-baa8-b5b863882625)) ) - (symbol (lib_id "power:+5V") (at 236.22 119.38 0) (unit 1) + (symbol (lib_id "power:GND") (at 109.22 58.42 0) (mirror y) (unit 1) (in_bom yes) (on_board yes) - (uuid c29759cc-611f-4ff8-8819-e7c22c02ae00) - (property "Reference" "#PWR?" (id 0) (at 236.22 123.19 0) + (uuid bdb2dd75-7576-4893-9514-b9f528cf2be4) + (property "Reference" "#PWR?" (id 0) (at 109.22 64.77 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "+5V" (id 1) (at 236.22 115.57 0)) - (property "Footprint" "" (id 2) (at 236.22 119.38 0) + (property "Value" "GND" (id 1) (at 109.22 62.23 0)) + (property "Footprint" "" (id 2) (at 109.22 58.42 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 236.22 119.38 0) + (property "Datasheet" "" (id 3) (at 109.22 58.42 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid ae9b9181-20c9-4750-ab91-f459858447ae)) - ) - - (symbol (lib_id "power:+5V") (at 139.7 71.12 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid cada9d69-c352-47d4-98d1-bd8b7f25f0c2) - (property "Reference" "#PWR?" (id 0) (at 139.7 74.93 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+5V" (id 1) (at 139.7 67.31 0)) - (property "Footprint" "" (id 2) (at 139.7 71.12 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 139.7 71.12 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 7dba0123-50ec-4fe1-9014-c2cfcccaa800)) - ) - - (symbol (lib_id "power:+5V") (at 195.58 88.9 0) (mirror y) (unit 1) - (in_bom yes) (on_board yes) - (uuid d1083571-9658-4ac7-985d-18637e0d1d5e) - (property "Reference" "#PWR?" (id 0) (at 195.58 92.71 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+5V" (id 1) (at 195.58 85.09 0)) - (property "Footprint" "" (id 2) (at 195.58 88.9 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 195.58 88.9 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 7b790025-e9f9-4ec6-ab79-28fb91def667)) + (pin "1" (uuid d4e531ee-65c2-4dd9-bb42-763e29269041)) ) (symbol (lib_id "power:GND") (at 119.38 127 0) (unit 1) (in_bom yes) (on_board yes) - (uuid d3795630-fa38-4344-b493-fece87c716df) + (uuid d5854dc2-c3ef-4760-87d1-a9a0c12a1f27) (property "Reference" "#PWR?" (id 0) (at 119.38 133.35 0) (effects (font (size 1.27 1.27)) hide) ) @@ -4018,119 +3176,104 @@ (property "Datasheet" "" (id 3) (at 119.38 127 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid ba4f3d4c-8f22-413c-bd23-293799e05e5f)) + (pin "1" (uuid 01573710-5417-4270-b45e-5e6108c7124b)) ) - (symbol (lib_id "GW_Logic:74245") (at 129.54 82.55 0) (unit 1) + (symbol (lib_id "GW_Logic:74245") (at 129.54 82.55 0) (mirror y) (unit 1) (in_bom yes) (on_board yes) - (uuid ddcafd1a-7832-426d-9e42-2f9a5def655e) + (uuid d6bee790-2111-4887-81d8-44accd303515) (property "Reference" "U?" (id 0) (at 129.54 67.31 0)) - (property "Value" "74LVC245APW" (id 1) (at 129.54 82.55 90)) + (property "Value" "74AHC245PW" (id 1) (at 129.54 82.55 90)) (property "Footprint" "" (id 2) (at 129.54 99.06 0) (effects (font (size 1.27 1.27)) (justify top) hide) ) (property "Datasheet" "" (id 3) (at 129.54 80.01 0) (effects (font (size 1.524 1.524)) hide) ) - (pin "1" (uuid f54a8c97-f2c3-4ae6-b656-e5cdbf9cc71b)) - (pin "10" (uuid 0a925f97-87ec-44f7-933b-709808e8dbd0)) - (pin "11" (uuid dcb9a6ad-b35a-472e-9e73-80b9b1d0da17)) - (pin "12" (uuid abfdf141-df97-4009-b0c6-563b068bc0e0)) - (pin "13" (uuid c2b49756-46dc-4c79-a902-5adf0a4fdbe0)) - (pin "14" (uuid 26a71c60-054d-49a6-b699-85023c5d7736)) - (pin "15" (uuid 74cf556b-eb34-41ee-9463-430a1b4e1473)) - (pin "16" (uuid cc604636-53d0-4d6a-ac22-76f206aa8ed1)) - (pin "17" (uuid c98ed5ea-2795-4665-8d72-e51f24c6a338)) - (pin "18" (uuid 6ebf5800-c9b7-4b69-aa64-f46559224d34)) - (pin "19" (uuid 252886b7-e4c3-4c8a-9052-c330987ed01f)) - (pin "2" (uuid 6b3fc530-97a8-4ee9-b585-fec3cfc832c6)) - (pin "20" (uuid 151c202c-1064-4e44-95af-6212cf96706e)) - (pin "3" (uuid a481ffb9-1e06-4e9a-a2c9-05c340cfdf3d)) - (pin "4" (uuid cd55c817-05f1-4a63-82de-b2b7d64e3637)) - (pin "5" (uuid a2b742f4-aa04-4a2d-90de-774c3b23fa1e)) - (pin "6" (uuid 0403b701-6353-4e52-aca9-127e7096bb84)) - (pin "7" (uuid b89cd771-fd61-46c4-a21c-3e39f5589004)) - (pin "8" (uuid 2a7c3d44-37c1-4a28-8b90-b23d9d89ad41)) - (pin "9" (uuid 5a6707ea-0429-4a20-a35a-839f6029b049)) + (pin "1" (uuid 8ae5183b-1423-4c20-8f5c-7722cdb58e01)) + (pin "10" (uuid f5122c39-2857-43ce-9bd8-9298e855e8a0)) + (pin "11" (uuid 8ef87ece-1538-45bf-bbcd-d4b81ccd9958)) + (pin "12" (uuid f442fc53-9480-4368-a3bf-e4882bf09f40)) + (pin "13" (uuid 5dc9a31a-699d-4dd4-ae5d-5739a09cc26a)) + (pin "14" (uuid f426d365-6977-42fe-9d03-80f13a865e7c)) + (pin "15" (uuid 8e8ff017-d48c-4972-80c6-bc9de837b52d)) + (pin "16" (uuid e0c5b571-846a-43f5-bc9d-a0bcd3f0950e)) + (pin "17" (uuid 16d577d0-83a5-43bd-90c7-4085e8f6ecdf)) + (pin "18" (uuid 265fdef7-374a-4fe7-87d5-eaa74bb61a67)) + (pin "19" (uuid 8ab7ae73-18aa-48af-b687-f3e319b5026b)) + (pin "2" (uuid 35b0c32d-bee4-43bd-9f67-2b388b0edfd4)) + (pin "20" (uuid d49bc487-2260-4b19-9a8e-91b3f6df61e1)) + (pin "3" (uuid fb7ed037-1929-4be4-9d25-2aef0984a522)) + (pin "4" (uuid 22e48dce-3f4b-4974-b14e-1b2800ea7dd5)) + (pin "5" (uuid 1b17c37c-09a2-41e0-b934-bc45d0f5ed45)) + (pin "6" (uuid 793a4104-3773-420b-af76-8663b5b65032)) + (pin "7" (uuid abadb8a1-bfd8-49ab-9724-3ece900a5f56)) + (pin "8" (uuid 0d35361d-bcae-4ad2-863b-09773dec68cf)) + (pin "9" (uuid 230183f1-2c59-4728-b791-bc1479e222f7)) ) - (symbol (lib_id "power:+5V") (at 119.38 104.14 0) (unit 1) + (symbol (lib_id "power:+3V3") (at 119.38 104.14 0) (unit 1) (in_bom yes) (on_board yes) - (uuid ed56035b-1e99-44c4-a1ae-a396096a6380) + (uuid e156411d-4d53-41e3-b629-be2ab77c7c79) (property "Reference" "#PWR?" (id 0) (at 119.38 107.95 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "+5V" (id 1) (at 119.38 100.33 0)) + (property "Value" "+3V3" (id 1) (at 119.38 100.33 0)) (property "Footprint" "" (id 2) (at 119.38 104.14 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "" (id 3) (at 119.38 104.14 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid c01a317a-7631-44e5-8b39-81c10fa07c65)) + (pin "1" (uuid eb268017-d925-4356-abca-71563b277372)) ) - (symbol (lib_id "power:+5V") (at 116.84 63.5 0) (unit 1) + (symbol (lib_id "Device:R_Small") (at 158.75 116.84 90) (unit 1) (in_bom yes) (on_board yes) - (uuid ede8c0df-c0fc-45e9-8726-e6fce50bb812) - (property "Reference" "#PWR?" (id 0) (at 116.84 67.31 0) + (uuid eee87bd0-bb32-4d08-81fb-91a50d0518c8) + (property "Reference" "R?" (id 0) (at 158.75 102.87 90)) + (property "Value" "47" (id 1) (at 158.75 105.41 90) + (effects (font (size 1.27 1.27)) (justify top)) + ) + (property "Footprint" "" (id 2) (at 158.75 116.84 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "+5V" (id 1) (at 116.84 59.69 0)) - (property "Footprint" "" (id 2) (at 116.84 63.5 0) + (property "Datasheet" "~" (id 3) (at 158.75 116.84 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 116.84 63.5 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 812a50a5-58ec-4978-a7a9-31d1298e3baa)) + (pin "1" (uuid 1605c263-d41b-47c2-bd10-8fff155c23cf)) + (pin "2" (uuid b2dc807f-389c-4110-890d-48b1a42ffb66)) ) - (symbol (lib_id "power:GND") (at 144.78 68.58 0) (unit 1) + (symbol (lib_id "power:GND") (at 142.24 67.31 0) (unit 1) (in_bom yes) (on_board yes) (uuid f6c9ddac-af5d-40e7-a4d8-a093af50db56) - (property "Reference" "#PWR?" (id 0) (at 144.78 74.93 0) + (property "Reference" "#PWR?" (id 0) (at 142.24 73.66 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "GND" (id 1) (at 144.78 72.39 0)) - (property "Footprint" "" (id 2) (at 144.78 68.58 0) + (property "Value" "GND" (id 1) (at 142.24 71.12 0)) + (property "Footprint" "" (id 2) (at 142.24 67.31 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 144.78 68.58 0) + (property "Datasheet" "" (id 3) (at 142.24 67.31 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 78e0236e-9e27-4987-9967-ac957e27f78a)) ) - (symbol (lib_id "power:+5V") (at 45.72 162.56 0) (unit 1) + (symbol (lib_id "power:+3V3") (at 233.68 88.9 0) (unit 1) (in_bom yes) (on_board yes) - (uuid f724b63a-b103-4088-a73c-5f2dfb7394f7) - (property "Reference" "#PWR?" (id 0) (at 45.72 166.37 0) + (uuid fc3c070b-10cb-498e-92c1-3106420cad37) + (property "Reference" "#PWR?" (id 0) (at 233.68 92.71 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "+5V" (id 1) (at 45.72 158.75 0)) - (property "Footprint" "" (id 2) (at 45.72 162.56 0) + (property "Value" "+3V3" (id 1) (at 233.68 85.09 0)) + (property "Footprint" "" (id 2) (at 233.68 88.9 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 45.72 162.56 0) + (property "Datasheet" "" (id 3) (at 233.68 88.9 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid ec438abe-2aaf-4645-92be-b4b63300f080)) - ) - - (symbol (lib_id "power:+5V") (at 45.72 104.14 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid f7efdc6a-3d8c-44f3-bde2-124c2c7bcf6f) - (property "Reference" "#PWR?" (id 0) (at 45.72 107.95 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+5V" (id 1) (at 45.72 100.33 0)) - (property "Footprint" "" (id 2) (at 45.72 104.14 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 45.72 104.14 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 5b565155-edfe-4481-8b43-9d90508f543f)) + (pin "1" (uuid 593f48ec-293e-4a37-96f2-413bbd536425)) ) ) diff --git a/RAM.kicad_sch b/RAM.kicad_sch index 36a9cce..fffe7e1 100644 --- a/RAM.kicad_sch +++ b/RAM.kicad_sch @@ -384,9 +384,6 @@ ) ) - (junction (at 148.59 137.16) (diameter 0) (color 0 0 0 0) - (uuid 10e224ba-7542-4a44-a133-a36e5443ce15) - ) (junction (at 109.22 62.23) (diameter 0) (color 0 0 0 0) (uuid 124ce659-22a5-4a84-b30d-e5ec849b4e60) ) @@ -437,62 +434,26 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 01422660-08c8-48f3-98ca-26cbe7f98f5b) ) - (bus_entry (at 184.15 119.38) (size -2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 05260391-90b4-41dc-8277-5fb01ce4c624) - ) (bus_entry (at 125.73 96.52) (size -2.54 -2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 08fa8ff6-09a7-484c-b1d9-0e3b7c49bb26) ) - (bus_entry (at 140.97 111.76) (size 2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 0dc92659-12ad-4aa9-b12c-fb4277e3bc8e) - ) (bus_entry (at 125.73 81.28) (size -2.54 -2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 0dcb5ab5-f291-489d-b2bc-0f0b25b801ee) ) - (bus_entry (at 184.15 101.6) (size -2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 0e55eae4-41d6-4e1f-b9b9-33c7bce90a6c) - ) - (bus_entry (at 140.97 114.3) (size 2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 0f253d84-2c9d-41db-afb4-61a1c9728fec) - ) - (bus_entry (at 184.15 114.3) (size -2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 10b8e21b-1508-4e04-b60b-af1833b177f1) - ) (bus_entry (at 125.73 114.3) (size -2.54 -2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 12481f4a-71b0-43a4-a69b-bc048ed999f0) ) - (bus_entry (at 184.15 81.28) (size -2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 177f826e-c4c0-4114-b702-1497af2d28e6) - ) - (bus_entry (at 184.15 86.36) (size -2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 1c8a6c42-81e5-46c8-9f0b-d13fce31c4f3) - ) (bus_entry (at 82.55 99.06) (size 2.54 -2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 2276bf47-b441-4aa2-ba22-8213875ce0ee) ) - (bus_entry (at 184.15 106.68) (size -2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 2ae21e18-28f6-4dc8-812a-bf69f148b14b) - ) (bus_entry (at 82.55 96.52) (size 2.54 -2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 2af1d271-3c6a-476d-8eba-6b2aab466da3) ) - (bus_entry (at 184.15 91.44) (size -2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 2d92913b-b10a-4e33-88e6-bed9cbb45533) - ) (bus_entry (at 125.73 83.82) (size -2.54 -2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 30b75c25-1d2c-45e7-83e2-bb3be98f8f83) @@ -501,25 +462,9 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 321eb03e-d5d7-4c98-9326-4c49d56670ae) ) - (bus_entry (at 184.15 111.76) (size -2.54 -2.54) + (bus_entry (at 82.55 119.38) (size 2.54 -2.54) (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 34bb1625-07a0-46d2-9d92-c32119c19d7e) - ) - (bus_entry (at 184.15 88.9) (size -2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 393956ef-305f-4c68-8749-1b473d86b411) - ) - (bus_entry (at 184.15 109.22) (size -2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 394b4d06-17cb-4442-a092-c42059686df8) - ) - (bus_entry (at 140.97 124.46) (size 2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 3d2b4e20-136c-4d02-b4c2-1c80ef6c2c9b) - ) - (bus_entry (at 140.97 96.52) (size 2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 46728674-a9c9-4425-805f-e4cf02ceaf89) + (uuid 3deb9243-8509-4c83-9350-07772445efc6) ) (bus_entry (at 125.73 116.84) (size -2.54 -2.54) (stroke (width 0) (type default) (color 0 0 0 0)) @@ -529,10 +474,6 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 5a63aa46-8c18-43d5-8def-1c886562be17) ) - (bus_entry (at 184.15 96.52) (size -2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 5b349ba5-3f75-443b-9db5-f65053e5c0b0) - ) (bus_entry (at 125.73 119.38) (size -2.54 -2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 5c9202d7-6a93-43b3-87c0-77347fd72885) @@ -545,26 +486,10 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 628f0a9f-12ce-4a6a-8ea2-8c2cdfc4161e) ) - (bus_entry (at 140.97 93.98) (size 2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 630f86bc-4601-4dc9-9edf-85630d1d0f26) - ) (bus_entry (at 125.73 99.06) (size -2.54 -2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 65e58d89-f213-4051-b36b-7b3454867ad5) ) - (bus_entry (at 140.97 116.84) (size 2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 69aeb890-2d3f-4938-99d2-7d890dcf8056) - ) - (bus_entry (at 140.97 101.6) (size 2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 6b76fd2f-4bea-4ad9-86fd-0a42c420b3a6) - ) - (bus_entry (at 184.15 93.98) (size -2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 6ec34e58-972b-4755-982c-4b24d42cc5f1) - ) (bus_entry (at 125.73 109.22) (size -2.54 -2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 6f13bfbf-7f19-4b33-9de2-b8c15c8c88ee) @@ -573,10 +498,6 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 7410568a-af90-4a4e-a67d-5fd1863e0d95) ) - (bus_entry (at 140.97 104.14) (size 2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 78fa4ccb-9aa6-482e-8db9-07c99e3fdd52) - ) (bus_entry (at 82.55 111.76) (size 2.54 -2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 7984c59d-64f6-424c-8273-5bab21ab292d) @@ -613,10 +534,6 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 9d541d6f-313d-4469-a000-68242c1dd6d6) ) - (bus_entry (at 184.15 104.14) (size -2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 9f816eab-83a0-4919-a190-1b1d7966d746) - ) (bus_entry (at 82.55 101.6) (size 2.54 -2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid a5dfaf18-d33f-45c4-b76f-2a5051ec9118) @@ -625,38 +542,10 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid a9902d98-374b-4b3d-9290-ae6a0a818d6b) ) - (bus_entry (at 140.97 99.06) (size 2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid a9c77ef9-8f4b-4f6f-bff9-1ca604fa2318) - ) (bus_entry (at 125.73 86.36) (size -2.54 -2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid baaf14d0-0c5c-4bf0-82d7-5ee71082500d) ) - (bus_entry (at 140.97 109.22) (size 2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid bc165b81-ef7f-4a7e-bc44-b8d51c7d7b33) - ) - (bus_entry (at 140.97 121.92) (size 2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid be6f6538-becc-45ab-9c21-7a9bbc568e37) - ) - (bus_entry (at 184.15 116.84) (size -2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid c0604831-9e53-4866-9d6e-39a940d64460) - ) - (bus_entry (at 140.97 88.9) (size 2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid cd92e489-dd4f-4e1e-a2ec-6d9b63b60e9e) - ) - (bus_entry (at 140.97 91.44) (size 2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d2091f16-d9d6-487c-abc3-31ef891a7db9) - ) - (bus_entry (at 184.15 83.82) (size -2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d5d22b80-816f-4063-8f86-04aca7d26fb4) - ) (bus_entry (at 82.55 91.44) (size 2.54 -2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid eaab2e59-ff73-4d74-b3d3-7e7c2515083f) @@ -665,14 +554,6 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid ee80c1b4-78a3-4713-a7cd-fc09dd9d2b28) ) - (bus_entry (at 184.15 99.06) (size -2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid f18a5f8d-40e1-4db8-9f14-0e4d83a0b298) - ) - (bus_entry (at 140.97 106.68) (size 2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid ff2e249d-c4d7-41c7-a8e3-9ed3c2b7d6ca) - ) (bus (pts (xy 82.55 88.9) (xy 82.55 91.44)) (stroke (width 0) (type default) (color 0 0 0 0)) @@ -691,59 +572,15 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 0577beb6-fe9c-49d6-88c3-b0dc41d06ee3) ) - (bus (pts (xy 140.97 88.9) (xy 139.7 88.9)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 05ac78c4-e279-4cde-bb41-374a58915de0) - ) - (wire (pts (xy 148.59 121.92) (xy 143.51 121.92)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 06bc29a5-1f0c-4200-b490-c5e8567120d9) - ) (wire (pts (xy 90.17 104.14) (xy 85.09 104.14)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 09741e1c-c412-4f50-b5b7-03d5820a1bad) ) - (bus (pts (xy 184.15 93.98) (xy 184.15 96.52)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 09bee70e-9a62-4e97-b764-0f8c0c5b0783) - ) - - (wire (pts (xy 148.59 119.38) (xy 143.51 119.38)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 0b358574-b2f8-48db-a51f-e4982d2965c6) - ) - (wire (pts (xy 148.59 114.3) (xy 143.51 114.3)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 0c43424e-1e25-42be-aa81-bd2d405322f0) - ) - (bus (pts (xy 140.97 121.92) (xy 140.97 124.46)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 0d3247f2-3f4f-43f1-b846-7059d254b1e6) - ) - (bus (pts (xy 184.15 88.9) (xy 184.15 91.44)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 0d85b503-85f1-489a-a5e6-940c03237d51) - ) - (wire (pts (xy 68.58 67.31) (xy 78.74 67.31)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 0e1c6bbc-4cc4-4ce9-b48a-8292bb286da8) ) - (bus (pts (xy 184.15 83.82) (xy 184.15 86.36)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 0f9d6e86-b3ca-4974-b267-81eb8eca3255) - ) - - (wire (pts (xy 181.61 78.74) (xy 173.99 78.74)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 10a19f5e-6b93-4346-8d22-5711c8aad825) - ) - (bus (pts (xy 140.97 111.76) (xy 140.97 114.3)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 16bac937-0bd7-435f-a99a-a9b64d18af38) - ) - (wire (pts (xy 78.74 62.23) (xy 88.9 62.23)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 1a9f0d73-6986-450b-8da5-dca8d718cd0d) @@ -756,6 +593,10 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 27d84b5d-9e97-494c-b6ba-07ac346905f2) ) + (wire (pts (xy 115.57 125.73) (xy 115.57 129.54)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 321d353b-8a5b-4344-b862-8e7e7113720a) + ) (bus (pts (xy 125.73 83.82) (xy 125.73 86.36)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 33888f33-df32-46b0-aab6-652461032799) @@ -765,27 +606,6 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 338b7824-6fa7-42ef-b79a-c6dc90689f4e) ) - (bus (pts (xy 140.97 109.22) (xy 140.97 111.76)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 3393a51e-d84e-43e6-b72b-0a42b0992247) - ) - (bus (pts (xy 140.97 121.92) (xy 139.7 121.92)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 356dc65c-0c00-46af-8df8-b060e77d15a9) - ) - - (wire (pts (xy 173.99 93.98) (xy 181.61 93.98)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 3628b7f9-eb07-4f3a-8d5b-a16229948c87) - ) - (wire (pts (xy 148.59 93.98) (xy 143.51 93.98)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 3628c181-ff97-455f-ac7f-d9aec2fdc0f2) - ) - (wire (pts (xy 173.99 111.76) (xy 181.61 111.76)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 37195207-e347-46cd-84ed-d01fb9aa48ae) - ) (bus (pts (xy 82.55 121.92) (xy 82.55 124.46)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 375273fa-b86e-4881-80d4-5629fa074c83) @@ -794,20 +614,11 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 38675da5-c4b2-44f5-93b2-d7bd3a603394) ) - (bus (pts (xy 82.55 121.92) (xy 81.28 121.92)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 3c7bc3fe-e32d-43a5-9b67-23e41acaba64) - ) (wire (pts (xy 90.17 109.22) (xy 85.09 109.22)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 3d0a8609-a059-4734-b988-da00f509164d) ) - (bus (pts (xy 184.15 116.84) (xy 184.15 119.38)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 4000e548-e18c-43ec-ac42-492a10db9649) - ) - (wire (pts (xy 123.19 81.28) (xy 115.57 81.28)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 40415c49-a61c-4fd6-a3e4-d55a8f8b8c4e) @@ -816,10 +627,6 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 414a1d4c-7afc-4ffa-8579-88675cedc4ce) ) - (bus (pts (xy 140.97 104.14) (xy 140.97 106.68)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 41e22c11-56a4-4762-b965-e26977d579eb) - ) (bus (pts (xy 125.73 111.76) (xy 125.73 114.3)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 4873eadd-6b1c-4281-b0db-c374150b811d) @@ -829,18 +636,10 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 4967b607-aecb-4ea7-97dd-e4b556bc1c44) ) - (wire (pts (xy 148.59 99.06) (xy 143.51 99.06)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 4bd51667-6589-43fd-8230-7a842fabf419) - ) (wire (pts (xy 90.17 91.44) (xy 85.09 91.44)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 4d7ffc75-3dd8-46f7-86f3-405d41c4571a) ) - (wire (pts (xy 173.99 109.22) (xy 181.61 109.22)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 4f6beab7-f05c-49cd-aa96-c20f7addc3fc) - ) (bus (pts (xy 125.73 104.14) (xy 125.73 106.68)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 4fdc6f75-8340-4409-8f63-630060a51bc4) @@ -872,10 +671,6 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 56dc9d1a-d125-4218-be7e-afbadad9f13c) ) - (wire (pts (xy 148.59 134.62) (xy 148.59 137.16)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 5769661d-9c3d-4fb1-8ad7-72f6f5b80a57) - ) (bus (pts (xy 127 81.28) (xy 125.73 81.28)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 5daf2c3c-7702-4a59-b99d-84464c054bc4) @@ -884,40 +679,15 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 5e0cac49-a214-4ecf-93b7-08dfd06a7b12) ) - (bus (pts (xy 140.97 91.44) (xy 140.97 93.98)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 5f9744f4-860b-4ea5-a672-977f02582fdb) - ) - (bus (pts (xy 184.15 114.3) (xy 184.15 116.84)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 5fea2ffa-2310-4c9f-8110-b7a930a7fe08) - ) (wire (pts (xy 78.74 67.31) (xy 88.9 67.31)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 60ca4740-3009-4486-93d6-c2502818122b) ) - (wire (pts (xy 173.99 88.9) (xy 181.61 88.9)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 6147759b-3120-4664-afd0-7e239b405994) - ) - (bus (pts (xy 140.97 96.52) (xy 140.97 99.06)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 62c7546c-da9d-42b8-9e5d-71407ffbb08f) - ) - (wire (pts (xy 99.06 67.31) (xy 109.22 67.31)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 631fad6b-39ab-4683-8f03-06d96c2cffa8) ) - (bus (pts (xy 184.15 96.52) (xy 184.15 99.06)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 660886de-6aa9-4f0e-b6cf-611d7e5c54f1) - ) - (bus (pts (xy 140.97 106.68) (xy 140.97 109.22)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 6616f351-4b6b-49d6-8308-8072d5c494e1) - ) (bus (pts (xy 82.55 109.22) (xy 82.55 111.76)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 6c3ce6af-6581-49de-9e44-109535b22e0f) @@ -927,22 +697,10 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 6cbd23ab-044d-4d6c-a58a-ab9085806702) ) - (wire (pts (xy 181.61 81.28) (xy 173.99 81.28)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 6f8fb52d-0b6c-4728-98bb-2b532e295dfc) - ) - (wire (pts (xy 148.59 101.6) (xy 143.51 101.6)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 70000015-63ca-4ebb-86ca-53a97bd11814) - ) (bus (pts (xy 125.73 101.6) (xy 125.73 104.14)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 7225879d-a905-4c19-8a6c-5e3e7090f557) ) - (bus (pts (xy 184.15 104.14) (xy 184.15 106.68)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 74035fa2-e8aa-4ca8-926d-435fc7f6ea24) - ) (wire (pts (xy 90.17 96.52) (xy 85.09 96.52)) (stroke (width 0) (type default) (color 0 0 0 0)) @@ -952,9 +710,9 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 7a98891e-9724-4fe4-9e81-ab637ffbc5f7) ) - (bus (pts (xy 184.15 81.28) (xy 184.15 83.82)) + (bus (pts (xy 82.55 119.38) (xy 82.55 121.92)) (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 7b38c82d-2fe7-4602-8b59-7b6a9ed64edf) + (uuid 7d52ba7d-c323-4adc-97a1-e6a337326d2a) ) (wire (pts (xy 115.57 93.98) (xy 123.19 93.98)) @@ -965,23 +723,11 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 7fd52937-e33d-4e45-9e5c-1502a260bb0a) ) - (bus (pts (xy 140.97 114.3) (xy 140.97 116.84)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 83a30ad5-e770-428d-80c0-280c9f4ee519) - ) - (wire (pts (xy 181.61 101.6) (xy 173.99 101.6)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 86bcda26-fb15-456b-8be8-ed842f451f38) - ) (wire (pts (xy 90.17 106.68) (xy 85.09 106.68)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 874dbaf8-adf6-4f01-81a0-e037bac53346) ) - (wire (pts (xy 173.99 96.52) (xy 181.61 96.52)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 876b1c2f-b178-4cdd-bb52-276e13c37bcb) - ) (wire (pts (xy 123.19 78.74) (xy 115.57 78.74)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 8a3381a5-19d1-47f5-85b0-cf20b0f3bb61) @@ -990,10 +736,6 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 8e238ed5-097b-486b-923a-8a1bfd9eb135) ) - (bus (pts (xy 140.97 101.6) (xy 140.97 104.14)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 8fbee0e4-28d8-4146-b657-9a60cdb351ce) - ) (wire (pts (xy 123.19 104.14) (xy 115.57 104.14)) (stroke (width 0) (type default) (color 0 0 0 0)) @@ -1007,46 +749,28 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 95bbe087-b236-4671-a367-256052c9a762) ) - - (wire (pts (xy 148.59 91.44) (xy 143.51 91.44)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 9a495e7e-dc3d-461f-8a3c-71f4e928ea10) - ) (bus (pts (xy 82.55 96.52) (xy 82.55 99.06)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 9bd3a064-202d-4a58-809f-4acefa255d99) ) - (wire (pts (xy 148.59 106.68) (xy 143.51 106.68)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 9bd4afbb-250e-4d8e-8288-de7b6e60b9c2) - ) (wire (pts (xy 90.17 114.3) (xy 85.09 114.3)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 9d4bb085-5413-4cad-9765-4f916ffbe612) ) - (bus (pts (xy 184.15 111.76) (xy 184.15 114.3)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 9ee1c189-96c4-4cf0-a5be-4f37e31b02c0) - ) - (wire (pts (xy 115.57 96.52) (xy 123.19 96.52)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid a06bd114-6488-4d22-b31a-c3a8f70a2574) ) - (wire (pts (xy 181.61 83.82) (xy 173.99 83.82)) + (bus (pts (xy 82.55 116.84) (xy 82.55 119.38)) (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid a1946092-6c59-4f5e-bb0c-746189ad81c6) + (uuid a0e6f122-663f-4f08-8ef9-ba6597cef168) ) + (wire (pts (xy 255.27 106.68) (xy 265.43 106.68)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid a281de60-7af0-498c-be0b-24572e88b490) ) - (bus (pts (xy 140.97 88.9) (xy 140.97 91.44)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid a30691d8-41ff-4d37-9f96-27b455552e7b) - ) - (wire (pts (xy 115.57 111.76) (xy 123.19 111.76)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid af66589f-0dae-4737-851f-f8cddd35005b) @@ -1056,19 +780,10 @@ (uuid b13ec6aa-ced8-41c3-a177-f5f5e220aa52) ) - (wire (pts (xy 148.59 111.76) (xy 143.51 111.76)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid b142143f-2b34-45bf-a55d-d453a127d38b) - ) (wire (pts (xy 109.22 62.23) (xy 119.38 62.23)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid b16f84f3-f0f9-4259-bc5f-fc9ce360b3ba) ) - (bus (pts (xy 140.97 99.06) (xy 140.97 101.6)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid b21ac4f3-499f-4ce3-9f14-ef538d619140) - ) - (wire (pts (xy 90.17 93.98) (xy 85.09 93.98)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid b2691466-e53b-4f43-806f-abeb762713f6) @@ -1077,10 +792,6 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid b285d77c-3eef-4763-b6e4-d7759b529dfd) ) - (wire (pts (xy 173.99 99.06) (xy 181.61 99.06)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid b37e41f6-e4ba-4167-a4df-c69e6eed353f) - ) (wire (pts (xy 90.17 88.9) (xy 85.09 88.9)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid b3dbf4ad-71cb-48f5-9655-41b47deeea78) @@ -1093,26 +804,10 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid b4eddc61-2cab-493a-b874-62b106cef9f4) ) - (wire (pts (xy 173.99 91.44) (xy 181.61 91.44)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid b76da3c4-2b66-4945-8f39-da8524a67762) - ) - (wire (pts (xy 181.61 86.36) (xy 173.99 86.36)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid beda529d-7b73-44f5-9ba1-c709631c4297) - ) (bus (pts (xy 125.73 106.68) (xy 125.73 109.22)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid bedd5cce-9524-40aa-b156-aa5a5a468593) ) - (bus (pts (xy 184.15 101.6) (xy 184.15 104.14)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid c5bfe0b9-6b16-4310-bafc-08fcbaee81b9) - ) - (bus (pts (xy 184.15 99.06) (xy 184.15 101.6)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid c6c05e35-b73d-464e-9693-325115d10197) - ) (wire (pts (xy 255.27 111.76) (xy 265.43 111.76)) (stroke (width 0) (type default) (color 0 0 0 0)) @@ -1126,10 +821,6 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid ca6a2ccc-3fc7-4ad2-a324-eb2962fcbcc3) ) - (wire (pts (xy 148.59 88.9) (xy 143.51 88.9)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid cb0db2c2-ad1f-4097-81d6-0556e94e6ae4) - ) (bus (pts (xy 82.55 99.06) (xy 82.55 101.6)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid cc7dec98-7963-418e-b337-d0d17ddb4cf5) @@ -1139,10 +830,6 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid cc93ecb4-fd7b-48b7-868d-89f294f07c27) ) - (wire (pts (xy 148.59 96.52) (xy 143.51 96.52)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid cdd6997b-fb50-4a2b-98c0-544731a95bc7) - ) (wire (pts (xy 115.57 99.06) (xy 123.19 99.06)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid ceb65f05-08ce-47e9-8a7e-aa1335099416) @@ -1151,10 +838,6 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid d01db8e2-ff5c-4b8b-9fdc-c98abb49de15) ) - (bus (pts (xy 185.42 81.28) (xy 184.15 81.28)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d1077a3f-8bf9-43e3-9a88-ee3e09fffae6) - ) (bus (pts (xy 125.73 96.52) (xy 125.73 99.06)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid d1257be0-2394-4f08-8984-f580162b15f9) @@ -1164,32 +847,19 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid d1dfde70-d9fc-446f-93d2-31e0ac9baaa9) ) + (wire (pts (xy 118.11 125.73) (xy 115.57 125.73)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d4efc46d-fbd5-4b50-a216-9122bb337700) + ) (bus (pts (xy 125.73 81.28) (xy 125.73 83.82)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid d7fccf28-3bfa-4b51-bf91-5d4755a0686e) ) - (wire (pts (xy 148.59 104.14) (xy 143.51 104.14)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid db86ad11-655a-4e5e-923b-66c624624349) - ) (wire (pts (xy 123.19 83.82) (xy 115.57 83.82)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid db97118a-0872-4a5d-aaa5-b35f9498f22a) ) - (wire (pts (xy 181.61 106.68) (xy 173.99 106.68)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid deb2da8f-9a88-4d97-b59a-97e1e20ecd06) - ) - (bus (pts (xy 184.15 109.22) (xy 184.15 111.76)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid e3dbdfce-6f83-4601-88dd-0b32b9e08670) - ) - - (wire (pts (xy 173.99 114.3) (xy 181.61 114.3)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid e449217e-99d2-49ba-88db-d4a6008a73c6) - ) (bus (pts (xy 125.73 88.9) (xy 125.73 91.44)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid e6d65fe6-33d3-404d-bd50-bec159d0729f) @@ -1203,32 +873,15 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid e9597133-3d67-41f8-aabc-5b61d8d3c3c1) ) - (wire (pts (xy 148.59 109.22) (xy 143.51 109.22)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid ea07ecdc-6e01-40f3-9b1a-888b28830bd6) - ) - (bus (pts (xy 184.15 86.36) (xy 184.15 88.9)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid ec67477c-adb1-438f-a61a-a9af85713e3d) - ) (bus (pts (xy 82.55 88.9) (xy 81.28 88.9)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid ee6e4a23-bb7c-4f28-ab56-3ba1b79e1c04) ) - (wire (pts (xy 173.99 116.84) (xy 181.61 116.84)) + (wire (pts (xy 90.17 116.84) (xy 85.09 116.84)) (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid ef175cd3-5cfb-47b7-b9f0-7ddc24cd631c) + (uuid f7725595-182c-4d24-8618-73b440bfe6ef) ) - (bus (pts (xy 184.15 91.44) (xy 184.15 93.98)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid f323dfdb-8d6b-4976-8e68-72f0c0a79831) - ) - (bus (pts (xy 184.15 106.68) (xy 184.15 109.22)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid f4b87436-56c1-4238-ad77-1123cc960631) - ) - (wire (pts (xy 90.17 99.06) (xy 85.09 99.06)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid f9570ec9-4338-4208-aee7-369a45a284f8) @@ -1237,84 +890,36 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid fc9bfd31-eb15-46ca-bfb1-f43c1d130e3f) ) - (bus (pts (xy 140.97 93.98) (xy 140.97 96.52)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid fe9eb1c6-923e-4e87-9651-d1ecdad85194) - ) (wire (pts (xy 90.17 119.38) (xy 85.09 119.38)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid ff3519e5-1423-496e-accd-df5cbd6b4a3a) ) - (wire (pts (xy 181.61 104.14) (xy 173.99 104.14)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid ff37b653-8520-4c89-aa03-270308bec652) - ) - (wire (pts (xy 148.59 86.36) (xy 143.51 86.36)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid ff4aaa8c-75dc-4312-b46c-755bde77c50c) - ) - (label "RA11" (at 90.17 114.3 180) + (label "A20" (at 90.17 114.3 180) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 059f4155-bed3-4fb2-9baa-d569f31b7e5d) ) - (label "D25" (at 173.99 101.6 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 0c75f908-d47a-4c66-a29b-6c3365e61888) - ) - (label "RA2" (at 90.17 91.44 180) + (label "A11" (at 90.17 91.44 180) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 12c9f3e1-9431-42f8-b6f8-fb6fd35fc1cb) ) - (label "D28" (at 173.99 109.22 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 182d9a08-1fe3-4e26-a9fe-16eca7a98ff1) - ) - (label "D19" (at 173.99 86.36 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 1daf1a66-26c3-45f8-b20a-55b28ff2d2af) - ) - (label "RA8" (at 148.59 106.68 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 1e82d4fe-cd3a-4f89-a16e-4f2fbbc9ac1c) - ) - (label "RA6" (at 148.59 101.6 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 27afa9b4-8c6a-4bdc-bb32-f4eb7b8d2f56) - ) (label "D5" (at 115.57 81.28 0) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 27b32d30-a0e6-48e4-8f63-c61987047d29) ) - (label "RA1" (at 148.59 88.9 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 3187e9dc-0a8f-4b29-8de0-f1466158d993) - ) - (label "D26" (at 173.99 104.14 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 31e07917-5f7d-4bdb-b821-455a194b8bf8) - ) - (label "D17" (at 173.99 81.28 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 3495fa60-fa4c-448d-ad32-e54b5f7e06b4) - ) - (label "BA0" (at 148.59 119.38 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 36af3662-0ff4-4e67-ad25-3d6d0fdec2bc) - ) (label "D12" (at 115.57 114.3 0) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 39125f99-6caa-4e69-9ae5-ca3bd6e3a49c) ) - (label "RA9" (at 148.59 109.22 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 3ba23c21-79e3-4fb4-acd8-b261407623c3) - ) - (label "RA8" (at 90.17 106.68 180) + (label "A17" (at 90.17 106.68 180) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 45fc93ca-f8ba-48a8-9189-1c9886475cd3) ) + (label "A21" (at 90.17 116.84 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 4913fb5a-e4de-4788-9973-b122df2d191b) + ) (label "D4" (at 115.57 93.98 0) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 5125c4d9-cf5c-4fe5-9dc8-c939e40fcd6f) @@ -1323,10 +928,6 @@ (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 58728297-c362-4c70-a751-4d60ffa81b1a) ) - (label "RA3" (at 148.59 93.98 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 596afc99-1d2b-4512-8cf6-cb529caa371c) - ) (label "D3" (at 115.57 83.82 0) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 5f7505cc-53a6-463b-b397-33ff845b1ac0) @@ -1339,23 +940,7 @@ (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 629a8f16-49cc-4d17-b212-962c61176e77) ) - (label "RA10" (at 148.59 111.76 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 64f20e02-f49a-4c8a-afa7-4bb65cadd4d8) - ) - (label "D22" (at 173.99 93.98 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 65410bcb-5769-460c-9b3c-a4ba7e0961a0) - ) - (label "RA11" (at 148.59 114.3 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 6b213b67-c61f-4f12-a013-d740004fcfe4) - ) - (label "RA5" (at 148.59 99.06 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 6f0924e6-e040-4677-9183-ef38523708ad) - ) - (label "RA10" (at 90.17 111.76 180) + (label "A19" (at 90.17 111.76 180) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 6fb8126a-bcf3-40a3-924c-e2fbe8dba36a) ) @@ -1367,15 +952,11 @@ (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 7b58219a-a31d-4ba4-804a-77c6d706d8bc) ) - (label "RA6" (at 90.17 101.6 180) + (label "A15" (at 90.17 101.6 180) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 802bd717-75a4-4efc-bdc3-ab512c6bce65) ) - (label "D18" (at 173.99 83.82 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 88d0c334-8b98-47f8-9254-cdac128645fa) - ) - (label "RA5" (at 90.17 99.06 180) + (label "A14" (at 90.17 99.06 180) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 88ea0fe3-17bb-45bf-bf71-4da88c965186) ) @@ -1383,55 +964,27 @@ (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 8aab4608-39e8-491a-83a8-7194f36094f1) ) - (label "RA0" (at 148.59 86.36 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 90d47313-a228-4fd5-a9c0-185368fd0ea6) - ) - (label "D30" (at 173.99 114.3 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 94e4c607-ffee-4e04-a28f-4adf2033d20d) - ) - (label "D20" (at 173.99 88.9 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 9dbf9a17-a910-453c-927e-f25e3c11660f) - ) (label "D1" (at 115.57 86.36 0) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 9efb25aa-d11e-4d2f-96a9-326a2f75dcc1) ) - (label "RA1" (at 90.17 88.9 180) + (label "A9" (at 90.17 88.9 180) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 9fbabfd5-5316-4dcb-8d99-3c53b9c69880) ) - (label "RA4" (at 148.59 96.52 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid a0578197-807c-4532-8814-66dbe4fd11b6) - ) - (label "D27" (at 173.99 106.68 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid a7cd8cb6-6a0a-455e-acbc-5eb9f2deb8d6) - ) - (label "RA9" (at 90.17 109.22 180) + (label "A18" (at 90.17 109.22 180) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid b400c80e-5312-495d-b0d5-8365ed4de032) ) - (label "D29" (at 173.99 111.76 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid b54b722f-2f75-4ef5-a0dd-abbf2a3abc53) - ) - (label "RA0" (at 90.17 86.36 180) + (label "A8" (at 90.17 86.36 180) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid b8eb5c02-d344-4431-a592-0e7ad9f9a78f) ) - (label "RA4" (at 90.17 96.52 180) + (label "A13" (at 90.17 96.52 180) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid bb7f3caf-4343-4dcb-b7b2-5479c850c4a2) ) - (label "RA7" (at 148.59 104.14 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid be53770b-75fe-454f-90f1-f4b6f407078d) - ) - (label "RA7" (at 90.17 104.14 180) + (label "A16" (at 90.17 104.14 180) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid c9863f4f-bdf5-49f4-b18e-dce622ff9931) ) @@ -1439,7 +992,7 @@ (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid cb5eb8e7-f7ba-4f62-8bfe-a6dd2b84605e) ) - (label "BA1" (at 90.17 121.92 180) + (label "A23" (at 90.17 121.92 180) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid cdea91b7-2e6f-4d8f-bd45-10949a244ab1) ) @@ -1447,76 +1000,28 @@ (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid d09d8e7f-f203-4b36-92ba-f9f29b6e7d13) ) - (label "D31" (at 173.99 116.84 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid d31b4824-d15f-47e9-a6fd-a0f2ee946206) - ) (label "D13" (at 115.57 101.6 0) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid d5ad3607-7629-4f44-bfe3-a3b510cd5b14) ) - (label "RA3" (at 90.17 93.98 180) + (label "A12" (at 90.17 93.98 180) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid d8932824-bdfc-4009-a7d0-6ff32efa7e1a) ) - (label "D24" (at 173.99 99.06 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid dc6843d8-bb48-417a-831d-82b4b112f8b1) - ) - (label "D23" (at 173.99 96.52 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid df44f2e8-b492-4b73-bfe8-8f5745b99272) - ) - (label "BA0" (at 90.17 119.38 180) + (label "A22" (at 90.17 119.38 180) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid e6ea63b4-154f-49c8-858a-d794b2fba4ed) ) - (label "BA1" (at 148.59 121.92 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid e98974fd-70bc-4e4b-9cc6-546e183ced1d) - ) (label "D8" (at 115.57 109.22 0) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid ea020aa6-c820-47b1-bdf7-82790dcca121) ) - (label "D16" (at 173.99 78.74 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid f4d364d8-8587-4882-80e4-ba76bee00a89) - ) - (label "RA2" (at 148.59 91.44 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid f526ef4c-f3cc-46f1-88c5-f43c735b90fa) - ) (label "D10" (at 115.57 111.76 0) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid f753d3ee-689c-4dd5-a288-b018ad927185) ) - (label "D21" (at 173.99 91.44 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid f7970a7d-9319-4729-93b6-55b16b91ed79) - ) - (hierarchical_label "~{CS}" (shape input) (at 115.57 129.54 0) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid 06a867a2-5d83-4b78-bd1f-0480a9b57677) - ) - (hierarchical_label "DQM2" (shape input) (at 173.99 121.92 0) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid 19893e8b-af83-45e4-835f-c925b8e101fe) - ) - (hierarchical_label "~{CAS}" (shape input) (at 173.99 134.62 0) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid 253d42df-736e-4986-a676-69123e39495d) - ) - (hierarchical_label "~{WE}" (shape input) (at 173.99 132.08 0) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid 33ff88d3-395f-484f-a102-228ff2b7dc7f) - ) - (hierarchical_label "BA[1..0]" (shape input) (at 139.7 121.92 180) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 3919af45-2f96-481a-9269-c940909dd35c) - ) - (hierarchical_label "D[31..0]" (shape bidirectional) (at 127 81.28 0) + (hierarchical_label "D[15..0]" (shape bidirectional) (at 127 81.28 0) (effects (font (size 1.27 1.27)) (justify left)) (uuid 44cd273f-f3a1-4b9a-83a6-972b276409e1) ) @@ -1524,38 +1029,10 @@ (effects (font (size 1.27 1.27)) (justify left)) (uuid 5da2e0b7-8b46-4d70-9e5a-84934afeedc9) ) - (hierarchical_label "CKE" (shape input) (at 148.59 127 180) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 5ea4253a-5f95-472a-8201-ad7f57788b28) - ) - (hierarchical_label "DQM3" (shape input) (at 173.99 119.38 0) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid 7727cdc0-3e34-4bd4-99a3-62329feed2d5) - ) - (hierarchical_label "~{CS}" (shape input) (at 173.99 129.54 0) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid 7ae8b21d-6ae2-45e5-806d-c10b8a9e9781) - ) - (hierarchical_label "CLK0" (shape input) (at 90.17 129.54 180) + (hierarchical_label "CLK" (shape input) (at 90.17 129.54 180) (effects (font (size 1.27 1.27)) (justify right)) (uuid 896596b5-006f-4e1c-b8e4-a4df1c057b88) ) - (hierarchical_label "~{RAS}" (shape input) (at 173.99 137.16 0) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid 8b13b870-0569-4959-9777-bd4554faf90d) - ) - (hierarchical_label "D[31..0]" (shape bidirectional) (at 185.42 81.28 0) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid 916be770-04e4-451e-9193-9ba78a66d468) - ) - (hierarchical_label "RA[11..0]" (shape input) (at 139.7 88.9 180) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 9c6394f6-4d7d-44ff-bf0f-a0dd87e995ce) - ) - (hierarchical_label "CLK1" (shape input) (at 148.59 129.54 180) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid a024b88d-569b-402c-9625-f179d9d85010) - ) (hierarchical_label "DQM0" (shape input) (at 115.57 121.92 0) (effects (font (size 1.27 1.27)) (justify left)) (uuid aa6dc7df-5ef0-48a7-91cd-06d0080106ce) @@ -1576,11 +1053,7 @@ (effects (font (size 1.27 1.27)) (justify right)) (uuid e226cf1d-bbef-4b94-b7a1-d68f313e78fe) ) - (hierarchical_label "BA[1..0]" (shape input) (at 81.28 121.92 180) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid e60affab-0c55-4ca8-be26-973185f5ed2c) - ) - (hierarchical_label "RA[11..0]" (shape input) (at 81.28 88.9 180) + (hierarchical_label "A[23..1]" (shape input) (at 81.28 88.9 180) (effects (font (size 1.27 1.27)) (justify right)) (uuid ef11623e-ea9c-4a76-a028-9fae209a45f2) ) @@ -1771,22 +1244,6 @@ (pin "2" (uuid 6eac18c8-4e96-4799-97cb-b578f159a843)) ) - (symbol (lib_id "power:GND") (at 148.59 137.16 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 30e9ca9b-199f-416e-a169-8ab3b9881cde) - (property "Reference" "#PWR?" (id 0) (at 148.59 143.51 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (id 1) (at 148.59 140.97 0)) - (property "Footprint" "" (id 2) (at 148.59 137.16 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 148.59 137.16 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 1b0c6a30-545f-4215-8b98-39a654a493f2)) - ) - (symbol (lib_id "GW_RAM:SDRAM-16Mx16-TSOP2-54") (at 102.87 104.14 0) (unit 1) (in_bom yes) (on_board yes) (fields_autoplaced) (uuid 35bf29bf-e562-4fa0-b441-018be365462d) @@ -1853,70 +1310,20 @@ (pin "9" (uuid 7a4d31d8-1551-4186-a31a-99f35e65fc9a)) ) - (symbol (lib_id "GW_RAM:SDRAM-16Mx16-TSOP2-54") (at 161.29 104.14 0) (unit 1) - (in_bom yes) (on_board yes) (fields_autoplaced) - (uuid 5de0888a-b58a-43c4-9d82-6c4672589dea) - (property "Reference" "U?" (id 0) (at 161.29 71.12 0)) - (property "Value" "SDRAM-16Mx16-TSOP2-54" (id 1) (at 161.29 73.66 0)) - (property "Footprint" "stdpads:Winbond_TSOPII-54" (id 2) (at 161.29 146.05 0) - (effects (font (size 1.27 1.27) italic) hide) - ) - (property "Datasheet" "" (id 3) (at 161.29 110.49 0) + (symbol (lib_id "power:GND") (at 118.11 125.73 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 5de357eb-eea4-419b-9336-16a824067e38) + (property "Reference" "#PWR?" (id 0) (at 118.11 132.08 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid 9571fc5b-2317-4c66-a242-53f91716bcdb)) - (pin "10" (uuid 904c18d2-1c7a-458f-932b-958517a2aba5)) - (pin "11" (uuid e1f2e3ed-b979-4eab-bd03-ba97df1c731e)) - (pin "12" (uuid f28eb127-3a1c-4c3f-9746-bca270c1ecfb)) - (pin "13" (uuid f071e190-7fcc-42e1-a93c-a3eaa51f8deb)) - (pin "14" (uuid 20560770-bdc5-4175-b280-3e48cc7e932f)) - (pin "15" (uuid cf917a34-ba26-4df4-ae4e-22f245e73c31)) - (pin "16" (uuid f3d9b234-71f3-4626-b4f0-8a2b09a579a4)) - (pin "17" (uuid cdcf7f38-d54c-466d-bbfe-43f6d5a3cd4c)) - (pin "18" (uuid ad7a0e83-0a81-43a8-877b-c83d726be687)) - (pin "19" (uuid c8c0347a-1956-4608-b561-6d19aa0189a1)) - (pin "2" (uuid df7dd2af-a357-4935-947c-f018a12c1746)) - (pin "20" (uuid d5d77b4e-2dfa-4abc-ab74-4f4ef812fa15)) - (pin "21" (uuid 58cf9291-129b-474d-910c-b2c437b03f66)) - (pin "22" (uuid 50c1a5d9-c692-4163-80f4-2dfcf370a9de)) - (pin "23" (uuid 32b47bb5-4f4a-4b7d-93a0-73eaf420d61d)) - (pin "24" (uuid ae427167-d717-46f8-b5f7-ed70b3128556)) - (pin "25" (uuid 8f61de5f-19fc-47e0-960c-79469b525093)) - (pin "26" (uuid de58468b-f420-40cc-8cfe-f2484037c125)) - (pin "27" (uuid e456ddab-fe78-43ca-b8f0-ff3fa0be5191)) - (pin "28" (uuid 5a85d5b3-d22c-4069-bc45-66e3739582fb)) - (pin "29" (uuid 66e9b5a0-0781-486f-b9ed-673a4298db15)) - (pin "3" (uuid a3379bda-898f-4fc3-b4ff-37e6c84757bd)) - (pin "30" (uuid b824c01e-1eb9-421b-822b-8f53945f7ebd)) - (pin "31" (uuid f9a78c41-2ecd-4839-bc38-de130edd46b2)) - (pin "32" (uuid 3ecd13fa-749d-45b8-b4a9-a8ab33ed8af6)) - (pin "33" (uuid 0fd0b10e-472a-4a4b-a682-6abf1a15ec8b)) - (pin "34" (uuid d245b216-d48f-4c7d-a448-8ab054ae6109)) - (pin "35" (uuid 3b778198-9b17-4e33-9ce2-b046f73c5151)) - (pin "36" (uuid 7fbb0d91-0cf9-4f48-b3dc-fb352750f1a6)) - (pin "37" (uuid 9512d176-3ea5-4cc6-b13e-25010017c0ee)) - (pin "38" (uuid 01ed3f9b-18db-49de-a5ba-990aea93f2a3)) - (pin "39" (uuid 44d66966-38e5-4bc3-aba8-df4070d5fd88)) - (pin "4" (uuid 83d694d3-336a-4aaf-804d-ddb803ec9d87)) - (pin "41" (uuid bf6312ac-efd2-437a-a6bd-c8846125aa80)) - (pin "42" (uuid 250e8819-dd5e-4c52-9dd8-20dac6dce14c)) - (pin "43" (uuid 42b8bb13-2b28-4281-b3d0-0ae182f6f813)) - (pin "44" (uuid b66a1fbd-b76c-4024-b1fd-d546803f39bc)) - (pin "45" (uuid 79b3eea4-2a2e-4d98-a252-a06f72201bcf)) - (pin "46" (uuid 56f620f7-e4d3-4420-9c9b-eef77f275477)) - (pin "47" (uuid 5faf7c10-b2ff-4c38-a1b7-1d131dc5cec4)) - (pin "48" (uuid fdcbbe3e-3469-4c8f-8d8d-333473d8a50a)) - (pin "49" (uuid b8d7113e-e0b3-4c26-9427-1c80ffbd2c23)) - (pin "5" (uuid 49692e10-75b0-4ebf-9ad1-1e0fea73c1f1)) - (pin "50" (uuid 5fe0564b-c992-497c-93b8-57abd8bd2a10)) - (pin "51" (uuid e86e9bbb-862d-440d-a3b8-2a8c443ed613)) - (pin "52" (uuid 9d98273d-d17e-417c-be2a-96d7408df8a6)) - (pin "53" (uuid 30b4f6f0-1f9f-4c10-8f56-cb1b43569843)) - (pin "54" (uuid 31577f87-c008-4b8d-959d-69e3b79db022)) - (pin "6" (uuid 0690c40f-2097-4eaf-939c-1527a0c8f0b7)) - (pin "7" (uuid 5052862e-119e-41e3-958d-7cbc75bf0ede)) - (pin "8" (uuid e27b700f-c2b1-4ef0-b05f-162bd9e65683)) - (pin "9" (uuid e15a6789-59b1-4cd6-b453-d09853e01526)) + (property "Value" "GND" (id 1) (at 118.11 129.54 0)) + (property "Footprint" "" (id 2) (at 118.11 125.73 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 118.11 125.73 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 9fdccb2e-ad0d-43c1-8cb9-23a07e60faa7)) ) (symbol (lib_id "Device:C_Small") (at 109.22 64.77 0) (unit 1) diff --git a/WarpSE B Pin Planning b/WarpSE B Pin Planning new file mode 100644 index 0000000..ad8a1d3 --- /dev/null +++ b/WarpSE B Pin Planning @@ -0,0 +1,30 @@ +SDRAM (23) +-------------------- +(16) D[15:0] +( 0) BA[1:0] (multiplexed on A[23:22]) +( 0) RA[12:0] (multiplexed on A[21:11, 9:8]) +( 4) CKE, RAS, CAS, WE +( 2) DQMH, DQML +( 1) CLK + +PDS (62) +-------------------- +( 2) RST, RSTOE +( 3) IPL[2:0] +( 4) AS, VMA, LDS, UDS +(24) A[23:1], R/W +( 3) AOE, AHLE, ALLE +(16) D[15:0] +( 2) DOE, DDIR +( 3) DTACK, VPA, BERR +( 2) BR, BG +( 3) C8M, C16M, E + +SPI Flash (4) +-------------------- +( 4) SCK, CS, MISO, MOSI + +JTAG + UART (6) +-------------------- +( 4) TCK, TDI, TMS, TDO +( 2) TXD, RXD diff --git a/WarpSE.kicad_pcb b/WarpSE.kicad_pcb index 00d3bc9..4c20957 100644 --- a/WarpSE.kicad_pcb +++ b/WarpSE.kicad_pcb @@ -150,75 +150,71 @@ (net 80 "/Prog/TDO") (net 81 "/Control/TDI") (net 82 "Net-(J3-Pad3)") - (net 83 "unconnected-(J2-Pad6)") - (net 84 "/Prog/USB5V") - (net 85 "Net-(J3-Pad2)") - (net 86 "unconnected-(J2-Pad7)") - (net 87 "unconnected-(J2-Pad8)") - (net 88 "unconnected-(J3-Pad4)") - (net 89 "/Buf/Dout~{OE}") - (net 90 "/Buf/Aout~{OE}") - (net 91 "/Buf/ADoutLE0") - (net 92 "/Control/U~{WE}") - (net 93 "Net-(Q1-Pad1)") - (net 94 "/Control/Mac~{BG}") - (net 95 "Net-(U16-Pad2)") - (net 96 "Net-(U16-Pad3)") - (net 97 "Net-(U16-Pad4)") - (net 98 "Net-(U16-Pad5)") - (net 99 "Net-(U16-Pad6)") - (net 100 "Net-(U16-Pad7)") - (net 101 "Net-(U16-Pad8)") - (net 102 "Net-(U16-Pad9)") - (net 103 "Net-(U13-Pad2)") - (net 104 "Net-(U13-Pad3)") - (net 105 "Net-(U13-Pad4)") - (net 106 "Net-(U13-Pad5)") - (net 107 "Net-(U13-Pad6)") - (net 108 "Net-(U13-Pad7)") - (net 109 "Net-(U13-Pad8)") - (net 110 "Net-(U13-Pad9)") - (net 111 "unconnected-(U7-Pad12)") - (net 112 "unconnected-(U7-Pad13)") - (net 113 "unconnected-(U7-Pad15)") - (net 114 "unconnected-(U7-Pad17)") - (net 115 "unconnected-(U7-Pad19)") - (net 116 "unconnected-(U12-Pad10)") - (net 117 "Net-(U15-Pad2)") - (net 118 "Net-(U15-Pad3)") - (net 119 "Net-(U15-Pad4)") - (net 120 "Net-(U15-Pad5)") - (net 121 "Net-(U15-Pad6)") - (net 122 "Net-(U15-Pad7)") - (net 123 "Net-(U15-Pad8)") - (net 124 "Net-(U15-Pad9)") - (net 125 "Net-(U19-Pad2)") - (net 126 "Net-(U19-Pad3)") - (net 127 "Net-(U19-Pad4)") - (net 128 "Net-(U19-Pad5)") - (net 129 "Net-(U19-Pad6)") - (net 130 "Net-(U19-Pad7)") - (net 131 "Net-(U19-Pad8)") - (net 132 "Net-(U19-Pad9)") - (net 133 "Net-(U21-Pad2)") - (net 134 "Net-(U21-Pad3)") - (net 135 "Net-(U21-Pad4)") - (net 136 "Net-(U21-Pad5)") - (net 137 "Net-(U21-Pad6)") - (net 138 "Net-(U21-Pad7)") - (net 139 "Net-(U21-Pad8)") - (net 140 "Net-(U21-Pad9)") - (net 141 "Net-(R5-Pad1)") - (net 142 "Net-(C47-Pad1)") - (net 143 "unconnected-(U12-Pad11)") - (net 144 "unconnected-(U12-Pad12)") - (net 145 "/Prog/UTCK") - (net 146 "Net-(C48-Pad1)") - (net 147 "/Prog/JTAG~{OE}") - (net 148 "Net-(R9-Pad2)") - (net 149 "/Prog/UTMS") - (net 150 "/Prog/UTDI") - (net 151 "unconnected-(J1-PadC4)") + (net 83 "/Prog/USB5V") + (net 84 "Net-(J3-Pad2)") + (net 85 "unconnected-(J3-Pad4)") + (net 86 "/Buf/Dout~{OE}") + (net 87 "/Buf/Aout~{OE}") + (net 88 "/Buf/ADoutLE0") + (net 89 "Net-(Q1-Pad1)") + (net 90 "/Control/Mac~{BG}") + (net 91 "Net-(U16-Pad2)") + (net 92 "Net-(U16-Pad3)") + (net 93 "Net-(U16-Pad4)") + (net 94 "Net-(U16-Pad5)") + (net 95 "Net-(U16-Pad6)") + (net 96 "Net-(U16-Pad7)") + (net 97 "Net-(U16-Pad8)") + (net 98 "Net-(U16-Pad9)") + (net 99 "Net-(U13-Pad2)") + (net 100 "Net-(U13-Pad3)") + (net 101 "Net-(U13-Pad4)") + (net 102 "Net-(U13-Pad5)") + (net 103 "Net-(U13-Pad6)") + (net 104 "Net-(U13-Pad7)") + (net 105 "Net-(U13-Pad8)") + (net 106 "Net-(U13-Pad9)") + (net 107 "unconnected-(U7-Pad12)") + (net 108 "unconnected-(U7-Pad13)") + (net 109 "unconnected-(U7-Pad15)") + (net 110 "unconnected-(U7-Pad17)") + (net 111 "unconnected-(U7-Pad19)") + (net 112 "unconnected-(U12-Pad10)") + (net 113 "Net-(U15-Pad2)") + (net 114 "Net-(U15-Pad3)") + (net 115 "Net-(U15-Pad4)") + (net 116 "Net-(U15-Pad5)") + (net 117 "Net-(U15-Pad6)") + (net 118 "Net-(U15-Pad7)") + (net 119 "Net-(U15-Pad8)") + (net 120 "Net-(U15-Pad9)") + (net 121 "Net-(U19-Pad2)") + (net 122 "Net-(U19-Pad3)") + (net 123 "Net-(U19-Pad4)") + (net 124 "Net-(U19-Pad5)") + (net 125 "Net-(U19-Pad6)") + (net 126 "Net-(U19-Pad7)") + (net 127 "Net-(U19-Pad8)") + (net 128 "Net-(U19-Pad9)") + (net 129 "Net-(U21-Pad2)") + (net 130 "Net-(U21-Pad3)") + (net 131 "Net-(U21-Pad4)") + (net 132 "Net-(U21-Pad5)") + (net 133 "Net-(U21-Pad6)") + (net 134 "Net-(U21-Pad7)") + (net 135 "Net-(U21-Pad8)") + (net 136 "Net-(U21-Pad9)") + (net 137 "Net-(R5-Pad1)") + (net 138 "Net-(C47-Pad1)") + (net 139 "unconnected-(U12-Pad11)") + (net 140 "unconnected-(U12-Pad12)") + (net 141 "/Prog/UTCK") + (net 142 "Net-(C48-Pad1)") + (net 143 "/Prog/JTAG~{OE}") + (net 144 "Net-(R9-Pad2)") + (net 145 "/Prog/UTMS") + (net 146 "/Prog/UTDI") + (net 147 "unconnected-(J1-PadC4)") (footprint "stdpads:C_0805" (layer "F.Cu") (tedit 5F02840E) (tstamp 00000000-0000-0000-0000-000061aa2a38) @@ -533,9 +529,9 @@ (pad "C3" thru_hole circle (at 5.08 5.08 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) (net 59 "/Control/Mac~{BR}") (pinfunction "~{BR}") (pintype "unspecified") (tstamp ab4a9540-e59e-466b-8191-bc2bd31f8fe9)) (pad "C4" thru_hole circle (at 5.08 7.62 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) - (net 151 "unconnected-(J1-PadC4)") (pinfunction "~{BGACK}") (pintype "unspecified+no_connect") (tstamp 56019801-b6b3-4461-8ad8-e26d50f44aa8)) + (net 147 "unconnected-(J1-PadC4)") (pinfunction "~{BGACK}") (pintype "unspecified+no_connect") (tstamp 56019801-b6b3-4461-8ad8-e26d50f44aa8)) (pad "C5" thru_hole circle (at 5.08 10.16 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) - (net 94 "/Control/Mac~{BG}") (pinfunction "~{BG}") (pintype "unspecified") (tstamp 8f3a3617-2b4e-4315-9bab-82a49638936f)) + (net 90 "/Control/Mac~{BG}") (pinfunction "~{BG}") (pintype "unspecified") (tstamp 8f3a3617-2b4e-4315-9bab-82a49638936f)) (pad "C6" thru_hole circle (at 5.08 12.7 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) (net 31 "/Control/Mac~{DTACK}") (pinfunction "~{DTACK}") (pintype "unspecified") (tstamp 0ca58393-50dd-4bea-8a11-5b5ec61cffbc)) (pad "C7" thru_hole circle (at 5.08 15.24 180) (size 1.55 1.55) (drill 1) (layers *.Cu *.Mask) @@ -609,7 +605,7 @@ (footprint "stdpads:C_0603" (layer "F.Cu") (tedit 5EE29C36) (tstamp 00000000-0000-0000-0000-000061aa2b95) - (at 190.3 94.35 180) + (at 190.3 85.25 180) (tags "capacitor") (property "LCSC Part" "C23630") (property "Sheetfile" "Buf.kicad_sch") @@ -653,7 +649,7 @@ (footprint "stdpads:C_0603" (layer "F.Cu") (tedit 5EE29C36) (tstamp 00000000-0000-0000-0000-000061aa2bc5) - (at 190.3 112.55 180) + (at 190.3 103.45 180) (tags "capacitor") (property "LCSC Part" "C23630") (property "Sheetfile" "Buf.kicad_sch") @@ -741,7 +737,7 @@ (footprint "stdpads:C_0603" (layer "F.Cu") (tedit 5EE29C36) (tstamp 00000000-0000-0000-0000-000061aa2c25) - (at 190.3 103.45 180) + (at 190.3 94.35 180) (tags "capacitor") (property "LCSC Part" "C23630") (property "Sheetfile" "Buf.kicad_sch") @@ -859,27 +855,27 @@ (fp_line (start -2.25 2.2) (end -3.25 1.2) (layer "F.Fab") (width 0.15) (tstamp c1cf6237-365e-4a5b-9b32-5c3586e05d3b)) (fp_line (start 3.25 -2.2) (end 3.25 2.2) (layer "F.Fab") (width 0.15) (tstamp e744ec21-3d1c-4727-89bd-be2bbdd60fab)) (pad "1" smd roundrect locked (at -2.925 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 89 "/Buf/Dout~{OE}") (pinfunction "~{OE}") (pintype "input") (tstamp b52003f2-1fc1-448b-87ef-8f69b8ecc342)) + (net 86 "/Buf/Dout~{OE}") (pinfunction "~{OE}") (pintype "input") (tstamp b52003f2-1fc1-448b-87ef-8f69b8ecc342)) (pad "2" smd roundrect locked (at -2.275 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 133 "Net-(U21-Pad2)") (pinfunction "D0") (pintype "input") (tstamp c4a21fd3-a6ae-44a9-bc78-0e41f084f553)) + (net 129 "Net-(U21-Pad2)") (pinfunction "D0") (pintype "input") (tstamp c4a21fd3-a6ae-44a9-bc78-0e41f084f553)) (pad "3" smd roundrect locked (at -1.625 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 134 "Net-(U21-Pad3)") (pinfunction "D1") (pintype "input") (tstamp 1552cb74-e6ef-475b-be3a-ac1973bcee7d)) + (net 130 "Net-(U21-Pad3)") (pinfunction "D1") (pintype "input") (tstamp 1552cb74-e6ef-475b-be3a-ac1973bcee7d)) (pad "4" smd roundrect locked (at -0.975 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 135 "Net-(U21-Pad4)") (pinfunction "D2") (pintype "input") (tstamp b0d88b62-d36e-4c30-ae05-19c227bab69d)) + (net 131 "Net-(U21-Pad4)") (pinfunction "D2") (pintype "input") (tstamp b0d88b62-d36e-4c30-ae05-19c227bab69d)) (pad "5" smd roundrect locked (at -0.325 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 136 "Net-(U21-Pad5)") (pinfunction "D3") (pintype "input") (tstamp a73ff104-0c6d-45d0-bae7-11c93ea99539)) + (net 132 "Net-(U21-Pad5)") (pinfunction "D3") (pintype "input") (tstamp a73ff104-0c6d-45d0-bae7-11c93ea99539)) (pad "6" smd roundrect locked (at 0.325 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 137 "Net-(U21-Pad6)") (pinfunction "D4") (pintype "input") (tstamp 681a9760-4754-4abd-8a6a-2f3a3629407e)) + (net 133 "Net-(U21-Pad6)") (pinfunction "D4") (pintype "input") (tstamp 681a9760-4754-4abd-8a6a-2f3a3629407e)) (pad "7" smd roundrect locked (at 0.975 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 138 "Net-(U21-Pad7)") (pinfunction "D5") (pintype "input") (tstamp c8568d2f-e1ae-470b-b325-7b36a73728f4)) + (net 134 "Net-(U21-Pad7)") (pinfunction "D5") (pintype "input") (tstamp c8568d2f-e1ae-470b-b325-7b36a73728f4)) (pad "8" smd roundrect locked (at 1.625 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 139 "Net-(U21-Pad8)") (pinfunction "D6") (pintype "input") (tstamp dbc23591-a27c-4594-80fa-27289fc43c4a)) + (net 135 "Net-(U21-Pad8)") (pinfunction "D6") (pintype "input") (tstamp dbc23591-a27c-4594-80fa-27289fc43c4a)) (pad "9" smd roundrect locked (at 2.275 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 140 "Net-(U21-Pad9)") (pinfunction "D7") (pintype "input") (tstamp c0911561-f597-436b-ba64-8b9fe00d794c)) + (net 136 "Net-(U21-Pad9)") (pinfunction "D7") (pintype "input") (tstamp c0911561-f597-436b-ba64-8b9fe00d794c)) (pad "10" smd roundrect locked (at 2.925 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 1eac5711-3d08-4bd2-b36d-1f40e1383058)) (pad "11" smd roundrect locked (at 2.925 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 91 "/Buf/ADoutLE0") (pinfunction "~{LE}") (pintype "input") (tstamp e7ec9e14-86f3-4bf2-bbb6-ebdff59f9af2)) + (net 88 "/Buf/ADoutLE0") (pinfunction "~{LE}") (pintype "input") (tstamp e7ec9e14-86f3-4bf2-bbb6-ebdff59f9af2)) (pad "12" smd roundrect locked (at 2.275 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (net 69 "/Buf/MacD8") (pinfunction "Q7") (pintype "tri_state") (tstamp 7706c3c4-5447-4429-a506-3603d13075b6)) (pad "13" smd roundrect locked (at 1.625 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) @@ -941,27 +937,27 @@ (fp_line (start -3.25 1.2) (end -3.25 -2.2) (layer "F.Fab") (width 0.15) (tstamp 8998eb1f-e190-4a26-87c8-5095df281e2b)) (fp_line (start 3.25 2.2) (end -2.25 2.2) (layer "F.Fab") (width 0.15) (tstamp ac9bceab-084b-408c-86b3-c3deaae9dd9f)) (pad "1" smd roundrect locked (at -2.925 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 89 "/Buf/Dout~{OE}") (pinfunction "~{OE}") (pintype "input") (tstamp ea7f0e0d-02e9-4f29-8d50-6b70163a30dd)) + (net 86 "/Buf/Dout~{OE}") (pinfunction "~{OE}") (pintype "input") (tstamp ea7f0e0d-02e9-4f29-8d50-6b70163a30dd)) (pad "2" smd roundrect locked (at -2.275 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 125 "Net-(U19-Pad2)") (pinfunction "D0") (pintype "input") (tstamp 6aa2b36c-c06c-4e6e-910b-f1d002c87bc0)) + (net 121 "Net-(U19-Pad2)") (pinfunction "D0") (pintype "input") (tstamp 6aa2b36c-c06c-4e6e-910b-f1d002c87bc0)) (pad "3" smd roundrect locked (at -1.625 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 126 "Net-(U19-Pad3)") (pinfunction "D1") (pintype "input") (tstamp d5509e75-efbb-4959-ac05-d328117ce896)) + (net 122 "Net-(U19-Pad3)") (pinfunction "D1") (pintype "input") (tstamp d5509e75-efbb-4959-ac05-d328117ce896)) (pad "4" smd roundrect locked (at -0.975 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 127 "Net-(U19-Pad4)") (pinfunction "D2") (pintype "input") (tstamp f6f81491-79d4-4e44-a7f0-ebfd1730f516)) + (net 123 "Net-(U19-Pad4)") (pinfunction "D2") (pintype "input") (tstamp f6f81491-79d4-4e44-a7f0-ebfd1730f516)) (pad "5" smd roundrect locked (at -0.325 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 128 "Net-(U19-Pad5)") (pinfunction "D3") (pintype "input") (tstamp e90ed0fc-d9ab-4625-ad20-0cdb50e55861)) + (net 124 "Net-(U19-Pad5)") (pinfunction "D3") (pintype "input") (tstamp e90ed0fc-d9ab-4625-ad20-0cdb50e55861)) (pad "6" smd roundrect locked (at 0.325 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 129 "Net-(U19-Pad6)") (pinfunction "D4") (pintype "input") (tstamp 2114a500-e565-430d-8f37-11feef5e819d)) + (net 125 "Net-(U19-Pad6)") (pinfunction "D4") (pintype "input") (tstamp 2114a500-e565-430d-8f37-11feef5e819d)) (pad "7" smd roundrect locked (at 0.975 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 130 "Net-(U19-Pad7)") (pinfunction "D5") (pintype "input") (tstamp 145654d5-d88f-4ea3-813d-a69e135bc641)) + (net 126 "Net-(U19-Pad7)") (pinfunction "D5") (pintype "input") (tstamp 145654d5-d88f-4ea3-813d-a69e135bc641)) (pad "8" smd roundrect locked (at 1.625 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 131 "Net-(U19-Pad8)") (pinfunction "D6") (pintype "input") (tstamp b0376c07-e6aa-4806-b092-98376a86393f)) + (net 127 "Net-(U19-Pad8)") (pinfunction "D6") (pintype "input") (tstamp b0376c07-e6aa-4806-b092-98376a86393f)) (pad "9" smd roundrect locked (at 2.275 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 132 "Net-(U19-Pad9)") (pinfunction "D7") (pintype "input") (tstamp 9d982260-d8a6-4c83-907d-1ac6a6481d5f)) + (net 128 "Net-(U19-Pad9)") (pinfunction "D7") (pintype "input") (tstamp 9d982260-d8a6-4c83-907d-1ac6a6481d5f)) (pad "10" smd roundrect locked (at 2.925 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 380d8034-62e9-44fe-9b1c-5017f20e4828)) (pad "11" smd roundrect locked (at 2.925 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 91 "/Buf/ADoutLE0") (pinfunction "~{LE}") (pintype "input") (tstamp a1dcf0dc-7492-42b1-afb9-e749a3ffce12)) + (net 88 "/Buf/ADoutLE0") (pinfunction "~{LE}") (pintype "input") (tstamp a1dcf0dc-7492-42b1-afb9-e749a3ffce12)) (pad "12" smd roundrect locked (at 2.275 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (net 61 "/Buf/MacD0") (pinfunction "Q7") (pintype "tri_state") (tstamp e44ef9a7-1100-4f20-b3c0-c7ba59f02c79)) (pad "13" smd roundrect locked (at 1.625 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) @@ -989,7 +985,7 @@ (footprint "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (layer "F.Cu") (tedit 5F27C9F6) (tstamp 00000000-0000-0000-0000-000061aa2d7d) - (at 188.1 98.725 -90) + (at 188.1 89.625 -90) (descr "20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [TSSOP] (see Microchip Packaging Specification 00000049BS.pdf)") (tags "SSOP 0.65") (property "LCSC Part" "C141311") @@ -1023,27 +1019,27 @@ (fp_line (start -2.25 2.2) (end -3.25 1.2) (layer "F.Fab") (width 0.15) (tstamp b35a9d06-1413-473d-a783-820b6056ecf0)) (fp_line (start 3.25 -2.2) (end 3.25 2.2) (layer "F.Fab") (width 0.15) (tstamp fed1968d-af36-482b-b390-8d768aad6d5c)) (pad "1" smd roundrect locked (at -2.925 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 90 "/Buf/Aout~{OE}") (pinfunction "~{OE}") (pintype "input") (tstamp 56824245-ea02-4fd1-b18a-b9c0c51fd5d6)) + (net 87 "/Buf/Aout~{OE}") (pinfunction "~{OE}") (pintype "input") (tstamp 56824245-ea02-4fd1-b18a-b9c0c51fd5d6)) (pad "2" smd roundrect locked (at -2.275 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 95 "Net-(U16-Pad2)") (pinfunction "D0") (pintype "input") (tstamp b73af911-855d-4952-b3ae-bbd5f16ff6e2)) + (net 91 "Net-(U16-Pad2)") (pinfunction "D0") (pintype "input") (tstamp b73af911-855d-4952-b3ae-bbd5f16ff6e2)) (pad "3" smd roundrect locked (at -1.625 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 96 "Net-(U16-Pad3)") (pinfunction "D1") (pintype "input") (tstamp d97a8b9d-d009-461d-8bc7-d5f28418e057)) + (net 92 "Net-(U16-Pad3)") (pinfunction "D1") (pintype "input") (tstamp d97a8b9d-d009-461d-8bc7-d5f28418e057)) (pad "4" smd roundrect locked (at -0.975 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 97 "Net-(U16-Pad4)") (pinfunction "D2") (pintype "input") (tstamp 2a6c753c-96de-4d33-8b45-29aba34482cc)) + (net 93 "Net-(U16-Pad4)") (pinfunction "D2") (pintype "input") (tstamp 2a6c753c-96de-4d33-8b45-29aba34482cc)) (pad "5" smd roundrect locked (at -0.325 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 98 "Net-(U16-Pad5)") (pinfunction "D3") (pintype "input") (tstamp 1d19a87d-31cd-4603-96c5-ee6087d80752)) + (net 94 "Net-(U16-Pad5)") (pinfunction "D3") (pintype "input") (tstamp 1d19a87d-31cd-4603-96c5-ee6087d80752)) (pad "6" smd roundrect locked (at 0.325 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 99 "Net-(U16-Pad6)") (pinfunction "D4") (pintype "input") (tstamp f4618723-5941-418d-b6da-edc07bef531b)) + (net 95 "Net-(U16-Pad6)") (pinfunction "D4") (pintype "input") (tstamp f4618723-5941-418d-b6da-edc07bef531b)) (pad "7" smd roundrect locked (at 0.975 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 100 "Net-(U16-Pad7)") (pinfunction "D5") (pintype "input") (tstamp 35b1aeb2-13ad-4240-996e-73bf70fc81aa)) + (net 96 "Net-(U16-Pad7)") (pinfunction "D5") (pintype "input") (tstamp 35b1aeb2-13ad-4240-996e-73bf70fc81aa)) (pad "8" smd roundrect locked (at 1.625 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 101 "Net-(U16-Pad8)") (pinfunction "D6") (pintype "input") (tstamp 4b8bbe84-cdbf-4d44-ac41-1e734be10179)) + (net 97 "Net-(U16-Pad8)") (pinfunction "D6") (pintype "input") (tstamp 4b8bbe84-cdbf-4d44-ac41-1e734be10179)) (pad "9" smd roundrect locked (at 2.275 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 102 "Net-(U16-Pad9)") (pinfunction "D7") (pintype "input") (tstamp cee17392-d84c-47cc-9caa-ba7960bf6a02)) + (net 98 "Net-(U16-Pad9)") (pinfunction "D7") (pintype "input") (tstamp cee17392-d84c-47cc-9caa-ba7960bf6a02)) (pad "10" smd roundrect locked (at 2.925 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 8623de3a-9387-48e2-899f-8b4ff31afe35)) (pad "11" smd roundrect locked (at 2.925 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 91 "/Buf/ADoutLE0") (pinfunction "~{LE}") (pintype "input") (tstamp 41bffe66-9213-4292-913c-865bcbdc2e45)) + (net 88 "/Buf/ADoutLE0") (pinfunction "~{LE}") (pintype "input") (tstamp 41bffe66-9213-4292-913c-865bcbdc2e45)) (pad "12" smd roundrect locked (at 2.275 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (net 30 "/Buf/Mac~{R}W") (pinfunction "Q7") (pintype "tri_state") (tstamp ef914742-946c-47ee-afc3-83488dfa58ae)) (pad "13" smd roundrect locked (at 1.625 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) @@ -1071,7 +1067,7 @@ (footprint "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (layer "F.Cu") (tedit 5F27C9F6) (tstamp 00000000-0000-0000-0000-000061aa2da0) - (at 188.1 107.825 -90) + (at 188.1 98.725 -90) (descr "20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [TSSOP] (see Microchip Packaging Specification 00000049BS.pdf)") (tags "SSOP 0.65") (property "LCSC Part" "C141311") @@ -1105,27 +1101,27 @@ (fp_line (start -2.25 2.2) (end -3.25 1.2) (layer "F.Fab") (width 0.15) (tstamp 769d89ee-450c-44c3-b982-bb57f204e958)) (fp_line (start 3.25 2.2) (end -2.25 2.2) (layer "F.Fab") (width 0.15) (tstamp 77725b56-94b0-4870-a19f-70d6dcf5337b)) (pad "1" smd roundrect locked (at -2.925 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 90 "/Buf/Aout~{OE}") (pinfunction "~{OE}") (pintype "input") (tstamp 80158fc2-d0a2-468a-aace-8a6d2deb2ce2)) + (net 87 "/Buf/Aout~{OE}") (pinfunction "~{OE}") (pintype "input") (tstamp 80158fc2-d0a2-468a-aace-8a6d2deb2ce2)) (pad "2" smd roundrect locked (at -2.275 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 117 "Net-(U15-Pad2)") (pinfunction "D0") (pintype "input") (tstamp 25b2705b-3b5a-4323-8b6c-130dda861310)) + (net 113 "Net-(U15-Pad2)") (pinfunction "D0") (pintype "input") (tstamp 25b2705b-3b5a-4323-8b6c-130dda861310)) (pad "3" smd roundrect locked (at -1.625 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 118 "Net-(U15-Pad3)") (pinfunction "D1") (pintype "input") (tstamp f45ea608-ac36-4090-b150-0d55915f6878)) + (net 114 "Net-(U15-Pad3)") (pinfunction "D1") (pintype "input") (tstamp f45ea608-ac36-4090-b150-0d55915f6878)) (pad "4" smd roundrect locked (at -0.975 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 119 "Net-(U15-Pad4)") (pinfunction "D2") (pintype "input") (tstamp 1d2b9444-0831-4c92-8cac-a7a09f6148a6)) + (net 115 "Net-(U15-Pad4)") (pinfunction "D2") (pintype "input") (tstamp 1d2b9444-0831-4c92-8cac-a7a09f6148a6)) (pad "5" smd roundrect locked (at -0.325 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 120 "Net-(U15-Pad5)") (pinfunction "D3") (pintype "input") (tstamp cf883ae8-17a8-4ef9-b4f1-9d850cc04326)) + (net 116 "Net-(U15-Pad5)") (pinfunction "D3") (pintype "input") (tstamp cf883ae8-17a8-4ef9-b4f1-9d850cc04326)) (pad "6" smd roundrect locked (at 0.325 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 121 "Net-(U15-Pad6)") (pinfunction "D4") (pintype "input") (tstamp ebbc9bcb-6e08-4d7a-957b-b4fa32005def)) + (net 117 "Net-(U15-Pad6)") (pinfunction "D4") (pintype "input") (tstamp ebbc9bcb-6e08-4d7a-957b-b4fa32005def)) (pad "7" smd roundrect locked (at 0.975 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 122 "Net-(U15-Pad7)") (pinfunction "D5") (pintype "input") (tstamp 7927f00b-8d8a-41dd-b731-c36dba6c63ef)) + (net 118 "Net-(U15-Pad7)") (pinfunction "D5") (pintype "input") (tstamp 7927f00b-8d8a-41dd-b731-c36dba6c63ef)) (pad "8" smd roundrect locked (at 1.625 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 123 "Net-(U15-Pad8)") (pinfunction "D6") (pintype "input") (tstamp 822a09b7-db9e-424c-9e2f-b5830b2cd498)) + (net 119 "Net-(U15-Pad8)") (pinfunction "D6") (pintype "input") (tstamp 822a09b7-db9e-424c-9e2f-b5830b2cd498)) (pad "9" smd roundrect locked (at 2.275 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 124 "Net-(U15-Pad9)") (pinfunction "D7") (pintype "input") (tstamp 444c71e3-ec31-48a0-9191-8256613d1ec5)) + (net 120 "Net-(U15-Pad9)") (pinfunction "D7") (pintype "input") (tstamp 444c71e3-ec31-48a0-9191-8256613d1ec5)) (pad "10" smd roundrect locked (at 2.925 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp e9d39c14-0459-48c1-8221-bea9f3cf07dd)) (pad "11" smd roundrect locked (at 2.925 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 91 "/Buf/ADoutLE0") (pinfunction "~{LE}") (pintype "input") (tstamp ee86c100-d115-4e8e-9c23-91efd7431250)) + (net 88 "/Buf/ADoutLE0") (pinfunction "~{LE}") (pintype "input") (tstamp ee86c100-d115-4e8e-9c23-91efd7431250)) (pad "12" smd roundrect locked (at 2.275 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (net 19 "/Buf/MacA9") (pinfunction "Q7") (pintype "tri_state") (tstamp d5b96317-750d-4f81-87d8-100c3ade6d1f)) (pad "13" smd roundrect locked (at 1.625 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) @@ -1153,7 +1149,7 @@ (footprint "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (layer "F.Cu") (tedit 5F27C9F6) (tstamp 00000000-0000-0000-0000-000061aa2dc3) - (at 188.1 116.925 -90) + (at 188.1 107.825 -90) (descr "20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [TSSOP] (see Microchip Packaging Specification 00000049BS.pdf)") (tags "SSOP 0.65") (property "LCSC Part" "C141311") @@ -1187,27 +1183,27 @@ (fp_line (start -2.25 2.2) (end -3.25 1.2) (layer "F.Fab") (width 0.15) (tstamp ad492ad1-23d2-4f35-aa77-ac09f3ffe84c)) (fp_line (start -3.25 -2.2) (end 3.25 -2.2) (layer "F.Fab") (width 0.15) (tstamp b794150e-529d-468d-b04c-7d2ae6153838)) (pad "1" smd roundrect locked (at -2.925 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 90 "/Buf/Aout~{OE}") (pinfunction "~{OE}") (pintype "input") (tstamp 0c421bb2-1b4b-4635-8af3-29f51038d51a)) + (net 87 "/Buf/Aout~{OE}") (pinfunction "~{OE}") (pintype "input") (tstamp 0c421bb2-1b4b-4635-8af3-29f51038d51a)) (pad "2" smd roundrect locked (at -2.275 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 103 "Net-(U13-Pad2)") (pinfunction "D0") (pintype "input") (tstamp 73f8215c-d44b-49ba-b3d4-9f3d0a65771f)) + (net 99 "Net-(U13-Pad2)") (pinfunction "D0") (pintype "input") (tstamp 73f8215c-d44b-49ba-b3d4-9f3d0a65771f)) (pad "3" smd roundrect locked (at -1.625 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 104 "Net-(U13-Pad3)") (pinfunction "D1") (pintype "input") (tstamp a518ef05-e608-478c-8335-5dda1862c284)) + (net 100 "Net-(U13-Pad3)") (pinfunction "D1") (pintype "input") (tstamp a518ef05-e608-478c-8335-5dda1862c284)) (pad "4" smd roundrect locked (at -0.975 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 105 "Net-(U13-Pad4)") (pinfunction "D2") (pintype "input") (tstamp 0e090379-9ed4-42db-8b26-ded1ead3b85f)) + (net 101 "Net-(U13-Pad4)") (pinfunction "D2") (pintype "input") (tstamp 0e090379-9ed4-42db-8b26-ded1ead3b85f)) (pad "5" smd roundrect locked (at -0.325 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 106 "Net-(U13-Pad5)") (pinfunction "D3") (pintype "input") (tstamp a3380ad7-3d53-424f-829e-b11524f0816c)) + (net 102 "Net-(U13-Pad5)") (pinfunction "D3") (pintype "input") (tstamp a3380ad7-3d53-424f-829e-b11524f0816c)) (pad "6" smd roundrect locked (at 0.325 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 107 "Net-(U13-Pad6)") (pinfunction "D4") (pintype "input") (tstamp ec3b7a78-ac40-4741-bd72-fd008734308d)) + (net 103 "Net-(U13-Pad6)") (pinfunction "D4") (pintype "input") (tstamp ec3b7a78-ac40-4741-bd72-fd008734308d)) (pad "7" smd roundrect locked (at 0.975 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 108 "Net-(U13-Pad7)") (pinfunction "D5") (pintype "input") (tstamp 4c01c98a-86e4-4722-a803-378317f7ca96)) + (net 104 "Net-(U13-Pad7)") (pinfunction "D5") (pintype "input") (tstamp 4c01c98a-86e4-4722-a803-378317f7ca96)) (pad "8" smd roundrect locked (at 1.625 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 109 "Net-(U13-Pad8)") (pinfunction "D6") (pintype "input") (tstamp adecacb7-d2dd-4313-af75-e7844acb5fa4)) + (net 105 "Net-(U13-Pad8)") (pinfunction "D6") (pintype "input") (tstamp adecacb7-d2dd-4313-af75-e7844acb5fa4)) (pad "9" smd roundrect locked (at 2.275 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 110 "Net-(U13-Pad9)") (pinfunction "D7") (pintype "input") (tstamp d6964480-43d3-46b2-8209-3e63b7b061eb)) + (net 106 "Net-(U13-Pad9)") (pinfunction "D7") (pintype "input") (tstamp d6964480-43d3-46b2-8209-3e63b7b061eb)) (pad "10" smd roundrect locked (at 2.925 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 92c8f4ba-57a0-4b44-a303-4d654bc72976)) (pad "11" smd roundrect locked (at 2.925 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 91 "/Buf/ADoutLE0") (pinfunction "~{LE}") (pintype "input") (tstamp 9e4b97eb-4976-4e38-b382-13dce10abf22)) + (net 88 "/Buf/ADoutLE0") (pinfunction "~{LE}") (pintype "input") (tstamp 9e4b97eb-4976-4e38-b382-13dce10abf22)) (pad "12" smd roundrect locked (at 2.275 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (net 11 "/Buf/MacA1") (pinfunction "Q7") (pintype "tri_state") (tstamp dd7e3176-cd89-41ee-ac08-1aaf1bfe6adf)) (pad "13" smd roundrect locked (at 1.625 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) @@ -1235,7 +1231,7 @@ (footprint "stdpads:Fiducial" (layer "F.Cu") (tedit 5F1BCA76) (tstamp 00000000-0000-0000-0000-000061aa2e86) - (at 105.283 42.418) + (at 134.493 42.418) (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") (tags "marker") (property "Sheetfile" "WarpSE.kicad_sch") @@ -1301,7 +1297,7 @@ (footprint "stdpads:PasteHole_1.152mm_NPTH" (layer "F.Cu") (tedit 5F27B084) (tstamp 00000000-0000-0000-0000-000061aa2e9a) - (at 105.283 39.878) + (at 134.493 39.878) (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") (tags "marker") (property "Sheetfile" "WarpSE.kicad_sch") @@ -1431,79 +1427,6 @@ ) ) - (footprint "Connector:Tag-Connect_TC2050-IDC-FP_2x05_P1.27mm_Vertical" (layer "F.Cu") - (tedit 5A29CEC3) (tstamp 00000000-0000-0000-0000-000061abfa0a) - (at 112.345038 48.046936 45) - (descr "Tag-Connect programming header; http://www.tag-connect.com/Materials/TC2050-IDC-430%20Datasheet.pdf") - (tags "tag connect programming header pogo pins") - (property "Sheetfile" "Prog.kicad_sch") - (property "Sheetname" "Prog") - (path "/00000000-0000-0000-0000-000061aa52c4/00000000-0000-0000-0000-000061ac4edf") - (attr exclude_from_pos_files) - (fp_text reference "J2" (at 0 5 45) (layer "F.Fab") - (effects (font (size 1 1) (thickness 0.15))) - (tstamp cab5c408-a1fe-4a3e-8a60-3bc2e48e63a9) - ) - (fp_text value "JTAG" (at 0 -4.800001 45) (layer "F.Fab") - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 5dab7013-e0c8-4a15-ae4d-9bf980ee1331) - ) - (fp_text user "KEEPOUT" (at 0 0 45) (layer "Cmts.User") - (effects (font (size 0.4 0.4) (thickness 0.07))) - (tstamp 304cf2e4-7e2f-40b8-a568-ae1de2d0eb6a) - ) - (fp_text user "${REFERENCE}" (at 0 0 45) (layer "F.Fab") - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 31c61803-b73f-4a77-8c6a-0adc05864be1) - ) - (fp_line (start -2.54 1.27) (end -3.175 1.27) (layer "F.SilkS") (width 0.12) (tstamp 34562de3-7ab5-4415-8360-8747fce5a304)) - (fp_line (start -3.175 1.27) (end -3.175 0.635) (layer "F.SilkS") (width 0.12) (tstamp 9ef3d5e7-bbb8-48ed-bb13-802c9bd9580d)) - (fp_line (start -0.635 0.635) (end 0.635 -0.635) (layer "Dwgs.User") (width 0.1) (tstamp 06052a1a-8432-4d7e-b45f-b655c29cac87)) - (fp_line (start 0.635 0.635) (end 1.905 -0.635) (layer "Dwgs.User") (width 0.1) (tstamp 06e2bec5-e144-434f-b49c-892898752ba8)) - (fp_line (start -1.27 0.635) (end 0 -0.635) (layer "Dwgs.User") (width 0.1) (tstamp 24a8f71c-037f-47a9-b322-6d0a0b88f074)) - (fp_line (start -1.905 0.635) (end -0.635 -0.635) (layer "Dwgs.User") (width 0.1) (tstamp 330d7314-0c75-4345-9878-df06487740ae)) - (fp_line (start -2.54 0.635) (end -2.54 -0.635) (layer "Dwgs.User") (width 0.1) (tstamp 3ca62df6-4ff5-42a6-9eb5-fa78c7a459fb)) - (fp_line (start 2.54 0.635) (end -2.54 0.635) (layer "Dwgs.User") (width 0.1) (tstamp 447b80b3-49f9-4e5b-a431-1c07a5f0af1d)) - (fp_line (start -2.54 0) (end -1.905 -0.635) (layer "Dwgs.User") (width 0.1) (tstamp 60e70829-a14b-402a-851c-0744fda920e1)) - (fp_line (start -2.54 -0.635) (end 2.54 -0.635) (layer "Dwgs.User") (width 0.1) (tstamp 6582b4cb-bdab-472e-b42a-5f81cb15004f)) - (fp_line (start 1.27 0.635) (end 2.54 -0.635) (layer "Dwgs.User") (width 0.1) (tstamp 6ec14f25-9b56-4753-a4f5-8bc9703190a1)) - (fp_line (start 1.905 0.635) (end 2.54 0) (layer "Dwgs.User") (width 0.1) (tstamp 90c9520b-2127-4266-b9f8-1b8b55ef22d4)) - (fp_line (start -2.54 0.635) (end -1.27 -0.635) (layer "Dwgs.User") (width 0.1) (tstamp a23e2174-42d9-406a-845b-9e516ee549bf)) - (fp_line (start 2.54 -0.635) (end 2.54 0.635) (layer "Dwgs.User") (width 0.1) (tstamp da68af26-7826-4f26-951b-dd01de6aea20)) - (fp_line (start 0 0.635) (end 1.27 -0.635) (layer "Dwgs.User") (width 0.1) (tstamp f5be1230-9c12-42d1-a7f2-50c29ecd600e)) - (fp_line (start -5.5 -4.25) (end 4.75 -4.25) (layer "F.CrtYd") (width 0.05) (tstamp 335ac1a1-f854-4612-bca3-351ab57fb770)) - (fp_line (start 4.75 4.25) (end -5.5 4.25) (layer "F.CrtYd") (width 0.05) (tstamp 90de98fd-9142-4d76-80ea-5a4a2c0f7cb5)) - (fp_line (start 4.75 -4.25) (end 4.75 4.25) (layer "F.CrtYd") (width 0.05) (tstamp f9ba7bd6-bee8-4e16-838f-bfc3aeaddc51)) - (fp_line (start -5.5 4.25) (end -5.5 -4.25) (layer "F.CrtYd") (width 0.05) (tstamp fe648588-5499-4901-850b-2383e1befc5c)) - (pad "" np_thru_hole circle (at -3.81 2.54 45) (size 2.3749 2.3749) (drill 2.3749) (layers *.Cu *.Mask) (tstamp 11f73258-feb8-4257-a1de-524e28047701)) - (pad "" np_thru_hole circle (at -3.81 0 45) (size 0.9906 0.9906) (drill 0.9906) (layers *.Cu *.Mask) (tstamp 16a94696-8b97-4720-9e19-2e1c8359bccc)) - (pad "" np_thru_hole circle (at 3.81 -1.016 45) (size 0.9906 0.9906) (drill 0.9906) (layers *.Cu *.Mask) (tstamp 75959a14-afd9-488a-8722-1dc0bdd85a17)) - (pad "" np_thru_hole circle (at 1.905 -2.54 45) (size 2.3749 2.3749) (drill 2.3749) (layers *.Cu *.Mask) (tstamp c6399b8c-d546-4546-90b6-588a1ba14ff0)) - (pad "" np_thru_hole circle (at -3.81 -2.54 45) (size 2.3749 2.3749) (drill 2.3749) (layers *.Cu *.Mask) (tstamp db16dcca-f771-428c-a8f6-9bebf82d1c28)) - (pad "" np_thru_hole circle (at 1.905 2.54 45) (size 2.3749 2.3749) (drill 2.3749) (layers *.Cu *.Mask) (tstamp e313d0c1-383f-4190-895b-2a2b59086510)) - (pad "" np_thru_hole circle (at 3.81 1.016 45) (size 0.9906 0.9906) (drill 0.9906) (layers *.Cu *.Mask) (tstamp e372d41a-ba2e-447f-aff3-eaa9d7731393)) - (pad "1" connect circle (at -2.54 0.635 45) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") - (net 79 "/Control/TCK") (pinfunction "Pin_1") (pintype "passive") (tstamp 99905eb2-1083-4fb8-9bc5-9f2dc883f228)) - (pad "2" connect circle (at -1.27 0.635 45) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") - (net 1 "GND") (pinfunction "Pin_2") (pintype "passive") (tstamp d24f596f-c548-4d11-856a-9ad81bb54cb3)) - (pad "3" connect circle (at 0 0.635 45) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") - (net 80 "/Prog/TDO") (pinfunction "Pin_3") (pintype "passive") (tstamp a01b9b66-001c-41ae-b261-3fc964149903)) - (pad "4" connect circle (at 1.27 0.635 45) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") - (net 3 "+3V3") (pinfunction "Pin_4") (pintype "passive") (tstamp e5de16c2-83db-4be8-b60e-f0fc0a42bc32)) - (pad "5" connect circle (at 2.54 0.635 45) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") - (net 78 "/Control/TMS") (pinfunction "Pin_5") (pintype "passive") (tstamp cd34d112-9cbc-4a39-bb4f-1da4111be477)) - (pad "6" connect circle (at 2.54 -0.635 45) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") - (net 83 "unconnected-(J2-Pad6)") (pinfunction "Pin_6") (pintype "passive+no_connect") (tstamp 9708a37f-bf6d-4d42-9b9c-f969229076a4)) - (pad "7" connect circle (at 1.27 -0.635 45) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") - (net 86 "unconnected-(J2-Pad7)") (pinfunction "Pin_7") (pintype "passive+no_connect") (tstamp b6be5759-2509-4a99-b219-facfc32188ec)) - (pad "8" connect circle (at 0 -0.635 45) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") - (net 87 "unconnected-(J2-Pad8)") (pinfunction "Pin_8") (pintype "passive+no_connect") (tstamp 208a948f-bd43-48db-99ae-4773482aa5e7)) - (pad "9" connect circle (at -1.27 -0.635 45) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") - (net 81 "/Control/TDI") (pinfunction "Pin_9") (pintype "passive") (tstamp f745cf83-8e3f-4ed4-8b9b-93a8d668ca9d)) - (pad "10" connect circle (at -2.54 -0.635 45) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") - (net 1 "GND") (pinfunction "Pin_10") (pintype "passive") (tstamp ced7dc34-db35-4899-ac7f-ee11e1970548)) - ) - (footprint "stdpads:USB_Micro-B_ShouHan_MicroXNJ" (layer "F.Cu") (tedit 602BA043) (tstamp 00000000-0000-0000-0000-000061ad46b1) (at 183.25 40.4125 90) @@ -1551,13 +1474,13 @@ (fp_line (start -2.4225 1.1) (end -2.2125 1.1) (layer "F.Fab") (width 0.1) (tstamp f1790292-66bf-4ef4-beaa-6edf756ed3dc)) (fp_line (start 2.989204 3.75) (end 2.989204 -3.75) (layer "F.Fab") (width 0.1) (tstamp f3135221-7a28-4e0b-8a8d-ff5571cc3914)) (pad "1" smd roundrect locked (at -1.775 1.3 180) (size 0.4 1.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.2) - (net 84 "/Prog/USB5V") (pinfunction "VBUS") (pintype "power_out") (solder_mask_margin 0.04) (solder_paste_margin -0.05) (tstamp 153a5288-0a69-40c7-a50c-8af9069a150d)) + (net 83 "/Prog/USB5V") (pinfunction "VBUS") (pintype "power_out") (solder_mask_margin 0.04) (solder_paste_margin -0.05) (tstamp 153a5288-0a69-40c7-a50c-8af9069a150d)) (pad "2" smd roundrect locked (at -1.775 0.65 180) (size 0.4 1.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.2) - (net 85 "Net-(J3-Pad2)") (pinfunction "D-") (pintype "bidirectional") (solder_mask_margin 0.04) (solder_paste_margin -0.05) (tstamp 98fccfcc-279e-4c42-b6db-bc2f161f113a)) + (net 84 "Net-(J3-Pad2)") (pinfunction "D-") (pintype "bidirectional") (solder_mask_margin 0.04) (solder_paste_margin -0.05) (tstamp 98fccfcc-279e-4c42-b6db-bc2f161f113a)) (pad "3" smd roundrect locked (at -1.775 0 180) (size 0.4 1.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.2) (net 82 "Net-(J3-Pad3)") (pinfunction "D+") (pintype "bidirectional") (solder_mask_margin 0.04) (solder_paste_margin -0.05) (tstamp 2e270a85-3c35-48c9-936e-831a6186cf37)) (pad "4" smd roundrect locked (at -1.775 -0.65 180) (size 0.4 1.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.2) - (net 88 "unconnected-(J3-Pad4)") (pinfunction "ID") (pintype "passive+no_connect") (solder_mask_margin 0.04) (solder_paste_margin -0.05) (tstamp 6abc737e-ffe7-4357-a6e4-b8d56686e033)) + (net 85 "unconnected-(J3-Pad4)") (pinfunction "ID") (pintype "passive+no_connect") (solder_mask_margin 0.04) (solder_paste_margin -0.05) (tstamp 6abc737e-ffe7-4357-a6e4-b8d56686e033)) (pad "5" smd roundrect locked (at -1.775 -1.3 180) (size 0.4 1.25) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.2) (net 1 "GND") (pinfunction "GND") (pintype "power_out") (solder_mask_margin 0.04) (solder_paste_margin -0.05) (tstamp af3a11ae-455c-4bf1-ab4a-a2d483e0d651)) (pad "6" thru_hole oval locked (at 0.85 -3.6 180) (size 1.07 1.9) (drill oval 0.5 1.3) (layers *.Cu *.Mask) @@ -1579,53 +1502,9 @@ ) ) - (footprint "stdpads:R_0603" (layer "F.Cu") - (tedit 5EE29B72) (tstamp 00000000-0000-0000-0000-000061b09daf) - (at 117.913685 45.650685 135) - (tags "resistor") - (property "LCSC Part" "C25804") - (property "Sheetfile" "Prog.kicad_sch") - (property "Sheetname" "Prog") - (path "/00000000-0000-0000-0000-000061aa52c4/00000000-0000-0000-0000-000062762b96") - (solder_mask_margin 0.05) - (solder_paste_margin -0.05) - (attr smd) - (fp_text reference "R15" (at 0 0 135) (layer "F.Fab") - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 583cb8c7-5e92-4285-be37-d02da6e589e2) - ) - (fp_text value "10k" (at 0 0.25 135) (layer "F.Fab") - (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp ca9f6e2f-7c7e-4d52-91f7-38cf8ecea208) - ) - (fp_text user "${REFERENCE}" (at 0 0 135) (layer "F.SilkS") hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 0fa3af3a-2c3e-47aa-8a93-b8b92f4b37ab) - ) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp 675864d1-7ab1-4761-92fe-6e413041f676)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp f0cb8a5b-fbf8-4dd3-8163-f2d73ba2a184)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp 25d0d168-9458-4716-840d-efc5eecda6c0)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp 5b8ac7ea-9d08-4c0a-9d76-4ead107c042f)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp 72adcba5-2de3-4fbc-9431-ba41803db33b)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp ce4b7c08-dc8a-4a9a-aded-1a23d91095f0)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 007d8ce2-f690-4e25-b720-7434a23459fd)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 0fbd1906-63c7-4c8f-9e4c-06138f40fb5d)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 9bac53ee-0152-483f-9183-7e1bec8e42d7)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp b9db19e3-6a28-477b-8211-5e8af0d1f3a8)) - (pad "1" smd roundrect locked (at -0.8 0 135) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "+3V3") (pintype "passive") (tstamp 341af620-7303-4b6f-b7e1-58d5446fa6b4)) - (pad "2" smd roundrect locked (at 0.8 0 135) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 78 "/Control/TMS") (pintype "passive") (tstamp 27435e5c-248b-47e9-a97f-34619571aed3)) - (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - (footprint "stdpads:SOT-23" (layer "F.Cu") (tedit 5F29B98F) (tstamp 00000000-0000-0000-0000-000061b24280) - (at 195.25 111.85 90) + (at 195.25 105 90) (tags "SOT-23") (property "LCSC Part" "C20526") (property "Sheetfile" "Prog.kicad_sch") @@ -1656,7 +1535,7 @@ (fp_line (start -0.7 1.52) (end -0.7 -1.52) (layer "F.Fab") (width 0.1) (tstamp fd577e5c-bb72-4120-a110-9a2d0450d648)) (fp_line (start 0.7 0.95) (end 0.15 1.52) (layer "F.Fab") (width 0.1) (tstamp ffcc17d8-fb69-497d-a593-c0e9f3244f9f)) (pad "1" smd roundrect locked (at 1.05 0.95 270) (size 1.35 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 146 "Net-(C48-Pad1)") (pinfunction "B") (pintype "input") (tstamp 78c256b6-ad0d-4dad-b34a-070003989701)) + (net 142 "Net-(C48-Pad1)") (pinfunction "B") (pintype "input") (tstamp 78c256b6-ad0d-4dad-b34a-070003989701)) (pad "2" smd roundrect locked (at 1.05 -0.95 270) (size 1.35 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (net 1 "GND") (pinfunction "E") (pintype "passive") (tstamp 2e16ce1e-5b21-4ce7-97ad-9c70625edb53)) (pad "3" smd roundrect locked (at -1.05 0 270) (size 1.35 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) @@ -1746,7 +1625,7 @@ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp aadc967e-601d-4b5c-aac2-858b15694bbc)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp ed721ea8-a898-4756-a80a-8c04f5a0a037)) (pad "1" smd roundrect locked (at -0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 142 "Net-(C47-Pad1)") (pintype "passive") (tstamp a0479d36-9940-4f42-9dba-783a16f258d5)) + (net 138 "Net-(C47-Pad1)") (pintype "passive") (tstamp a0479d36-9940-4f42-9dba-783a16f258d5)) (pad "2" smd roundrect locked (at 0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (net 1 "GND") (pintype "passive") (tstamp 61d3bbb9-ba8a-40c7-8c78-384d83332c59)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" @@ -1792,7 +1671,7 @@ (pad "1" smd roundrect locked (at -0.8 0 180) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (net 2 "+5V") (pintype "passive") (tstamp debac77d-00fa-4b1a-81a6-13a783740175)) (pad "2" smd roundrect locked (at 0.8 0 180) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 147 "/Prog/JTAG~{OE}") (pintype "passive") (tstamp c082e35e-6c51-4400-ada6-ef959e0f58ef)) + (net 143 "/Prog/JTAG~{OE}") (pintype "passive") (tstamp c082e35e-6c51-4400-ada6-ef959e0f58ef)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -1836,7 +1715,7 @@ (pad "1" smd roundrect locked (at -0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (net 3 "+3V3") (pintype "passive") (tstamp a23d15ff-967a-4127-975b-fcec5cc72b53)) (pad "2" smd roundrect locked (at 0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 148 "Net-(R9-Pad2)") (pintype "passive") (tstamp 542fed75-d832-4cce-b639-4d3f6a0db321)) + (net 144 "Net-(R9-Pad2)") (pintype "passive") (tstamp 542fed75-d832-4cce-b639-4d3f6a0db321)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -1878,7 +1757,7 @@ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp d6ce28b4-8cf4-4945-b8b6-d106aa3884a8)) (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp f5917c08-7bbe-41aa-9d72-ae9bf4c65661)) (pad "1" smd roundrect locked (at -0.8 0 180) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 146 "Net-(C48-Pad1)") (pintype "passive") (tstamp e0448b07-5467-4e45-afd1-8ca804a4b1bb)) + (net 142 "Net-(C48-Pad1)") (pintype "passive") (tstamp e0448b07-5467-4e45-afd1-8ca804a4b1bb)) (pad "2" smd roundrect locked (at 0.8 0 180) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (net 1 "GND") (pintype "passive") (tstamp f9e8542b-7bb7-4a2d-976e-5d3e0748232e)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" @@ -1924,19 +1803,19 @@ (fp_line (start 3.25 -2.2) (end 3.25 2.2) (layer "F.Fab") (width 0.15) (tstamp aeba96ec-4762-40d3-9820-f9cdc67a3d62)) (fp_line (start -3.25 1.2) (end -3.25 -2.2) (layer "F.Fab") (width 0.15) (tstamp e6950bbe-404c-42c3-bdd3-2cbf7bdf8117)) (pad "1" smd roundrect locked (at -2.925 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 147 "/Prog/JTAG~{OE}") (pinfunction "~{OE}") (pintype "input") (tstamp 772715cf-3eca-43c7-acd6-2732c79f89ef)) + (net 143 "/Prog/JTAG~{OE}") (pinfunction "~{OE}") (pintype "input") (tstamp 772715cf-3eca-43c7-acd6-2732c79f89ef)) (pad "2" smd roundrect locked (at -2.275 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (net 1 "GND") (pinfunction "D0") (pintype "input") (tstamp a52f23f7-43bc-449e-b964-ceff7e98d2c4)) (pad "3" smd roundrect locked (at -1.625 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 150 "/Prog/UTDI") (pinfunction "D1") (pintype "input") (tstamp d9048e70-e339-407d-b1d8-66fcd1a67a13)) + (net 146 "/Prog/UTDI") (pinfunction "D1") (pintype "input") (tstamp d9048e70-e339-407d-b1d8-66fcd1a67a13)) (pad "4" smd roundrect locked (at -0.975 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (net 1 "GND") (pinfunction "D2") (pintype "input") (tstamp 6163081d-6e3b-47c6-a34a-625765e408f3)) (pad "5" smd roundrect locked (at -0.325 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 149 "/Prog/UTMS") (pinfunction "D3") (pintype "input") (tstamp 3c90b4d0-9010-4787-ad47-6da11bca7418)) + (net 145 "/Prog/UTMS") (pinfunction "D3") (pintype "input") (tstamp 3c90b4d0-9010-4787-ad47-6da11bca7418)) (pad "6" smd roundrect locked (at 0.325 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (net 1 "GND") (pinfunction "D4") (pintype "input") (tstamp 295ee819-de42-43e8-bbff-ab0cae618a87)) (pad "7" smd roundrect locked (at 0.975 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 145 "/Prog/UTCK") (pinfunction "D5") (pintype "input") (tstamp 474d9598-e0f7-4b3f-93c4-c75ccd11f104)) + (net 141 "/Prog/UTCK") (pinfunction "D5") (pintype "input") (tstamp 474d9598-e0f7-4b3f-93c4-c75ccd11f104)) (pad "8" smd roundrect locked (at 1.625 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (net 1 "GND") (pinfunction "D6") (pintype "input") (tstamp 47ede5b7-6f1c-4575-9f97-f4d39a6d4872)) (pad "9" smd roundrect locked (at 2.275 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) @@ -1946,21 +1825,21 @@ (pad "11" smd roundrect locked (at 2.925 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (net 2 "+5V") (pinfunction "~{LE}") (pintype "input") (tstamp 39334a2d-98c0-4282-a8cf-00331ddbfb55)) (pad "12" smd roundrect locked (at 2.275 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 111 "unconnected-(U7-Pad12)") (pinfunction "Q7") (pintype "tri_state+no_connect") (tstamp 7dfbff39-1af8-457d-b0c0-769c06f3e139)) + (net 107 "unconnected-(U7-Pad12)") (pinfunction "Q7") (pintype "tri_state+no_connect") (tstamp 7dfbff39-1af8-457d-b0c0-769c06f3e139)) (pad "13" smd roundrect locked (at 1.625 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 112 "unconnected-(U7-Pad13)") (pinfunction "Q6") (pintype "tri_state+no_connect") (tstamp b1fb6367-dc1d-47d7-abeb-05e72df2f751)) + (net 108 "unconnected-(U7-Pad13)") (pinfunction "Q6") (pintype "tri_state+no_connect") (tstamp b1fb6367-dc1d-47d7-abeb-05e72df2f751)) (pad "14" smd roundrect locked (at 0.975 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (net 79 "/Control/TCK") (pinfunction "Q5") (pintype "tri_state") (tstamp 455fb6a9-339d-4b61-b7d7-bb64b376a82a)) (pad "15" smd roundrect locked (at 0.325 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 113 "unconnected-(U7-Pad15)") (pinfunction "Q4") (pintype "tri_state+no_connect") (tstamp 4168f532-dc2d-4589-9e89-57ce7d7d6fdb)) + (net 109 "unconnected-(U7-Pad15)") (pinfunction "Q4") (pintype "tri_state+no_connect") (tstamp 4168f532-dc2d-4589-9e89-57ce7d7d6fdb)) (pad "16" smd roundrect locked (at -0.325 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (net 78 "/Control/TMS") (pinfunction "Q3") (pintype "tri_state") (tstamp 89564127-1647-4466-b053-6afef8602e27)) (pad "17" smd roundrect locked (at -0.975 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 114 "unconnected-(U7-Pad17)") (pinfunction "Q2") (pintype "tri_state+no_connect") (tstamp 150bbb56-2806-4fec-84b0-1bebaa68bbd6)) + (net 110 "unconnected-(U7-Pad17)") (pinfunction "Q2") (pintype "tri_state+no_connect") (tstamp 150bbb56-2806-4fec-84b0-1bebaa68bbd6)) (pad "18" smd roundrect locked (at -1.625 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (net 81 "/Control/TDI") (pinfunction "Q1") (pintype "tri_state") (tstamp 8e8424f4-04cf-4407-8ca1-d88f78e90b98)) (pad "19" smd roundrect locked (at -2.275 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 115 "unconnected-(U7-Pad19)") (pinfunction "Q0") (pintype "tri_state+no_connect") (tstamp 2d2a26ca-c83a-4fe1-b655-a617b0454f13)) + (net 111 "unconnected-(U7-Pad19)") (pinfunction "Q0") (pintype "tri_state+no_connect") (tstamp 2d2a26ca-c83a-4fe1-b655-a617b0454f13)) (pad "20" smd roundrect locked (at -2.925 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (net 2 "+5V") (pinfunction "Vcc") (pintype "power_in") (tstamp c54610e8-7083-4ba7-9382-3836d5af5ad4)) (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl" @@ -1972,7 +1851,7 @@ (footprint "stdpads:C_0603" (layer "F.Cu") (tedit 5EE29C36) (tstamp 00000000-0000-0000-0000-000061e490cb) - (at 195.45 109.05 180) + (at 195.45 102.2 180) (tags "capacitor") (property "LCSC Part" "C1653") (property "Sheetfile" "Prog.kicad_sch") @@ -2004,7 +1883,7 @@ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 8b11ebf5-b4ed-41ce-aaeb-80c3e21aded9)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp ee0895ff-bec2-4dc0-a9f3-a14c5a497fd0)) (pad "1" smd roundrect locked (at -0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 146 "Net-(C48-Pad1)") (pintype "passive") (tstamp 855b5c66-dd0f-46c6-9847-62901ab5e1d1)) + (net 142 "Net-(C48-Pad1)") (pintype "passive") (tstamp 855b5c66-dd0f-46c6-9847-62901ab5e1d1)) (pad "2" smd roundrect locked (at 0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (net 1 "GND") (pintype "passive") (tstamp 0c9e165d-bf99-412e-8178-abc28f381d1b)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" @@ -2054,33 +1933,33 @@ (pad "1" smd roundrect locked (at -4.445 2.475 180) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 89f00848-cc26-46cd-887f-ccb67881d67c)) (pad "2" smd roundrect locked (at -3.175 2.475 180) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 145 "/Prog/UTCK") (pinfunction "TXD") (pintype "output") (tstamp 58c507ec-6931-4b57-b5bb-2882e6358d65)) + (net 141 "/Prog/UTCK") (pinfunction "TXD") (pintype "output") (tstamp 58c507ec-6931-4b57-b5bb-2882e6358d65)) (pad "3" smd roundrect locked (at -1.905 2.475 180) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 148 "Net-(R9-Pad2)") (pinfunction "RXD") (pintype "input") (tstamp 69d8fa74-a525-4fff-a855-e998a98df879)) + (net 144 "Net-(R9-Pad2)") (pinfunction "RXD") (pintype "input") (tstamp 69d8fa74-a525-4fff-a855-e998a98df879)) (pad "4" smd roundrect locked (at -0.635 2.475 180) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (net 3 "+3V3") (pinfunction "V3") (pintype "passive") (tstamp 3e16dff3-76e1-416e-8717-628673dac9fd)) (pad "5" smd roundrect locked (at 0.635 2.475 180) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (net 82 "Net-(J3-Pad3)") (pinfunction "UD+") (pintype "bidirectional") (tstamp aac3211f-b081-49c9-acad-3f1855f2b191)) (pad "6" smd roundrect locked (at 1.905 2.475 180) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 85 "Net-(J3-Pad2)") (pinfunction "UD-") (pintype "bidirectional") (tstamp 2d57a82b-2ef5-4222-b479-4b11587795ce)) + (net 84 "Net-(J3-Pad2)") (pinfunction "UD-") (pintype "bidirectional") (tstamp 2d57a82b-2ef5-4222-b479-4b11587795ce)) (pad "7" smd roundrect locked (at 3.175 2.475 180) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 142 "Net-(C47-Pad1)") (pinfunction "XI") (pintype "input") (tstamp d601e927-6252-41c1-b1e7-f5468c07bdf8)) + (net 138 "Net-(C47-Pad1)") (pinfunction "XI") (pintype "input") (tstamp d601e927-6252-41c1-b1e7-f5468c07bdf8)) (pad "8" smd roundrect locked (at 4.445 2.475 180) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (net 7 "Net-(C46-Pad1)") (pinfunction "XO") (pintype "output") (tstamp baeafbcb-e79a-4679-93d1-210bd5eb6d3c)) (pad "9" smd roundrect locked (at 4.445 -2.475 180) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (net 80 "/Prog/TDO") (pinfunction "~{CTS}") (pintype "input") (tstamp 352e147e-13f5-44a3-ad5c-c88a6f448c17)) (pad "10" smd roundrect locked (at 3.175 -2.475 180) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 116 "unconnected-(U12-Pad10)") (pinfunction "~{DSR}") (pintype "input+no_connect") (tstamp 47fe9fdd-a375-4fd9-9f81-aef1e8953e29)) + (net 112 "unconnected-(U12-Pad10)") (pinfunction "~{DSR}") (pintype "input+no_connect") (tstamp 47fe9fdd-a375-4fd9-9f81-aef1e8953e29)) (pad "11" smd roundrect locked (at 1.905 -2.475 180) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 143 "unconnected-(U12-Pad11)") (pinfunction "~{RI}") (pintype "input+no_connect") (tstamp 5f9b49b8-6e74-4ff6-b23c-940c1939a097)) + (net 139 "unconnected-(U12-Pad11)") (pinfunction "~{RI}") (pintype "input+no_connect") (tstamp 5f9b49b8-6e74-4ff6-b23c-940c1939a097)) (pad "12" smd roundrect locked (at 0.635 -2.475 180) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 144 "unconnected-(U12-Pad12)") (pinfunction "~{DCD}") (pintype "input+no_connect") (tstamp 276d37ea-f762-4bd6-9fe6-efc7033cfa79)) + (net 140 "unconnected-(U12-Pad12)") (pinfunction "~{DCD}") (pintype "input+no_connect") (tstamp 276d37ea-f762-4bd6-9fe6-efc7033cfa79)) (pad "13" smd roundrect locked (at -0.635 -2.475 180) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 150 "/Prog/UTDI") (pinfunction "~{DTR}") (pintype "output") (tstamp 91a33c7d-476e-46a9-b463-ec89c591c216)) + (net 146 "/Prog/UTDI") (pinfunction "~{DTR}") (pintype "output") (tstamp 91a33c7d-476e-46a9-b463-ec89c591c216)) (pad "14" smd roundrect locked (at -1.905 -2.475 180) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 149 "/Prog/UTMS") (pinfunction "~{RTS}") (pintype "output") (tstamp 9130217e-bd76-4d78-87b0-59df4012a0be)) + (net 145 "/Prog/UTMS") (pinfunction "~{RTS}") (pintype "output") (tstamp 9130217e-bd76-4d78-87b0-59df4012a0be)) (pad "15" smd roundrect locked (at -3.175 -2.475 180) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 141 "Net-(R5-Pad1)") (pinfunction "R232") (pintype "input") (tstamp 5a053c7d-54b4-48c3-882d-1bd6aefe7168)) + (net 137 "Net-(R5-Pad1)") (pinfunction "R232") (pintype "input") (tstamp 5a053c7d-54b4-48c3-882d-1bd6aefe7168)) (pad "16" smd roundrect locked (at -4.445 -2.475 180) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (net 3 "+3V3") (pinfunction "VCC") (pintype "power_in") (tstamp 293f0b75-8e0d-40d7-b565-5ef2a151a0a5)) (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/SOIC-16_3.9x9.9mm_P1.27mm.wrl" @@ -2132,7 +2011,7 @@ (pad "1" smd roundrect locked (at -4.25 0 270) (size 4.5 2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (net 7 "Net-(C46-Pad1)") (pinfunction "1") (pintype "passive") (tstamp a29c776a-9228-4de9-845f-f1dbf8bb6417)) (pad "2" smd roundrect locked (at 4.25 0 270) (size 4.5 2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 142 "Net-(C47-Pad1)") (pinfunction "2") (pintype "passive") (tstamp de2427a2-bd9f-43e5-8704-406223f5593b)) + (net 138 "Net-(C47-Pad1)") (pinfunction "2") (pintype "passive") (tstamp de2427a2-bd9f-43e5-8704-406223f5593b)) (model "${KICAD6_3DMODEL_DIR}/Crystal.3dshapes/Crystal_SMD_HC49-SD.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -2173,11 +2052,11 @@ (fp_line (start 0.7 0.95) (end 0.7 -1.5) (layer "F.Fab") (width 0.1) (tstamp 5e11b11f-899e-4f64-9049-830715109993)) (fp_line (start 0.7 -1.52) (end -0.7 -1.52) (layer "F.Fab") (width 0.1) (tstamp f6299bcc-fa5f-447b-ab2d-a3b3e78a3b21)) (pad "1" smd roundrect locked (at 1.05 0.95 90) (size 1.35 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 93 "Net-(Q1-Pad1)") (pinfunction "B") (pintype "input") (tstamp ed070584-ee43-4265-8cb4-877e025da71c)) + (net 89 "Net-(Q1-Pad1)") (pinfunction "B") (pintype "input") (tstamp ed070584-ee43-4265-8cb4-877e025da71c)) (pad "2" smd roundrect locked (at 1.05 -0.95 90) (size 1.35 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (net 1 "GND") (pinfunction "E") (pintype "passive") (tstamp 4941d75e-3420-4e22-b2d9-eedec11faae7)) (pad "3" smd roundrect locked (at -1.05 0 90) (size 1.35 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 147 "/Prog/JTAG~{OE}") (pinfunction "C") (pintype "passive") (tstamp 6ef160b4-aa27-491d-83bc-ae6b3d7073a5)) + (net 143 "/Prog/JTAG~{OE}") (pinfunction "C") (pintype "passive") (tstamp 6ef160b4-aa27-491d-83bc-ae6b3d7073a5)) (model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -2219,9 +2098,9 @@ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp c169d4f7-7f35-4e3a-a8f8-4aa4ea657a99)) (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp c4ef9db5-c0a9-4aa1-bb66-2b4c5d4e634a)) (pad "1" smd roundrect locked (at -0.8 0 180) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 146 "Net-(C48-Pad1)") (pintype "passive") (tstamp 76012139-afda-434f-b918-9289c79819c1)) + (net 142 "Net-(C48-Pad1)") (pintype "passive") (tstamp 76012139-afda-434f-b918-9289c79819c1)) (pad "2" smd roundrect locked (at 0.8 0 180) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 84 "/Prog/USB5V") (pintype "passive") (tstamp c479e255-bad9-42ab-b617-a8cb33103219)) + (net 83 "/Prog/USB5V") (pintype "passive") (tstamp c479e255-bad9-42ab-b617-a8cb33103219)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -2351,7 +2230,7 @@ (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp c04d3115-3d1e-4453-bdaf-a58965667424)) (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp d196b056-97d2-4d02-9fe2-f8070b4aeab2)) (pad "1" smd roundrect locked (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 84 "/Prog/USB5V") (pintype "passive") (tstamp ec003cb0-05b5-4ff9-8974-2a7dfb79bd82)) + (net 83 "/Prog/USB5V") (pintype "passive") (tstamp ec003cb0-05b5-4ff9-8974-2a7dfb79bd82)) (pad "2" smd roundrect locked (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (net 1 "GND") (pintype "passive") (tstamp 09266450-66da-47d8-902a-06153d773373)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" @@ -2361,6 +2240,132 @@ ) ) + (footprint "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (layer "F.Cu") + (tedit 5F27C9F6) (tstamp 091bb6b0-20b8-4fd3-8ca4-fb8beaf02e8a) + (at 178.1 120.525 -90) + (descr "20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [TSSOP] (see Microchip Packaging Specification 00000049BS.pdf)") + (tags "SSOP 0.65") + (property "LCSC Part" "C141311") + (property "Sheetfile" "Buf.kicad_sch") + (property "Sheetname" "Buf") + (path "/00000000-0000-0000-0000-000060941922/00000000-0000-0000-0000-000060941f85") + (solder_mask_margin 0.024) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "U13" (at 0 0 -90) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 69d72e90-24b6-4dc6-b411-96141af3ded7) + ) + (fp_text value "74AHCT573PW" (at 0 1.016 -90) (layer "F.Fab") + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp 0f92f907-0606-4733-bd45-acfcdd3e3c05) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp c02e247e-5311-48a1-83d7-31eca2c27736) + ) + (fp_line (start 3.45 2.225) (end 3.45 -2.225) (layer "F.SilkS") (width 0.15) (tstamp c1c20fed-4485-4d02-a2e4-3d2e30f445d2)) + (fp_line (start -3.45 3.75) (end -3.45 -2.225) (layer "F.SilkS") (width 0.15) (tstamp fea2bd19-9904-47e4-b419-c4d8913b4138)) + (fp_line (start -3.55 3.95) (end 3.55 3.95) (layer "F.CrtYd") (width 0.05) (tstamp 446dbc27-62a0-42ed-8efc-b355fbc32f82)) + (fp_line (start -3.55 -3.95) (end 3.55 -3.95) (layer "F.CrtYd") (width 0.05) (tstamp c0f97838-17d5-4581-addf-f232500c3611)) + (fp_line (start 3.55 3.95) (end 3.55 -3.95) (layer "F.CrtYd") (width 0.05) (tstamp e3642a6d-b7b3-406d-81c0-d084e421b29c)) + (fp_line (start -3.55 3.95) (end -3.55 -3.95) (layer "F.CrtYd") (width 0.05) (tstamp e593ffeb-c20f-4068-b852-342e7180f430)) + (fp_line (start 3.25 2.2) (end -2.25 2.2) (layer "F.Fab") (width 0.15) (tstamp 0e6d5abc-2452-428c-a33d-91144aac36e7)) + (fp_line (start -3.25 1.2) (end -3.25 -2.2) (layer "F.Fab") (width 0.15) (tstamp 70003ae9-ee3f-45fd-96a0-988830765ad0)) + (fp_line (start 3.25 -2.2) (end 3.25 2.2) (layer "F.Fab") (width 0.15) (tstamp 716cec4d-c385-45fa-82e2-f3e4187f0d97)) + (fp_line (start -3.25 -2.2) (end 3.25 -2.2) (layer "F.Fab") (width 0.15) (tstamp aa310c76-1142-4a83-ad23-3cc29bb955fc)) + (fp_line (start -2.25 2.2) (end -3.25 1.2) (layer "F.Fab") (width 0.15) (tstamp cca12fbd-a0b6-4eed-8717-bdfe147e59ae)) + (pad "1" smd roundrect locked (at -2.925 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 87 "/Buf/Aout~{OE}") (pinfunction "~{OE}") (pintype "input") (tstamp 4371e57a-91ef-44e5-938c-b578a2b104cc)) + (pad "2" smd roundrect locked (at -2.275 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 99 "Net-(U13-Pad2)") (pinfunction "D0") (pintype "input") (tstamp 492caeb7-771c-4e78-b6c9-5f1e6ea57024)) + (pad "3" smd roundrect locked (at -1.625 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 100 "Net-(U13-Pad3)") (pinfunction "D1") (pintype "input") (tstamp 6f8490d4-81bd-40cc-8419-38630d763240)) + (pad "4" smd roundrect locked (at -0.975 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 101 "Net-(U13-Pad4)") (pinfunction "D2") (pintype "input") (tstamp ec4b5127-0469-4716-ad30-445b1f3b8d05)) + (pad "5" smd roundrect locked (at -0.325 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 102 "Net-(U13-Pad5)") (pinfunction "D3") (pintype "input") (tstamp bca2c74d-43fa-437b-ac40-5e952ac29c03)) + (pad "6" smd roundrect locked (at 0.325 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 103 "Net-(U13-Pad6)") (pinfunction "D4") (pintype "input") (tstamp 6154a0d5-1eba-481c-bbbb-da5b2bc9d61a)) + (pad "7" smd roundrect locked (at 0.975 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 104 "Net-(U13-Pad7)") (pinfunction "D5") (pintype "input") (tstamp 8807a0bc-52f5-47a6-bb16-16a8b90f1adc)) + (pad "8" smd roundrect locked (at 1.625 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 105 "Net-(U13-Pad8)") (pinfunction "D6") (pintype "input") (tstamp bfe52264-00e9-41d3-8852-e9fe678b8f81)) + (pad "9" smd roundrect locked (at 2.275 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 106 "Net-(U13-Pad9)") (pinfunction "D7") (pintype "input") (tstamp ce6139a4-8e5d-4051-9b0d-f7dc8a3c121b)) + (pad "10" smd roundrect locked (at 2.925 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 7b884849-efe8-49e5-9a73-bdafeab446b9)) + (pad "11" smd roundrect locked (at 2.925 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 88 "/Buf/ADoutLE0") (pinfunction "~{LE}") (pintype "input") (tstamp c045cf2d-c88d-4a12-99f4-2105c4652cb6)) + (pad "12" smd roundrect locked (at 2.275 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 11 "/Buf/MacA1") (pinfunction "Q7") (pintype "tri_state") (tstamp 8044280c-12f1-43f5-9474-52441e2d6206)) + (pad "13" smd roundrect locked (at 1.625 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 12 "/Buf/MacA2") (pinfunction "Q6") (pintype "tri_state") (tstamp 8e6fda93-a111-461b-a81f-90384f42cb79)) + (pad "14" smd roundrect locked (at 0.975 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 13 "/Buf/MacA3") (pinfunction "Q5") (pintype "tri_state") (tstamp 0bd62d96-3112-44ff-b934-d872e56862a8)) + (pad "15" smd roundrect locked (at 0.325 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 14 "/Buf/MacA4") (pinfunction "Q4") (pintype "tri_state") (tstamp 9d217df4-6a8a-4e4e-b4da-2dd9f9059318)) + (pad "16" smd roundrect locked (at -0.325 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 15 "/Buf/MacA5") (pinfunction "Q3") (pintype "tri_state") (tstamp 361ae29e-9ec0-4494-b4cf-56ac65c5cee1)) + (pad "17" smd roundrect locked (at -0.975 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 16 "/Buf/MacA6") (pinfunction "Q2") (pintype "tri_state") (tstamp ee620d06-7250-4cf7-b0a5-5a4c8906f208)) + (pad "18" smd roundrect locked (at -1.625 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 17 "/Buf/MacA7") (pinfunction "Q1") (pintype "tri_state") (tstamp c2b9151a-2ebc-45b2-bf46-d185c2679950)) + (pad "19" smd roundrect locked (at -2.275 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 18 "/Buf/MacA8") (pinfunction "Q0") (pintype "tri_state") (tstamp 78dc00c5-57b4-4032-9a38-669a7c0eaa06)) + (pad "20" smd roundrect locked (at -2.925 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "+5V") (pinfunction "Vcc") (pintype "power_in") (tstamp 580dac59-459e-4022-a045-f288e80fa25b)) + (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -90)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tedit 5EE29C36) (tstamp 1e1cbbc4-b3ab-42da-9064-94cb29ce7ee7) + (at 190.3 116.15 180) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Sheetfile" "Buf.kicad_sch") + (property "Sheetname" "Buf") + (path "/00000000-0000-0000-0000-000060941922/00000000-0000-0000-0000-0000616280b5") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C44" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp ccc5b8e8-c2fc-42c7-838c-4110181a7d81) + ) + (fp_text value "2u2" (at 0 0.25) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 4f4ce104-e753-4910-990f-9719b1bd5778) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp d7d6f0cd-7a6c-4b24-94ae-86b04750fff7) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 13c17f36-49f8-4969-b55d-f7d22d61b648)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp 57157593-9567-4b7b-9886-a1ae3aebbbeb)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp 4541e5b4-9a2a-407f-98f3-60b8df9bc406)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp 4d795847-7c1a-4384-af63-ba12d41d4c2d)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp 71511eff-36d0-4c2d-a39e-12318adc141c)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp 9dd4d18c-ca5f-4836-8f78-6741c9a5e8a1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 87254dd6-4a3c-4847-a735-94c65816d998)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 8d11cdf6-52ad-47f4-8a8b-d78f3b723b0c)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 9003be4a-24e6-4df1-b714-4d38d80af17c)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp dfd35466-a869-4534-8731-5608bbc8131e)) + (pad "1" smd roundrect locked (at -0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "+5V") (pintype "passive") (tstamp 03de6a27-83ad-447b-852c-47ca216791fe)) + (pad "2" smd roundrect locked (at 0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp cfa35562-26fe-42ae-880e-03954f436fed)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + (footprint "stdpads:R_0603" (layer "F.Cu") (tedit 5EE29B72) (tstamp 3bc7767a-00a7-4fd2-b1dd-712a03697300) (at 194.15 55.55 180) @@ -2395,9 +2400,9 @@ (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 459d107b-bae5-4d76-ab62-45993acbe841)) (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 5e6fc37f-7d2e-4e6d-bd80-c3b949ad519f)) (pad "1" smd roundrect locked (at -0.8 0 180) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 93 "Net-(Q1-Pad1)") (pintype "passive") (tstamp 743b154f-b747-41ab-89ce-fa57fc37d548)) + (net 89 "Net-(Q1-Pad1)") (pintype "passive") (tstamp 743b154f-b747-41ab-89ce-fa57fc37d548)) (pad "2" smd roundrect locked (at 0.8 0 180) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 84 "/Prog/USB5V") (pintype "passive") (tstamp 33d369fe-ac13-43f1-9e0a-62c5159a4f58)) + (net 83 "/Prog/USB5V") (pintype "passive") (tstamp 33d369fe-ac13-43f1-9e0a-62c5159a4f58)) (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -2407,7 +2412,7 @@ (footprint "stdpads:TQFP-144_20x20mm_P0.5mm" (layer "F.Cu") (tedit 5F2A2591) (tstamp 46f9bc0a-ab12-4df4-bfac-e2e7f259c3f7) - (at 169.291 90.043) + (at 157.5 76.55 180) (descr "TQFP, 144 Pin (http://www.microsemi.com/index.php?option=com_docman&task=doc_download&gid=131095), generated with kicad-footprint-generator ipc_qfp_generator.py") (tags "TQFP QFP") (solder_mask_margin 0.024) @@ -2415,198 +2420,198 @@ (attr smd) (fp_text reference "REF**" (at 0 0) (layer "F.Fab") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp acf59d62-39fd-4c8b-9518-c8773b1f25d0) + (tstamp 489f7a87-ea18-4e89-8b06-3dbac54cea70) ) (fp_text value "TQFP-144_20x20mm_P0.5mm" (at 0 1.25) (layer "F.Fab") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp f26a384e-5623-4bf2-86e1-3678316d7fd8) + (tstamp 1b02379c-b868-4da3-b5f6-b325bd12f70f) ) (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp 38632c62-371c-4a69-829c-301a4dd45f52) + (tstamp 2dde62e3-69a7-450b-88b8-0909d2fb5db0) ) - (fp_line (start 10.11 10.11) (end 10.11 9.16) (layer "F.SilkS") (width 0.12) (tstamp 0f80e69e-17b0-41d9-b896-69617ac5c980)) - (fp_line (start -9.16 -10.11) (end -10.11 -10.11) (layer "F.SilkS") (width 0.12) (tstamp 381e55e1-f460-4f2a-bb78-40f884c792b0)) - (fp_line (start -10.11 10.11) (end -10.11 9.16) (layer "F.SilkS") (width 0.12) (tstamp a5e4e6f4-6b42-45ce-a633-c8126999f787)) - (fp_line (start 10.11 -10.11) (end 10.11 -9.16) (layer "F.SilkS") (width 0.12) (tstamp d0489a15-0f0b-4761-8c83-5cff30a7ddac)) - (fp_line (start -9.16 10.11) (end -10.11 10.11) (layer "F.SilkS") (width 0.12) (tstamp e1dfda24-0dd3-4544-b919-49c27a7a6ded)) - (fp_line (start -10.11 -9.16) (end -11.4 -9.16) (layer "F.SilkS") (width 0.12) (tstamp e678e6c7-34d4-41ab-a4ec-95a20f1e0d73)) - (fp_line (start 9.16 10.11) (end 10.11 10.11) (layer "F.SilkS") (width 0.12) (tstamp f9ea510a-59fc-493d-bef1-3b3d5a62776d)) - (fp_line (start 9.16 -10.11) (end 10.11 -10.11) (layer "F.SilkS") (width 0.12) (tstamp fac37885-c4d1-42c6-b83d-d899372a84e9)) - (fp_line (start -10.11 -10.11) (end -10.11 -9.16) (layer "F.SilkS") (width 0.12) (tstamp fd31fe86-2a84-4178-af1a-8d29ee4f47f7)) - (fp_line (start 9.15 -10.25) (end 10.25 -10.25) (layer "F.CrtYd") (width 0.05) (tstamp 06a17c35-be1b-489a-bdd0-8481fcc8b8ba)) - (fp_line (start 10.25 9.15) (end 11.65 9.15) (layer "F.CrtYd") (width 0.05) (tstamp 16bcda3e-30a2-4fb5-a4a7-3d27f3c4d8bf)) - (fp_line (start -10.25 -9.15) (end -11.65 -9.15) (layer "F.CrtYd") (width 0.05) (tstamp 1d6d8071-ac92-4e97-b4a0-5e84ed87d1ef)) - (fp_line (start -9.15 10.25) (end -10.25 10.25) (layer "F.CrtYd") (width 0.05) (tstamp 1d6f22b0-c6a4-4960-a28f-a84e481c06c1)) - (fp_line (start -10.25 10.25) (end -10.25 9.15) (layer "F.CrtYd") (width 0.05) (tstamp 24102211-0464-4914-90d9-71347b7fcfaa)) - (fp_line (start 10.25 -10.25) (end 10.25 -9.15) (layer "F.CrtYd") (width 0.05) (tstamp 356c4287-3dad-40f8-97c9-af5cd1eb4fc2)) - (fp_line (start 9.15 -11.65) (end 9.15 -10.25) (layer "F.CrtYd") (width 0.05) (tstamp 45abfb23-d6d2-4f96-bc7d-8cdf9e98f830)) - (fp_line (start 10.25 -9.15) (end 11.65 -9.15) (layer "F.CrtYd") (width 0.05) (tstamp 45e078b8-375c-4767-8d91-3035f920d02b)) - (fp_line (start -11.65 -9.15) (end -11.65 0) (layer "F.CrtYd") (width 0.05) (tstamp 49a0d866-1333-4b7a-b0da-76faf8703a7d)) - (fp_line (start -9.15 -10.25) (end -10.25 -10.25) (layer "F.CrtYd") (width 0.05) (tstamp 4a40e094-f21a-4fb0-ba28-4ea9335dbc8c)) - (fp_line (start 9.15 11.65) (end 9.15 10.25) (layer "F.CrtYd") (width 0.05) (tstamp 531b7eed-ac3d-43d0-910e-ca82c59ba6d0)) - (fp_line (start 0 -11.65) (end -9.15 -11.65) (layer "F.CrtYd") (width 0.05) (tstamp 6c0cedce-d5e8-4216-a0e0-42310ae19fe5)) - (fp_line (start 11.65 -9.15) (end 11.65 0) (layer "F.CrtYd") (width 0.05) (tstamp 6cfba1fe-2a08-4f45-9c43-f1f86d3601ee)) - (fp_line (start 0 11.65) (end -9.15 11.65) (layer "F.CrtYd") (width 0.05) (tstamp 7fe252a5-5673-444a-a6d7-244525457a21)) - (fp_line (start 0 -11.65) (end 9.15 -11.65) (layer "F.CrtYd") (width 0.05) (tstamp 7fffe7f7-99d0-48cd-a5e2-fe3ab8ac4b49)) - (fp_line (start -11.65 9.15) (end -11.65 0) (layer "F.CrtYd") (width 0.05) (tstamp 8636361a-ff96-49c7-ae39-1457d1af6933)) - (fp_line (start -9.15 -11.65) (end -9.15 -10.25) (layer "F.CrtYd") (width 0.05) (tstamp 961432c1-1bd7-464d-95af-efaf33643fb7)) - (fp_line (start -10.25 9.15) (end -11.65 9.15) (layer "F.CrtYd") (width 0.05) (tstamp 9b2f67b0-b2cf-42e4-b261-759f728003b8)) - (fp_line (start 9.15 10.25) (end 10.25 10.25) (layer "F.CrtYd") (width 0.05) (tstamp b3f3eacf-758e-4909-b240-6d3a90c23a4b)) - (fp_line (start 0 11.65) (end 9.15 11.65) (layer "F.CrtYd") (width 0.05) (tstamp b89e2e6e-9a81-4073-bc7c-5f001e2d16a4)) - (fp_line (start 11.65 9.15) (end 11.65 0) (layer "F.CrtYd") (width 0.05) (tstamp c30b6f51-5bc9-4a76-8a03-fc4a35281769)) - (fp_line (start 10.25 10.25) (end 10.25 9.15) (layer "F.CrtYd") (width 0.05) (tstamp ce906bef-6945-463e-a57d-06edb3ed8dd0)) - (fp_line (start -9.15 11.65) (end -9.15 10.25) (layer "F.CrtYd") (width 0.05) (tstamp efd034f0-6e38-46f5-83f9-77ebd1dcc96f)) - (fp_line (start -10.25 -10.25) (end -10.25 -9.15) (layer "F.CrtYd") (width 0.05) (tstamp fa263bbc-3bf4-4d98-a5b9-2944bd9662c5)) - (fp_line (start -9 -10) (end 10 -10) (layer "F.Fab") (width 0.1) (tstamp 29c5e575-4f8b-4f60-ac25-94ffee3346d4)) - (fp_line (start 10 -10) (end 10 10) (layer "F.Fab") (width 0.1) (tstamp 6c845cd7-93a3-421f-b94a-f0a3d49e2118)) - (fp_line (start -10 -9) (end -9 -10) (layer "F.Fab") (width 0.1) (tstamp 7e1400d8-edf8-4c17-8f2e-5dc467c4c5a1)) - (fp_line (start 10 10) (end -10 10) (layer "F.Fab") (width 0.1) (tstamp c26fcf70-0f05-433b-b373-5d196bd2281b)) - (fp_line (start -10 10) (end -10 -9) (layer "F.Fab") (width 0.1) (tstamp cc8ea137-5c57-4334-9820-db00f0057105)) - (pad "1" smd roundrect locked (at -10.6625 -8.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 4a845ede-4258-46b7-b03d-a737b3f81034)) - (pad "2" smd roundrect locked (at -10.6625 -8.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 4185c091-095f-4e12-bd4d-b5cdf7a29e5a)) - (pad "3" smd roundrect locked (at -10.6625 -7.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp a3572912-ea02-49f5-9e59-c738672315d5)) - (pad "4" smd roundrect locked (at -10.6625 -7.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 2f58fb13-0fd6-41c8-b9d6-ac7c982df2c7)) - (pad "5" smd roundrect locked (at -10.6625 -6.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp f812bf02-bb27-4792-a9e8-bff50261d428)) - (pad "6" smd roundrect locked (at -10.6625 -6.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp ad32a7c2-3177-4254-8c58-ae3f4bc5acc8)) - (pad "7" smd roundrect locked (at -10.6625 -5.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp ea5f6131-cb7d-4565-b2fb-3b607f4c793a)) - (pad "8" smd roundrect locked (at -10.6625 -5.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 613f034e-b0e5-4af0-8912-8de28aaff340)) - (pad "9" smd roundrect locked (at -10.6625 -4.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp a8388897-7737-4925-b3c2-1c16ad936691)) - (pad "10" smd roundrect locked (at -10.6625 -4.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp a64455e6-d627-495b-9f65-8514d3bb72dd)) - (pad "11" smd roundrect locked (at -10.6625 -3.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 27a2e118-e759-4fab-964d-896d29cf8156)) - (pad "12" smd roundrect locked (at -10.6625 -3.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 6263fe16-d954-458a-b41c-3ec97dc2cd20)) - (pad "13" smd roundrect locked (at -10.6625 -2.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 341315da-6e5f-4150-8d69-aa98ada8d15d)) - (pad "14" smd roundrect locked (at -10.6625 -2.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 2675a4d3-7186-447b-9977-c8d9ec8ec960)) - (pad "15" smd roundrect locked (at -10.6625 -1.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 75a2aae0-69e2-4d83-9be9-42ed3cee959a)) - (pad "16" smd roundrect locked (at -10.6625 -1.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 12ee9b34-dac1-4efd-83e4-efe4ceb38d08)) - (pad "17" smd roundrect locked (at -10.6625 -0.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp f2ac752d-77fb-4207-8734-5921bce1d521)) - (pad "18" smd roundrect locked (at -10.6625 -0.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 77b79ea0-339d-4f61-a577-3271d58ae481)) - (pad "19" smd roundrect locked (at -10.6625 0.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp c9afdea5-5056-4ad5-8448-258b85b01044)) - (pad "20" smd roundrect locked (at -10.6625 0.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp fbc7e202-63db-4480-807b-a1299f724084)) - (pad "21" smd roundrect locked (at -10.6625 1.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 8558dab7-0d43-47de-80af-4cdd13781fe2)) - (pad "22" smd roundrect locked (at -10.6625 1.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp ddb5f8dd-5d88-4a91-94a7-605ff0bc864c)) - (pad "23" smd roundrect locked (at -10.6625 2.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp eaf7cd09-b431-4057-b79e-c8e8374de80d)) - (pad "24" smd roundrect locked (at -10.6625 2.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 5b884601-5bcd-48d5-bb43-0263308466ad)) - (pad "25" smd roundrect locked (at -10.6625 3.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 74d746b6-9788-4944-abc2-b38e39ffb848)) - (pad "26" smd roundrect locked (at -10.6625 3.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 78aa089c-961b-4293-bd83-49567b2363bb)) - (pad "27" smd roundrect locked (at -10.6625 4.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 2d0ab220-0688-4e6c-9ffa-aea20ea16a50)) - (pad "28" smd roundrect locked (at -10.6625 4.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 4d953a03-7400-408a-ab72-b915b2bd4f9d)) - (pad "29" smd roundrect locked (at -10.6625 5.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 9d8a59ef-7707-4f48-b5dc-cc4207ed4417)) - (pad "30" smd roundrect locked (at -10.6625 5.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 2d0d82e6-9072-4a61-8b7f-50fba67d3922)) - (pad "31" smd roundrect locked (at -10.6625 6.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 555ecba0-4740-4f39-bd81-505f03fc8d68)) - (pad "32" smd roundrect locked (at -10.6625 6.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp bc163f63-625b-4f58-bb6b-0b5c677e55ea)) - (pad "33" smd roundrect locked (at -10.6625 7.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 082c6e2e-031d-417d-bb82-27229bcce7cb)) - (pad "34" smd roundrect locked (at -10.6625 7.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp ac352dc5-ffc9-4b35-8a4e-43e72ddf4102)) - (pad "35" smd roundrect locked (at -10.6625 8.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 6bc15498-fb12-4d56-9111-98194f37f0ee)) - (pad "36" smd roundrect locked (at -10.6625 8.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 9f06c32a-0890-4518-8f21-a3f97318bb8c)) - (pad "37" smd roundrect locked (at -8.75 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 140e5396-eb10-437f-9095-12e1c1259027)) - (pad "38" smd roundrect locked (at -8.25 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 579ab363-6acc-4856-bf07-71cc18493068)) - (pad "39" smd roundrect locked (at -7.75 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp b9e9c8cb-fa9f-4ff4-a3a1-1e05879b1129)) - (pad "40" smd roundrect locked (at -7.25 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp f5068270-9501-4b1a-8894-41d5b8c889f1)) - (pad "41" smd roundrect locked (at -6.75 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 7b0519c4-eb90-4bb8-9677-404783e34386)) - (pad "42" smd roundrect locked (at -6.25 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 116083df-994b-483f-8b92-b20ed176352e)) - (pad "43" smd roundrect locked (at -5.75 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 28cb8a1f-2916-43e4-8d43-2cb24ceb9582)) - (pad "44" smd roundrect locked (at -5.25 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp daed47da-df41-4cd3-bc33-da55369ca133)) - (pad "45" smd roundrect locked (at -4.75 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 1674cfce-cca4-48e9-993d-ff2877827b89)) - (pad "46" smd roundrect locked (at -4.25 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp efd41b30-5e08-4d63-949a-56b947426fba)) - (pad "47" smd roundrect locked (at -3.75 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp f1d4d018-ed17-42b1-8a1b-e072f6c56123)) - (pad "48" smd roundrect locked (at -3.25 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp da3a2d0f-320f-40b8-85fd-95504879c4f4)) - (pad "49" smd roundrect locked (at -2.75 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 7a3542ad-fc96-4f43-b2e3-91bcf6b535b7)) - (pad "50" smd roundrect locked (at -2.25 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 1b85dc4a-4c90-4b1c-b9e5-b265bcd68582)) - (pad "51" smd roundrect locked (at -1.75 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 15b6a48d-191c-46c2-a2e9-00f1f0bce3cb)) - (pad "52" smd roundrect locked (at -1.25 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 137ee554-06e3-4c22-9196-ad448971ea72)) - (pad "53" smd roundrect locked (at -0.75 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 84897844-7b1f-4c99-9735-bfc5054d7d5e)) - (pad "54" smd roundrect locked (at -0.25 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 5aadcb68-7758-4cae-840a-87a636c2454e)) - (pad "55" smd roundrect locked (at 0.25 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp dd029484-f131-41e0-9500-d615c2767dd9)) - (pad "56" smd roundrect locked (at 0.75 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp e6587539-83fd-4a25-9d7c-64353261053a)) - (pad "57" smd roundrect locked (at 1.25 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp e5f26301-88ac-4474-9a0a-4b8ba4463a93)) - (pad "58" smd roundrect locked (at 1.75 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp c2a5e629-85b7-4b94-affe-a36e30cf0701)) - (pad "59" smd roundrect locked (at 2.25 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp a5e9a97f-8fb2-46cf-ae7c-53286ce3cf15)) - (pad "60" smd roundrect locked (at 2.75 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 8a368292-2135-44aa-9063-b6922b29d01b)) - (pad "61" smd roundrect locked (at 3.25 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 16ea782a-5d19-40e7-b50c-44f6c23d9e30)) - (pad "62" smd roundrect locked (at 3.75 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 86e80b51-943a-40cd-b8af-c695a7800861)) - (pad "63" smd roundrect locked (at 4.25 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 8e38d9ba-c2e4-4e30-b430-e77e9b5aee3e)) - (pad "64" smd roundrect locked (at 4.75 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 63dc6e8e-165e-4618-8ec9-b0e29de9e505)) - (pad "65" smd roundrect locked (at 5.25 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp daa022d3-e3a6-4690-b5ff-395e88902025)) - (pad "66" smd roundrect locked (at 5.75 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 44f79423-2d54-429f-82cc-568ec955f499)) - (pad "67" smd roundrect locked (at 6.25 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp bb48e932-296d-47ee-984a-a431be9151c1)) - (pad "68" smd roundrect locked (at 6.75 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 04d496c0-7630-4e31-841b-424516a96733)) - (pad "69" smd roundrect locked (at 7.25 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 6e1a4d2d-0f83-4edd-be5b-d6cd3f33563c)) - (pad "70" smd roundrect locked (at 7.75 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 4f33ec46-958b-40af-8630-d0baf9d33517)) - (pad "71" smd roundrect locked (at 8.25 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 52e03ff9-972c-4e5b-be0d-60386fd84eec)) - (pad "72" smd roundrect locked (at 8.75 10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 45b32cbe-f349-4537-ac1d-3bcbe169abd8)) - (pad "73" smd roundrect locked (at 10.6625 8.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 07dcc106-cd50-48a4-ae95-898cefc11718)) - (pad "74" smd roundrect locked (at 10.6625 8.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 60222471-4339-470e-94ad-a3989b366d9d)) - (pad "75" smd roundrect locked (at 10.6625 7.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 62def94f-da82-4011-bf35-41c3c797a86b)) - (pad "76" smd roundrect locked (at 10.6625 7.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp ace519ac-34a0-4c5b-8268-9ed5ecaff650)) - (pad "77" smd roundrect locked (at 10.6625 6.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 6b8afd19-0304-4bb1-bbbb-9c1c90728de6)) - (pad "78" smd roundrect locked (at 10.6625 6.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp acf2ac89-d19c-4fc5-b3e5-650246529e6b)) - (pad "79" smd roundrect locked (at 10.6625 5.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 7215e3ab-6ad6-4323-a021-07baca282c25)) - (pad "80" smd roundrect locked (at 10.6625 5.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 395e6f0f-1b46-4738-9b4a-5227280e3baf)) - (pad "81" smd roundrect locked (at 10.6625 4.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 52fa2e13-7f82-4b40-810d-dad822d69f90)) - (pad "82" smd roundrect locked (at 10.6625 4.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 8368d915-c51f-408f-9d7d-586d019d801d)) - (pad "83" smd roundrect locked (at 10.6625 3.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp faa31e7d-c5c9-44ec-8d27-b76418faacbe)) - (pad "84" smd roundrect locked (at 10.6625 3.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 7d5b7a43-1087-40c5-b365-cf5a95052eaa)) - (pad "85" smd roundrect locked (at 10.6625 2.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 5583c201-6be4-428e-b26c-47c80d52ce89)) - (pad "86" smd roundrect locked (at 10.6625 2.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp e9bc4e11-a5ee-4061-840e-f8dc66f8dd4c)) - (pad "87" smd roundrect locked (at 10.6625 1.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp dd06c53d-16fb-4a9e-8aae-ff135cb05e90)) - (pad "88" smd roundrect locked (at 10.6625 1.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp c678ce50-ba3c-4678-9482-24a696c9c6e8)) - (pad "89" smd roundrect locked (at 10.6625 0.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp a1a91a3c-be00-4a5f-b6fd-08f2251a3f4b)) - (pad "90" smd roundrect locked (at 10.6625 0.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp f636e04d-0dfe-4aa2-9554-f36008921b26)) - (pad "91" smd roundrect locked (at 10.6625 -0.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 7357a284-7584-4504-841b-2b26711f754e)) - (pad "92" smd roundrect locked (at 10.6625 -0.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp e07137ef-0f66-46e8-9703-ea94c2761c5c)) - (pad "93" smd roundrect locked (at 10.6625 -1.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 8357af93-cef0-4b70-a998-2f9e5157b337)) - (pad "94" smd roundrect locked (at 10.6625 -1.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 154a279c-077a-4b57-ba14-bee3bf04ef54)) - (pad "95" smd roundrect locked (at 10.6625 -2.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp c729631f-04fc-4fce-b913-13a0f4c0d366)) - (pad "96" smd roundrect locked (at 10.6625 -2.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 9e13d2db-2f0c-4a98-927f-dc8db5c78d21)) - (pad "97" smd roundrect locked (at 10.6625 -3.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 5b029871-3393-49c5-9db3-138e236ed7aa)) - (pad "98" smd roundrect locked (at 10.6625 -3.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp b5a3aabe-c57d-4f24-b844-400086efa7a3)) - (pad "99" smd roundrect locked (at 10.6625 -4.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 1f78fa40-7452-401c-8e3f-5b7ed017f314)) - (pad "100" smd roundrect locked (at 10.6625 -4.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 41171d68-d3f9-4307-adc8-447b560a8e74)) - (pad "101" smd roundrect locked (at 10.6625 -5.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 1d25a575-afb0-461b-9884-5f1fdd4ab66e)) - (pad "102" smd roundrect locked (at 10.6625 -5.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp d8c14ee5-7809-4164-a805-08aa435888de)) - (pad "103" smd roundrect locked (at 10.6625 -6.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp b26edc33-c8e8-4e6b-b6c2-b65bf9b79591)) - (pad "104" smd roundrect locked (at 10.6625 -6.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 96002283-24b6-467d-9a81-413346a5f4ab)) - (pad "105" smd roundrect locked (at 10.6625 -7.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 07e46668-402e-4bdf-8347-240a4ebe47d7)) - (pad "106" smd roundrect locked (at 10.6625 -7.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 5349beaf-ce1a-44b4-98f0-117a2bc3c5bb)) - (pad "107" smd roundrect locked (at 10.6625 -8.25) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 1bdfede1-22a7-4a7e-8979-e030eca04f8f)) - (pad "108" smd roundrect locked (at 10.6625 -8.75) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp d0cc2ba0-ec90-4ec1-af0e-fcdbd6eed891)) - (pad "109" smd roundrect locked (at 8.75 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 5692b0ae-00ac-4809-ae48-5048a511f671)) - (pad "110" smd roundrect locked (at 8.25 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 927af567-3ca1-40b6-9268-165a01ed4196)) - (pad "111" smd roundrect locked (at 7.75 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp cc03e55b-5ec6-4c92-929f-a99f770ab283)) - (pad "112" smd roundrect locked (at 7.25 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 423061d6-88cb-4492-a3e3-3e745a28deff)) - (pad "113" smd roundrect locked (at 6.75 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp b641736a-fe6b-477c-b650-7b44fda2c887)) - (pad "114" smd roundrect locked (at 6.25 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 2851ab90-35d0-421a-81da-ca5d51eeef75)) - (pad "115" smd roundrect locked (at 5.75 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 83e08a45-c945-4a3d-808e-2fa62455305d)) - (pad "116" smd roundrect locked (at 5.25 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 16b2ade9-103c-482e-aed4-bc5dba33582f)) - (pad "117" smd roundrect locked (at 4.75 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp b4e27001-bb4a-4360-96c5-f570527d0701)) - (pad "118" smd roundrect locked (at 4.25 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp a835fd3a-044f-47e2-b292-6c1630667dc1)) - (pad "119" smd roundrect locked (at 3.75 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 77b99211-85fd-49d7-99a0-c6485396da1c)) - (pad "120" smd roundrect locked (at 3.25 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 60d648cc-baee-4bb6-8bd0-8057f02f620e)) - (pad "121" smd roundrect locked (at 2.75 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp ce853574-6f9a-4e47-825c-f2b026e29068)) - (pad "122" smd roundrect locked (at 2.25 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 1bf0cbd0-eade-4766-a0f6-b42787be05cc)) - (pad "123" smd roundrect locked (at 1.75 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 47b3739e-28cd-41d9-8716-c935fda19076)) - (pad "124" smd roundrect locked (at 1.25 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 6faa355f-9d08-4dfa-b3e6-58b461b2063e)) - (pad "125" smd roundrect locked (at 0.75 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 3a0c2da1-1591-4743-9b15-278e8de2a7f4)) - (pad "126" smd roundrect locked (at 0.25 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp abc74907-d66f-47e6-9559-d8ed824d3f76)) - (pad "127" smd roundrect locked (at -0.25 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 1bf202e3-58fc-4de0-a2d1-de07aefce5b3)) - (pad "128" smd roundrect locked (at -0.75 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp eb96f156-4575-492b-90a0-19f7785d44db)) - (pad "129" smd roundrect locked (at -1.25 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 0f7d9ff4-cfea-472b-87af-b3b9304e40eb)) - (pad "130" smd roundrect locked (at -1.75 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 010e2452-339a-4e50-9fe7-61ed319793a0)) - (pad "131" smd roundrect locked (at -2.25 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp b1e69676-dc8d-4c50-a0e3-fc348a729fd1)) - (pad "132" smd roundrect locked (at -2.75 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp d197210b-bcb0-44f8-ad59-d355aafaa024)) - (pad "133" smd roundrect locked (at -3.25 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 3e1f8a76-b9c8-4d54-add5-8bc6a7ebc542)) - (pad "134" smd roundrect locked (at -3.75 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp e61c20c1-cd07-4c16-aa80-bc82f89a9e8f)) - (pad "135" smd roundrect locked (at -4.25 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp bac3ca13-0586-48f6-bfd0-fc61f230fd62)) - (pad "136" smd roundrect locked (at -4.75 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 2ff8b2be-5126-4173-923f-363c91d6bc0e)) - (pad "137" smd roundrect locked (at -5.25 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 4b7f481a-ffab-49b6-ab70-058e45c84eb0)) - (pad "138" smd roundrect locked (at -5.75 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 62b47be4-aa52-4d27-9119-cd53e32762de)) - (pad "139" smd roundrect locked (at -6.25 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 7d97b6a7-000e-441f-a4cf-4f703fd6b251)) - (pad "140" smd roundrect locked (at -6.75 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 7111bcd0-2d6d-4624-8371-41e191dbed6d)) - (pad "141" smd roundrect locked (at -7.25 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp df5b27e7-d0ac-4ca5-a6cb-fefd59851561)) - (pad "142" smd roundrect locked (at -7.75 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 5b808d3c-49b1-448f-91a1-b4bb5d885d59)) - (pad "143" smd roundrect locked (at -8.25 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp dd35f33e-c4d6-49ad-98bd-54d576474aca)) - (pad "144" smd roundrect locked (at -8.75 -10.6625) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 771eb181-d30d-4d17-a5e3-a528596db5fb)) + (fp_line (start 9.16 -10.11) (end 10.11 -10.11) (layer "F.SilkS") (width 0.12) (tstamp 03ff0598-b9c4-47bc-9a36-10e82123a80b)) + (fp_line (start -9.16 10.11) (end -10.11 10.11) (layer "F.SilkS") (width 0.12) (tstamp 12166294-fa15-44ec-920a-f31d1a6a0d9d)) + (fp_line (start 10.11 10.11) (end 10.11 9.16) (layer "F.SilkS") (width 0.12) (tstamp 14cfd220-a6c1-48c3-b6c2-9931f52e9706)) + (fp_line (start -10.11 10.11) (end -10.11 9.16) (layer "F.SilkS") (width 0.12) (tstamp 28be2eea-216c-4dfa-9619-8c288abeffcc)) + (fp_line (start 9.16 10.11) (end 10.11 10.11) (layer "F.SilkS") (width 0.12) (tstamp 544bd62c-efef-4416-a0fd-f9da6ddb211c)) + (fp_line (start -10.11 -10.11) (end -10.11 -9.16) (layer "F.SilkS") (width 0.12) (tstamp a6d5dfb9-8522-4332-b9db-9f3b95a02a38)) + (fp_line (start 10.11 -10.11) (end 10.11 -9.16) (layer "F.SilkS") (width 0.12) (tstamp a9e88bfc-fce3-4e98-9050-c42fbe3358cb)) + (fp_line (start -10.11 -9.16) (end -11.4 -9.16) (layer "F.SilkS") (width 0.12) (tstamp cca1e215-bc03-4ac0-97ed-c0a7e7554284)) + (fp_line (start -9.16 -10.11) (end -10.11 -10.11) (layer "F.SilkS") (width 0.12) (tstamp f2b20c6c-e14b-45cf-949d-254a44922df3)) + (fp_line (start 9.15 11.65) (end 9.15 10.25) (layer "F.CrtYd") (width 0.05) (tstamp 1bdcdb6c-de3d-4c2e-b3ff-39cb18745945)) + (fp_line (start 9.15 -10.25) (end 10.25 -10.25) (layer "F.CrtYd") (width 0.05) (tstamp 22fad49d-10a0-46c1-b191-0464ecc2001b)) + (fp_line (start 0 -11.65) (end 9.15 -11.65) (layer "F.CrtYd") (width 0.05) (tstamp 26b95811-520d-4e6c-aed7-fc6ec0f6d318)) + (fp_line (start 10.25 10.25) (end 10.25 9.15) (layer "F.CrtYd") (width 0.05) (tstamp 368da67f-3f6f-439f-950e-0fcf58bcc4ca)) + (fp_line (start 9.15 -11.65) (end 9.15 -10.25) (layer "F.CrtYd") (width 0.05) (tstamp 42833787-6a32-42cc-be90-78f97d0bfb8b)) + (fp_line (start -9.15 -10.25) (end -10.25 -10.25) (layer "F.CrtYd") (width 0.05) (tstamp 527fa592-aca7-4add-8ee0-e4dd1ffe54de)) + (fp_line (start -10.25 10.25) (end -10.25 9.15) (layer "F.CrtYd") (width 0.05) (tstamp 62276f12-bdb9-4c74-b1e4-2f98d2c45b88)) + (fp_line (start 10.25 -9.15) (end 11.65 -9.15) (layer "F.CrtYd") (width 0.05) (tstamp 68340905-7172-43c3-ba8f-da44b223361d)) + (fp_line (start -11.65 -9.15) (end -11.65 0) (layer "F.CrtYd") (width 0.05) (tstamp 71a62504-3eb1-402d-9580-ee343d2345f5)) + (fp_line (start -9.15 10.25) (end -10.25 10.25) (layer "F.CrtYd") (width 0.05) (tstamp 84b2b64d-e38c-49e8-9c10-c201fa1be9e8)) + (fp_line (start -9.15 11.65) (end -9.15 10.25) (layer "F.CrtYd") (width 0.05) (tstamp 8c999ec3-f4ed-4412-b74d-4b11eaeaeea1)) + (fp_line (start -10.25 9.15) (end -11.65 9.15) (layer "F.CrtYd") (width 0.05) (tstamp 9160e976-7d13-421c-835b-94c674bf8e4c)) + (fp_line (start -10.25 -10.25) (end -10.25 -9.15) (layer "F.CrtYd") (width 0.05) (tstamp 9ac31d8e-d1da-4a79-839a-c4c36d7814b0)) + (fp_line (start 0 11.65) (end -9.15 11.65) (layer "F.CrtYd") (width 0.05) (tstamp a23bf3b3-e572-4cae-851c-4ee4f0d24ab0)) + (fp_line (start 0 11.65) (end 9.15 11.65) (layer "F.CrtYd") (width 0.05) (tstamp ab4f965c-8e21-4392-885d-b847a36e9c87)) + (fp_line (start 9.15 10.25) (end 10.25 10.25) (layer "F.CrtYd") (width 0.05) (tstamp b3479f7e-a890-4e39-93ec-3b1b6d1895a0)) + (fp_line (start -9.15 -11.65) (end -9.15 -10.25) (layer "F.CrtYd") (width 0.05) (tstamp c718a373-8897-4823-8e71-fd1dd89738b8)) + (fp_line (start -10.25 -9.15) (end -11.65 -9.15) (layer "F.CrtYd") (width 0.05) (tstamp c8303fd2-a144-40ea-8b11-d216c7134b04)) + (fp_line (start 0 -11.65) (end -9.15 -11.65) (layer "F.CrtYd") (width 0.05) (tstamp d16f2faa-881f-401e-851c-4725777d416e)) + (fp_line (start 11.65 9.15) (end 11.65 0) (layer "F.CrtYd") (width 0.05) (tstamp d445608d-9297-43fd-a349-ee91dedf03e3)) + (fp_line (start 10.25 9.15) (end 11.65 9.15) (layer "F.CrtYd") (width 0.05) (tstamp da3eefd4-c569-4eb7-8c3b-83edaf4d110c)) + (fp_line (start -11.65 9.15) (end -11.65 0) (layer "F.CrtYd") (width 0.05) (tstamp e7c405bd-3788-4e14-9175-5070dad47103)) + (fp_line (start 10.25 -10.25) (end 10.25 -9.15) (layer "F.CrtYd") (width 0.05) (tstamp eaa3c72a-0baf-4d2f-b509-04f58a40421b)) + (fp_line (start 11.65 -9.15) (end 11.65 0) (layer "F.CrtYd") (width 0.05) (tstamp ee699c4a-8aae-4365-a31d-a928b94b223b)) + (fp_line (start -10 -9) (end -9 -10) (layer "F.Fab") (width 0.1) (tstamp 21ec02f8-7a56-4bb7-a379-ec66568f193b)) + (fp_line (start -9 -10) (end 10 -10) (layer "F.Fab") (width 0.1) (tstamp 41cd392c-8fdc-4f91-b301-0d8134f70d29)) + (fp_line (start -10 10) (end -10 -9) (layer "F.Fab") (width 0.1) (tstamp 51d0b7c5-0d61-4d6d-ae56-1c599d4f3987)) + (fp_line (start 10 -10) (end 10 10) (layer "F.Fab") (width 0.1) (tstamp 62574b4e-103f-439f-8645-0d162e96ede7)) + (fp_line (start 10 10) (end -10 10) (layer "F.Fab") (width 0.1) (tstamp fc5d5e46-5e4f-43e7-8482-27318ca2abb7)) + (pad "1" smd roundrect locked (at -10.6625 -8.75 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 1428c21f-c630-41fc-89c9-4a6f69669aa0)) + (pad "2" smd roundrect locked (at -10.6625 -8.25 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 9fa75399-2114-45b7-930f-beccfa7d23a7)) + (pad "3" smd roundrect locked (at -10.6625 -7.75 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 5320c5a3-1d12-46b3-8251-71e7d53de430)) + (pad "4" smd roundrect locked (at -10.6625 -7.25 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 998e1635-20b0-4be1-a921-2cb3e3867571)) + (pad "5" smd roundrect locked (at -10.6625 -6.75 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp b5e6357b-130c-4f23-96b0-b4bf49b7a9a7)) + (pad "6" smd roundrect locked (at -10.6625 -6.25 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 930d6d38-6cde-45e1-b2cc-912795902c19)) + (pad "7" smd roundrect locked (at -10.6625 -5.75 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 731d8786-80cd-40e7-bffe-fa6a1c18149c)) + (pad "8" smd roundrect locked (at -10.6625 -5.25 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp c03ae59a-75f5-4af0-b54a-c0dc464ad7d9)) + (pad "9" smd roundrect locked (at -10.6625 -4.75 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 5e630b9e-ac03-4b51-ae6d-a4ee3ce178f8)) + (pad "10" smd roundrect locked (at -10.6625 -4.25 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp a48ac4b7-0691-4d00-a0a1-9ba86a7f1849)) + (pad "11" smd roundrect locked (at -10.6625 -3.75 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 1aba97c6-0301-4637-98b7-08defd8ab645)) + (pad "12" smd roundrect locked (at -10.6625 -3.25 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 6970fa2c-f15e-4346-a147-e2814eb63f36)) + (pad "13" smd roundrect locked (at -10.6625 -2.75 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 53c07ecb-8f8c-4595-859a-f7552da6c442)) + (pad "14" smd roundrect locked (at -10.6625 -2.25 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 891eee91-7a4f-4ded-8fd0-e0c9c71116ac)) + (pad "15" smd roundrect locked (at -10.6625 -1.75 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 146ae26f-6a14-4ebe-8deb-277985ec23c7)) + (pad "16" smd roundrect locked (at -10.6625 -1.25 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 0a73d2e7-e79e-4216-980a-b5cd8146f739)) + (pad "17" smd roundrect locked (at -10.6625 -0.75 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 16fb9828-388a-4422-9211-b47880200b6f)) + (pad "18" smd roundrect locked (at -10.6625 -0.25 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 81449638-f23c-4c5c-aa5f-f03202496c5f)) + (pad "19" smd roundrect locked (at -10.6625 0.25 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 822da523-102a-46ac-8ba5-28f6600be812)) + (pad "20" smd roundrect locked (at -10.6625 0.75 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp e1dba87a-343c-4d99-b347-48c1b6f8aaef)) + (pad "21" smd roundrect locked (at -10.6625 1.25 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp ec519273-8a07-49b3-8fdb-997bb9296448)) + (pad "22" smd roundrect locked (at -10.6625 1.75 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp ef4ace03-215a-46f9-b6f5-dd00017dccd3)) + (pad "23" smd roundrect locked (at -10.6625 2.25 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 0073f7df-f1eb-4f9d-bf10-24bf05c5724b)) + (pad "24" smd roundrect locked (at -10.6625 2.75 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 39760ac4-9031-4402-81ae-55eb4461f31e)) + (pad "25" smd roundrect locked (at -10.6625 3.25 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp c377486c-d9d3-4ae1-b324-e81e62b33b91)) + (pad "26" smd roundrect locked (at -10.6625 3.75 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 2bb56d7b-68dd-45a4-b088-2d5a92de3e06)) + (pad "27" smd roundrect locked (at -10.6625 4.25 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 799589f2-abe0-4245-88aa-e979de450b88)) + (pad "28" smd roundrect locked (at -10.6625 4.75 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 9e0ec1d0-4dfe-4a01-9b1e-c5a387cb0847)) + (pad "29" smd roundrect locked (at -10.6625 5.25 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 3c347575-4d77-4afb-950c-654f210a7f6c)) + (pad "30" smd roundrect locked (at -10.6625 5.75 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp eff5ac09-4a42-48a3-beb7-13daaca1aea6)) + (pad "31" smd roundrect locked (at -10.6625 6.25 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 41c54901-7566-4336-ac86-3061fb7d8bdd)) + (pad "32" smd roundrect locked (at -10.6625 6.75 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 496f39e0-3c5a-47ee-8ab0-a2b0c084173f)) + (pad "33" smd roundrect locked (at -10.6625 7.25 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp ff243872-7ae6-4dd8-b34d-94ebf78c7eba)) + (pad "34" smd roundrect locked (at -10.6625 7.75 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 744edda1-024d-4b20-a27a-792878b63214)) + (pad "35" smd roundrect locked (at -10.6625 8.25 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp c096f2d6-7bc6-4023-980c-f92f03288548)) + (pad "36" smd roundrect locked (at -10.6625 8.75 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 2c7f07e5-2204-46fc-87a5-885409ffe732)) + (pad "37" smd roundrect locked (at -8.75 10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 6783e28d-8b93-4edd-861a-d965dbdd813b)) + (pad "38" smd roundrect locked (at -8.25 10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 26a1c744-2ff6-40b2-b314-8da30bbb4b76)) + (pad "39" smd roundrect locked (at -7.75 10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 82886a31-b02e-46ba-8f0d-e4b1f00a03a1)) + (pad "40" smd roundrect locked (at -7.25 10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp da20bec1-a498-4c44-a722-46e1d8b58f23)) + (pad "41" smd roundrect locked (at -6.75 10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 09b96738-2fd2-42f2-bace-cbfe015362de)) + (pad "42" smd roundrect locked (at -6.25 10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp dc2d76b2-1266-4e47-b079-84712cba8211)) + (pad "43" smd roundrect locked (at -5.75 10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp d95d2cd7-c42d-47de-a530-4af219d1e665)) + (pad "44" smd roundrect locked (at -5.25 10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 41f11604-5e08-44e1-a7dd-41a563cdad5d)) + (pad "45" smd roundrect locked (at -4.75 10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 457901e9-2b62-4d05-8b56-cd3411fc3dbc)) + (pad "46" smd roundrect locked (at -4.25 10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 55a9bc7c-7896-47bf-a419-a312988d379b)) + (pad "47" smd roundrect locked (at -3.75 10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 0d8ba3d9-2f6c-4d11-b368-c1a474d7acbe)) + (pad "48" smd roundrect locked (at -3.25 10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 4edb26a4-a992-4747-a6c8-e4eb47f09d04)) + (pad "49" smd roundrect locked (at -2.75 10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp c5c68880-a168-48ea-a561-234c545c3fa5)) + (pad "50" smd roundrect locked (at -2.25 10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 259e9e3a-d188-44b3-a1ce-301c0c296211)) + (pad "51" smd roundrect locked (at -1.75 10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 1a911b1a-9716-49c2-b1e7-0898d960ea1b)) + (pad "52" smd roundrect locked (at -1.25 10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 6101ff9d-87ec-40d9-b5b5-2d60374b4b1d)) + (pad "53" smd roundrect locked (at -0.75 10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 55dbca64-ef9c-4ce3-8642-49392df96839)) + (pad "54" smd roundrect locked (at -0.25 10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp f800691b-a4c8-48dc-9210-7ac087845b96)) + (pad "55" smd roundrect locked (at 0.25 10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 94fe79a6-e095-4465-a934-6a46c715f474)) + (pad "56" smd roundrect locked (at 0.75 10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 3a7ec671-492f-40ca-93d2-2caaa5ebcdb3)) + (pad "57" smd roundrect locked (at 1.25 10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp f09b6cd1-78ca-4028-9d0e-34504bea907d)) + (pad "58" smd roundrect locked (at 1.75 10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp ee144f27-3718-4ad7-ba8a-80198b56ec87)) + (pad "59" smd roundrect locked (at 2.25 10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 15526b97-34ca-440e-bbf3-8ebfc081b0b0)) + (pad "60" smd roundrect locked (at 2.75 10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 90ada175-64a7-469b-9ee0-210eb3afb4c4)) + (pad "61" smd roundrect locked (at 3.25 10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp e39c77ee-7076-47dd-8a7a-b4ed458051c0)) + (pad "62" smd roundrect locked (at 3.75 10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp fe7eaf70-b077-48cd-a1fc-6f88896aed55)) + (pad "63" smd roundrect locked (at 4.25 10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp f5af6892-ca47-4e94-92c0-f37d18d7602c)) + (pad "64" smd roundrect locked (at 4.75 10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 99864311-a4ba-4120-957d-97594a043d47)) + (pad "65" smd roundrect locked (at 5.25 10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 19e646f5-1356-4b7a-8b2d-abc416501f2f)) + (pad "66" smd roundrect locked (at 5.75 10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 3d3ba57f-fc14-4d31-be02-4c0e70addb8b)) + (pad "67" smd roundrect locked (at 6.25 10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 185661e3-70a5-4704-8fa3-61eb1e197932)) + (pad "68" smd roundrect locked (at 6.75 10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 491f432f-1779-46c2-82cf-6d5e7b3d5c9d)) + (pad "69" smd roundrect locked (at 7.25 10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 1fe1b77e-3baa-42d3-bc26-1905db47d2ca)) + (pad "70" smd roundrect locked (at 7.75 10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 52d57cc7-bc33-48db-b74b-367a58e06e73)) + (pad "71" smd roundrect locked (at 8.25 10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 014a40fc-5abb-4f7e-b325-2dee5431d09d)) + (pad "72" smd roundrect locked (at 8.75 10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp a8e216b7-ac2e-4393-b220-27759aa3fe63)) + (pad "73" smd roundrect locked (at 10.6625 8.75 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 63e435e8-04f7-4654-bedc-dfaa2c1e9a70)) + (pad "74" smd roundrect locked (at 10.6625 8.25 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 0c22cc1d-bdf1-48f3-b57e-3a8f34e4a433)) + (pad "75" smd roundrect locked (at 10.6625 7.75 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 76a57079-548d-416d-8454-3fd08e49dfb1)) + (pad "76" smd roundrect locked (at 10.6625 7.25 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 7a580f67-6859-444a-9c86-e3b2ede2d234)) + (pad "77" smd roundrect locked (at 10.6625 6.75 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp d64af9f7-c848-4233-8a20-8c4029bf5c0e)) + (pad "78" smd roundrect locked (at 10.6625 6.25 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 2f3aae6e-217f-4655-bec5-55099ad3038f)) + (pad "79" smd roundrect locked (at 10.6625 5.75 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp ecc00523-2e88-4cf7-afc5-bcf1cc4d658e)) + (pad "80" smd roundrect locked (at 10.6625 5.25 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 877734fc-6527-4b9e-88ab-e526e579d96b)) + (pad "81" smd roundrect locked (at 10.6625 4.75 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 482417e0-6d18-4265-9bb3-f19cde172fd5)) + (pad "82" smd roundrect locked (at 10.6625 4.25 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp fb8567d2-3ad8-4e2a-9f37-5d612af1b490)) + (pad "83" smd roundrect locked (at 10.6625 3.75 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 5d313b41-f2ad-4956-9d0c-643f9379615d)) + (pad "84" smd roundrect locked (at 10.6625 3.25 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 0a8b9baa-99c3-4e68-a066-19ad1611daa4)) + (pad "85" smd roundrect locked (at 10.6625 2.75 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 00f8ad39-a556-4399-9acc-552c6776e4fb)) + (pad "86" smd roundrect locked (at 10.6625 2.25 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 12353acb-cf08-48b1-87ee-75c710670c72)) + (pad "87" smd roundrect locked (at 10.6625 1.75 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 8f709db4-149c-459d-a3f3-5acb48c37608)) + (pad "88" smd roundrect locked (at 10.6625 1.25 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 31d36d33-0205-449c-bf2f-589a57256eea)) + (pad "89" smd roundrect locked (at 10.6625 0.75 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 99067c38-f174-4b2a-98ac-2899604431ff)) + (pad "90" smd roundrect locked (at 10.6625 0.25 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 7f12c6f6-e91d-4c21-bc24-2e152c8edbb9)) + (pad "91" smd roundrect locked (at 10.6625 -0.25 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 207ce781-ee42-4ea8-9b9f-397c094daf55)) + (pad "92" smd roundrect locked (at 10.6625 -0.75 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 756dfa61-cc89-4682-8164-4406a261c248)) + (pad "93" smd roundrect locked (at 10.6625 -1.25 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 4ba77131-0b2b-4c63-9e5d-146e149aaf18)) + (pad "94" smd roundrect locked (at 10.6625 -1.75 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 55848562-1868-4ed4-a67c-85a771f16e1e)) + (pad "95" smd roundrect locked (at 10.6625 -2.25 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 7e1fa197-d17b-449a-9356-4c3f8981e4ca)) + (pad "96" smd roundrect locked (at 10.6625 -2.75 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 72498646-0da7-421a-a59e-37c785cd53f4)) + (pad "97" smd roundrect locked (at 10.6625 -3.25 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 52ed5d9c-1a1d-419a-b651-352f4a7a47a0)) + (pad "98" smd roundrect locked (at 10.6625 -3.75 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 206bc7bf-ef39-4ba2-8b3f-a745a3b6a187)) + (pad "99" smd roundrect locked (at 10.6625 -4.25 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 965c1e78-d44b-4c6f-87e1-3cb8fa96f079)) + (pad "100" smd roundrect locked (at 10.6625 -4.75 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 842c6661-6c1e-4500-9031-7c1928739a97)) + (pad "101" smd roundrect locked (at 10.6625 -5.25 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 0e0cc79c-10d8-41fe-9c5b-1b4c69ffa1e7)) + (pad "102" smd roundrect locked (at 10.6625 -5.75 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 8dfd1616-1db4-4009-9cb3-5f2be353049d)) + (pad "103" smd roundrect locked (at 10.6625 -6.25 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 70bac0f4-acdb-4118-a2e3-644a4644871c)) + (pad "104" smd roundrect locked (at 10.6625 -6.75 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp a1a70bac-9810-49a1-9a9a-06a514cded23)) + (pad "105" smd roundrect locked (at 10.6625 -7.25 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 5a0052a0-48e0-491d-959b-4036301b906b)) + (pad "106" smd roundrect locked (at 10.6625 -7.75 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 6bf6a923-c443-4fb6-9638-2d518052cef5)) + (pad "107" smd roundrect locked (at 10.6625 -8.25 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp ce5fb8a8-30b6-4f00-a806-c49aa9e05ca8)) + (pad "108" smd roundrect locked (at 10.6625 -8.75 180) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 174f724d-cf76-40d7-b908-ed906ec7bab1)) + (pad "109" smd roundrect locked (at 8.75 -10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 1dfa0cb0-8e3b-45f7-8e43-cb0d6312705b)) + (pad "110" smd roundrect locked (at 8.25 -10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 2f5de8a7-7017-4eb6-8712-798d6a8c49b6)) + (pad "111" smd roundrect locked (at 7.75 -10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 077d2590-f17f-4131-b83c-27ea1e750e64)) + (pad "112" smd roundrect locked (at 7.25 -10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 812774e6-d474-4ad3-b823-013e6bdba5ed)) + (pad "113" smd roundrect locked (at 6.75 -10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp a5cba86f-93c4-4ab6-9407-21673c1050a8)) + (pad "114" smd roundrect locked (at 6.25 -10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp d93a7af9-3e4d-4b4b-8d36-f1876d9474b9)) + (pad "115" smd roundrect locked (at 5.75 -10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 201bd3a1-ee77-4fce-9d3f-799e322e80ae)) + (pad "116" smd roundrect locked (at 5.25 -10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 6ed49e89-19f6-494f-bfe0-64f1c2221aa9)) + (pad "117" smd roundrect locked (at 4.75 -10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 101009f6-1358-42dd-9131-46b33dd2afac)) + (pad "118" smd roundrect locked (at 4.25 -10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp a9d94601-7f00-4b52-b441-34e6c2da0159)) + (pad "119" smd roundrect locked (at 3.75 -10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 725725f0-2865-4dfd-aeeb-9a96a77230be)) + (pad "120" smd roundrect locked (at 3.25 -10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp e66bb530-005e-4f59-ad9d-2fcab4c12fb5)) + (pad "121" smd roundrect locked (at 2.75 -10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp b37fe443-effd-4843-8f8d-7a87c47926e6)) + (pad "122" smd roundrect locked (at 2.25 -10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 1b3c88cc-956d-4598-88ca-d3981a1f897d)) + (pad "123" smd roundrect locked (at 1.75 -10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp aca8c30b-38ea-4504-9870-d3c9deb6d211)) + (pad "124" smd roundrect locked (at 1.25 -10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp b4a6d629-afea-4230-9af9-55a55793b746)) + (pad "125" smd roundrect locked (at 0.75 -10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 5339262d-c66d-4475-95a3-dc37414e5ea5)) + (pad "126" smd roundrect locked (at 0.25 -10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 5f969690-cedf-4b64-9ab1-aa1d62ac3e16)) + (pad "127" smd roundrect locked (at -0.25 -10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp ed8dd9ee-f90d-47f3-9960-233282559284)) + (pad "128" smd roundrect locked (at -0.75 -10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp f348d586-0260-4494-9082-0433fac8d69d)) + (pad "129" smd roundrect locked (at -1.25 -10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp e4f9bf9c-4819-45c2-8674-d67acfc3d312)) + (pad "130" smd roundrect locked (at -1.75 -10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 39afffa4-0b37-4580-a7e5-a88170923f75)) + (pad "131" smd roundrect locked (at -2.25 -10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp b2dee347-a967-4aae-adb8-edbd1b7a6570)) + (pad "132" smd roundrect locked (at -2.75 -10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 8e0bf9ec-7169-4f03-92d5-a5a3f04ba838)) + (pad "133" smd roundrect locked (at -3.25 -10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 64154346-b2bf-4bb2-955e-51cc72b6123d)) + (pad "134" smd roundrect locked (at -3.75 -10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 2ce73c6e-bfd9-4e63-93df-508aab519749)) + (pad "135" smd roundrect locked (at -4.25 -10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 8f6a0edd-9172-42d8-8176-d6e44d60441e)) + (pad "136" smd roundrect locked (at -4.75 -10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 9e800fc7-455f-4d58-adea-fdece1392800)) + (pad "137" smd roundrect locked (at -5.25 -10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 24d05493-d071-4e1e-91da-a68c34481a22)) + (pad "138" smd roundrect locked (at -5.75 -10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 9de7d5d9-bc22-400c-a729-48b632ba0df1)) + (pad "139" smd roundrect locked (at -6.25 -10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 9e8f9703-bb9b-4e63-a2f7-794af71f23f8)) + (pad "140" smd roundrect locked (at -6.75 -10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp a59c80fb-55b3-4da5-9540-545654598b49)) + (pad "141" smd roundrect locked (at -7.25 -10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 243bbfff-dcb4-4bc9-ae25-d4475fbb6839)) + (pad "142" smd roundrect locked (at -7.75 -10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 5230b709-c837-491e-98df-7df31553de19)) + (pad "143" smd roundrect locked (at -8.25 -10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 1385747f-ac7d-41a6-9cb0-196aa57b9655)) + (pad "144" smd roundrect locked (at -8.75 -10.6625 180) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 36fca5a3-75c4-495a-b0f4-3946c9dbffff)) (model "${KICAD6_3DMODEL_DIR}/Package_QFP.3dshapes/TQFP-144_20x20mm_P0.5mm.wrl" (offset (xyz 0 0 0)) (scale (xyz 1 1 1)) @@ -2614,33 +2619,363 @@ ) ) - (gr_arc (start 105.283 129.54) (mid 103.846159 128.944841) (end 103.251 127.508) (layer "Edge.Cuts") (width 0.15) (tstamp 00000000-0000-0000-0000-000061aa30c1)) + (footprint "stdpads:C_0603" (layer "F.Cu") + (tedit 5EE29C36) (tstamp 8443bfc8-4055-41d2-885c-b56fed265635) + (at 180.3 116.15 180) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Sheetfile" "Buf.kicad_sch") + (property "Sheetname" "Buf") + (path "/00000000-0000-0000-0000-000060941922/00000000-0000-0000-0000-0000616280b5") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C44" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 92fc9b5b-b7a7-4971-8c9d-b566172bfad8) + ) + (fp_text value "2u2" (at 0 0.25) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 53a8dc06-33f9-4a88-9598-ad67014d5df7) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp ce7f3f7c-0396-4374-929c-846f2aa714fa) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 38819b47-7caf-4233-94f3-5ab71f0e825f)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp 65d5140e-3bd1-4388-baf6-ff6f323d723a)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp 61ac4947-4daa-4cfc-a899-3d184b8f105a)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp 7e025565-6f9d-4a1c-999d-a54f69c481d2)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp a65cbea4-4cf7-4dff-a052-d7bfe699b746)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp e96c23b9-00f2-4d45-89bd-7221f84f3d07)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 50ececa0-c65b-4f18-9290-6d0e6073a494)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 773e369b-6ec8-424c-b7f2-e5b978bdd83a)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp c5a9b796-4389-4e77-805a-211b2edd3f8d)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp f05ebc2a-e25e-4868-a276-caa9c76b703f)) + (pad "1" smd roundrect locked (at -0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "+5V") (pintype "passive") (tstamp 96f79462-bbfd-48bd-8714-0accca7d2dcb)) + (pad "2" smd roundrect locked (at 0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 948c9332-69b9-4baf-8d8a-c76ec1388a8e)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tedit 5EE29C36) (tstamp 8b743cce-bf11-434b-b34a-4a74e0ac2cf0) + (at 190.3 57.949999 180) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Sheetfile" "Buf.kicad_sch") + (property "Sheetname" "Buf") + (path "/00000000-0000-0000-0000-000060941922/00000000-0000-0000-0000-000061d73204") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C35" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp e40059b5-31c8-4e3d-9fb3-3bc396b7eb4b) + ) + (fp_text value "2u2" (at 0 0.25) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp def333a2-ae00-4148-b051-403804c6dfa5) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp c2eec512-a65a-4ad4-8067-4ab562099f1f) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 1222fc8e-404f-4c33-80c8-cb9867c16eff)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp 5f100565-2a18-4619-83b8-d9189954c37c)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp 51c5c021-0cde-4a74-aeeb-5e11a9120a52)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp 5406657b-6dc4-4297-b27f-09af07d1e871)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp 8dd26cc7-9449-4c4b-be34-0dc535030ff1)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp f650ea26-719e-4b90-ab1c-18c31681db4b)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 231b64fd-601a-4c62-abdd-ecbbed8ce297)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 7954a7ad-8ccd-4fbe-b21b-e0cc86768e05)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 98fbe891-942a-4f87-82a6-f3c759a8c8f0)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp ea1c3371-4779-4f1f-9058-8509ee4d07c8)) + (pad "1" smd roundrect locked (at -0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "+5V") (pintype "passive") (tstamp aee142c6-15c7-4117-a4b7-cae33fc9b1ff)) + (pad "2" smd roundrect locked (at 0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp b08b7a04-4c25-45cc-b47d-79af6eac76fd)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (layer "F.Cu") + (tedit 5F27C9F6) (tstamp b6c99122-7094-4184-a472-d71be3b9ddc5) + (at 188.1 62.324999 -90) + (descr "20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [TSSOP] (see Microchip Packaging Specification 00000049BS.pdf)") + (tags "SSOP 0.65") + (property "LCSC Part" "C141311") + (property "Sheetfile" "Buf.kicad_sch") + (property "Sheetname" "Buf") + (path "/00000000-0000-0000-0000-000060941922/00000000-0000-0000-0000-000060991695") + (solder_mask_margin 0.024) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "U21" (at 0 0 -90) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp be1c0181-f430-4821-99a5-ea25765b7f43) + ) + (fp_text value "74AHCT573PW" (at 0 1.016 -90) (layer "F.Fab") + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp 0d031f06-8ea5-4bbf-8294-032d70f4ad70) + ) + (fp_line (start -3.45 3.75) (end -3.45 -2.225) (layer "F.SilkS") (width 0.15) (tstamp 37ee6f9c-7ff5-4843-8287-768d7d604ac3)) + (fp_line (start 3.45 2.225) (end 3.45 -2.225) (layer "F.SilkS") (width 0.15) (tstamp 5303af35-b099-4fa8-a8e6-8773b4d86ac7)) + (fp_line (start -3.55 3.95) (end -3.55 -3.95) (layer "F.CrtYd") (width 0.05) (tstamp 00ae079e-9cc8-469a-9dd3-eb064cf84d0c)) + (fp_line (start -3.55 3.95) (end 3.55 3.95) (layer "F.CrtYd") (width 0.05) (tstamp 4905fab8-95b9-4f52-82e0-49605ee7a4cc)) + (fp_line (start 3.55 3.95) (end 3.55 -3.95) (layer "F.CrtYd") (width 0.05) (tstamp da6c8b32-2655-444c-a8ad-7044fe5161f0)) + (fp_line (start -3.55 -3.95) (end 3.55 -3.95) (layer "F.CrtYd") (width 0.05) (tstamp e5e1973a-6e5a-4459-ad4f-9a9fe8123c18)) + (fp_line (start 3.25 2.2) (end -2.25 2.2) (layer "F.Fab") (width 0.15) (tstamp 3dfa8bd6-76dd-44fc-a071-aed77f6e2f51)) + (fp_line (start 3.25 -2.2) (end 3.25 2.2) (layer "F.Fab") (width 0.15) (tstamp 421d9026-9ae8-4d27-b0f2-76d2896a6bbf)) + (fp_line (start -3.25 -2.2) (end 3.25 -2.2) (layer "F.Fab") (width 0.15) (tstamp 916e6ba8-ef5c-4b55-8a2d-a7a58cd20b1b)) + (fp_line (start -3.25 1.2) (end -3.25 -2.2) (layer "F.Fab") (width 0.15) (tstamp b053d8d6-5115-43f8-8658-8455feeac54e)) + (fp_line (start -2.25 2.2) (end -3.25 1.2) (layer "F.Fab") (width 0.15) (tstamp f451b6db-9407-41ee-8bd5-03c4aef7dca6)) + (pad "1" smd roundrect locked (at -2.925 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 86 "/Buf/Dout~{OE}") (pinfunction "~{OE}") (pintype "input") (tstamp 6a49c170-52c1-4e94-96fe-40e1a94a6b9e)) + (pad "2" smd roundrect locked (at -2.275 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 129 "Net-(U21-Pad2)") (pinfunction "D0") (pintype "input") (tstamp dda7bdbb-bece-4408-b855-65fefaa1154c)) + (pad "3" smd roundrect locked (at -1.625 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 130 "Net-(U21-Pad3)") (pinfunction "D1") (pintype "input") (tstamp a7f14925-4c08-4e98-b5ec-b2568b2d1748)) + (pad "4" smd roundrect locked (at -0.975 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 131 "Net-(U21-Pad4)") (pinfunction "D2") (pintype "input") (tstamp 5988bb57-96c8-44b3-bf4e-123d45b7e3df)) + (pad "5" smd roundrect locked (at -0.325 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 132 "Net-(U21-Pad5)") (pinfunction "D3") (pintype "input") (tstamp 400369fa-88b3-4e0f-88ab-de5a87f1b887)) + (pad "6" smd roundrect locked (at 0.325 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 133 "Net-(U21-Pad6)") (pinfunction "D4") (pintype "input") (tstamp adf60c08-3232-4374-b3a3-0ff9cebb05cb)) + (pad "7" smd roundrect locked (at 0.975 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 134 "Net-(U21-Pad7)") (pinfunction "D5") (pintype "input") (tstamp ab94fa76-123f-428e-9921-2960048ada5e)) + (pad "8" smd roundrect locked (at 1.625 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 135 "Net-(U21-Pad8)") (pinfunction "D6") (pintype "input") (tstamp 65e160ca-8586-4fed-af86-f772ca3a5d91)) + (pad "9" smd roundrect locked (at 2.275 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 136 "Net-(U21-Pad9)") (pinfunction "D7") (pintype "input") (tstamp 19431ef5-8137-4ca1-8cc6-1f26838fdcac)) + (pad "10" smd roundrect locked (at 2.925 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 622e743a-6299-45ed-b16b-4b040f119df4)) + (pad "11" smd roundrect locked (at 2.925 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 88 "/Buf/ADoutLE0") (pinfunction "~{LE}") (pintype "input") (tstamp af93598d-0764-4181-937e-47ce87af5a04)) + (pad "12" smd roundrect locked (at 2.275 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 69 "/Buf/MacD8") (pinfunction "Q7") (pintype "tri_state") (tstamp 722ba31a-903d-444b-acc6-66acd618ea83)) + (pad "13" smd roundrect locked (at 1.625 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "/Buf/MacD9") (pinfunction "Q6") (pintype "tri_state") (tstamp 8b348dc6-c0c0-4341-b8a8-2284acb57048)) + (pad "14" smd roundrect locked (at 0.975 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 71 "/Buf/MacD10") (pinfunction "Q5") (pintype "tri_state") (tstamp b262f765-c70c-4bb9-af8a-9ade2d23d124)) + (pad "15" smd roundrect locked (at 0.325 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 72 "/Buf/MacD11") (pinfunction "Q4") (pintype "tri_state") (tstamp 77f711ec-e0f6-434c-bfe8-89ce3abca53a)) + (pad "16" smd roundrect locked (at -0.325 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 73 "/Buf/MacD12") (pinfunction "Q3") (pintype "tri_state") (tstamp c3e3e304-58cb-4679-be5e-2fe2f5436c14)) + (pad "17" smd roundrect locked (at -0.975 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 74 "/Buf/MacD13") (pinfunction "Q2") (pintype "tri_state") (tstamp 2bd66194-222d-4d88-9f83-65e3f192067c)) + (pad "18" smd roundrect locked (at -1.625 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 75 "/Buf/MacD14") (pinfunction "Q1") (pintype "tri_state") (tstamp 320bbb35-29b5-482e-a597-c2673f3d3b70)) + (pad "19" smd roundrect locked (at -2.275 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 76 "/Buf/MacD15") (pinfunction "Q0") (pintype "tri_state") (tstamp d92b5cd4-4ee5-4565-9521-7e272fbb6d2e)) + (pad "20" smd roundrect locked (at -2.925 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "+5V") (pinfunction "Vcc") (pintype "power_in") (tstamp c394bb0b-bb0f-458f-a947-90318659d0b6)) + (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -90)) + ) + ) + + (footprint "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (layer "F.Cu") + (tedit 5F27C9F6) (tstamp c9a29f22-b7cc-400e-9026-f21cdf9dc530) + (at 188.1 120.525 -90) + (descr "20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [TSSOP] (see Microchip Packaging Specification 00000049BS.pdf)") + (tags "SSOP 0.65") + (property "LCSC Part" "C141311") + (property "Sheetfile" "Buf.kicad_sch") + (property "Sheetname" "Buf") + (path "/00000000-0000-0000-0000-000060941922/00000000-0000-0000-0000-000060941f85") + (solder_mask_margin 0.024) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "U13" (at 0 0 -90) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 1924379e-401b-4d83-b954-cb8a6c3033a8) + ) + (fp_text value "74AHCT573PW" (at 0 1.016 -90) (layer "F.Fab") + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp 80689533-ae3c-41d5-956c-25465eb16390) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 3718ac96-fd79-4ec2-aefe-00098725fdb9) + ) + (fp_line (start -3.45 3.75) (end -3.45 -2.225) (layer "F.SilkS") (width 0.15) (tstamp 1b3a2eae-6779-4f14-8eb9-bdb679ba1d8d)) + (fp_line (start 3.45 2.225) (end 3.45 -2.225) (layer "F.SilkS") (width 0.15) (tstamp 74232ca2-0109-4f30-bda9-2dde118ad1ae)) + (fp_line (start 3.55 3.95) (end 3.55 -3.95) (layer "F.CrtYd") (width 0.05) (tstamp 349df798-c03b-4642-8d99-81387dbb130a)) + (fp_line (start -3.55 3.95) (end -3.55 -3.95) (layer "F.CrtYd") (width 0.05) (tstamp 52058657-69cc-4bb2-a82f-90293cb1511c)) + (fp_line (start -3.55 3.95) (end 3.55 3.95) (layer "F.CrtYd") (width 0.05) (tstamp c0aafba8-e176-466c-96d8-4c6c37d4128b)) + (fp_line (start -3.55 -3.95) (end 3.55 -3.95) (layer "F.CrtYd") (width 0.05) (tstamp c75bfdeb-efeb-4c33-9d96-a188557e8cf8)) + (fp_line (start -2.25 2.2) (end -3.25 1.2) (layer "F.Fab") (width 0.15) (tstamp 107ee7e9-d7ee-422f-9e5f-a37356581b93)) + (fp_line (start -3.25 -2.2) (end 3.25 -2.2) (layer "F.Fab") (width 0.15) (tstamp 344adc76-d0e0-43c3-99c4-73eea60f7781)) + (fp_line (start -3.25 1.2) (end -3.25 -2.2) (layer "F.Fab") (width 0.15) (tstamp 78ea9375-6e3d-4840-ab93-91d06c8a3c44)) + (fp_line (start 3.25 -2.2) (end 3.25 2.2) (layer "F.Fab") (width 0.15) (tstamp 9e4bf3f3-f91b-46e6-a8e8-3752f8268cbf)) + (fp_line (start 3.25 2.2) (end -2.25 2.2) (layer "F.Fab") (width 0.15) (tstamp b73be8ce-2001-47cb-96a7-a94e8f6f7a0c)) + (pad "1" smd roundrect locked (at -2.925 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 87 "/Buf/Aout~{OE}") (pinfunction "~{OE}") (pintype "input") (tstamp 8d32287e-79ec-4495-ae3c-a589446e2535)) + (pad "2" smd roundrect locked (at -2.275 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 99 "Net-(U13-Pad2)") (pinfunction "D0") (pintype "input") (tstamp 455c5f54-c0f9-44e2-8ccb-7375413c0c83)) + (pad "3" smd roundrect locked (at -1.625 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 100 "Net-(U13-Pad3)") (pinfunction "D1") (pintype "input") (tstamp 3302c19d-5c5e-4aac-869b-0064be7f1474)) + (pad "4" smd roundrect locked (at -0.975 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 101 "Net-(U13-Pad4)") (pinfunction "D2") (pintype "input") (tstamp c4f27bdc-bf1a-4cdc-94d7-1cdb07f64f06)) + (pad "5" smd roundrect locked (at -0.325 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 102 "Net-(U13-Pad5)") (pinfunction "D3") (pintype "input") (tstamp aca32c42-d73f-4973-a2ed-98226abee0d8)) + (pad "6" smd roundrect locked (at 0.325 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 103 "Net-(U13-Pad6)") (pinfunction "D4") (pintype "input") (tstamp 3d09d1c9-f95d-4a14-a511-923b34be213b)) + (pad "7" smd roundrect locked (at 0.975 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 104 "Net-(U13-Pad7)") (pinfunction "D5") (pintype "input") (tstamp 93d7b5dd-f651-47e5-b1fe-dc6d7c958e19)) + (pad "8" smd roundrect locked (at 1.625 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 105 "Net-(U13-Pad8)") (pinfunction "D6") (pintype "input") (tstamp 085ed271-c0f5-4c99-9e9e-fe30137ea2c0)) + (pad "9" smd roundrect locked (at 2.275 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 106 "Net-(U13-Pad9)") (pinfunction "D7") (pintype "input") (tstamp e4bac938-51be-4d48-9ce5-a70bcf64f276)) + (pad "10" smd roundrect locked (at 2.925 2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 5d75cf32-29d8-4c41-a184-10b4e5cbc15f)) + (pad "11" smd roundrect locked (at 2.925 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 88 "/Buf/ADoutLE0") (pinfunction "~{LE}") (pintype "input") (tstamp ab5b9dc7-220b-4312-ae4b-99bc265aaac8)) + (pad "12" smd roundrect locked (at 2.275 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 11 "/Buf/MacA1") (pinfunction "Q7") (pintype "tri_state") (tstamp 15f7f841-9c72-4ab3-90ca-fdc7f7824242)) + (pad "13" smd roundrect locked (at 1.625 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 12 "/Buf/MacA2") (pinfunction "Q6") (pintype "tri_state") (tstamp 76868c24-96f0-4af4-b3d1-20fba4971e32)) + (pad "14" smd roundrect locked (at 0.975 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 13 "/Buf/MacA3") (pinfunction "Q5") (pintype "tri_state") (tstamp 3bfdca43-f02f-43ab-b703-900a7fccc863)) + (pad "15" smd roundrect locked (at 0.325 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 14 "/Buf/MacA4") (pinfunction "Q4") (pintype "tri_state") (tstamp 8068abea-1523-4682-8e53-5ebe7aeea3e0)) + (pad "16" smd roundrect locked (at -0.325 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 15 "/Buf/MacA5") (pinfunction "Q3") (pintype "tri_state") (tstamp 95a51178-7588-45aa-bf46-bcb1e912723e)) + (pad "17" smd roundrect locked (at -0.975 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 16 "/Buf/MacA6") (pinfunction "Q2") (pintype "tri_state") (tstamp 4ff76f8c-10b1-49ce-9a18-3d03bda0d6c1)) + (pad "18" smd roundrect locked (at -1.625 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 17 "/Buf/MacA7") (pinfunction "Q1") (pintype "tri_state") (tstamp 9ae728d0-00ad-43ca-8b71-b563c6d4719e)) + (pad "19" smd roundrect locked (at -2.275 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 18 "/Buf/MacA8") (pinfunction "Q0") (pintype "tri_state") (tstamp 160cecb2-f4ed-4bd3-814d-9b7680f404de)) + (pad "20" smd roundrect locked (at -2.925 -2.95) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "+5V") (pinfunction "Vcc") (pintype "power_in") (tstamp 0216fc60-95b9-4c70-b473-9593d3007dcf)) + (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -90)) + ) + ) + + (footprint "stdpads:TSOP-II-54_22.2x10.16mm_P0.8mm" (layer "F.Cu") + (tedit 61E17B8C) (tstamp f777aa24-6c77-4c54-8dae-03afade56394) + (at 157.5 101.55 180) + (descr "54-lead TSOP typ II package") + (tags "TSOPII TSOP2") + (solder_mask_margin 0.05) + (solder_paste_margin -0.03) + (attr smd) + (fp_text reference "REF**" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 113821ef-b798-46bf-9d67-930d7c327579) + ) + (fp_text value "TSOP-II-54_22.2x10.16mm_P0.8mm" (at 0.95 0 90) (layer "F.Fab") + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp 6b8c6f85-7142-4040-8886-c297baecc566) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 41812659-49a7-4b4c-b26f-f9f037adab2f) + ) + (fp_line (start -10.9 5.3) (end -11.3 5.3) (layer "F.SilkS") (width 0.12) (tstamp 0071a317-0571-4276-9e5d-e1eae4223080)) + (fp_line (start -11.3 -5.3) (end -10.9 -5.3) (layer "F.SilkS") (width 0.12) (tstamp 152bf060-069c-4254-8b64-263a60ccde64)) + (fp_line (start 10.9 -5.3) (end 11.3 -5.3) (layer "F.SilkS") (width 0.12) (tstamp 230899ff-d2e6-434f-8d3b-a80b0416a5f2)) + (fp_line (start 11.3 5.3) (end 11.3 -5.3) (layer "F.SilkS") (width 0.12) (tstamp 71c51c71-e336-4ae5-bc83-1f2788e44c4c)) + (fp_line (start 10.9 5.3) (end 11.3 5.3) (layer "F.SilkS") (width 0.12) (tstamp 7586ee8b-e3bc-416e-a316-8a5a8409c083)) + (fp_line (start -10.9 6.5) (end -10.9 5.3) (layer "F.SilkS") (width 0.12) (tstamp c449a3b9-c928-4c44-8097-c592acd1d6e2)) + (fp_line (start -11.3 5.3) (end -11.3 -5.3) (layer "F.SilkS") (width 0.12) (tstamp d0dfca8f-9a3a-494e-b0bf-549a7dd0ad8c)) + (fp_line (start -11.36 6.76) (end -11.36 -6.76) (layer "F.CrtYd") (width 0.05) (tstamp 1d188eb4-4cfc-4260-b457-db12a5785ff8)) + (fp_line (start -11.36 -6.76) (end 11.36 -6.76) (layer "F.CrtYd") (width 0.05) (tstamp a8ba4a2b-f92d-425f-84be-16a821d046cd)) + (fp_line (start -11.36 6.76) (end 11.36 6.76) (layer "F.CrtYd") (width 0.05) (tstamp ca7cc4d2-b2a9-4ab0-8b34-74d00e6198a3)) + (fp_line (start 11.36 -6.76) (end 11.36 6.76) (layer "F.CrtYd") (width 0.05) (tstamp f27e8bec-da24-4233-bce9-91caddca8892)) + (fp_line (start -11.11 -5.08) (end 11.11 -5.08) (layer "F.Fab") (width 0.1) (tstamp 27f9bac3-1122-4fe5-814b-e6311857a8be)) + (fp_line (start 11.11 -5.08) (end 11.11 5.08) (layer "F.Fab") (width 0.1) (tstamp 426fc3f9-08e5-4849-9b42-69c1cdca3c6a)) + (fp_line (start 11.11 5.08) (end -10.11 5.08) (layer "F.Fab") (width 0.1) (tstamp 47d44393-61d2-4ee1-9c83-b271975128b3)) + (fp_line (start -11.11 4.08) (end -10.11 5.08) (layer "F.Fab") (width 0.1) (tstamp cfe16fad-eb85-45c3-bfbf-3b2379e3f559)) + (fp_line (start -11.11 4.08) (end -11.11 -5.08) (layer "F.Fab") (width 0.1) (tstamp e1fcf214-a349-4cf9-a2dc-c5b31d21834a)) + (pad "1" smd roundrect locked (at -10.4 5.75 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 9c661644-1e6c-412b-8020-7abde2bd1ac6)) + (pad "2" smd roundrect locked (at -9.6 5.75 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 31e715c7-b4bc-4566-9287-6037f769fe36)) + (pad "3" smd roundrect locked (at -8.8 5.75 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp b36eed41-3e99-4956-8aa7-9a27884f064c)) + (pad "4" smd roundrect locked (at -8 5.75 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp b8693f73-2fde-4475-be91-9421762ef045)) + (pad "5" smd roundrect locked (at -7.2 5.75 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp b067da47-8fcd-4da4-980d-e7d7c1ec0201)) + (pad "6" smd roundrect locked (at -6.4 5.75 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp c739b9ae-75b6-46e9-a117-3afe7477d5dc)) + (pad "7" smd roundrect locked (at -5.6 5.75 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp b5bc75d1-59c7-428b-a6b4-cc5ee2885905)) + (pad "8" smd roundrect locked (at -4.8 5.75 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 548fb26a-8100-4faa-b0c1-6a7d786ba62b)) + (pad "9" smd roundrect locked (at -4 5.75 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp e3e747cf-b5ae-4c6d-9cb8-3b7abe6fbac3)) + (pad "10" smd roundrect locked (at -3.2 5.75 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 9d062c45-3eac-4c79-b641-7549e7e8c0cc)) + (pad "11" smd roundrect locked (at -2.4 5.75 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 4ab3fb61-39fd-4e7a-8978-79473c4d6e8a)) + (pad "12" smd roundrect locked (at -1.6 5.75 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp fd567145-5fb8-4891-b273-5339fc25f1e4)) + (pad "13" smd roundrect locked (at -0.8 5.75 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 8933ae98-60cf-4ebe-851a-1127194ec681)) + (pad "14" smd roundrect locked (at 0 5.75 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 3ec7d0a1-b59e-49f8-b24d-d7fba287c66b)) + (pad "15" smd roundrect locked (at 0.8 5.75 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp f58a9dd2-79d9-49fd-8ca2-acf68277da0c)) + (pad "16" smd roundrect locked (at 1.6 5.75 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 08319b66-5bb9-462f-be91-4ee3c82765d5)) + (pad "17" smd roundrect locked (at 2.4 5.75 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 6e4a7891-a5be-4f6d-afd4-bae899cb43de)) + (pad "18" smd roundrect locked (at 3.2 5.75 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 7bad8bfc-fd06-4039-9eec-0de79da07168)) + (pad "19" smd roundrect locked (at 4 5.75 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 9eca9a6c-ae76-4ad5-8d67-8f813e27680e)) + (pad "20" smd roundrect locked (at 4.8 5.75 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp a162a747-bf36-402a-9b25-a5491c49213f)) + (pad "21" smd roundrect locked (at 5.6 5.75 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp a7ea5a43-aa86-4d0e-841e-907d8c445802)) + (pad "22" smd roundrect locked (at 6.4 5.75 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 9c3b6cf2-c960-45ad-9ccc-3a4744bf4857)) + (pad "23" smd roundrect locked (at 7.2 5.75 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp ef43bac4-333d-4ebd-8465-3d8ab5ab8295)) + (pad "24" smd roundrect locked (at 8 5.75 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 267b51cc-ad0f-4929-940c-57f931c8c30f)) + (pad "25" smd roundrect locked (at 8.8 5.75 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 38ff16eb-e9a1-4075-8d6a-802d2131a6be)) + (pad "26" smd roundrect locked (at 9.6 5.75 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp c25bf7c7-b4f7-4059-8283-465a7cf3e7c0)) + (pad "27" smd roundrect locked (at 10.4 5.75 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 4c9030c4-4549-46b0-a2d5-1b563d3dfb95)) + (pad "28" smd roundrect locked (at 10.4 -5.75 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 92a7e32a-c8fe-4d24-972d-5111512e457f)) + (pad "29" smd roundrect locked (at 9.6 -5.75 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp bf7bd7d5-e999-4dc5-a8ed-bbedd4443d22)) + (pad "30" smd roundrect locked (at 8.8 -5.75 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp a9ef1510-aa85-4069-9b91-84a8b0246fca)) + (pad "31" smd roundrect locked (at 8 -5.75 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 25d0a551-ada3-44c4-b96c-7a17af8125fe)) + (pad "32" smd roundrect locked (at 7.2 -5.75 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp a51c0b00-471f-4132-9dd7-fbcd6ac4afa4)) + (pad "33" smd roundrect locked (at 6.4 -5.75 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 7c727f08-265a-4b15-bb26-db998a89a5c8)) + (pad "34" smd roundrect locked (at 5.6 -5.75 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp f6f2e4af-7903-4cee-a0c8-2243a7ab20ea)) + (pad "35" smd roundrect locked (at 4.8 -5.75 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp c05dac74-ed16-43fd-a2e6-ad8db68e7bfa)) + (pad "36" smd roundrect locked (at 4 -5.75 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 0eb46082-c54a-4893-ad02-940b3e9a591e)) + (pad "37" smd roundrect locked (at 3.2 -5.75 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp b0a8795c-18b1-4b9f-9447-8d67911d21fa)) + (pad "38" smd roundrect locked (at 2.4 -5.75 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp e9dbb0ee-0f15-41ba-9975-e27944c7acbc)) + (pad "39" smd roundrect locked (at 1.6 -5.75 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 8da7fd52-74d1-4040-8614-927abd9bef91)) + (pad "40" smd roundrect locked (at 0.8 -5.75 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 53c6ea26-aca8-4b71-a2be-b22544498083)) + (pad "41" smd roundrect locked (at 0 -5.75 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 995c7fce-dd7f-46fc-aa2b-08a034a33a0c)) + (pad "42" smd roundrect locked (at -0.8 -5.75 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 6ac6478a-6891-44f0-96ab-708a125cfc64)) + (pad "43" smd roundrect locked (at -1.6 -5.75 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp e6f4cf0c-6a4a-4d1f-b2be-d60ed0cf4f3e)) + (pad "44" smd roundrect locked (at -2.4 -5.75 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 99173371-5a02-4824-845b-c5f2246788ee)) + (pad "45" smd roundrect locked (at -3.2 -5.75 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 35a45b2e-4726-4eb1-a130-361af81bdd1b)) + (pad "46" smd roundrect locked (at -4 -5.75 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 97a64e1c-3537-4870-adec-87cac4b38022)) + (pad "47" smd roundrect locked (at -4.8 -5.75 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 2744bf63-1b6d-4efb-aa74-6f10d01dbf07)) + (pad "48" smd roundrect locked (at -5.6 -5.75 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 9f0fecc8-8af3-4dc7-9fdb-d442df671105)) + (pad "49" smd roundrect locked (at -6.4 -5.75 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 6bda8811-e924-4891-b51a-60b69d98c369)) + (pad "50" smd roundrect locked (at -7.2 -5.75 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 190ba6be-a3c6-45df-8fc4-cd9599fdab72)) + (pad "51" smd roundrect locked (at -8 -5.75 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 57a5f867-3ff1-482b-8a8a-2a46639f2396)) + (pad "52" smd roundrect locked (at -8.8 -5.75 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 04189ab7-e886-46b7-bf1d-18987069121a)) + (pad "53" smd roundrect locked (at -9.6 -5.75 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 5f2f341a-6de1-4313-afee-b2e59296ad92)) + (pad "54" smd roundrect locked (at -10.4 -5.75 270) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 6be5f6a3-16e8-4649-8990-19e979bb9121)) + (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/TSOP-II-54_22.2x10.16mm_P0.8mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -90)) + ) + ) + + (gr_arc (start 134.493 129.54) (mid 133.056159 128.944841) (end 132.461 127.508) (layer "Edge.Cuts") (width 0.15) (tstamp 00000000-0000-0000-0000-000061aa30c1)) (gr_arc (start 192.405 129.54) (mid 193.841841 130.135159) (end 194.437 131.572) (layer "Edge.Cuts") (width 0.15) (tstamp 00000000-0000-0000-0000-000061aa30c2)) (gr_arc (start 196.469 139.954) (mid 195.032159 139.358841) (end 194.437 137.922) (layer "Edge.Cuts") (width 0.15) (tstamp 00000000-0000-0000-0000-000061aa30c4)) (gr_arc (start 212.344 137.922) (mid 211.748841 139.358841) (end 210.312 139.954) (layer "Edge.Cuts") (width 0.15) (tstamp 00000000-0000-0000-0000-000061aa30c5)) - (gr_line (start 210.312 37.846) (end 105.283 37.846) (layer "Edge.Cuts") (width 0.15) (tstamp 00000000-0000-0000-0000-000061aa30c6)) - (gr_line (start 103.251 127.508) (end 103.251 39.878) (layer "Edge.Cuts") (width 0.15) (tstamp 00000000-0000-0000-0000-000061aa30c7)) - (gr_line (start 192.405 129.54) (end 105.283 129.54) (layer "Edge.Cuts") (width 0.15) (tstamp 00000000-0000-0000-0000-000061aa30c8)) + (gr_line (start 210.312 37.846) (end 134.493 37.846) (layer "Edge.Cuts") (width 0.15) (tstamp 00000000-0000-0000-0000-000061aa30c6)) + (gr_line (start 132.461 127.508) (end 132.461 39.878) (layer "Edge.Cuts") (width 0.15) (tstamp 00000000-0000-0000-0000-000061aa30c7)) + (gr_line (start 192.405 129.54) (end 134.493 129.54) (layer "Edge.Cuts") (width 0.15) (tstamp 00000000-0000-0000-0000-000061aa30c8)) (gr_line (start 194.437 137.922) (end 194.437 131.572) (layer "Edge.Cuts") (width 0.15) (tstamp 00000000-0000-0000-0000-000061aa30c9)) (gr_line (start 210.312 139.954) (end 196.469 139.954) (layer "Edge.Cuts") (width 0.15) (tstamp 00000000-0000-0000-0000-000061aa30ca)) (gr_line (start 212.344 39.878) (end 212.344 137.922) (layer "Edge.Cuts") (width 0.15) (tstamp 00000000-0000-0000-0000-000061aa30cb)) - (gr_arc (start 103.251 39.878) (mid 103.846159 38.441159) (end 105.283 37.846) (layer "Edge.Cuts") (width 0.15) (tstamp 0db8fa35-6c5e-4370-aa3a-e91e24139198)) + (gr_arc (start 132.461 39.878) (mid 133.056159 38.441159) (end 134.493 37.846) (layer "Edge.Cuts") (width 0.15) (tstamp 0db8fa35-6c5e-4370-aa3a-e91e24139198)) (gr_arc (start 210.312 37.846) (mid 211.748841 38.441159) (end 212.344 39.878) (layer "Edge.Cuts") (width 0.15) (tstamp 2dca7c5a-467d-47ee-a380-a533a42da748)) - (gr_text "WarpSE" (at 152.4 41.148) (layer "F.SilkS") (tstamp 00000000-0000-0000-0000-000061aa2765) - (effects (font (size 1.524 1.524) (thickness 0.3) italic)) - ) - (gr_text "Accelerator for Mac SE" (at 152.4 43.434) (layer "F.SilkS") (tstamp 00000000-0000-0000-0000-000061aa2766) - (effects (font (size 1.016 1.016) (thickness 0.2032))) - ) - (gr_text "GW" (at 127.635 43.6245) (layer "F.SilkS") (tstamp 00000000-0000-0000-0000-000061aa2767) - (effects (font (size 1.5 1.5) (thickness 0.3)) (justify right)) - ) - (gr_text "4410A" (at 127.635 43.688) (layer "F.SilkS") (tstamp 00000000-0000-0000-0000-000061aa2768) - (effects (font (size 1.5 1.5) (thickness 0.3)) (justify left)) - ) - (gr_text "Garrett’s Workshop" (at 129.54 40.894) (layer "F.SilkS") (tstamp 00000000-0000-0000-0000-000061aa2769) - (effects (font (size 1.524 1.524) (thickness 0.225))) - ) (segment (start 195.453 43.766) (end 196.42 43.766) (width 0.8) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1893)) (segment (start 196.42 43.766) (end 196.6595 44.0055) (width 0.8) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1898)) @@ -2651,13 +2986,13 @@ (segment (start 189.55 75.45) (end 189.7 75.3) (width 0.5) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1943)) (segment (start 189.55 66.35) (end 189.7 66.2) (width 0.5) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1945)) (segment (start 189.55 67.05) (end 189.55 66.35) (width 0.5) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1946)) - (segment (start 189.55 93.65) (end 189.7 93.5) (width 0.5) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa194c)) - (segment (start 189.55 94.35) (end 189.55 93.65) (width 0.5) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa194f)) - (segment (start 189.55 103.45) (end 189.55 102.75) (width 0.5) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1951)) - (segment (start 189.55 102.75) (end 189.7 102.6) (width 0.5) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1952)) - (segment (start 189.55 111.85) (end 189.7 111.7) (width 0.5) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1956)) - (segment (start 189.55 112.55) (end 189.55 111.85) (width 0.5) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1959)) - (segment (start 189.55 94.35) (end 188.7 94.35) (width 0.6) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1965)) + (segment (start 189.55 84.55) (end 189.7 84.4) (width 0.5) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa194c)) + (segment (start 189.55 85.25) (end 189.55 84.55) (width 0.5) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa194f)) + (segment (start 189.55 94.35) (end 189.55 93.65) (width 0.5) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1951)) + (segment (start 189.55 93.65) (end 189.7 93.5) (width 0.5) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1952)) + (segment (start 189.55 102.75) (end 189.7 102.6) (width 0.5) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1956)) + (segment (start 189.55 103.45) (end 189.55 102.75) (width 0.5) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1959)) + (segment (start 189.55 85.25) (end 188.7 85.25) (width 0.6) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1965)) (segment (start 197.866 97.36) (end 197.866 96.393) (width 0.6) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1970)) (segment (start 189.55 76.15) (end 188.7 76.15) (width 0.6) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1974)) (segment (start 196.899 97.36) (end 196.723 97.536) (width 0.6) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa197b)) @@ -2670,15 +3005,15 @@ (segment (start 185.15 83.45) (end 184.05 83.45) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1b76)) (segment (start 185.15 83.45) (end 185.15 84.05) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1b79)) (segment (start 185.15 83.45) (end 186.25 83.45) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1b7b)) - (segment (start 185.15 101.65) (end 184.05 101.65) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1b82)) - (segment (start 185.15 101.65) (end 186.25 101.65) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1b84)) - (segment (start 185.15 101.65) (end 185.15 102.25) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1b85)) - (segment (start 185.15 110.75) (end 186.25 110.75) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1b88)) - (segment (start 185.15 110.75) (end 184.05 110.75) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1b8a)) - (segment (start 185.15 110.75) (end 185.15 111.35) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1b8d)) - (segment (start 185.15 119.85) (end 186.25 119.85) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1b97)) - (segment (start 185.15 119.85) (end 184.05 119.85) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1b9a)) - (segment (start 185.15 119.85) (end 185.15 120.45) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1b9d)) + (segment (start 185.15 92.55) (end 184.05 92.55) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1b82)) + (segment (start 185.15 92.55) (end 186.25 92.55) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1b84)) + (segment (start 185.15 92.55) (end 185.15 93.15) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1b85)) + (segment (start 185.15 101.65) (end 186.25 101.65) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1b88)) + (segment (start 185.15 101.65) (end 184.05 101.65) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1b8a)) + (segment (start 185.15 101.65) (end 185.15 102.25) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1b8d)) + (segment (start 185.15 110.75) (end 186.25 110.75) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1b97)) + (segment (start 185.15 110.75) (end 184.05 110.75) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1b9a)) + (segment (start 185.15 110.75) (end 185.15 111.35) (width 0.45) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1b9d)) (segment (start 198.833 52.5) (end 199.0725 52.2605) (width 0.8) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1ec8)) (segment (start 197.866 52.5) (end 198.833 52.5) (width 0.8) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1ec9)) (segment (start 196.899 52.5) (end 196.6595 52.2605) (width 0.8) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1ecb)) @@ -2698,51 +3033,62 @@ (segment (start 196.2 41.15) (end 196.9 41.15) (width 0.5) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061fa33c2)) (segment (start 196.2 41.15) (end 195.5 41.15) (width 0.5) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061fa33c4)) (segment (start 195.5 41.15) (end 195.35 41) (width 0.5) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061fa33c6)) + (segment (start 189.55 115.45) (end 189.7 115.3) (width 0.5) (layer "F.Cu") (net 1) (tstamp 03c267db-4613-485a-8631-b897b3bffd6d)) + (segment (start 185.15 65.249999) (end 186.25 65.249999) (width 0.45) (layer "F.Cu") (net 1) (tstamp 050aec9d-f2a2-4e91-bb3b-638efc6741d4)) (segment (start 169.95 43.15) (end 170 43.2) (width 0.5) (layer "F.Cu") (net 1) (tstamp 0c64a8a2-476d-4ce5-9a4f-cce66f41d837)) - (segment (start 110.099974 49.393974) (end 109.601 48.895) (width 0.5) (layer "F.Cu") (net 1) (tstamp 0fe73d7c-983e-4368-b1af-2c7091659c0b)) - (segment (start 109.601 48.895) (end 109.601 48.514) (width 0.5) (layer "F.Cu") (net 1) (tstamp 11d75bf4-5480-4a2f-baa3-58a51cac0470)) + (segment (start 185.15 65.249999) (end 185.15 65.849999) (width 0.45) (layer "F.Cu") (net 1) (tstamp 11469606-1ebc-4d68-915a-96b02f36d4ce)) + (segment (start 185.15 123.45) (end 186.25 123.45) (width 0.45) (layer "F.Cu") (net 1) (tstamp 179fe112-8200-4082-bfb6-7f5b01347b41)) (segment (start 171.15 48.4) (end 171.15 49) (width 0.4) (layer "F.Cu") (net 1) (tstamp 1df88bde-ee9c-4b31-90f5-5e91fa88d17a)) (segment (start 187.75 41.1125) (end 187.6 41.2625) (width 0.5) (layer "F.Cu") (net 1) (tstamp 1fad9050-55c5-4235-9608-ea9460329cdb)) (segment (start 188.3015 48.03) (end 188.468 48.1965) (width 0.5) (layer "F.Cu") (net 1) (tstamp 22b36c73-46e7-4496-8b98-f69a5955de22)) + (segment (start 185.15 123.45) (end 185.15 124.05) (width 0.45) (layer "F.Cu") (net 1) (tstamp 23aee84c-7163-44b1-8b8a-0fbaa71e43f3)) (segment (start 188.45 41.15) (end 189.15 41.15) (width 0.5) (layer "F.Cu") (net 1) (tstamp 2ee91d7b-5181-4f17-a629-4c470c00b784)) (segment (start 171.15 47.1) (end 170.05 47.1) (width 0.45) (layer "F.Cu") (net 1) (tstamp 2f9e0988-4db6-4ec8-8e74-7e802e6fa5d6)) (segment (start 170.2 45.8) (end 169.95 46.05) (width 0.45) (layer "F.Cu") (net 1) (tstamp 31ad2b53-cd88-40cc-92e3-658e57478480)) - (segment (start 111.896025 49.412025) (end 112.522 50.038) (width 0.5) (layer "F.Cu") (net 1) (tstamp 36786f1c-5181-4b16-85f0-7a9b5e48989f)) + (segment (start 185.15 65.249999) (end 184.05 65.249999) (width 0.45) (layer "F.Cu") (net 1) (tstamp 3993002d-44b4-4267-8cd7-5d6b0a0a26e2)) (segment (start 187.579 48.03) (end 186.8565 48.03) (width 0.5) (layer "F.Cu") (net 1) (tstamp 3fb2e8e3-7579-49ea-8f1f-0415e04bfd8d)) (segment (start 186.8565 48.03) (end 186.69 48.1965) (width 0.5) (layer "F.Cu") (net 1) (tstamp 4208e0be-10e2-4b80-a414-1519879271b4)) - (segment (start 194.3 110.8) (end 193.5 110.8) (width 0.5) (layer "F.Cu") (net 1) (tstamp 42f4679b-2c4d-49cf-8f9e-afb5127a3112)) + (segment (start 194.3 103.95) (end 193.5 103.95) (width 0.5) (layer "F.Cu") (net 1) (tstamp 42f4679b-2c4d-49cf-8f9e-afb5127a3112)) (segment (start 190.3 54.6) (end 190.45 54.75) (width 0.5) (layer "F.Cu") (net 1) (tstamp 43bdf38e-b010-49fa-901f-90246bfdfc87)) (segment (start 189.15 41.15) (end 189.3 41.3) (width 0.5) (layer "F.Cu") (net 1) (tstamp 4406c962-ad4e-4078-b602-6c519257203f)) (segment (start 188.45 41.15) (end 188.45 40.35) (width 0.5) (layer "F.Cu") (net 1) (tstamp 520fd06c-b6b9-4c42-9bfc-5c3d2d29f14b)) - (segment (start 111.896025 49.393974) (end 111.896025 49.412025) (width 0.5) (layer "F.Cu") (net 1) (tstamp 5e27c7e3-130d-477a-b693-9d7d6d05e3e3)) + (segment (start 189.55 57.949999) (end 189.55 57.249999) (width 0.5) (layer "F.Cu") (net 1) (tstamp 534973fa-c6ae-4c2e-81c6-08debac01d9d)) (segment (start 175.55 41.05) (end 175.55 40.35) (width 0.5) (layer "F.Cu") (net 1) (tstamp 5fc32f47-b50c-49bd-8a82-dd68c0426109)) (segment (start 187.579 48.03) (end 188.3015 48.03) (width 0.5) (layer "F.Cu") (net 1) (tstamp 658cbe5a-e7f5-4f80-bc14-54c2ecfeca7c)) (segment (start 190.3 53.9) (end 190.3 53.2) (width 0.5) (layer "F.Cu") (net 1) (tstamp 6ec4beb8-dbfb-4b48-921c-f98b9d0706b5)) (segment (start 171.15 43.2) (end 170 43.2) (width 0.45) (layer "F.Cu") (net 1) (tstamp 7f5c5a33-bffa-44be-b723-f59e60ea9e4b)) + (segment (start 189.55 116.15) (end 188.75 116.15) (width 0.5) (layer "F.Cu") (net 1) (tstamp 882e5b5d-a547-4180-9a1b-3a382d26a716)) (segment (start 188.45 41.15) (end 187.75 41.15) (width 0.5) (layer "F.Cu") (net 1) (tstamp 88c879b0-2510-4f44-a16d-26dd08b3c12a)) + (segment (start 175.15 123.45) (end 175.15 124.05) (width 0.45) (layer "F.Cu") (net 1) (tstamp 893b3242-868f-4a1d-ac99-97a001395a96)) (segment (start 181.95 42.1875) (end 181.95 41.1625) (width 0.4) (layer "F.Cu") (net 1) (tstamp 89b81b16-224b-4483-a357-720a8e6eb208)) + (segment (start 179.55 116.15) (end 178.75 116.15) (width 0.5) (layer "F.Cu") (net 1) (tstamp 8bb176ba-51c4-4db0-a627-9019a49c5b3b)) (segment (start 175.55 41.05) (end 174.75 41.05) (width 0.5) (layer "F.Cu") (net 1) (tstamp 9661476a-e3cc-43ad-bbdf-24b6874ef400)) - (segment (start 189.55 112.55) (end 188.75 112.55) (width 0.5) (layer "F.Cu") (net 1) (tstamp a120d6ed-bf79-475f-bd3e-d5bd7ace67ee)) + (segment (start 175.15 123.45) (end 174.05 123.45) (width 0.45) (layer "F.Cu") (net 1) (tstamp 98c08b96-562e-4818-8429-9acb768ff1a9)) + (segment (start 189.55 116.15) (end 189.55 115.45) (width 0.5) (layer "F.Cu") (net 1) (tstamp 9c4f5969-01e8-49d2-8d3f-7f6f66e628f9)) + (segment (start 189.55 103.45) (end 188.75 103.45) (width 0.5) (layer "F.Cu") (net 1) (tstamp a120d6ed-bf79-475f-bd3e-d5bd7ace67ee)) (segment (start 171.15 48.4) (end 170.05 48.4) (width 0.45) (layer "F.Cu") (net 1) (tstamp a76c0baf-6e69-4f8d-a142-018c46047833)) (segment (start 171.15 48.4) (end 172.25 48.4) (width 0.45) (layer "F.Cu") (net 1) (tstamp b0f642eb-e44e-4747-9d08-48aa7b02d88d)) + (segment (start 179.55 116.15) (end 179.55 115.45) (width 0.5) (layer "F.Cu") (net 1) (tstamp b53bdc0d-3536-4fd7-b970-5aa419c617a7)) (segment (start 190.3 53.9) (end 190.3 54.6) (width 0.5) (layer "F.Cu") (net 1) (tstamp b55f6fd6-b5a9-46c1-9ccf-a9b9dbedb0ae)) + (segment (start 179.55 115.45) (end 179.7 115.3) (width 0.5) (layer "F.Cu") (net 1) (tstamp b8ac5c8e-eca6-4c37-b45d-fa03441fabb6)) + (segment (start 185.15 123.45) (end 184.05 123.45) (width 0.45) (layer "F.Cu") (net 1) (tstamp bada38f0-f830-4ec7-853b-15435286e400)) + (segment (start 175.15 123.45) (end 176.25 123.45) (width 0.45) (layer "F.Cu") (net 1) (tstamp c1dd50c2-9e0a-46cf-95ee-5dde4877d9a0)) (segment (start 169.2 43.15) (end 169.95 43.15) (width 0.5) (layer "F.Cu") (net 1) (tstamp c21b20df-9e93-4f8b-bf07-89242b210ced)) (segment (start 190.3 53.2) (end 190.45 53.05) (width 0.5) (layer "F.Cu") (net 1) (tstamp c623739f-e556-4bf3-bf0d-ea8f14f7750e)) (segment (start 175.55 40.35) (end 175.7 40.2) (width 0.5) (layer "F.Cu") (net 1) (tstamp cc0d08d7-1c65-4883-9efb-f30fa51da8b0)) (segment (start 193.35 58.85) (end 193.35 59.75) (width 0.3) (layer "F.Cu") (net 1) (tstamp d3a51349-28f4-4529-a091-383e21c10a0b)) (segment (start 171.15 45.8) (end 170.2 45.8) (width 0.45) (layer "F.Cu") (net 1) (tstamp dfec8432-9052-4ca3-906a-d7261d1a9229)) - (segment (start 189.55 103.45) (end 188.75 103.45) (width 0.5) (layer "F.Cu") (net 1) (tstamp e38c33f6-b858-4fb0-ae39-fbd7ba9416b1)) + (segment (start 189.55 94.35) (end 188.75 94.35) (width 0.5) (layer "F.Cu") (net 1) (tstamp e38c33f6-b858-4fb0-ae39-fbd7ba9416b1)) (segment (start 181.95 42.1875) (end 181.95 43.2125) (width 0.4) (layer "F.Cu") (net 1) (tstamp e671ffe9-4ebb-42bd-be8d-cda9a798e138)) (segment (start 190.3 53.9) (end 189.5 53.9) (width 0.5) (layer "F.Cu") (net 1) (tstamp e7cc72e9-2528-4173-ac91-2a1600dc3104)) + (segment (start 189.55 57.249999) (end 189.7 57.099999) (width 0.5) (layer "F.Cu") (net 1) (tstamp e890d6e8-e763-4d5f-baba-9efb5ab465d8)) (via (at 194.2465 44.0055) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1892)) (via (at 195.453 42.7355) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa18ba)) (via (at 196.6595 44.0055) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa18bd)) - (via (at 196.9135 104.3305) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa18d6)) (via (at 196.8 68.05) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa18da)) (via (at 198.374 82.9945) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa18db)) (via (at 199.009 86.868) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa18dc)) (via (at 198.6 65.55) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa18dd)) - (via (at 196.596 102.2985) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa18e6)) (via (at 198.0565 80.772) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa18e8)) (via (at 197.104 74.168) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa18ea)) (via (at 197.4 75.7) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa18ed)) @@ -2755,11 +3101,11 @@ (via (at 195.15 70.5) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa18fd)) (via (at 189.7 75.3) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1942)) (via (at 189.7 66.2) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1949)) - (via (at 189.7 93.5) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa194e)) - (via (at 189.7 102.6) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1950)) - (via (at 189.7 111.7) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1957)) + (via (at 189.7 84.4) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa194e)) + (via (at 189.7 93.5) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1950)) + (via (at 189.7 102.6) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1957)) (via (at 197.866 96.393) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1977)) - (via (at 188.7 94.35) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1978)) + (via (at 188.7 85.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1978)) (via (at 188.7 76.15) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1979)) (via (at 196.723 97.536) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa197d)) (via (at 199.009 97.536) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1980)) @@ -2769,24 +3115,20 @@ (via (at 184.05 74.35) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1b75)) (via (at 186.25 83.45) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1b77)) (via (at 185.15 84.05) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1b78)) - (via (at 184.05 101.65) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1b81)) - (via (at 186.25 101.65) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1b83)) - (via (at 185.15 102.25) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1b86)) - (via (at 186.25 110.75) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1b87)) - (via (at 185.15 111.35) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1b89)) - (via (at 184.05 110.75) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1b8b)) - (via (at 186.25 119.85) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1b8c)) - (via (at 185.15 120.45) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1b9b)) - (via (at 184.05 119.85) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1b9c)) + (via (at 184.05 92.55) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1b81)) + (via (at 186.25 92.55) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1b83)) + (via (at 185.15 93.15) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1b86)) + (via (at 186.25 101.65) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1b87)) + (via (at 185.15 102.25) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1b89)) + (via (at 184.05 101.65) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1b8b)) + (via (at 186.25 110.75) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1b8c)) + (via (at 184.05 110.75) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1b9c)) (via (at 199.0725 52.2605) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1ec6)) (via (at 196.6595 52.2605) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1eca)) (via (at 194.2465 52.2605) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1ecf)) (via (at 195.453 53.5305) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1ed2)) (via (at 197.866 53.5305) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1ed4)) (via (at 198.882 94.0435) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1fac)) - (via (at 197.55 63.9) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061ab74e0)) - (via (at 192.85 119.05) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061acf2a7)) - (via (at 194.25 116.25) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061acf2b7)) (via (at 195.95 116.25) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061acf2c5)) (via (at 197.35 109.3) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061acf2c7)) (via (at 199 110.2) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061acf2c9)) @@ -2794,7 +3136,7 @@ (via (at 199.1 95.75) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061acf2e7)) (via (at 194.437 123.825) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061acf393)) (via (at 198.6 119.6) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061acf395)) - (via (at 193.5 110.8) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061b242d9)) + (via (at 193.5 103.95) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061b242d9)) (via (at 181.737 54.0385) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061e5a62b)) (via (at 186.563 53.34) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061e5a634)) (via (at 183.896 53.34) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061e5a65b)) @@ -2803,269 +3145,268 @@ (via (at 195.35 41) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061fa33c5)) (via (at 188.468 51.2445) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00a2862c-638e-48ca-b0cc-eca04552cb78)) (via (at 211.582 41.148) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00e45092-24fa-4120-b2dc-5836648e33bd)) - (via (at 117.094 49.9745) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 011af933-f9df-44c4-aa21-80b4632bbe6b)) (via (at 211.582 66.548) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 0142e8a0-ce0f-4e8b-9f00-112948d20f1e)) (via (at 193.35 59.75) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 030f7528-01d8-4f5d-b375-396511a3f702)) (via (at 174.25 47.3) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 03e8bcb1-d9ed-4e58-b4f1-180d73ae61ad)) (via (at 172.085 128.778) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 04d1bfb6-9c4c-4d93-bc65-787faf00b243)) - (via (at 156.845 128.778) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 06130a3f-b519-4f6c-82a6-e67accbae07b)) + (via (at 151.765 128.778) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 06130a3f-b519-4f6c-82a6-e67accbae07b)) + (via (at 189.7 57.099999) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 06f3d081-4bea-44fb-960c-2d19463d300b)) (via (at 195.834 48.133) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 090cbe53-fe9b-4fab-9092-c21f6fe0939b)) - (via (at 137.033 38.608) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 09733531-ff09-407a-acf4-6f8b1686d4c9)) (via (at 203.962 38.6189) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 0a78fa50-c79c-4061-a087-0408d9d3b08d)) (via (at 186.7535 45.085) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 0cee2dd4-221f-411e-9d1b-8c13514081c5)) + (via (at 174.05 123.45) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 0d7ed2f1-cc55-4aa3-a514-d8a99ad7ec79)) (via (at 194.1195 78.232) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 0e292d95-89dc-49e8-83e0-8c7f031cfccc)) (via (at 209.042 48.768) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 10929503-cb1d-42a8-9682-d161dba0b501)) - (via (at 140.1445 44.1325) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 11f2a475-79ea-4e49-9b43-53a4c6394834)) (via (at 189.9285 49.5935) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 120de6b3-27d9-4070-8bbf-0273c903ffdf)) - (via (at 104.013 56.388) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 130bc739-eddd-477b-8283-8fe1fb6fba99)) + (via (at 133.223 56.388) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 130bc739-eddd-477b-8283-8fe1fb6fba99)) (via (at 187.2615 46.9265) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 15bb9450-6078-40f4-9a0c-3b27173e6c06)) - (via (at 121.793 43.688) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 1610dc94-8430-4527-a5e3-437a078b9b5c)) (via (at 195.75 86.35) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 164402b0-7025-4427-b272-a0cb3925939a)) - (via (at 121.793 38.608) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 169aca35-52f8-4a89-a4d9-6c82e6956078)) - (via (at 104.013 117.348) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 17fd2b80-54b9-475c-9704-237b84119158)) + (via (at 133.223 117.348) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 17fd2b80-54b9-475c-9704-237b84119158)) (via (at 195.1355 60.2615) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 1a09a7cb-78f5-4989-9bdf-8b4c4a8beb1d)) (via (at 174.75 41.05) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 1a8a76a0-6023-468a-bf57-4aeb52d09b1d)) - (via (at 168.021 49.2125) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 1ac55319-b6cd-42d1-8d05-aa6b05c8fad7)) (via (at 189.5 53.9) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 1b27d1c8-f65f-4837-ac2a-4472d56cd4ff)) - (via (at 104.013 126.238) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 1e00f050-9eca-4847-8678-4a663b852e79)) - (via (at 104.013 121.793) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 1fb56f85-af1d-4497-aec8-5431385cffca)) - (via (at 126.873 38.608) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 20b63c7b-b42e-458c-9bd6-9826ded20516)) + (via (at 162.433 38.608) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 1cc852d0-5043-4557-a9f3-1b0934121de0)) + (via (at 133.223 126.238) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 1e00f050-9eca-4847-8678-4a663b852e79)) + (via (at 133.223 121.793) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 1fb56f85-af1d-4497-aec8-5431385cffca)) (via (at 172.25 48.4) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 24cb67fc-f0c9-4f6e-88c1-7636ab854c5e)) (via (at 177.5 52.4) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 26aae486-5c13-40b1-a779-fbd8b01e2429)) (via (at 188.214 44.3865) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 26d195b1-26c7-4514-9de4-6a939b4d70c7)) - (via (at 116.713 38.608) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 272f8600-b404-46a0-837d-a342319df2db)) - (via (at 164.846 44.2595) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 277d6a6b-18aa-45d7-967d-584b7d7fac44)) (via (at 197.993 121.3485) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 27c951eb-87bf-4f1c-9dfa-59996eee12e3)) - (via (at 104.013 76.708) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 282bf8b8-2c0e-4c0f-8792-b18fbfdd0d6a)) + (via (at 133.223 76.708) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 282bf8b8-2c0e-4c0f-8792-b18fbfdd0d6a)) (via (at 211.582 102.108) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 28d86262-4db2-482c-8ae7-f64f89ab96b6)) (via (at 187.6 41.2625) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 2965d96a-703d-45a6-8083-ee4575c36bb7)) - (via (at 188.255551 118.86501) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 2976c54c-e78b-4b88-8563-7a15be7332f1)) (via (at 181.95 43.2125) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 2b670198-954c-4e3b-b1b0-4485bbd2f4ee)) (via (at 209.042 58.928) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 2cf85ca9-250f-4d7a-b13f-249f0d1f7846)) (via (at 194.15 72.85) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 2e51146f-4f33-4c67-898b-645bcf9ba2aa)) (via (at 167.005 128.778) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 2e56601e-19e8-44f3-aba8-ce11bfdbda7d)) (via (at 206.502 41.148) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 2e641211-738b-47af-956a-73e8a16c053f)) - (via (at 104.013 66.548) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 300b95bd-64a5-47b8-818f-ea6b375c9621)) + (via (at 133.223 66.548) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 300b95bd-64a5-47b8-818f-ea6b375c9621)) (via (at 192.659 128.778) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 3208713a-75af-44a8-9144-cfc6b2e4d0aa)) - (via (at 116.205 128.778) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 32a93ab6-9af4-4b4f-9116-b571e8d0c0d0)) (via (at 194.05 84.75) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 32b70236-89ff-45e2-85c9-a9978be8067c)) - (via (at 104.013 86.868) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 33e0e859-4743-43d1-a450-28fb471d9ddb)) + (via (at 133.223 86.868) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 33e0e859-4743-43d1-a450-28fb471d9ddb)) (via (at 189.3 41.3) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 360bedc1-8522-4c8c-bbbd-baca6d69d40e)) - (via (at 115.15 53.8) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 39686c7d-a18a-4a5b-baf5-e45d1fb676f3)) - (via (at 161.925 128.778) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 3a0bdb46-0a76-4639-87e8-61f6c27fdd98)) - (via (at 112.522 50.038) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 3a13a33d-0399-4bf3-800a-72a2421cb176)) + (via (at 156.845 128.778) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 3a0bdb46-0a76-4639-87e8-61f6c27fdd98)) (via (at 182.245 128.778) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 3a3bfbd6-c616-42f1-9eef-1ca717dd3dba)) (via (at 198.882 38.5971) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 3a4bbc77-f599-40c3-b08a-510d903ef6a7)) + (via (at 186.25 65.249999) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 3a6abd82-44db-44a9-ab34-08030a7bb879)) (via (at 211.582 76.708) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 3d57783c-79e7-4cf0-ada1-9238d5356ae1)) - (via (at 109.092999 41.148) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 3e995537-f1be-4fda-842f-68bf907f0d82)) - (via (at 187.75 117.85) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 3f3590f2-1be3-45a4-853c-ea7e00174178)) (via (at 195.199 131.318) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 3f5b510f-c197-40a4-af44-e8c925066463)) (via (at 188.722 38.608) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 3f61c87c-313a-4608-a54f-b4a612870496)) - (via (at 104.013 102.108) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 40855fce-fb42-4a5a-a4c1-35b33fd1b336)) + (via (at 133.223 102.108) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 40855fce-fb42-4a5a-a4c1-35b33fd1b336)) (via (at 194.2465 50.038) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 41bf046d-88c3-42a5-86f8-9ec72bf8883a)) - (via (at 107.1 52.25) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 4220c8a3-e5dc-4067-b6dc-6cf2209dc831)) (via (at 211.582 51.308) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 44cc8156-7ce0-44df-b750-b2d5b2afc837)) (via (at 174.25 45.45) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 458024b6-d522-4fad-a776-3c27a6d12c57)) - (via (at 141.605 128.778) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 4734d879-2a3d-41c9-9e59-a1af7f6360c5)) (via (at 211.582 127.508) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 4ad7b97b-3335-4f67-bc4f-216a86718e77)) - (via (at 193.2256 93.518959) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 4b50ba79-f922-48cd-a365-c087f1d545c3)) + (via (at 188.75 116.15) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 4c4fe583-e7a5-4ea0-bc4b-4ac4c6769c6d)) (via (at 211.582 71.628) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 4c6bbc53-86cf-44cd-83a6-7b978246dcc2)) - (via (at 104.013 46.228) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 4c7cff47-f99d-4805-bf38-208af3d6ce08)) + (via (at 133.223 46.228) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 4c7cff47-f99d-4805-bf38-208af3d6ce08)) (via (at 206.502 136.652) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 4c8451c7-cce3-4abc-89c4-dc2ac5202733)) - (via (at 146.685 128.778) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 4e76d412-5098-4198-95d9-f85f86f04c4b)) - (via (at 188.75 117.95) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 51e58bab-a859-4c38-97a4-b7c9fab31ce1)) (via (at 211.582 91.948) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 537eb326-087d-4610-a3f9-4b261b277343)) (via (at 211.582 97.028) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 543fbbb0-1ef9-48f8-b9b5-1babb55dfc64)) (via (at 197.739 57.531) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 546f894f-8939-410b-b385-019ac0ba2cd1)) - (via (at 140.1445 43.1165) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 55082cc1-c956-45a6-b2b5-3db02a6da9d0)) (via (at 188.468 48.1965) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 56de11c8-54d5-46a3-86f3-42d9503bfc91)) (via (at 209.042 43.688) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 5a9f90a0-fec5-4971-9281-7e01e4f68510)) + (via (at 175.15 124.05) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 5baa6287-54bd-476b-a481-43760bedf192)) (via (at 186.69 48.1965) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 5df1d574-4ca4-471a-801a-bb2b89833513)) - (via (at 111.633 38.608) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 5e5a4682-a142-4035-be73-51628b89d138)) + (via (at 140.843 38.608) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 5e5a4682-a142-4035-be73-51628b89d138)) (via (at 196.1515 123.825) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 6056e48a-e319-4f49-bad6-041f51f9d7b3)) - (via (at 195.199 66.167) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 62a5708c-ab1b-41fb-a8b5-0657373d331e)) + (via (at 195.707 65.659) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 62a5708c-ab1b-41fb-a8b5-0657373d331e)) (via (at 211.582 122.428) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 62b2b23e-ed3d-4ccf-83dd-0734dc3d53db)) - (via (at 118.11 50.3555) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 646fb807-2ce1-4f0d-bdbd-31e3262b1f8e)) - (via (at 162.433 38.608) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 6475fbd2-f783-4055-9ac4-c0f20149f79b)) + (via (at 157.353 38.608) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 6475fbd2-f783-4055-9ac4-c0f20149f79b)) (via (at 167.513 38.608) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 679b0a20-e54f-4cf2-ba5c-12d2c24f6909)) (via (at 211.582 136.652) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 6a37f604-4294-46a7-a3ea-29bf6fb821c9)) (via (at 195.3895 125.603) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 6a49dfb4-e04e-49cb-86ba-cdbebee77179)) (via (at 169.95 46.05) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 6c49bb86-fb22-449f-a983-29650148978d)) (via (at 178.689 50.165) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 6d0c1974-6515-412e-8e18-513465bbe537)) (via (at 177.165 128.778) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 6e27f283-c337-4ffc-bf34-276dbaa68372)) - (via (at 131.953 38.608) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 6f1149ef-1b22-44d3-9491-b2aaf5f76574)) - (via (at 187.5 113.25) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 6f1ba192-8c83-45d1-abda-b448256f7ba9)) + (via (at 187.5 104.15) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 6f1ba192-8c83-45d1-abda-b448256f7ba9)) (via (at 178.1 51.55) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 6f4ea0c7-febe-4014-bcee-7686018358b3)) (via (at 172.593 38.608) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 7000ce6b-a4d0-4a1d-92c4-1129caef53a4)) (via (at 170 43.2) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 713f8bf8-d771-4862-bb18-7b6f3b027ba3)) (via (at 175.641 49.022) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 7193ce0d-b831-45bc-876f-d7fe3549e9d4)) + (via (at 177.5 116.85) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 7222d50a-302c-4c6e-a4a0-9072b4563462)) (via (at 177.673 38.608) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 7327e854-4338-4500-8172-bfee6bd4bb08)) (via (at 209.042 53.848) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 75f133ab-f8c4-449c-8d24-5ce2ef20c5f5)) - (via (at 104.013 91.948) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 782bede3-25ea-40d3-baab-5e90db1bae32)) + (via (at 133.223 91.948) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 782bede3-25ea-40d3-baab-5e90db1bae32)) (via (at 189.484 48.1965) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 7865ed7f-fdd1-4b48-b433-f5eb3ce56b9b)) (via (at 194.1195 79.248) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 78ac661d-f7ed-4a2c-92de-570d44174276)) - (via (at 196.088 62.5475) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 7a18b2b0-6dd5-476e-99e3-1113869ce229)) - (via (at 195.7705 91.2495) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 7b7b0a39-4c5a-4b75-b108-79e2ffeb37c7)) (via (at 188.45 40.35) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 7bd6fa35-9259-4a2d-8279-ba81ed2069f9)) (via (at 211.582 117.348) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 7edf0fcc-949a-4738-907f-c8373351ab9c)) + (via (at 184.05 65.249999) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 857dc3b7-b18c-442c-8f20-f3979db07949)) + (via (at 185.15 65.849999) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 85ff1aa3-2715-4ec5-bd59-a3d9452d4eed)) (via (at 175.6 52.4) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 86e372ea-26b8-4911-8a89-d102a588722c)) (via (at 193.802 38.608) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 87821946-1776-4221-943b-8140e81f1757)) - (via (at 192.45 120) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 891fbc5a-0e7e-4631-b692-f3d2c49f98c0)) - (via (at 164.4015 49.276) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 8927b998-6b2b-4fad-8d9c-60878d6c0af4)) (via (at 211.582 132.08) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 894ec360-ea1c-4b78-a7a9-9101619132cc)) (via (at 189.992 43.18) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 8969f576-03fa-4e04-b345-8d7fcf6d78ae)) - (via (at 121.285 128.778) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 8976ed33-631b-4eac-a707-8f367e5f14ae)) (via (at 211.582 86.868) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 919a1dcf-b894-437f-918f-b43d771f4c7a)) (via (at 209.042 38.608) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 92371204-06ff-4bc4-b22c-dc79e15e73d7)) (via (at 197.739 139.192) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 9b4618cb-8c9b-459e-811e-e74835b291a9)) - (via (at 188.75 112.55) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 9b984e6d-05ff-41e0-b8c5-9f46a7993ab2)) - (via (at 136.525 128.778) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 9c022ce0-a3da-47be-bd7e-4ea0e7cc93b1)) - (via (at 106.553 128.778) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 9f9b2464-9684-48bf-8bf2-249c27954da3)) + (via (at 188.75 103.45) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 9b984e6d-05ff-41e0-b8c5-9f46a7993ab2)) + (via (at 135.763 128.778) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 9f9b2464-9684-48bf-8bf2-249c27954da3)) (via (at 181.95 41.1625) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp a43ae97f-ff8c-43dd-8d6d-82a22f1be9b5)) - (via (at 142.113 38.608) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp a4fcf2ab-ac70-4ef8-bb5a-4ebe819060c7)) (via (at 195.453 76.708) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp a5108b17-2e27-4549-9093-e3e679468314)) - (via (at 131.445 128.778) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp a696e744-4fbf-4316-b82e-f03a94af898e)) (via (at 190.45 53.05) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp a881fee1-2247-4b84-acc6-5a7e843e2ba6)) - (via (at 163.195 45.4025) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp ab949488-fde4-4a51-881b-341d7660c654)) - (via (at 114.173 40.767) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp ad44faad-931f-4610-bb45-f695b309ae6d)) + (via (at 176.25 123.45) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp a8d783b3-4648-42b0-bda6-3b87010cd303)) (via (at 211.582 46.228) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp ae3831f6-c3f4-43b7-b082-9f189c11f6b1)) - (via (at 194.05 99.5) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp b1092e02-8011-4dde-bc88-4a7dc10a14c4)) - (via (at 188.55 110.5) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp b35b8930-2c4e-4228-98e9-582f09040df0)) - (via (at 189.6 99.15) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp b3780847-212b-456a-9805-a92650fb4bff)) - (via (at 112.395 128.778) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp b42e2b47-cac4-4a2a-b035-b45b7bd6a42a)) + (via (at 188.55 101.4) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp b35b8930-2c4e-4228-98e9-582f09040df0)) + (via (at 189.6 90.05) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp b3780847-212b-456a-9805-a92650fb4bff)) + (via (at 141.605 128.778) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp b42e2b47-cac4-4a2a-b035-b45b7bd6a42a)) (via (at 192.659 53.848) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp b46c7159-f06d-4557-bbea-869eed0e913c)) + (via (at 178.75 116.15) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp b6f0ca51-a1d8-4931-9488-027a18caeda2)) (via (at 175.7 40.2) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp b73bc21e-e4fc-434c-9782-67f831579d00)) - (via (at 194.1195 67.2465) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp b87874c0-94cc-4c07-ba3e-122eba035d95)) + (via (at 193.6115 67.7545) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp b87874c0-94cc-4c07-ba3e-122eba035d95)) (via (at 170.05 48.4) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp b90d0267-ce26-4e19-a4c7-fd16cc7a521c)) - (via (at 104.013 107.188) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp b956653e-fe0e-4e3b-9cb6-4b7baa28edb6)) + (via (at 133.223 107.188) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp b956653e-fe0e-4e3b-9cb6-4b7baa28edb6)) (via (at 187.325 128.778) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp b9bb3b58-2f58-4c0e-a3fa-acb3f812b642)) - (via (at 141.6685 42.1005) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp ba3d1a6a-aee7-42ab-8fc4-101901b61a32)) + (via (at 179.7 115.3) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp ba866922-8d02-4adb-975d-9a2bd92a64ab)) (via (at 201.549 139.192) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp bc899fb9-7f46-4d6c-9279-26acd4105f51)) - (via (at 104.013 112.268) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp bd9b36e1-7e0d-4f10-af5d-b2b32ba5e419)) - (via (at 106.553 43.688) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp be79f080-558c-4be2-bb49-d8ae38610273)) - (via (at 109.601 48.514) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp c50a4250-2225-4797-b4a1-1bc3d1138c0f)) + (via (at 133.223 112.268) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp bd9b36e1-7e0d-4f10-af5d-b2b32ba5e419)) + (via (at 187.5 116.85) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp c50d5325-fd51-4a4d-9652-fbe10caec280)) (via (at 200.279 136.652) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp c5e0f43a-ae71-4675-9b05-bf6d7eaec69c)) - (via (at 104.013 51.308) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp c6da1e5c-bd02-486a-957c-dc075d5f1cf2)) + (via (at 133.223 51.308) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp c6da1e5c-bd02-486a-957c-dc075d5f1cf2)) (via (at 170.05 47.1) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp c6de764b-08ce-466c-a73d-f365ed0cc46f)) + (via (at 185.15 124.05) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp c737b85c-a100-46be-94d0-3c1260860edb)) (via (at 171.15 49) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp c78f65fa-a030-469f-965a-f81d8f3afba6)) (via (at 209.042 134.366) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp c7b9390d-ef51-4522-a97a-3446bb022010)) (via (at 205.232 139.192) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp c8c987ac-01d2-4aa9-92f1-80823f823522)) - (via (at 152.273 38.608) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp ca2e847d-a7d6-4bfc-85bc-705e4e736848)) - (via (at 193.35 90.6) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp ca88c09b-3a08-4272-95a1-63f36c2b2d12)) + (via (at 147.193 38.608) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp ca2e847d-a7d6-4bfc-85bc-705e4e736848)) (via (at 206.502 46.228) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp cac5d9d9-c76e-4d4f-9847-92f6ee50ec3a)) (via (at 183.245483 38.6) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp cc1ba9e6-9183-4de0-a9b8-5dc09c50e0a8)) - (via (at 167.0685 47.0535) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp cc5ae1f2-984d-42b0-8336-3f7b59a92169)) - (via (at 159.893 40.767) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp d47217f4-45c9-4e97-8b22-7a6dc1187213)) (via (at 201.422 41.148) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp d51a5fa4-950d-41ed-918b-bbb54738482c)) - (via (at 188.75 103.45) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp d55ecf04-6b7b-49f2-bba4-ec612376f3e5)) + (via (at 188.75 94.35) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp d55ecf04-6b7b-49f2-bba4-ec612376f3e5)) (via (at 211.582 81.788) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp d581f4b3-9359-4097-b1c8-caf81d46b6b2)) (via (at 198.882 43.688) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp d5892ec3-495a-4b4a-85cf-5fdb81633cbd)) - (via (at 104.013 71.628) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp d5ae1d1c-ca47-4be4-8099-78232a731705)) - (via (at 104.013 61.468) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp d6e5fd34-188d-4e9f-ab28-d02139389e1e)) + (via (at 133.223 71.628) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp d5ae1d1c-ca47-4be4-8099-78232a731705)) + (via (at 133.223 61.468) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp d6e5fd34-188d-4e9f-ab28-d02139389e1e)) (via (at 190.45 54.75) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp d75bbaff-de62-4f47-b2c1-42ba1e99da40)) - (via (at 106.553 38.608) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp d7603f6c-4607-4c31-9df9-3c70168f3921)) + (via (at 135.763 38.608) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp d7603f6c-4607-4c31-9df9-3c70168f3921)) (via (at 195.199 136.652) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp d7c04334-87c3-4b4f-8bcc-835e908e4c2d)) - (via (at 157.353 38.608) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp d8739df0-cf53-48fa-9ac7-9e34f4c0b4c8)) + (via (at 152.273 38.608) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp d8739df0-cf53-48fa-9ac7-9e34f4c0b4c8)) (via (at 196.7865 124.968) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp d98a6d52-f58b-4683-a1fd-42d2c18cbb66)) (via (at 188.65 79.1) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp daa306e1-1343-4e7d-9743-435b28914a63)) - (via (at 109.35 54.45) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp dcde07c7-4631-4382-91a1-90ffa2148a4f)) (via (at 211.582 107.188) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp dd43447b-b7d8-4c00-a7b1-717030c5a6e4)) (via (at 211.582 112.268) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp ddd9ab5d-e92b-42d7-8851-8fae406e965c)) - (via (at 164.211 45.0215) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp df74737f-b8fe-468b-a7bc-fce8922c6ae0)) - (via (at 144.653 40.767) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp e191efe6-d346-4d7f-8971-af302336256b)) - (via (at 187.05 104.9) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp e1b4e8fe-b070-454c-a603-ea16350e076f)) + (via (at 161.925 128.778) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp e08d3e2d-1058-4b61-a8aa-96de551ce95d)) + (via (at 187.05 95.8) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp e1b4e8fe-b070-454c-a603-ea16350e076f)) (via (at 194.1195 75.565) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp e353e7ee-5dd5-4a9f-b52c-fa93d980dc33)) - (via (at 161.163 46.0375) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp e3d9f89a-ba19-4459-ab55-3b945cb0d52d)) + (via (at 184.05 123.45) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp e37ee0e8-7278-4d9b-b744-79c09ee8ebe6)) + (via (at 186.25 123.45) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp e6c6c749-193a-41c4-99a2-42d6acfedaae)) (via (at 211.582 61.468) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp e7868efc-16ab-470f-afac-9c0965caefe2)) (via (at 177.85 54.3) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp e8a0197e-32a8-40f3-b314-c5e4bdb01aaa)) - (via (at 117.85 41.8) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp ea041953-56d7-4181-bcd3-3933f93fafe5)) (via (at 211.582 56.388) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp eb73ebdd-a4b6-495e-8226-35e7511fd6fd)) - (via (at 151.765 128.778) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp ee43f686-7633-4e3e-a002-f9e338c3ef83)) - (via (at 171.196 52.5145) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp ef6bc887-01ee-4de4-b8ab-adfe171e099f)) - (via (at 126.365 128.778) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp efcee761-9efe-415e-8c96-602b08c23e68)) - (via (at 104.013 41.148) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp f24fc350-7ac2-440a-a17a-599b6c260be2)) - (via (at 164.973 41.148) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp f3a801ff-208f-4bbd-b3e9-abfbbe8947a1)) - (via (at 188.65 100.15) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp f4a6f22f-2296-4e86-8dc7-ba0df5bfb77c)) - (via (at 189.3 109.75) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp f4fdf133-5ebb-46bc-bb7b-10fb77ef2eb5)) + (via (at 146.685 128.778) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp ee43f686-7633-4e3e-a002-f9e338c3ef83)) + (via (at 133.223 41.148) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp f24fc350-7ac2-440a-a17a-599b6c260be2)) + (via (at 188.65 91.05) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp f4a6f22f-2296-4e86-8dc7-ba0df5bfb77c)) + (via (at 189.3 100.65) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp f4fdf133-5ebb-46bc-bb7b-10fb77ef2eb5)) (via (at 197.739 133.858) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp f56feeb6-1559-4e1b-ade1-900e859594c5)) - (via (at 104.013 81.788) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp f60cdee9-40a3-4a05-9520-1f3b6041d6e3)) - (via (at 147.193 38.608) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp f665b190-2c64-4c70-b81a-6a41478df826)) - (via (at 104.013 97.028) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp f9089e89-3fbd-45ce-a9a9-3c79efd9bae5)) + (via (at 133.223 81.788) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp f60cdee9-40a3-4a05-9520-1f3b6041d6e3)) + (via (at 133.223 97.028) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp f9089e89-3fbd-45ce-a9a9-3c79efd9bae5)) (via (at 209.042 139.192) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp fca95f27-8f32-4f67-b5cc-f0e6bbec6bd6)) + (via (at 189.7 115.3) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp fee63355-84b3-47e5-8a52-c92ac01e8376)) (via (at 193.35 86.1) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp fefebbe0-4936-4f0a-a279-5c4f9c53fde4)) (segment (start 191.05 67.05) (end 191.05 66.35) (width 0.5) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa1b40)) (segment (start 191.05 66.35) (end 190.9 66.2) (width 0.5) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa1b46)) (segment (start 191.05 75.45) (end 190.9 75.3) (width 0.5) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa1b49)) (segment (start 191.05 76.15) (end 191.05 75.45) (width 0.5) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa1b4b)) (segment (start 191.05 68.5) (end 189.95 68.5) (width 0.45) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa1b52)) - (segment (start 191.05 93.65) (end 190.9 93.5) (width 0.5) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa1cff)) - (segment (start 191.05 94.35) (end 191.05 93.65) (width 0.5) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa1ec5)) - (segment (start 191.05 103.45) (end 191.05 104.3) (width 0.6) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa216b)) - (segment (start 191.05 114) (end 192.15 114) (width 0.45) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa216e)) - (segment (start 191.05 104.9) (end 189.95 104.9) (width 0.45) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa2173)) - (segment (start 191.05 104.9) (end 192.15 104.9) (width 0.45) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa2175)) + (segment (start 191.05 84.55) (end 190.9 84.4) (width 0.5) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa1cff)) + (segment (start 191.05 85.25) (end 191.05 84.55) (width 0.5) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa1ec5)) + (segment (start 191.05 94.35) (end 191.05 95.2) (width 0.6) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa216b)) + (segment (start 191.05 104.9) (end 192.15 104.9) (width 0.45) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa216e)) + (segment (start 191.05 95.8) (end 189.95 95.8) (width 0.45) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa2173)) + (segment (start 191.05 95.8) (end 192.15 95.8) (width 0.45) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa2175)) (segment (start 191.05 68.5) (end 191.05 67.9) (width 0.45) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa217c)) (segment (start 191.05 77.6) (end 189.95 77.6) (width 0.45) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa217e)) (segment (start 191.05 77.6) (end 191.05 77) (width 0.45) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa2180)) - (segment (start 191.05 95.8) (end 191.05 95.2) (width 0.45) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa2186)) - (segment (start 191.05 95.8) (end 189.95 95.8) (width 0.45) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa2187)) - (segment (start 191.05 114) (end 189.95 114) (width 0.45) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa2190)) - (segment (start 191.05 114) (end 191.05 113.4) (width 0.45) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa2194)) - (segment (start 191.05 104.9) (end 191.05 104.3) (width 0.45) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa219a)) - (segment (start 191.05 112.55) (end 191.05 113.4) (width 0.6) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa219f)) - (segment (start 191.05 95.2) (end 191.05 94.35) (width 0.6) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa21a0)) + (segment (start 191.05 86.7) (end 191.05 86.1) (width 0.45) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa2186)) + (segment (start 191.05 86.65) (end 189.95 86.65) (width 0.45) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa2187)) + (segment (start 191.05 104.9) (end 189.95 104.9) (width 0.45) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa2190)) + (segment (start 191.05 104.9) (end 191.05 104.3) (width 0.45) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa2194)) + (segment (start 191.05 95.8) (end 191.05 95.2) (width 0.45) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa219a)) + (segment (start 191.05 103.45) (end 191.05 104.3) (width 0.6) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa219f)) + (segment (start 191.05 86.1) (end 191.05 85.25) (width 0.6) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa21a0)) (segment (start 191.05 76.15) (end 191.05 77) (width 0.6) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa21a2)) (segment (start 191.05 67.05) (end 191.05 67.9) (width 0.6) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa21a5)) (segment (start 191.05 67.05) (end 191.9 67.05) (width 0.6) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa21aa)) (segment (start 191.05 76.15) (end 191.9 76.15) (width 0.6) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa21af)) - (segment (start 191.05 94.35) (end 191.9 94.35) (width 0.6) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa21b1)) - (segment (start 191.05 112.55) (end 191.9 112.55) (width 0.6) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa21b5)) - (segment (start 191.05 103.45) (end 191.9 103.45) (width 0.6) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa21bb)) - (segment (start 191.05 112.55) (end 191.05 111.85) (width 0.5) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa21c1)) - (segment (start 191.05 111.85) (end 190.9 111.7) (width 0.5) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa21c2)) - (segment (start 191.05 102.75) (end 190.9 102.6) (width 0.5) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa21c6)) - (segment (start 191.05 103.45) (end 191.05 102.75) (width 0.5) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa21c8)) + (segment (start 191.05 85.25) (end 191.9 85.25) (width 0.6) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa21b1)) + (segment (start 191.05 103.45) (end 191.9 103.45) (width 0.6) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa21b5)) + (segment (start 191.05 94.35) (end 191.9 94.35) (width 0.6) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa21bb)) + (segment (start 191.05 103.45) (end 191.05 102.75) (width 0.5) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa21c1)) + (segment (start 191.05 102.75) (end 190.9 102.6) (width 0.5) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa21c2)) + (segment (start 191.05 93.65) (end 190.9 93.5) (width 0.5) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa21c6)) + (segment (start 191.05 94.35) (end 191.05 93.65) (width 0.5) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa21c8)) (segment (start 177.05 42.55) (end 177.05 41.95) (width 0.4) (layer "F.Cu") (net 2) (tstamp 064a14d4-7625-4c17-9926-3bc8bef61c95)) + (segment (start 181.05 116.15) (end 181.05 117) (width 0.6) (layer "F.Cu") (net 2) (tstamp 1154049c-aa3c-4ea2-9f37-916837fdfc09)) + (segment (start 191.05 59.399999) (end 189.95 59.399999) (width 0.45) (layer "F.Cu") (net 2) (tstamp 1a3db704-b191-4495-a8c6-8c001e8f082d)) + (segment (start 181.05 115.45) (end 180.9 115.3) (width 0.5) (layer "F.Cu") (net 2) (tstamp 1f550bf9-2302-4a40-aaa9-20422c56ad60)) + (segment (start 191.05 116.15) (end 191.05 117) (width 0.6) (layer "F.Cu") (net 2) (tstamp 27548757-8f67-4185-b4b2-04d0b3386ee0)) (segment (start 177.05 42.55) (end 178.15 42.55) (width 0.45) (layer "F.Cu") (net 2) (tstamp 2a5ed4f1-2e39-45ae-bf53-791630bc4cad)) + (segment (start 191.05 59.399999) (end 191.05 58.799999) (width 0.45) (layer "F.Cu") (net 2) (tstamp 3bae8a86-a21c-4464-b3bd-6aefe46e2f6c)) (segment (start 177.05 41.05) (end 177.85 41.05) (width 0.5) (layer "F.Cu") (net 2) (tstamp 3f494321-e87f-4a8e-bbe5-a937d805b012)) + (segment (start 191.05 57.949999) (end 191.9 57.949999) (width 0.6) (layer "F.Cu") (net 2) (tstamp 4064bff9-0e7c-4f8c-ad9a-55792b0eb7f7)) (segment (start 172.75 41.05) (end 173.45 41.05) (width 0.5) (layer "F.Cu") (net 2) (tstamp 561ecb3f-ead7-4771-b6c0-d34cd21c92d3)) (segment (start 177.05 48.4) (end 178.15 48.4) (width 0.15) (layer "F.Cu") (net 2) (tstamp 57be4481-578e-480a-b137-dcb8fd95babf)) + (segment (start 191.05 117.6) (end 191.05 117) (width 0.45) (layer "F.Cu") (net 2) (tstamp 5aa86755-9a6d-482f-b9c1-cec12da8ed2d)) + (segment (start 191.05 117.6) (end 189.95 117.6) (width 0.45) (layer "F.Cu") (net 2) (tstamp 5e47ffd9-aef4-4459-96a4-fa1f9b3abd3e)) + (segment (start 191.05 57.249999) (end 190.9 57.099999) (width 0.5) (layer "F.Cu") (net 2) (tstamp 5fdc0af2-8f21-4fab-8884-753aa3ddd968)) + (segment (start 191.05 116.15) (end 191.9 116.15) (width 0.6) (layer "F.Cu") (net 2) (tstamp 63f84ce9-8534-402f-a731-af39d0d0b42c)) + (segment (start 181.05 116.15) (end 181.05 115.45) (width 0.5) (layer "F.Cu") (net 2) (tstamp 66841b2b-e3ee-4892-915b-cb1ea6504f93)) + (segment (start 191.05 116.15) (end 191.05 115.45) (width 0.5) (layer "F.Cu") (net 2) (tstamp 7254f201-e9fc-4902-ad47-92633f773c40)) + (segment (start 181.05 117.6) (end 181.05 117) (width 0.45) (layer "F.Cu") (net 2) (tstamp 74c1899f-9194-4fbc-9c92-c197f7c67f09)) + (segment (start 181.05 117.6) (end 179.95 117.6) (width 0.45) (layer "F.Cu") (net 2) (tstamp 7897a6f1-2bf8-4b6d-91c8-ff742fb65229)) + (segment (start 191.05 117.6) (end 192.15 117.6) (width 0.45) (layer "F.Cu") (net 2) (tstamp 87ef6bf8-6dd9-483a-9eba-683a69de95f0)) (segment (start 177.05 41.05) (end 177.05 41.95) (width 0.5) (layer "F.Cu") (net 2) (tstamp 9f32a78e-0b59-4846-9068-4909840a34ae)) (segment (start 177.05 41.05) (end 177.05 40.35) (width 0.5) (layer "F.Cu") (net 2) (tstamp 9fa50f42-0778-414e-80a5-be6ea027c650)) + (segment (start 191.05 57.949999) (end 191.05 57.249999) (width 0.5) (layer "F.Cu") (net 2) (tstamp a81118e4-ba24-4d7c-baab-2043d502f65d)) + (segment (start 181.05 116.15) (end 181.9 116.15) (width 0.6) (layer "F.Cu") (net 2) (tstamp bea76f61-9359-4e58-ae11-bb66f0e68e91)) (segment (start 177.05 40.35) (end 176.9 40.2) (width 0.5) (layer "F.Cu") (net 2) (tstamp c3f25bab-d21c-43b9-bb4f-57d9b5e2645a)) (segment (start 197.866 99.06) (end 200.66 99.06) (width 1) (layer "F.Cu") (net 2) (tstamp cc2b212e-bf64-4f94-97b2-1d0201df20ce)) + (segment (start 191.05 115.45) (end 190.9 115.3) (width 0.5) (layer "F.Cu") (net 2) (tstamp cfc78562-83c4-4a28-8339-284ea4ffd7ef)) + (segment (start 191.05 57.949999) (end 191.05 58.799999) (width 0.6) (layer "F.Cu") (net 2) (tstamp df03d00c-aed3-4966-ba48-b4a9f7de86d8)) (segment (start 200.66 99.06) (end 203.2 99.06) (width 1) (layer "F.Cu") (net 2) (tstamp e9a7ba6f-6218-4b0c-bedb-421cc666e5b0)) - (via (at 191.05 104.3) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa18b2)) + (segment (start 181.05 117.6) (end 182.15 117.6) (width 0.45) (layer "F.Cu") (net 2) (tstamp f874250b-6e7d-4461-8b30-1d280106127a)) + (via (at 191.05 95.2) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa18b2)) (via (at 190.9 75.3) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa1b45)) (via (at 190.9 66.2) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa1b47)) (via (at 189.95 68.5) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa1b4f)) - (via (at 190.9 93.5) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa1cfe)) + (via (at 190.9 84.4) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa1cfe)) (via (at 191.05 77) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa216f)) - (via (at 189.95 104.9) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa2174)) - (via (at 192.15 104.9) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa2176)) - (via (at 189.95 114) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa2177)) + (via (at 189.95 95.8) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa2174)) + (via (at 192.15 95.8) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa2176)) + (via (at 189.95 104.9) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa2177)) (via (at 191.05 67.9) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa217d)) (via (at 189.95 77.6) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa217f)) - (via (at 191.05 95.2) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa2185)) - (via (at 189.95 95.8) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa2188)) - (via (at 192.15 114) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa2192)) - (via (at 183.65 104.9) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa2196)) - (via (at 183.65 114) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa219c)) - (via (at 191.05 113.4) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa219e)) + (via (at 191.05 86.1) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa2185)) + (via (at 189.95 86.7) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa2188)) + (via (at 192.15 104.9) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa2192)) + (via (at 191.05 104.3) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa219e)) (via (at 191.9 67.05) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa21a9)) (via (at 191.9 76.15) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa21ae)) - (via (at 191.9 94.35) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa21b2)) - (via (at 191.9 112.55) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa21b6)) - (via (at 183.4 112.55) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa21b7)) - (via (at 191.9 103.45) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa21ba)) - (via (at 183.4 103.45) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa21bc)) - (via (at 190.9 111.7) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa21c0)) - (via (at 190.9 102.6) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa21c7)) + (via (at 191.9 85.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa21b2)) + (via (at 191.9 103.45) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa21b6)) + (via (at 191.9 94.35) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa21ba)) + (via (at 190.9 102.6) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa21c0)) + (via (at 190.9 93.5) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000061aa21c7)) + (via (at 179.95 117.6) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 1656b8de-b21e-4b16-973f-714c65bc6d6c)) + (via (at 190.9 115.3) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 16d8812e-97bc-4fc5-ac2c-9b807b87ecbf)) (via (at 177.05 41.95) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 18918f47-bbcf-470e-91e3-9d9829868ca1)) + (via (at 191.05 58.799999) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 1e131ec6-93c9-4d3a-b59c-a29eb34d7000)) (via (at 176.9 40.2) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 4949c210-134d-4c0f-a922-5b5c8c6df145)) + (via (at 181.9 116.15) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 4f6f49b1-50a1-4279-90c8-50a1a562b614)) (via (at 173.45 41.05) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 502baeba-5eb0-4abb-aa89-c5aa74a6ea7f)) + (via (at 191.05 117) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5586d91d-2cfb-4ae5-8237-f63c03e66df4)) + (via (at 181.05 117) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 728350dd-de21-4847-a881-8177cc779aaf)) + (via (at 190.9 57.099999) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 7e6a7039-c50e-4a77-adcd-d283aace4cbc)) + (via (at 182.15 117.6) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 877bc0d4-5141-426a-b626-4e6987ad0817)) (via (at 178.15 48.4) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 9180d7c2-ce82-4cd5-b2d5-d944586fb090)) + (via (at 189.95 117.6) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 929d965e-bcc2-4196-b5be-8bab40ac315d)) + (via (at 191.9 57.949999) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 9affe8d6-0f0e-48fc-8de1-11cb4006b3a2)) (via (at 177.85 41.05) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp a1a95a4e-59c6-4de0-bc59-72f75a6c6058)) + (via (at 180.9 115.3) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp a3b36c59-9080-4b9f-b128-787717188755)) + (via (at 192.15 117.6) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp b75a367e-5f85-4218-ab58-381f9bcd9398)) + (via (at 191.9 116.15) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp ce036a3d-fe8d-4147-b6b6-c0c34cad20f2)) + (via (at 189.95 59.399999) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp f2e44b01-f3bb-4ea1-9d19-3b8b3a4444b1)) (via (at 178.15 42.55) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp f9875c50-c584-4495-882f-e1b77ce22046)) (segment (start 180.278 53.34) (end 178.943 53.34) (width 0.5) (layer "F.Cu") (net 3) (tstamp 00000000-0000-0000-0000-000061e5a62e)) (segment (start 180.2765 54.991) (end 180.2765 54.0385) (width 0.5) (layer "F.Cu") (net 3) (tstamp 00000000-0000-0000-0000-000061e5a655)) @@ -3074,13 +3415,8 @@ (segment (start 180.278 53.34) (end 181.61 53.34) (width 0.5) (layer "F.Cu") (net 3) (tstamp 00000000-0000-0000-0000-000061e5a673)) (segment (start 180.278 53.34) (end 180.278 54.037) (width 0.5) (layer "F.Cu") (net 3) (tstamp 00000000-0000-0000-0000-000061e5a685)) (segment (start 180.278 54.037) (end 180.2765 54.0385) (width 0.5) (layer "F.Cu") (net 3) (tstamp 00000000-0000-0000-0000-000061e5a688)) - (segment (start 116.713 52.451) (end 117.602 51.562) (width 0.15) (layer "F.Cu") (net 3) (tstamp 05a25fd7-7f12-4706-a989-0dfa0f5e43b6)) (segment (start 186.106 54.991) (end 186.106 55.804) (width 0.15) (layer "F.Cu") (net 3) (tstamp 42460404-dc50-4148-9d5f-cac0b90af438)) (segment (start 187.579 49.53) (end 186.563 49.53) (width 0.6) (layer "F.Cu") (net 3) (tstamp 53ca97d4-db85-46f1-866a-72ac5fba2bbf)) - (segment (start 117.602 51.562) (end 117.602 47.09374) (width 0.15) (layer "F.Cu") (net 3) (tstamp 7e5ab859-a0cc-4dd3-8b63-52cffdff0832)) - (segment (start 117.602 47.09374) (end 118.47937 46.21637) (width 0.15) (layer "F.Cu") (net 3) (tstamp 89328390-06bf-4894-9086-3276b2698d6b)) - (segment (start 116.713 52.451) (end 113.692076 49.430076) (width 0.5) (layer "F.Cu") (net 3) (tstamp e60f0520-d071-4a17-9c05-d8f3991c9464)) - (segment (start 113.692076 49.430076) (end 113.692076 47.597923) (width 0.5) (layer "F.Cu") (net 3) (tstamp e903f72c-654a-46fd-a9cb-2f75649848fe)) (segment (start 186.106 55.804) (end 186.182 55.88) (width 0.15) (layer "F.Cu") (net 3) (tstamp f9bc0e2e-b866-4474-96af-9520a16e439e)) (via (at 178.943 53.34) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 3) (tstamp 00000000-0000-0000-0000-000061e5a631)) (via (at 181.61 53.34) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 3) (tstamp 00000000-0000-0000-0000-000061e5a658)) @@ -3088,13 +3424,11 @@ (via (at 186.563 49.53) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 3) (tstamp 00000000-0000-0000-0000-000061e5a661)) (via (at 180.2765 54.0385) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 3) (tstamp 00000000-0000-0000-0000-000061e5a682)) (via (at 186.182 55.88) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 3) (tstamp 7b2e7361-0d1f-4a92-a4d0-dd4722c9bc0c)) - (via (at 116.713 52.451) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 3) (tstamp 8ce025a1-9853-4cfa-8a57-0f90476397e9)) (segment (start 182 53.3) (end 182.45 53.75) (width 0.6) (layer "B.Cu") (net 3) (tstamp 056c9c13-522f-449c-84bd-83c95f6465a1)) (segment (start 181.45 54.75) (end 182.05 54.75) (width 0.6) (layer "B.Cu") (net 3) (tstamp 10d4acf9-eb07-4704-a954-054e4658f650)) (segment (start 183.9 55.15) (end 184.7 55.15) (width 0.6) (layer "B.Cu") (net 3) (tstamp 141d55e7-f9fa-486e-a08c-0c5785aa9581)) (segment (start 185.137 49.53) (end 185.85 50.243) (width 0.6) (layer "B.Cu") (net 3) (tstamp 16e7dd30-8a60-41e6-8325-60db1ff50bda)) (segment (start 182.7 54) (end 182 53.3) (width 0.6) (layer "B.Cu") (net 3) (tstamp 18282a1a-7012-465b-b257-9994d1176f23)) - (segment (start 118.8085 52.451) (end 126.14275 45.11675) (width 1) (layer "B.Cu") (net 3) (tstamp 1a59a8f6-c95f-4cde-88c7-239194689b64)) (segment (start 182.05 54.75) (end 182.7 54.75) (width 0.6) (layer "B.Cu") (net 3) (tstamp 1e9dcbc0-ed04-41e3-9512-fbb37cd7d179)) (segment (start 185.85 54) (end 185.85 55.1) (width 0.6) (layer "B.Cu") (net 3) (tstamp 2097c02a-9419-426d-a010-cdecd44e7e36)) (segment (start 186.4 49.693) (end 186.563 49.53) (width 0.6) (layer "B.Cu") (net 3) (tstamp 2103272c-7211-4351-8c30-d9ee75c2fa7e)) @@ -3103,7 +3437,6 @@ (segment (start 186.104 49.53) (end 185 50.634) (width 0.6) (layer "B.Cu") (net 3) (tstamp 22f315f8-0151-4d27-8242-3486735e4932)) (segment (start 180.7385 54.0385) (end 181.45 54.75) (width 0.6) (layer "B.Cu") (net 3) (tstamp 23714fc1-59db-4500-9d38-af86ea69fe3f)) (segment (start 182.7 54.75) (end 183.5 54.75) (width 0.6) (layer "B.Cu") (net 3) (tstamp 29ba223f-0062-42d7-819b-390aa3bcacc3)) - (segment (start 161.57575 45.11675) (end 161.544 45.085) (width 1) (layer "B.Cu") (net 3) (tstamp 2b19a7ae-7e50-44d3-b23f-d6cc5b726fd4)) (segment (start 186.002 55.7) (end 186.182 55.88) (width 0.6) (layer "B.Cu") (net 3) (tstamp 2f21cb60-1df5-4469-8858-6fe21b88fa8a)) (segment (start 180.35 53.15) (end 176.63 53.15) (width 0.6) (layer "B.Cu") (net 3) (tstamp 2f5f8e07-82d7-4697-8ac1-989270a8e323)) (segment (start 183.9 55.15) (end 184.825 54.225) (width 0.6) (layer "B.Cu") (net 3) (tstamp 38559462-8913-458e-9fcc-77f1adc4f527)) @@ -3113,12 +3446,10 @@ (segment (start 181.42 53.15) (end 180.35 53.15) (width 0.6) (layer "B.Cu") (net 3) (tstamp 3c6ce34b-07ed-4efb-887e-8dcc88f1612e)) (segment (start 177.927 53.34) (end 178.393 53.34) (width 0.6) (layer "B.Cu") (net 3) (tstamp 3d219812-261f-4741-b119-3a36b9052a99)) (segment (start 181.61 53.34) (end 180.975 53.34) (width 0.6) (layer "B.Cu") (net 3) (tstamp 3f2f1aeb-24f2-4597-bbb9-54b12c752d6f)) - (segment (start 171.577 51.562) (end 168.021 51.562) (width 1) (layer "B.Cu") (net 3) (tstamp 40dfc13f-f9a8-4ff3-bcab-1084fdf596c9)) (segment (start 183.45 54.75) (end 183.5 54.75) (width 0.6) (layer "B.Cu") (net 3) (tstamp 4572eec0-5fb0-46c6-89b0-d3341f37f9b8)) (segment (start 182 53.3) (end 183.45 54.75) (width 0.6) (layer "B.Cu") (net 3) (tstamp 497283dc-5316-4045-8e79-68a8bb50f4f5)) (segment (start 186.563 49.53) (end 183.896 49.53) (width 0.6) (layer "B.Cu") (net 3) (tstamp 4dee428b-9873-45f7-9e00-b3849b95bf1c)) (segment (start 182.45 54.35) (end 182.05 54.75) (width 0.6) (layer "B.Cu") (net 3) (tstamp 51e38831-b6fe-409b-99e0-ea87fc114c30)) - (segment (start 173.355 53.34) (end 171.577 51.562) (width 1) (layer "B.Cu") (net 3) (tstamp 51e80bcb-c185-4d00-b3ba-a5e436bb178a)) (segment (start 185.7 55.1) (end 184.825 54.225) (width 0.6) (layer "B.Cu") (net 3) (tstamp 5404664b-083c-4ae7-9324-834241f1df76)) (segment (start 185.85 50.243) (end 186.563 49.53) (width 0.6) (layer "B.Cu") (net 3) (tstamp 5c6b1739-bddf-40c7-873c-328e9672302a)) (segment (start 185.85 53.05) (end 186.4 52.5) (width 0.6) (layer "B.Cu") (net 3) (tstamp 6356fe97-06cd-4a4b-b2f2-2e98498da4a1)) @@ -3127,10 +3458,8 @@ (segment (start 185.85 55.1) (end 185.7 55.1) (width 0.6) (layer "B.Cu") (net 3) (tstamp 6b4ca676-3379-4b8d-a1e2-e3fc88dc7cd2)) (segment (start 180.5 53.3) (end 182 53.3) (width 0.6) (layer "B.Cu") (net 3) (tstamp 74e18c92-61e9-4154-8a7c-dfbd4a946e5e)) (segment (start 178.943 53.34) (end 179.6415 54.0385) (width 0.6) (layer "B.Cu") (net 3) (tstamp 777a7d71-7105-4515-9e2c-011e98c36c8b)) - (segment (start 126.14275 45.11675) (end 161.57575 45.11675) (width 1) (layer "B.Cu") (net 3) (tstamp 781a15da-49db-45d5-afca-2979a46b0357)) (segment (start 185 53.25) (end 185 50.634) (width 0.6) (layer "B.Cu") (net 3) (tstamp 7af2029e-2b92-4284-9c35-cc656514173c)) (segment (start 180.467 54.283) (end 181.41 53.34) (width 0.6) (layer "B.Cu") (net 3) (tstamp 7c11a07f-525c-45a7-9ad1-361ea90615cc)) - (segment (start 116.713 52.451) (end 118.8085 52.451) (width 1) (layer "B.Cu") (net 3) (tstamp 83c597eb-0dc4-4244-91be-5cf4fd618890)) (segment (start 180.467 54.229) (end 180.2765 54.0385) (width 0.6) (layer "B.Cu") (net 3) (tstamp 88d47af8-f385-41c3-a158-4c2020d5a72a)) (segment (start 179.578 53.34) (end 180.2765 54.0385) (width 0.6) (layer "B.Cu") (net 3) (tstamp 8baf31fa-31f2-4e84-ad86-348df774f617)) (segment (start 176.63 53.15) (end 176.44 53.34) (width 0.6) (layer "B.Cu") (net 3) (tstamp 9569f35a-5d83-4bd3-8b6f-04dd6bf8bb08)) @@ -3147,7 +3476,6 @@ (segment (start 186.563 52.337) (end 186.4 52.5) (width 0.6) (layer "B.Cu") (net 3) (tstamp bace1c82-95a6-4669-a7e7-5bc2416e7e84)) (segment (start 183.896 49.53) (end 185.137 49.53) (width 0.6) (layer "B.Cu") (net 3) (tstamp bad15ef1-4174-4239-b07e-7b1abace56d9)) (segment (start 182 53.3) (end 182.75 54.05) (width 0.6) (layer "B.Cu") (net 3) (tstamp bc0c4d76-7073-443a-8935-0c1edc20eb60)) - (segment (start 168.021 51.562) (end 161.57575 45.11675) (width 1) (layer "B.Cu") (net 3) (tstamp be3c8b7f-9e05-4e0d-af50-527561be5897)) (segment (start 183.896 49.53) (end 184.537 49.53) (width 0.6) (layer "B.Cu") (net 3) (tstamp c148c1ef-0e9d-4e98-93bb-63ce4325ce1d)) (segment (start 184.537 49.53) (end 185.55 50.543) (width 0.6) (layer "B.Cu") (net 3) (tstamp c96c3a49-3f05-45b3-9f34-07e1339feb50)) (segment (start 183.5 54.75) (end 185 53.25) (width 0.6) (layer "B.Cu") (net 3) (tstamp d1dfa0d9-6085-48b0-8c67-e7d0c2f5ffb4)) @@ -3162,7 +3490,6 @@ (segment (start 182.7 54.75) (end 182.7 54) (width 0.6) (layer "B.Cu") (net 3) (tstamp e02aa7f6-3311-45f9-a392-49d8927cbc6a)) (segment (start 182.45 53.75) (end 182.45 54.35) (width 0.6) (layer "B.Cu") (net 3) (tstamp e0c493ec-d4a1-42a2-9d32-6efc5916ca66)) (segment (start 185.85 55.1) (end 185.85 55.548) (width 0.6) (layer "B.Cu") (net 3) (tstamp e3401cc1-8833-4b9f-9419-4adbb09db133)) - (segment (start 176.44 53.34) (end 173.355 53.34) (width 1) (layer "B.Cu") (net 3) (tstamp f1110c03-5554-4be8-b9df-b6445f59c3ca)) (segment (start 186.4 52.5) (end 186.4 49.693) (width 0.6) (layer "B.Cu") (net 3) (tstamp f238640e-3401-420a-ac31-a433f268cbfc)) (segment (start 180.467 54.864) (end 178.943 53.34) (width 0.6) (layer "B.Cu") (net 3) (tstamp f75ad864-f096-4907-b31d-1a5733db4331)) (segment (start 180.35 53.15) (end 180.5 53.3) (width 0.6) (layer "B.Cu") (net 3) (tstamp f8371471-4211-4368-9dd3-157e5ded70c0)) @@ -3188,118 +3515,92 @@ (segment (start 191.2 42.65) (end 191.8 42.05) (width 0.15) (layer "F.Cu") (net 7) (tstamp 6884c1b4-ba74-400a-b15a-2bf546c04e73)) (segment (start 188.45 42.65) (end 191.2 42.65) (width 0.15) (layer "F.Cu") (net 7) (tstamp 6ec69bf0-bd27-4e31-8522-71d586cb9b08)) (segment (start 185.228 44.45) (end 187.45 44.45) (width 0.15) (layer "F.Cu") (net 7) (tstamp 7056f785-c3a5-4410-b6bb-e5d4b16e698a)) - (segment (start 192.65 119.55) (end 192.3 119.2) (width 0.15) (layer "F.Cu") (net 11) (tstamp 01f23a85-bb6a-4450-ab40-7ba835fccf7e)) (segment (start 199.09 123.19) (end 195.45 119.55) (width 0.15) (layer "F.Cu") (net 11) (tstamp 4133e558-2cb6-4069-8d8b-056fd754ad58)) - (segment (start 195.45 119.55) (end 192.65 119.55) (width 0.15) (layer "F.Cu") (net 11) (tstamp 7845a78f-a676-4b5a-b4e2-04b7553a9356)) + (segment (start 192.3 122.8) (end 191.05 122.8) (width 0.15) (layer "F.Cu") (net 11) (tstamp 66839b04-87bd-4ec8-af42-bb59f74ccacd)) (segment (start 204.47 123.19) (end 199.09 123.19) (width 0.15) (layer "F.Cu") (net 11) (tstamp af66afdd-e3b4-4d53-8fd7-f70247b13545)) + (segment (start 182.3 122.8) (end 181.05 122.8) (width 0.15) (layer "F.Cu") (net 11) (tstamp b5e8a5a4-2f00-41bb-8916-4e0e79a1e26b)) (segment (start 205.74 121.92) (end 204.47 123.19) (width 0.15) (layer "F.Cu") (net 11) (tstamp e7d319be-9bbe-46c4-9db2-cc5c46fce5c4)) - (segment (start 192.3 119.2) (end 191.05 119.2) (width 0.15) (layer "F.Cu") (net 11) (tstamp fc7c9af4-ab1f-4c90-b7f7-906187cef30b)) - (segment (start 198.306 118.55) (end 191.05 118.55) (width 0.15) (layer "F.Cu") (net 12) (tstamp 00000000-0000-0000-0000-000061aa2457)) + (segment (start 192.3 110.1) (end 191.05 110.1) (width 0.15) (layer "F.Cu") (net 11) (tstamp fc7c9af4-ab1f-4c90-b7f7-906187cef30b)) (segment (start 200.406 120.65) (end 198.306 118.55) (width 0.15) (layer "F.Cu") (net 12) (tstamp 00000000-0000-0000-0000-000061aa2458)) (segment (start 204.47 120.65) (end 200.406 120.65) (width 0.15) (layer "F.Cu") (net 12) (tstamp 00000000-0000-0000-0000-000061aa2459)) (segment (start 205.74 119.38) (end 204.47 120.65) (width 0.15) (layer "F.Cu") (net 12) (tstamp 00000000-0000-0000-0000-000061aa245a)) (segment (start 204.47 118.11) (end 199.39 118.11) (width 0.15) (layer "F.Cu") (net 13) (tstamp 00000000-0000-0000-0000-000061aa245b)) (segment (start 205.74 116.84) (end 204.47 118.11) (width 0.15) (layer "F.Cu") (net 13) (tstamp 00000000-0000-0000-0000-000061aa245c)) - (segment (start 191.05 117.9) (end 191.95 117.9) (width 0.15) (layer "F.Cu") (net 13) (tstamp 00000000-0000-0000-0000-000061aa245d)) - (segment (start 191.95 117.9) (end 192.3 118.25) (width 0.15) (layer "F.Cu") (net 13) (tstamp 00000000-0000-0000-0000-000061aa245e)) + (segment (start 191.05 108.8) (end 191.95 108.8) (width 0.15) (layer "F.Cu") (net 13) (tstamp 00000000-0000-0000-0000-000061aa245d)) (segment (start 199.25 118.25) (end 199.39 118.11) (width 0.15) (layer "F.Cu") (net 13) (tstamp 00000000-0000-0000-0000-000061aa245f)) - (segment (start 192.3 118.25) (end 199.25 118.25) (width 0.15) (layer "F.Cu") (net 13) (tstamp 00000000-0000-0000-0000-000061aa2460)) - (segment (start 191.05 117.25) (end 191.95 117.25) (width 0.15) (layer "F.Cu") (net 14) (tstamp 00000000-0000-0000-0000-000061aa2461)) + (segment (start 191.05 121.5) (end 191.95 121.5) (width 0.15) (layer "F.Cu") (net 13) (tstamp affed9bd-9f75-4032-be1c-2bebf5c8a450)) + (segment (start 181.05 121.5) (end 181.95 121.5) (width 0.15) (layer "F.Cu") (net 13) (tstamp d9d3bd4c-076a-4c3c-b984-9b9812d851a3)) + (segment (start 191.05 108.15) (end 191.95 108.15) (width 0.15) (layer "F.Cu") (net 14) (tstamp 00000000-0000-0000-0000-000061aa2461)) (segment (start 197.01 117.95) (end 199.39 115.57) (width 0.15) (layer "F.Cu") (net 14) (tstamp 00000000-0000-0000-0000-000061aa2462)) (segment (start 204.47 115.57) (end 205.74 114.3) (width 0.15) (layer "F.Cu") (net 14) (tstamp 00000000-0000-0000-0000-000061aa2463)) - (segment (start 192.65 117.95) (end 197.01 117.95) (width 0.15) (layer "F.Cu") (net 14) (tstamp 00000000-0000-0000-0000-000061aa2464)) (segment (start 199.39 115.57) (end 204.47 115.57) (width 0.15) (layer "F.Cu") (net 14) (tstamp 00000000-0000-0000-0000-000061aa2465)) - (segment (start 191.95 117.25) (end 192.65 117.95) (width 0.15) (layer "F.Cu") (net 14) (tstamp 00000000-0000-0000-0000-000061aa2466)) + (segment (start 191.05 120.85) (end 191.95 120.85) (width 0.15) (layer "F.Cu") (net 14) (tstamp 00cd874c-22bd-4377-ab74-792eab67236d)) + (segment (start 181.05 120.85) (end 181.95 120.85) (width 0.15) (layer "F.Cu") (net 14) (tstamp 844c8093-aa9c-4dd2-9439-8d566bcee227)) (segment (start 199.136 113.284) (end 199.136 115.364) (width 0.15) (layer "F.Cu") (net 15) (tstamp 00000000-0000-0000-0000-000061aa2467)) (segment (start 199.39 113.03) (end 199.136 113.284) (width 0.15) (layer "F.Cu") (net 15) (tstamp 00000000-0000-0000-0000-000061aa2468)) - (segment (start 193 117.65) (end 191.95 116.6) (width 0.15) (layer "F.Cu") (net 15) (tstamp 00000000-0000-0000-0000-000061aa2469)) (segment (start 204.47 113.03) (end 199.39 113.03) (width 0.15) (layer "F.Cu") (net 15) (tstamp 00000000-0000-0000-0000-000061aa246a)) - (segment (start 191.95 116.6) (end 191.05 116.6) (width 0.15) (layer "F.Cu") (net 15) (tstamp 00000000-0000-0000-0000-000061aa246b)) - (segment (start 196.85 117.65) (end 193 117.65) (width 0.15) (layer "F.Cu") (net 15) (tstamp 00000000-0000-0000-0000-000061aa246c)) + (segment (start 191.95 107.5) (end 191.05 107.5) (width 0.15) (layer "F.Cu") (net 15) (tstamp 00000000-0000-0000-0000-000061aa246b)) (segment (start 199.136 115.364) (end 196.85 117.65) (width 0.15) (layer "F.Cu") (net 15) (tstamp 00000000-0000-0000-0000-000061aa246d)) (segment (start 205.74 111.76) (end 204.47 113.03) (width 0.15) (layer "F.Cu") (net 15) (tstamp 00000000-0000-0000-0000-000061aa246e)) + (segment (start 191.95 120.2) (end 191.05 120.2) (width 0.15) (layer "F.Cu") (net 15) (tstamp 5971d28d-7306-4f1a-8a23-1f243b9f3d1a)) + (segment (start 181.95 120.2) (end 181.05 120.2) (width 0.15) (layer "F.Cu") (net 15) (tstamp aaf282f7-915f-4e41-ab08-5f600fa7b2c9)) (segment (start 204.47 110.49) (end 205.74 109.22) (width 0.15) (layer "F.Cu") (net 16) (tstamp 00000000-0000-0000-0000-000061aa246f)) (segment (start 199.39 110.49) (end 204.47 110.49) (width 0.15) (layer "F.Cu") (net 16) (tstamp 00000000-0000-0000-0000-000061aa2470)) (segment (start 198.8185 111.0615) (end 199.39 110.49) (width 0.15) (layer "F.Cu") (net 16) (tstamp 00000000-0000-0000-0000-000061aa2471)) - (segment (start 191.05 115.95) (end 191.95 115.95) (width 0.15) (layer "F.Cu") (net 16) (tstamp 00000000-0000-0000-0000-000061aa2472)) + (segment (start 191.05 106.85) (end 191.95 106.85) (width 0.15) (layer "F.Cu") (net 16) (tstamp 00000000-0000-0000-0000-000061aa2472)) (segment (start 198.8185 115.2315) (end 198.8185 111.0615) (width 0.15) (layer "F.Cu") (net 16) (tstamp 00000000-0000-0000-0000-000061aa2473)) (segment (start 196.7 117.35) (end 198.8185 115.2315) (width 0.15) (layer "F.Cu") (net 16) (tstamp 00000000-0000-0000-0000-000061aa2474)) - (segment (start 193.35 117.35) (end 196.7 117.35) (width 0.15) (layer "F.Cu") (net 16) (tstamp 00000000-0000-0000-0000-000061aa2475)) - (segment (start 191.95 115.95) (end 193.35 117.35) (width 0.15) (layer "F.Cu") (net 16) (tstamp 00000000-0000-0000-0000-000061aa2476)) - (segment (start 191.95 115.3) (end 191.05 115.3) (width 0.15) (layer "F.Cu") (net 17) (tstamp 00000000-0000-0000-0000-000061aa2477)) - (segment (start 193.7 117.05) (end 191.95 115.3) (width 0.15) (layer "F.Cu") (net 17) (tstamp 00000000-0000-0000-0000-000061aa2478)) - (segment (start 196.55 117.05) (end 193.7 117.05) (width 0.15) (layer "F.Cu") (net 17) (tstamp 00000000-0000-0000-0000-000061aa2479)) + (segment (start 181.05 119.55) (end 181.95 119.55) (width 0.15) (layer "F.Cu") (net 16) (tstamp 1a74c66d-99e5-460a-8c2b-0db960619f61)) + (segment (start 191.05 119.55) (end 191.95 119.55) (width 0.15) (layer "F.Cu") (net 16) (tstamp 68ba0144-9355-4df5-98b3-87108fc5a72c)) + (segment (start 191.95 106.2) (end 191.05 106.2) (width 0.15) (layer "F.Cu") (net 17) (tstamp 00000000-0000-0000-0000-000061aa2477)) (segment (start 198.501 115.099) (end 196.55 117.05) (width 0.15) (layer "F.Cu") (net 17) (tstamp 00000000-0000-0000-0000-000061aa247a)) (segment (start 198.501 108.799) (end 198.501 115.099) (width 0.15) (layer "F.Cu") (net 17) (tstamp 00000000-0000-0000-0000-000061aa247b)) (segment (start 199.35 107.95) (end 198.501 108.799) (width 0.15) (layer "F.Cu") (net 17) (tstamp 00000000-0000-0000-0000-000061aa247c)) (segment (start 204.47 107.95) (end 199.35 107.95) (width 0.15) (layer "F.Cu") (net 17) (tstamp 00000000-0000-0000-0000-000061aa247d)) (segment (start 205.74 106.68) (end 204.47 107.95) (width 0.15) (layer "F.Cu") (net 17) (tstamp 00000000-0000-0000-0000-000061aa247e)) + (segment (start 181.95 118.9) (end 181.05 118.9) (width 0.15) (layer "F.Cu") (net 17) (tstamp 4495ee24-9792-45d8-a45f-1df44864da78)) + (segment (start 191.95 118.9) (end 191.05 118.9) (width 0.15) (layer "F.Cu") (net 17) (tstamp 464270ea-2e93-469e-a94a-1356d70f2a2b)) (segment (start 204.47 105.41) (end 205.74 104.14) (width 0.15) (layer "F.Cu") (net 18) (tstamp 00000000-0000-0000-0000-000061aa247f)) (segment (start 199.39 105.41) (end 204.47 105.41) (width 0.15) (layer "F.Cu") (net 18) (tstamp 00000000-0000-0000-0000-000061aa2480)) (segment (start 198.1835 106.6165) (end 199.39 105.41) (width 0.15) (layer "F.Cu") (net 18) (tstamp 00000000-0000-0000-0000-000061aa2481)) - (segment (start 191.95 114.65) (end 194.05 116.75) (width 0.15) (layer "F.Cu") (net 18) (tstamp 00000000-0000-0000-0000-000061aa2482)) (segment (start 198.1835 114.9665) (end 198.1835 106.6165) (width 0.15) (layer "F.Cu") (net 18) (tstamp 00000000-0000-0000-0000-000061aa2483)) (segment (start 196.4 116.75) (end 198.1835 114.9665) (width 0.15) (layer "F.Cu") (net 18) (tstamp 00000000-0000-0000-0000-000061aa2484)) - (segment (start 194.05 116.75) (end 196.4 116.75) (width 0.15) (layer "F.Cu") (net 18) (tstamp 00000000-0000-0000-0000-000061aa2485)) - (segment (start 191.05 114.65) (end 191.95 114.65) (width 0.15) (layer "F.Cu") (net 18) (tstamp 00000000-0000-0000-0000-000061aa2486)) + (segment (start 191.05 105.55) (end 191.95 105.55) (width 0.15) (layer "F.Cu") (net 18) (tstamp 00000000-0000-0000-0000-000061aa2486)) + (segment (start 181.05 118.25) (end 181.95 118.25) (width 0.15) (layer "F.Cu") (net 18) (tstamp 24c8cc49-d5d0-4016-82d5-f9045fda5f12)) + (segment (start 191.05 118.25) (end 191.95 118.25) (width 0.15) (layer "F.Cu") (net 18) (tstamp ef430458-95f2-4e18-890e-d85cd8c57c01)) (segment (start 199.18 102.87) (end 204.47 102.87) (width 0.15) (layer "F.Cu") (net 19) (tstamp 00000000-0000-0000-0000-000061aa248f)) (segment (start 204.47 102.87) (end 205.74 101.6) (width 0.15) (layer "F.Cu") (net 19) (tstamp 00000000-0000-0000-0000-000061aa2490)) - (segment (start 191.95 110.1) (end 199.18 102.87) (width 0.15) (layer "F.Cu") (net 19) (tstamp 00000000-0000-0000-0000-000061aa2491)) - (segment (start 191.05 110.1) (end 191.95 110.1) (width 0.15) (layer "F.Cu") (net 19) (tstamp 00000000-0000-0000-0000-000061aa2492)) + (segment (start 191.05 101) (end 191.95 101) (width 0.15) (layer "F.Cu") (net 19) (tstamp 00000000-0000-0000-0000-000061aa2492)) (segment (start 205.74 99.06) (end 204.47 100.33) (width 0.15) (layer "F.Cu") (net 20) (tstamp 00000000-0000-0000-0000-000061aa2493)) (segment (start 204.47 100.33) (end 199.39 100.33) (width 0.15) (layer "F.Cu") (net 20) (tstamp 00000000-0000-0000-0000-000061aa2494)) - (segment (start 199.39 100.33) (end 196.35 103.37) (width 0.15) (layer "F.Cu") (net 20) (tstamp 00000000-0000-0000-0000-000061aa2495)) - (segment (start 196.35 103.37) (end 196.35 105.05) (width 0.15) (layer "F.Cu") (net 20) (tstamp 00000000-0000-0000-0000-000061aa2496)) - (segment (start 191.95 109.45) (end 191.05 109.45) (width 0.15) (layer "F.Cu") (net 20) (tstamp 00000000-0000-0000-0000-000061aa2497)) - (segment (start 196.35 105.05) (end 191.95 109.45) (width 0.15) (layer "F.Cu") (net 20) (tstamp 00000000-0000-0000-0000-000061aa2498)) + (segment (start 191.95 100.35) (end 191.05 100.35) (width 0.15) (layer "F.Cu") (net 20) (tstamp 00000000-0000-0000-0000-000061aa2497)) (segment (start 198.247 95.631) (end 197.485 95.631) (width 0.15) (layer "F.Cu") (net 21) (tstamp 00000000-0000-0000-0000-000061aa2499)) (segment (start 200.406 97.79) (end 198.247 95.631) (width 0.15) (layer "F.Cu") (net 21) (tstamp 00000000-0000-0000-0000-000061aa249a)) (segment (start 204.47 97.79) (end 200.406 97.79) (width 0.15) (layer "F.Cu") (net 21) (tstamp 00000000-0000-0000-0000-000061aa249b)) (segment (start 205.74 96.52) (end 204.47 97.79) (width 0.15) (layer "F.Cu") (net 21) (tstamp 00000000-0000-0000-0000-000061aa249c)) - (segment (start 191.05 108.8) (end 191.95 108.8) (width 0.15) (layer "F.Cu") (net 21) (tstamp 00000000-0000-0000-0000-000061aa249d)) - (segment (start 191.95 108.8) (end 196.05 104.7) (width 0.15) (layer "F.Cu") (net 21) (tstamp 00000000-0000-0000-0000-000061aa249e)) - (segment (start 196.05 97.066) (end 197.485 95.631) (width 0.15) (layer "F.Cu") (net 21) (tstamp 00000000-0000-0000-0000-000061aa249f)) - (segment (start 196.05 104.7) (end 196.05 97.066) (width 0.15) (layer "F.Cu") (net 21) (tstamp 00000000-0000-0000-0000-000061aa24a0)) + (segment (start 191.05 99.7) (end 191.95 99.7) (width 0.15) (layer "F.Cu") (net 21) (tstamp 00000000-0000-0000-0000-000061aa249d)) (segment (start 204.47 95.25) (end 197.4215 95.25) (width 0.15) (layer "F.Cu") (net 22) (tstamp 00000000-0000-0000-0000-000061aa24a1)) (segment (start 205.74 93.98) (end 204.47 95.25) (width 0.15) (layer "F.Cu") (net 22) (tstamp 00000000-0000-0000-0000-000061aa24a2)) - (segment (start 197.4215 95.25) (end 195.75 96.9215) (width 0.15) (layer "F.Cu") (net 22) (tstamp 00000000-0000-0000-0000-000061aa24a3)) - (segment (start 195.75 96.9215) (end 195.75 104.35) (width 0.15) (layer "F.Cu") (net 22) (tstamp 00000000-0000-0000-0000-000061aa24a4)) - (segment (start 191.95 108.15) (end 191.05 108.15) (width 0.15) (layer "F.Cu") (net 22) (tstamp 00000000-0000-0000-0000-000061aa24a5)) - (segment (start 195.75 104.35) (end 191.95 108.15) (width 0.15) (layer "F.Cu") (net 22) (tstamp 00000000-0000-0000-0000-000061aa24a6)) + (segment (start 191.95 99.05) (end 191.05 99.05) (width 0.15) (layer "F.Cu") (net 22) (tstamp 00000000-0000-0000-0000-000061aa24a5)) (segment (start 204.47 92.71) (end 199.517 92.71) (width 0.15) (layer "F.Cu") (net 23) (tstamp 00000000-0000-0000-0000-000061aa24a7)) (segment (start 205.74 91.44) (end 204.47 92.71) (width 0.15) (layer "F.Cu") (net 23) (tstamp 00000000-0000-0000-0000-000061aa24a8)) - (segment (start 191.05 107.5) (end 191.95 107.5) (width 0.15) (layer "F.Cu") (net 23) (tstamp 00000000-0000-0000-0000-000061aa24a9)) - (segment (start 191.95 107.5) (end 195.45 104) (width 0.15) (layer "F.Cu") (net 23) (tstamp 00000000-0000-0000-0000-000061aa24aa)) - (segment (start 195.45 96.777) (end 199.517 92.71) (width 0.15) (layer "F.Cu") (net 23) (tstamp 00000000-0000-0000-0000-000061aa24ab)) - (segment (start 195.45 104) (end 195.45 96.777) (width 0.15) (layer "F.Cu") (net 23) (tstamp 00000000-0000-0000-0000-000061aa24ac)) + (segment (start 191.05 98.4) (end 191.95 98.4) (width 0.15) (layer "F.Cu") (net 23) (tstamp 00000000-0000-0000-0000-000061aa24a9)) (segment (start 199.517 90.17) (end 199.136 90.551) (width 0.15) (layer "F.Cu") (net 24) (tstamp 00000000-0000-0000-0000-000061aa24ad)) (segment (start 204.47 90.17) (end 199.517 90.17) (width 0.15) (layer "F.Cu") (net 24) (tstamp 00000000-0000-0000-0000-000061aa24ae)) - (segment (start 199.136 90.551) (end 199.136 92.6465) (width 0.15) (layer "F.Cu") (net 24) (tstamp 00000000-0000-0000-0000-000061aa24af)) (segment (start 205.74 88.9) (end 204.47 90.17) (width 0.15) (layer "F.Cu") (net 24) (tstamp 00000000-0000-0000-0000-000061aa24b0)) - (segment (start 199.136 92.6465) (end 195.15 96.6325) (width 0.15) (layer "F.Cu") (net 24) (tstamp 00000000-0000-0000-0000-000061aa24b1)) - (segment (start 195.15 96.6325) (end 195.15 103.65) (width 0.15) (layer "F.Cu") (net 24) (tstamp 00000000-0000-0000-0000-000061aa24b2)) - (segment (start 191.95 106.85) (end 191.05 106.85) (width 0.15) (layer "F.Cu") (net 24) (tstamp 00000000-0000-0000-0000-000061aa24b3)) - (segment (start 195.15 103.65) (end 191.95 106.85) (width 0.15) (layer "F.Cu") (net 24) (tstamp 00000000-0000-0000-0000-000061aa24b4)) - (segment (start 195.25 112.9) (end 195.25 114.05) (width 0.15) (layer "F.Cu") (net 25) (tstamp 199f157d-6f84-41da-be4c-6e21ffdc4f00)) - (segment (start 195.25 114.05) (end 195.7 114.5) (width 0.15) (layer "F.Cu") (net 25) (tstamp 651c91fd-ec54-4600-b738-56cbf235205c)) - (segment (start 196.85 114.5) (end 195.7 114.5) (width 0.15) (layer "F.Cu") (net 25) (tstamp 8e865536-7e57-40b8-97a2-c3d4b4b14caf)) - (via (at 196.85 114.5) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 25) (tstamp b85d8111-c66c-4649-8ef3-173324d8dc2f)) - (segment (start 192.659 120.523) (end 196.85 116.332) (width 0.15) (layer "B.Cu") (net 25) (tstamp 40789692-4f85-4e35-80bf-3781dca46258)) - (segment (start 185.42 119.888) (end 186.055 120.523) (width 0.15) (layer "B.Cu") (net 25) (tstamp 4a733325-15af-49ab-9fca-0a92f4c9dc05)) - (segment (start 196.85 114.5) (end 196.85 105.41) (width 0.15) (layer "B.Cu") (net 25) (tstamp 7a961303-0ee0-4514-9c41-71f7612da80d)) - (segment (start 184.277 120.523) (end 184.912 119.888) (width 0.15) (layer "B.Cu") (net 25) (tstamp 7f0eb58c-dc47-44d9-94c8-7dd88a46afd4)) - (segment (start 196.85 116.332) (end 196.85 114.5) (width 0.15) (layer "B.Cu") (net 25) (tstamp 8cfde10f-a4a1-4b9a-9f8d-9701b9cb4cda)) + (segment (start 191.95 97.75) (end 191.05 97.75) (width 0.15) (layer "F.Cu") (net 24) (tstamp 00000000-0000-0000-0000-000061aa24b3)) + (segment (start 195.25 106.05) (end 195.25 107.2) (width 0.15) (layer "F.Cu") (net 25) (tstamp 199f157d-6f84-41da-be4c-6e21ffdc4f00)) + (segment (start 195.25 107.2) (end 195.7 107.65) (width 0.15) (layer "F.Cu") (net 25) (tstamp 651c91fd-ec54-4600-b738-56cbf235205c)) + (segment (start 196.85 107.65) (end 195.7 107.65) (width 0.15) (layer "F.Cu") (net 25) (tstamp 8e865536-7e57-40b8-97a2-c3d4b4b14caf)) + (via (at 196.85 107.65) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 25) (tstamp b85d8111-c66c-4649-8ef3-173324d8dc2f)) + (segment (start 196.85 105.41) (end 196.85 107.65) (width 0.15) (layer "B.Cu") (net 25) (tstamp 1bc9c1c1-5a3a-46ca-82f8-0da6095551e1)) (segment (start 196.85 105.41) (end 200.66 101.6) (width 0.15) (layer "B.Cu") (net 25) (tstamp b217b8c4-9da3-40f9-a62d-8788048abf37)) - (segment (start 186.055 120.523) (end 192.659 120.523) (width 0.15) (layer "B.Cu") (net 25) (tstamp d41ba5e8-ea0d-4f81-a617-edee1a96ddf6)) - (segment (start 184.912 119.888) (end 185.42 119.888) (width 0.15) (layer "B.Cu") (net 25) (tstamp ef102e60-f789-4c98-a399-7416edcb147b)) - (segment (start 198.8185 88.3285) (end 198.8185 92.5195) (width 0.15) (layer "F.Cu") (net 26) (tstamp 00000000-0000-0000-0000-000061aa24b5)) + (segment (start 196.85 116.332) (end 196.85 107.65) (width 0.15) (layer "B.Cu") (net 25) (tstamp c9cbb5ec-e645-41c6-a03a-0af476d45294)) + (segment (start 192.659 120.523) (end 196.85 116.332) (width 0.15) (layer "B.Cu") (net 25) (tstamp d1f7a773-70c9-4c98-91e0-78b25a6efc24)) (segment (start 199.517 87.63) (end 198.8185 88.3285) (width 0.15) (layer "F.Cu") (net 26) (tstamp 00000000-0000-0000-0000-000061aa24b6)) (segment (start 204.47 87.63) (end 199.517 87.63) (width 0.15) (layer "F.Cu") (net 26) (tstamp 00000000-0000-0000-0000-000061aa24b7)) (segment (start 205.74 86.36) (end 204.47 87.63) (width 0.15) (layer "F.Cu") (net 26) (tstamp 00000000-0000-0000-0000-000061aa24b8)) - (segment (start 198.8185 92.5195) (end 194.85 96.488) (width 0.15) (layer "F.Cu") (net 26) (tstamp 00000000-0000-0000-0000-000061aa24b9)) - (segment (start 191.05 106.2) (end 192 106.2) (width 0.15) (layer "F.Cu") (net 26) (tstamp 00000000-0000-0000-0000-000061aa24ba)) - (segment (start 194.85 103.35) (end 194.85 96.488) (width 0.15) (layer "F.Cu") (net 26) (tstamp 00000000-0000-0000-0000-000061aa24bb)) - (segment (start 192 106.2) (end 194.85 103.35) (width 0.15) (layer "F.Cu") (net 26) (tstamp 00000000-0000-0000-0000-000061aa24bc)) + (segment (start 191.05 97.1) (end 192 97.1) (width 0.15) (layer "F.Cu") (net 26) (tstamp 00000000-0000-0000-0000-000061aa24ba)) (segment (start 197.612 109.728) (end 200.66 106.68) (width 0.15) (layer "B.Cu") (net 27) (tstamp 2af4a608-ca92-4431-b0b4-8a52bfc596bd)) (segment (start 192.9765 121.285) (end 197.612 116.6495) (width 0.15) (layer "B.Cu") (net 27) (tstamp 86f74f8c-333f-4db2-871b-c9a376a4b3aa)) (segment (start 197.612 116.6495) (end 197.612 109.728) (width 0.15) (layer "B.Cu") (net 27) (tstamp a4314ad2-256f-456c-b2fe-326a9fce3e6f)) @@ -3309,91 +3610,57 @@ (segment (start 199.136 113.284) (end 200.66 111.76) (width 0.15) (layer "B.Cu") (net 29) (tstamp 5e1da14b-505a-498d-bd33-79bf8d4df8f3)) (segment (start 199.136 117.2845) (end 199.136 113.284) (width 0.15) (layer "B.Cu") (net 29) (tstamp 65c36dae-095a-40d3-a4a8-e88edb05d787)) (segment (start 193.6115 122.809) (end 199.136 117.2845) (width 0.15) (layer "B.Cu") (net 29) (tstamp f383af0b-f449-4bd1-9c68-750f13df4d5f)) - (segment (start 191.05 101) (end 193.3 101) (width 0.15) (layer "F.Cu") (net 30) (tstamp 899f4c1a-985b-472e-a9b0-465d356ef34c)) - (segment (start 193.3 101) (end 193.35 100.95) (width 0.15) (layer "F.Cu") (net 30) (tstamp e8be39d5-6d33-44d1-b22d-658056cfaa92)) - (via (at 193.35 100.95) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 30) (tstamp ce1420d2-2748-4ed6-89ac-721f9b8252dd)) - (segment (start 195.62 100.33) (end 203.835 100.33) (width 0.15) (layer "B.Cu") (net 30) (tstamp 0fe1f74e-4cc8-412d-b8bc-832159a1ad3e)) + (segment (start 191.05 91.9) (end 193.3 91.9) (width 0.15) (layer "F.Cu") (net 30) (tstamp 899f4c1a-985b-472e-a9b0-465d356ef34c)) + (via (at 193.35 91.85) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 30) (tstamp ce1420d2-2748-4ed6-89ac-721f9b8252dd)) (segment (start 204.47 114.935) (end 203.835 115.57) (width 0.15) (layer "B.Cu") (net 30) (tstamp 2223eeb5-aa83-44a0-a53a-f71aacabab9c)) (segment (start 203.835 100.33) (end 204.47 100.965) (width 0.15) (layer "B.Cu") (net 30) (tstamp 2498638f-f5bc-47e0-a9d3-49191018a41a)) (segment (start 204.47 100.965) (end 204.47 114.935) (width 0.15) (layer "B.Cu") (net 30) (tstamp 2f1a67f5-44b6-4eb7-b122-776c3e081dbc)) (segment (start 203.835 115.57) (end 201.93 115.57) (width 0.15) (layer "B.Cu") (net 30) (tstamp 5199ad7b-ab84-4971-9df3-53270a0a37ba)) - (segment (start 193.35 100.95) (end 195 100.95) (width 0.15) (layer "B.Cu") (net 30) (tstamp 97db2584-9d07-47ab-a55c-f2cbce602789)) - (segment (start 195 100.95) (end 195.62 100.33) (width 0.15) (layer "B.Cu") (net 30) (tstamp d2d5f057-3d3f-4824-ba53-bea972f61938)) (segment (start 201.93 115.57) (end 200.66 114.3) (width 0.15) (layer "B.Cu") (net 30) (tstamp f35f3ca6-627a-459d-ac6f-93bc55931ba4)) (segment (start 200.66 116.84) (end 193.929 123.571) (width 0.15) (layer "B.Cu") (net 31) (tstamp 84d3dd2a-9e31-4b68-92da-7c9f88ac6cd3)) (segment (start 204.47 85.09) (end 205.74 83.82) (width 0.15) (layer "F.Cu") (net 32) (tstamp 00000000-0000-0000-0000-000061aa24bd)) (segment (start 199.517 85.09) (end 204.47 85.09) (width 0.15) (layer "F.Cu") (net 32) (tstamp 00000000-0000-0000-0000-000061aa24be)) (segment (start 198.501 86.106) (end 199.517 85.09) (width 0.15) (layer "F.Cu") (net 32) (tstamp 00000000-0000-0000-0000-000061aa24bf)) - (segment (start 198.501 92.3925) (end 198.501 86.106) (width 0.15) (layer "F.Cu") (net 32) (tstamp 00000000-0000-0000-0000-000061aa24c0)) - (segment (start 194.55 103.2) (end 194.55 96.3435) (width 0.15) (layer "F.Cu") (net 32) (tstamp 00000000-0000-0000-0000-000061aa24c1)) - (segment (start 194.55 96.3435) (end 198.501 92.3925) (width 0.15) (layer "F.Cu") (net 32) (tstamp 00000000-0000-0000-0000-000061aa24c2)) - (segment (start 192.2 105.55) (end 194.55 103.2) (width 0.15) (layer "F.Cu") (net 32) (tstamp 00000000-0000-0000-0000-000061aa24c3)) - (segment (start 191.05 105.55) (end 192.2 105.55) (width 0.15) (layer "F.Cu") (net 32) (tstamp 00000000-0000-0000-0000-000061aa24c4)) + (segment (start 191.05 96.45) (end 192.2 96.45) (width 0.15) (layer "F.Cu") (net 32) (tstamp 00000000-0000-0000-0000-000061aa24c4)) (segment (start 197.993 124.333) (end 200.66 127) (width 0.15) (layer "B.Cu") (net 33) (tstamp 3d005379-9867-4c9e-9f56-a8b20d694ae5)) (segment (start 196.215 125.095) (end 200.66 129.54) (width 0.15) (layer "B.Cu") (net 34) (tstamp 8e78ec67-ca01-4a23-887a-c84dd4f308c8)) - (segment (start 198.1835 92.2655) (end 194.2465 96.2025) (width 0.15) (layer "F.Cu") (net 35) (tstamp 00000000-0000-0000-0000-000061aa24cd)) - (segment (start 198.1835 83.8835) (end 198.1835 92.2655) (width 0.15) (layer "F.Cu") (net 35) (tstamp 00000000-0000-0000-0000-000061aa24ce)) (segment (start 199.517 82.55) (end 198.1835 83.8835) (width 0.15) (layer "F.Cu") (net 35) (tstamp 00000000-0000-0000-0000-000061aa24cf)) (segment (start 204.47 82.55) (end 199.517 82.55) (width 0.15) (layer "F.Cu") (net 35) (tstamp 00000000-0000-0000-0000-000061aa24d0)) (segment (start 205.74 81.28) (end 204.47 82.55) (width 0.15) (layer "F.Cu") (net 35) (tstamp 00000000-0000-0000-0000-000061aa24d1)) - (segment (start 191.05 100.35) (end 191.95 100.35) (width 0.15) (layer "F.Cu") (net 35) (tstamp 00000000-0000-0000-0000-000061aa24d2)) - (segment (start 194.2465 98.0535) (end 194.2465 96.2025) (width 0.15) (layer "F.Cu") (net 35) (tstamp 00000000-0000-0000-0000-000061aa24d3)) - (segment (start 191.95 100.35) (end 194.2465 98.0535) (width 0.15) (layer "F.Cu") (net 35) (tstamp 00000000-0000-0000-0000-000061aa24d4)) + (segment (start 191.05 91.25) (end 191.95 91.25) (width 0.15) (layer "F.Cu") (net 35) (tstamp 00000000-0000-0000-0000-000061aa24d2)) + (segment (start 191.95 91.25) (end 194.2465 88.9535) (width 0.15) (layer "F.Cu") (net 35) (tstamp 00000000-0000-0000-0000-000061aa24d4)) (segment (start 205.74 78.74) (end 204.47 80.01) (width 0.15) (layer "F.Cu") (net 36) (tstamp 00000000-0000-0000-0000-000061aa24d5)) (segment (start 199.517 80.01) (end 197.866 81.661) (width 0.15) (layer "F.Cu") (net 36) (tstamp 00000000-0000-0000-0000-000061aa24d6)) - (segment (start 197.866 92.1385) (end 193.929 96.0755) (width 0.15) (layer "F.Cu") (net 36) (tstamp 00000000-0000-0000-0000-000061aa24d7)) - (segment (start 197.866 81.661) (end 197.866 92.1385) (width 0.15) (layer "F.Cu") (net 36) (tstamp 00000000-0000-0000-0000-000061aa24d8)) (segment (start 204.47 80.01) (end 199.517 80.01) (width 0.15) (layer "F.Cu") (net 36) (tstamp 00000000-0000-0000-0000-000061aa24d9)) - (segment (start 191.05 99.7) (end 191.95 99.7) (width 0.15) (layer "F.Cu") (net 36) (tstamp 00000000-0000-0000-0000-000061aa24da)) - (segment (start 193.929 97.721) (end 193.929 96.0755) (width 0.15) (layer "F.Cu") (net 36) (tstamp 00000000-0000-0000-0000-000061aa24db)) - (segment (start 191.95 99.7) (end 193.929 97.721) (width 0.15) (layer "F.Cu") (net 36) (tstamp 00000000-0000-0000-0000-000061aa24dc)) - (segment (start 197.5485 79.4385) (end 197.5485 92.0115) (width 0.15) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-000061aa24dd)) + (segment (start 191.05 90.6) (end 191.95 90.6) (width 0.15) (layer "F.Cu") (net 36) (tstamp 00000000-0000-0000-0000-000061aa24da)) + (segment (start 191.95 90.6) (end 193.929 88.621) (width 0.15) (layer "F.Cu") (net 36) (tstamp 00000000-0000-0000-0000-000061aa24dc)) (segment (start 205.74 76.2) (end 204.47 77.47) (width 0.15) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-000061aa24de)) (segment (start 204.47 77.47) (end 199.517 77.47) (width 0.15) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-000061aa24df)) - (segment (start 197.5485 92.0115) (end 193.6115 95.9485) (width 0.15) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-000061aa24e0)) (segment (start 199.517 77.47) (end 197.5485 79.4385) (width 0.15) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-000061aa24e1)) - (segment (start 191.05 99.05) (end 191.95 99.05) (width 0.15) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-000061aa24e2)) - (segment (start 193.6115 97.3885) (end 193.6115 95.9485) (width 0.15) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-000061aa24e3)) - (segment (start 191.95 99.05) (end 193.6115 97.3885) (width 0.15) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-000061aa24e4)) + (segment (start 191.05 89.95) (end 191.95 89.95) (width 0.15) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-000061aa24e2)) + (segment (start 191.95 89.95) (end 193.6115 88.2885) (width 0.15) (layer "F.Cu") (net 37) (tstamp 00000000-0000-0000-0000-000061aa24e4)) (segment (start 205.74 73.66) (end 204.47 74.93) (width 0.15) (layer "F.Cu") (net 38) (tstamp 00000000-0000-0000-0000-000061aa21d5)) (segment (start 199.517 74.93) (end 197.231 77.216) (width 0.15) (layer "F.Cu") (net 38) (tstamp 00000000-0000-0000-0000-000061aa21d6)) - (segment (start 197.231 77.216) (end 197.231 91.8845) (width 0.15) (layer "F.Cu") (net 38) (tstamp 00000000-0000-0000-0000-000061aa21d7)) - (segment (start 197.231 91.8845) (end 193.294 95.8215) (width 0.15) (layer "F.Cu") (net 38) (tstamp 00000000-0000-0000-0000-000061aa21d8)) - (segment (start 191.05 98.4) (end 191.95 98.4) (width 0.15) (layer "F.Cu") (net 38) (tstamp 00000000-0000-0000-0000-000061aa21d9)) - (segment (start 193.294 97.056) (end 193.294 95.8215) (width 0.15) (layer "F.Cu") (net 38) (tstamp 00000000-0000-0000-0000-000061aa21da)) - (segment (start 191.95 98.4) (end 193.294 97.056) (width 0.15) (layer "F.Cu") (net 38) (tstamp 00000000-0000-0000-0000-000061aa21db)) + (segment (start 191.05 89.3) (end 191.95 89.3) (width 0.15) (layer "F.Cu") (net 38) (tstamp 00000000-0000-0000-0000-000061aa21d9)) + (segment (start 191.95 89.3) (end 193.294 87.956) (width 0.15) (layer "F.Cu") (net 38) (tstamp 00000000-0000-0000-0000-000061aa21db)) (segment (start 204.47 74.93) (end 199.517 74.93) (width 0.15) (layer "F.Cu") (net 38) (tstamp 00000000-0000-0000-0000-000061aa24e5)) (segment (start 205.74 71.12) (end 204.47 72.39) (width 0.15) (layer "F.Cu") (net 39) (tstamp 00000000-0000-0000-0000-000061aa1a4b)) (segment (start 204.47 72.39) (end 199.5805 72.39) (width 0.15) (layer "F.Cu") (net 39) (tstamp 00000000-0000-0000-0000-000061aa1a4c)) - (segment (start 192.9765 95.6945) (end 192.9765 96.7235) (width 0.15) (layer "F.Cu") (net 39) (tstamp 00000000-0000-0000-0000-000061aa1a4d)) - (segment (start 191.95 97.75) (end 191.05 97.75) (width 0.15) (layer "F.Cu") (net 39) (tstamp 00000000-0000-0000-0000-000061aa21dc)) - (segment (start 192.9765 96.7235) (end 191.95 97.75) (width 0.15) (layer "F.Cu") (net 39) (tstamp 00000000-0000-0000-0000-000061aa21dd)) - (segment (start 196.9135 75.057) (end 196.9135 91.7575) (width 0.15) (layer "F.Cu") (net 39) (tstamp 00000000-0000-0000-0000-000061aa21de)) - (segment (start 196.9135 91.7575) (end 192.9765 95.6945) (width 0.15) (layer "F.Cu") (net 39) (tstamp 00000000-0000-0000-0000-000061aa21df)) + (segment (start 191.95 88.65) (end 191.05 88.65) (width 0.15) (layer "F.Cu") (net 39) (tstamp 00000000-0000-0000-0000-000061aa21dc)) + (segment (start 192.9765 87.6235) (end 191.95 88.65) (width 0.15) (layer "F.Cu") (net 39) (tstamp 00000000-0000-0000-0000-000061aa21dd)) (segment (start 199.5805 72.39) (end 196.9135 75.057) (width 0.15) (layer "F.Cu") (net 39) (tstamp 00000000-0000-0000-0000-000061aa21e0)) - (segment (start 196.596 91.6305) (end 192.659 95.5675) (width 0.15) (layer "F.Cu") (net 40) (tstamp 00000000-0000-0000-0000-000061aa1a49)) (segment (start 205.74 68.58) (end 204.47 69.85) (width 0.15) (layer "F.Cu") (net 40) (tstamp 00000000-0000-0000-0000-000061aa21e1)) (segment (start 199.517 69.85) (end 196.596 72.771) (width 0.15) (layer "F.Cu") (net 40) (tstamp 00000000-0000-0000-0000-000061aa21e2)) - (segment (start 196.596 72.771) (end 196.596 91.6305) (width 0.15) (layer "F.Cu") (net 40) (tstamp 00000000-0000-0000-0000-000061aa21e3)) (segment (start 204.47 69.85) (end 199.517 69.85) (width 0.15) (layer "F.Cu") (net 40) (tstamp 00000000-0000-0000-0000-000061aa21e4)) - (segment (start 191.05 97.1) (end 191.95 97.1) (width 0.15) (layer "F.Cu") (net 40) (tstamp 00000000-0000-0000-0000-000061aa21e5)) - (segment (start 192.659 96.391) (end 192.659 95.5675) (width 0.15) (layer "F.Cu") (net 40) (tstamp 00000000-0000-0000-0000-000061aa21e6)) - (segment (start 191.95 97.1) (end 192.659 96.391) (width 0.15) (layer "F.Cu") (net 40) (tstamp 00000000-0000-0000-0000-000061aa21e7)) + (segment (start 191.05 88) (end 191.95 88) (width 0.15) (layer "F.Cu") (net 40) (tstamp 00000000-0000-0000-0000-000061aa21e5)) + (segment (start 191.95 88) (end 192.659 87.291) (width 0.15) (layer "F.Cu") (net 40) (tstamp 00000000-0000-0000-0000-000061aa21e7)) (segment (start 205.74 66.04) (end 204.47 67.31) (width 0.15) (layer "F.Cu") (net 41) (tstamp 00000000-0000-0000-0000-000061aa21e8)) (segment (start 204.47 67.31) (end 199.39 67.31) (width 0.15) (layer "F.Cu") (net 41) (tstamp 00000000-0000-0000-0000-000061aa21e9)) (segment (start 199.39 67.31) (end 196.2785 70.4215) (width 0.15) (layer "F.Cu") (net 41) (tstamp 00000000-0000-0000-0000-000061aa21ea)) - (segment (start 196.2785 70.4215) (end 196.2785 91.5035) (width 0.15) (layer "F.Cu") (net 41) (tstamp 00000000-0000-0000-0000-000061aa21eb)) - (segment (start 196.2785 91.5035) (end 192.3415 95.4405) (width 0.15) (layer "F.Cu") (net 41) (tstamp 00000000-0000-0000-0000-000061aa21ec)) - (segment (start 191.05 96.45) (end 191.95 96.45) (width 0.15) (layer "F.Cu") (net 41) (tstamp 00000000-0000-0000-0000-000061aa21ed)) - (segment (start 192.3415 96.0585) (end 192.3415 95.4405) (width 0.15) (layer "F.Cu") (net 41) (tstamp 00000000-0000-0000-0000-000061aa21ee)) - (segment (start 191.95 96.45) (end 192.3415 96.0585) (width 0.15) (layer "F.Cu") (net 41) (tstamp 00000000-0000-0000-0000-000061aa21ef)) + (segment (start 191.05 87.35) (end 191.95 87.35) (width 0.15) (layer "F.Cu") (net 41) (tstamp 00000000-0000-0000-0000-000061aa21ed)) + (segment (start 191.95 87.35) (end 192.3415 86.9585) (width 0.15) (layer "F.Cu") (net 41) (tstamp 00000000-0000-0000-0000-000061aa21ef)) (segment (start 206.629 77.47) (end 204.47 77.47) (width 0.15) (layer "B.Cu") (net 42) (tstamp 3e1fb27b-3b25-4ac8-8501-5f005ba602fb)) (segment (start 204.47 77.47) (end 203.2 78.74) (width 0.15) (layer "B.Cu") (net 42) (tstamp 4866d4e4-36d6-4fef-89a8-fc9c80ac5579)) - (segment (start 208.026 132.969) (end 210.312 130.683) (width 0.15) (layer "B.Cu") (net 42) (tstamp 939f59e3-9b73-4d64-8b8c-96c6f40eba73)) - (segment (start 194.183 128.143) (end 199.009 132.969) (width 0.15) (layer "B.Cu") (net 42) (tstamp ac80af73-1839-4f27-ae60-fba930f44594)) - (segment (start 199.009 132.969) (end 208.026 132.969) (width 0.15) (layer "B.Cu") (net 42) (tstamp d7ea78be-0d84-4b1f-98fe-926b0cd89121)) - (segment (start 210.312 81.153) (end 206.629 77.47) (width 0.15) (layer "B.Cu") (net 42) (tstamp f4f556f1-43cb-4f6d-b03d-b0286e9bef48)) - (segment (start 210.312 130.683) (end 210.312 81.153) (width 0.15) (layer "B.Cu") (net 42) (tstamp f7c0289d-633f-4d5b-82ab-32a39cd34730)) (segment (start 206.756 80.01) (end 204.47 80.01) (width 0.15) (layer "B.Cu") (net 43) (tstamp 0df1e5f0-aa65-4cdc-b23f-672398b074f4)) (segment (start 209.423 130.556) (end 209.423 82.677) (width 0.15) (layer "B.Cu") (net 43) (tstamp 989a394a-e6e1-4d52-84a6-d4e62fbdd138)) (segment (start 209.423 82.677) (end 206.756 80.01) (width 0.15) (layer "B.Cu") (net 43) (tstamp ddc09619-491f-4a5d-9ce7-2b8f72892537)) @@ -3415,21 +3682,6 @@ (segment (start 207.899 130.048) (end 207.899 86.106) (width 0.15) (layer "B.Cu") (net 45) (tstamp a0912fa7-8226-4475-8f28-c5556eca5e6f)) (segment (start 204.47 85.09) (end 203.2 86.36) (width 0.15) (layer "B.Cu") (net 45) (tstamp c8820884-cd0a-449e-87a2-959f951461e2)) (segment (start 206.883 131.064) (end 207.899 130.048) (width 0.15) (layer "B.Cu") (net 45) (tstamp ef475048-7f3b-4ab9-86f9-507bc615e443)) - (segment (start 206.883 131.064) (end 199.644 131.064) (width 0.15) (layer "F.Cu") (net 46) (tstamp 45094005-ebea-424c-81e5-b0134c9eefa5)) - (segment (start 207.518 130.429) (end 206.883 131.064) (width 0.15) (layer "F.Cu") (net 46) (tstamp 4f206e12-0e28-4ab5-9cf1-3e44fe8b8e58)) - (segment (start 199.644 131.064) (end 194.818 126.238) (width 0.15) (layer "F.Cu") (net 46) (tstamp 59e51bbb-381a-4c27-a612-1a3d677191b2)) - (segment (start 205.74 63.5) (end 207.518 65.278) (width 0.15) (layer "F.Cu") (net 46) (tstamp 6605a0eb-0b8a-4e4a-b4a1-362aa46dc4fa)) - (segment (start 207.518 65.278) (end 207.518 130.429) (width 0.15) (layer "F.Cu") (net 46) (tstamp c7883116-e0b9-45a0-a14a-329ec0092820)) - (segment (start 205.74 58.42) (end 209.55 62.23) (width 0.15) (layer "F.Cu") (net 49) (tstamp 2b37123c-de03-4012-a8a3-8351972c5e86)) - (segment (start 198.247 132.842) (end 193.167 127.762) (width 0.15) (layer "F.Cu") (net 49) (tstamp 4f0f9baa-5953-477e-b539-bf845c43a71e)) - (segment (start 209.55 131.445) (end 208.153 132.842) (width 0.15) (layer "F.Cu") (net 49) (tstamp 574f3e45-ce9c-4ea4-8833-c2bc2052d23d)) - (segment (start 209.55 62.23) (end 209.55 131.445) (width 0.15) (layer "F.Cu") (net 49) (tstamp ca06d114-4e98-454c-b57b-f8ffbb330426)) - (segment (start 208.153 132.842) (end 198.247 132.842) (width 0.15) (layer "F.Cu") (net 49) (tstamp faec55c6-6ebf-48c9-8ee4-f271ce2ed605)) - (segment (start 193.9925 127) (end 198.9455 131.953) (width 0.15) (layer "F.Cu") (net 50) (tstamp 0fb851a5-8115-484c-9ffe-d9a69efc4db1)) - (segment (start 208.534 130.937) (end 208.534 63.754) (width 0.15) (layer "F.Cu") (net 50) (tstamp 22601fd7-5914-414a-85c7-4b04ca215cc5)) - (segment (start 208.534 63.754) (end 205.74 60.96) (width 0.15) (layer "F.Cu") (net 50) (tstamp 535f1efd-a49c-4a80-a843-d37c7d33dedd)) - (segment (start 207.518 131.953) (end 208.534 130.937) (width 0.15) (layer "F.Cu") (net 50) (tstamp 553171e7-c814-4646-a7ae-3ac72ab71a4f)) - (segment (start 198.9455 131.953) (end 207.518 131.953) (width 0.15) (layer "F.Cu") (net 50) (tstamp 938e8c8e-22f0-4f6f-88de-087374c99d21)) (segment (start 194.8815 123.2535) (end 196.088 124.46) (width 0.15) (layer "F.Cu") (net 59) (tstamp 65a2db89-c092-46dc-883d-32202e06801c)) (segment (start 196.088 124.46) (end 200.66 124.46) (width 0.15) (layer "F.Cu") (net 59) (tstamp e821249a-b3cc-4b50-852b-68cea0bdd770)) (segment (start 191.05 82.8) (end 192.95 82.8) (width 0.15) (layer "F.Cu") (net 61) (tstamp 00000000-0000-0000-0000-000061aa21fa)) @@ -3483,6 +3735,7 @@ (segment (start 192.95 73.7) (end 193 73.7) (width 0.15) (layer "B.Cu") (net 69) (tstamp 00000000-0000-0000-0000-000061aa2504)) (segment (start 195.5 76.2) (end 200.66 76.2) (width 0.15) (layer "B.Cu") (net 69) (tstamp 00000000-0000-0000-0000-000061aa2506)) (segment (start 191.05 73.05) (end 192.15 73.05) (width 0.15) (layer "F.Cu") (net 70) (tstamp 00000000-0000-0000-0000-000061aa224c)) + (segment (start 191.05 63.949999) (end 192.15 63.949999) (width 0.15) (layer "F.Cu") (net 70) (tstamp fca50552-0825-49ad-93f6-0ca51c323a6f)) (via (at 192.15 73.05) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 70) (tstamp 00000000-0000-0000-0000-000061aa224b)) (segment (start 193 73.05) (end 192.15 73.05) (width 0.15) (layer "B.Cu") (net 70) (tstamp 00000000-0000-0000-0000-000061aa224d)) (segment (start 193.61 73.66) (end 193 73.05) (width 0.15) (layer "B.Cu") (net 70) (tstamp 00000000-0000-0000-0000-000061aa224e)) @@ -3492,6 +3745,7 @@ (segment (start 194.23 71.12) (end 192.95 72.4) (width 0.15) (layer "B.Cu") (net 71) (tstamp 00000000-0000-0000-0000-000061aa2257)) (segment (start 200.66 71.12) (end 194.23 71.12) (width 0.15) (layer "B.Cu") (net 71) (tstamp 00000000-0000-0000-0000-000061aa2258)) (segment (start 191.05 71.75) (end 192.15 71.75) (width 0.15) (layer "F.Cu") (net 72) (tstamp 00000000-0000-0000-0000-000061aa225e)) + (segment (start 191.05 62.649999) (end 192.15 62.649999) (width 0.15) (layer "F.Cu") (net 72) (tstamp f5f7e4a7-aaf6-42fe-b9d7-97303bcbdc78)) (via (at 192.15 71.75) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 72) (tstamp 00000000-0000-0000-0000-000061aa225f)) (segment (start 196.17 68.58) (end 200.66 68.58) (width 0.15) (layer "B.Cu") (net 72) (tstamp 00000000-0000-0000-0000-000061aa2260)) (segment (start 193 71.75) (end 196.17 68.58) (width 0.15) (layer "B.Cu") (net 72) (tstamp 00000000-0000-0000-0000-000061aa2261)) @@ -3502,6 +3756,7 @@ (segment (start 198.06 66.04) (end 193 71.1) (width 0.15) (layer "B.Cu") (net 73) (tstamp 00000000-0000-0000-0000-000061aa226b)) (segment (start 200.66 66.04) (end 198.06 66.04) (width 0.15) (layer "B.Cu") (net 73) (tstamp 00000000-0000-0000-0000-000061aa226c)) (segment (start 191.05 70.45) (end 192.15 70.45) (width 0.15) (layer "F.Cu") (net 74) (tstamp 00000000-0000-0000-0000-000061aa2272)) + (segment (start 191.05 61.349999) (end 192.15 61.349999) (width 0.15) (layer "F.Cu") (net 74) (tstamp a2f57f00-c5a2-424a-8516-7dab62ef01d5)) (via (at 192.15 70.45) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 74) (tstamp 00000000-0000-0000-0000-000061aa2273)) (segment (start 193 70.45) (end 199.95 63.5) (width 0.15) (layer "B.Cu") (net 74) (tstamp 00000000-0000-0000-0000-000061aa2274)) (segment (start 199.95 63.5) (end 200.66 63.5) (width 0.15) (layer "B.Cu") (net 74) (tstamp 00000000-0000-0000-0000-000061aa2275)) @@ -3513,225 +3768,179 @@ (segment (start 198.35 63.27) (end 198.35 64.45) (width 0.15) (layer "B.Cu") (net 75) (tstamp 00000000-0000-0000-0000-000061aa2280)) (segment (start 200.66 60.96) (end 198.35 63.27) (width 0.15) (layer "B.Cu") (net 75) (tstamp 00000000-0000-0000-0000-000061aa2281)) (segment (start 191.05 69.15) (end 192.15 69.15) (width 0.15) (layer "F.Cu") (net 76) (tstamp 00000000-0000-0000-0000-000061aa2288)) + (segment (start 191.05 60.049999) (end 192.15 60.049999) (width 0.15) (layer "F.Cu") (net 76) (tstamp 11b1cbd3-9b5b-4626-8c3a-c4d70311e80d)) (via (at 192.15 69.15) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 76) (tstamp 00000000-0000-0000-0000-000061aa2287)) (segment (start 193 69.15) (end 192.15 69.15) (width 0.15) (layer "B.Cu") (net 76) (tstamp 00000000-0000-0000-0000-000061aa2289)) (segment (start 198.05 64.1) (end 193 69.15) (width 0.15) (layer "B.Cu") (net 76) (tstamp 00000000-0000-0000-0000-000061aa228a)) (segment (start 198.05 61.03) (end 198.05 64.1) (width 0.15) (layer "B.Cu") (net 76) (tstamp 00000000-0000-0000-0000-000061aa228b)) (segment (start 200.66 58.42) (end 198.05 61.03) (width 0.15) (layer "B.Cu") (net 76) (tstamp 00000000-0000-0000-0000-000061aa228c)) - (segment (start 114.590102 45.810898) (end 114.590102 46.699898) (width 0.15) (layer "F.Cu") (net 78) (tstamp 1427beee-3bac-4761-90c7-1d211b9ad51c)) - (segment (start 116.332 44.069) (end 114.590102 45.810898) (width 0.15) (layer "F.Cu") (net 78) (tstamp 1e3fd3d5-91a2-4915-bf3d-e5e3d46d180b)) - (segment (start 116.332 44.069) (end 117.348 45.085) (width 0.15) (layer "F.Cu") (net 78) (tstamp 2bc709a0-58c7-4027-bd09-68d5e2408c67)) (segment (start 177.05 45.15) (end 175.95 45.15) (width 0.15) (layer "F.Cu") (net 78) (tstamp b82916c0-2ec4-4e30-9450-9594adc24759)) (via (at 175.95 45.15) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 78) (tstamp 2a6753e8-f9e7-4c11-a472-dc9c7e1759c8)) - (via (at 116.332 44.069) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 78) (tstamp 4c492959-c00a-430a-b92b-afb6f355a82a)) - (segment (start 116.332 44.069) (end 118.8085 41.5925) (width 0.15) (layer "B.Cu") (net 78) (tstamp 0a8244fe-5b58-4c41-b886-f73648e6718d)) (segment (start 174.8 44) (end 175.95 45.15) (width 0.15) (layer "B.Cu") (net 78) (tstamp 4a6c9402-775b-4ef7-a5c1-83057d577ed1)) - (segment (start 118.8085 41.5925) (end 162.941 41.5925) (width 0.15) (layer "B.Cu") (net 78) (tstamp 4c236b5c-04d0-43eb-8246-39c0b725437c)) - (segment (start 113.03 48.641) (end 107.3785 54.2925) (width 0.15) (layer "B.Cu") (net 78) (tstamp 7524e0ca-0c29-49e7-a273-81bb3a4eaa1c)) (segment (start 165.3485 44) (end 174.8 44) (width 0.15) (layer "B.Cu") (net 78) (tstamp 9f1f1a3d-7dcb-4c03-b18c-18cb0dad68b1)) - (segment (start 113.03 47.371) (end 113.03 48.641) (width 0.15) (layer "B.Cu") (net 78) (tstamp dd5a5850-05ec-4ff8-a350-19a948107a76)) - (segment (start 116.332 44.069) (end 113.03 47.371) (width 0.15) (layer "B.Cu") (net 78) (tstamp ded15c04-3bc8-4db4-bea5-925bf2136216)) - (segment (start 162.941 41.5925) (end 165.3485 44) (width 0.15) (layer "B.Cu") (net 78) (tstamp f72fd743-e7e2-4309-8842-18c8b63aa005)) - (segment (start 111.506 50.8) (end 110.998 50.292) (width 0.15) (layer "F.Cu") (net 79) (tstamp 0d0494ce-cfb4-42c6-b71d-b88885fe9f0f)) - (segment (start 113.665 53.213) (end 113.665 51.816) (width 0.15) (layer "F.Cu") (net 79) (tstamp 2fb7ebe8-73a8-4fd3-8d7c-434efa8cbbf5)) - (segment (start 113.665 51.816) (end 112.649 50.8) (width 0.15) (layer "F.Cu") (net 79) (tstamp 439eaecd-5a41-43fd-98c2-cab2368b4f0c)) - (segment (start 112.649 50.8) (end 111.506 50.8) (width 0.15) (layer "F.Cu") (net 79) (tstamp 5d60c08b-b737-4800-a755-ec72e7fb79d3)) (segment (start 177.05 46.45) (end 175.95 46.45) (width 0.15) (layer "F.Cu") (net 79) (tstamp fd0058ab-f81f-45ed-b645-df2b0d3bfce5)) (via (at 175.95 46.45) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 79) (tstamp 60e87dc7-656f-4705-b8d6-ece6cbaf41c3)) - (via (at 113.665 53.213) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 79) (tstamp 8c875065-be0e-41c1-a837-74699c7ba035)) - (segment (start 162.4965 42.6085) (end 164.688 44.8) (width 0.15) (layer "B.Cu") (net 79) (tstamp 1acba014-fa50-4337-96d9-6514b5d3dcb5)) (segment (start 164.688 44.8) (end 174.3 44.8) (width 0.15) (layer "B.Cu") (net 79) (tstamp 27dad8eb-403c-4e40-b270-5cb70268b432)) - (segment (start 125.1585 42.6085) (end 162.4965 42.6085) (width 0.15) (layer "B.Cu") (net 79) (tstamp 4acd8b70-b02b-49c0-b53e-8066765410f6)) (segment (start 174.3 44.8) (end 175.95 46.45) (width 0.15) (layer "B.Cu") (net 79) (tstamp 5b8c0b86-ae24-4df1-9efe-6205b9f9e66e)) - (segment (start 112.268 54.61) (end 110.94 54.61) (width 0.15) (layer "B.Cu") (net 79) (tstamp 6f9123fa-5f1c-4549-ae47-cfee44bad77f)) - (segment (start 113.665 53.213) (end 113.665 52.0065) (width 0.15) (layer "B.Cu") (net 79) (tstamp 71cca88b-681b-465e-903c-7db8fb82004e)) - (segment (start 113.665 52.0065) (end 115.189 50.4825) (width 0.15) (layer "B.Cu") (net 79) (tstamp b00861c0-cae3-4b26-a41e-41be2c5994a5)) - (segment (start 115.189 50.4825) (end 117.2845 50.4825) (width 0.15) (layer "B.Cu") (net 79) (tstamp eba71294-e703-4150-b726-890f89201a73)) - (segment (start 113.665 53.213) (end 112.268 54.61) (width 0.15) (layer "B.Cu") (net 79) (tstamp ec532916-1dbe-4497-8710-d644a73eaa41)) - (segment (start 117.2845 50.4825) (end 125.1585 42.6085) (width 0.15) (layer "B.Cu") (net 79) (tstamp f7899419-7d6a-4492-8ac4-c6963422cda5)) (segment (start 178.943 44.45) (end 178.562 44.831) (width 0.15) (layer "F.Cu") (net 80) (tstamp 0697cf2d-5bde-4d22-b531-1987bc5be453)) - (segment (start 112.794051 49.294051) (end 112.794051 48.495949) (width 0.15) (layer "F.Cu") (net 80) (tstamp 12ce3ff4-42e5-44d3-bb02-3f3f33839d6a)) - (segment (start 114.681 52.5145) (end 114.681 51.816) (width 0.15) (layer "F.Cu") (net 80) (tstamp 7c916ad7-ddd9-45bd-a33b-543338b326eb)) - (segment (start 113.411 54.9275) (end 114.681 53.6575) (width 0.15) (layer "F.Cu") (net 80) (tstamp 9059830d-745a-43e4-8a41-11f51d60349d)) - (segment (start 104.648 54.9275) (end 113.411 54.9275) (width 0.15) (layer "F.Cu") (net 80) (tstamp 9c40d418-ebb1-4349-bfe7-374cc1cd91b6)) - (segment (start 114.681 53.6575) (end 114.681 52.5145) (width 0.15) (layer "F.Cu") (net 80) (tstamp ac4b78a6-c90d-4e2b-bb5b-e095ea4f78e0)) (segment (start 180.278 44.45) (end 178.943 44.45) (width 0.15) (layer "F.Cu") (net 80) (tstamp b5e42dbc-1969-4137-a800-eaea7a44fee4)) - (segment (start 113.157 49.657) (end 112.794051 49.294051) (width 0.15) (layer "F.Cu") (net 80) (tstamp be7022f7-6527-4d38-89c7-2120f00756e7)) - (segment (start 113.157 50.292) (end 113.157 49.657) (width 0.15) (layer "F.Cu") (net 80) (tstamp e38b299f-76a2-4a53-ba03-a8206129c140)) - (segment (start 114.681 51.816) (end 113.157 50.292) (width 0.15) (layer "F.Cu") (net 80) (tstamp f3d5eb64-9a4d-4f7d-855b-d760cfb1cc9c)) - (via (at 114.681 52.5145) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 80) (tstamp 8b6d23e1-36db-42f1-8a08-9f4ec1369434)) (via (at 178.562 44.831) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 80) (tstamp b84cd507-81d3-4b97-84f4-ffd2f1f1857e)) - (via (at 104.648 54.9275) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 80) (tstamp d239e1a3-08c8-45e2-9959-7e4e5303b2cf)) (segment (start 164.041 45.55) (end 173.2 45.55) (width 0.15) (layer "B.Cu") (net 80) (tstamp 2f46b05c-063a-4c15-908f-2a36d793bfee)) (segment (start 178.562 45.938) (end 178.562 44.831) (width 0.15) (layer "B.Cu") (net 80) (tstamp 5675dc73-fe87-4659-b8c4-ee20d93c349b)) - (segment (start 104.648 54.9275) (end 104.648 120.8405) (width 0.15) (layer "B.Cu") (net 80) (tstamp 5f1e0377-88d5-4bcb-8159-cd5e98e98a95)) (segment (start 176.95 47.55) (end 178.562 45.938) (width 0.15) (layer "B.Cu") (net 80) (tstamp 6da35d10-44a4-453d-981e-9d986605f698)) - (segment (start 117.983 51.181) (end 125.5395 43.6245) (width 0.15) (layer "B.Cu") (net 80) (tstamp 73de5900-900d-4ece-be7a-cfd9e1c414b5)) (segment (start 175.2 47.55) (end 176.95 47.55) (width 0.15) (layer "B.Cu") (net 80) (tstamp 761f0a0a-3ebc-41db-ba8e-2336c1502813)) - (segment (start 125.5395 43.6245) (end 162.1155 43.6245) (width 0.15) (layer "B.Cu") (net 80) (tstamp 97628c15-d940-433e-a014-7d581fd6c28c)) (segment (start 173.2 45.55) (end 175.2 47.55) (width 0.15) (layer "B.Cu") (net 80) (tstamp af44ab79-f161-4b39-8a1f-0d4e43474626)) - (segment (start 114.681 52.5145) (end 116.0145 51.181) (width 0.15) (layer "B.Cu") (net 80) (tstamp c4cb750e-f031-42c9-b908-29b2e5cc54d2)) - (segment (start 116.0145 51.181) (end 117.983 51.181) (width 0.15) (layer "B.Cu") (net 80) (tstamp d6db7fa8-2af8-4ae2-8dde-93c84f5dc0d4)) - (segment (start 162.1155 43.6245) (end 164.041 45.55) (width 0.15) (layer "B.Cu") (net 80) (tstamp d7b18156-47ef-4b4c-8c9a-2a34281d7652)) - (segment (start 114.681 52.5145) (end 114.681 53.594) (width 0.15) (layer "B.Cu") (net 80) (tstamp fc0c7a0f-cee9-4eac-b5b5-a2fa5daf44e5)) - (segment (start 110.998 48.495949) (end 109.492051 46.99) (width 0.15) (layer "F.Cu") (net 81) (tstamp 188ae16b-4163-436c-8af9-1112c99f2627)) - (segment (start 109.492051 46.99) (end 109.22 46.99) (width 0.15) (layer "F.Cu") (net 81) (tstamp 4c3becc9-79e1-4d4a-a3fd-a6e8750302a2)) (segment (start 177.05 43.85) (end 175.95 43.85) (width 0.15) (layer "F.Cu") (net 81) (tstamp 74af2938-5aa5-43d4-bb52-2d07b4b7e88e)) - (via (at 109.22 46.99) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 81) (tstamp 305cc760-953e-4bfd-8d01-10e63de704eb)) (via (at 175.95 43.85) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 81) (tstamp 46f17238-8a86-42fa-a9fd-be51f506f7e6)) - (segment (start 105.6005 53.2995) (end 105.6005 47.9995) (width 0.15) (layer "B.Cu") (net 81) (tstamp 1074a35d-0c74-4f63-bcce-0798f1704da3)) - (segment (start 163.068 41.275) (end 165.493 43.7) (width 0.15) (layer "B.Cu") (net 81) (tstamp 2b373655-14c6-4905-bcde-d4e31a0a3029)) - (segment (start 105.6005 47.9995) (end 106.61 46.99) (width 0.15) (layer "B.Cu") (net 81) (tstamp 556e36fa-b2c4-40ab-b012-9f8ceafd0990)) - (segment (start 109.22 43.815) (end 111.76 41.275) (width 0.15) (layer "B.Cu") (net 81) (tstamp 76272794-b5a2-4969-8fd0-6b89738b43f2)) - (segment (start 106.3625 54.0615) (end 105.6005 53.2995) (width 0.15) (layer "B.Cu") (net 81) (tstamp a2ca631e-f14c-44b6-84f7-f3b237fd3f0b)) - (segment (start 111.76 41.275) (end 163.068 41.275) (width 0.15) (layer "B.Cu") (net 81) (tstamp abfee2c8-271e-4a60-a428-e4ff985ad957)) - (segment (start 109.22 46.99) (end 109.22 43.815) (width 0.15) (layer "B.Cu") (net 81) (tstamp bd75ef10-0f1c-492f-b4a0-3702f56b91d6)) - (segment (start 106.61 46.99) (end 109.22 46.99) (width 0.15) (layer "B.Cu") (net 81) (tstamp e660a86f-e1b5-427f-ae03-db56509ac0c0)) (segment (start 175.8 43.7) (end 175.95 43.85) (width 0.15) (layer "B.Cu") (net 81) (tstamp f34ef5a8-ec83-4994-8b08-c26398801d26)) (segment (start 165.493 43.7) (end 175.8 43.7) (width 0.15) (layer "B.Cu") (net 81) (tstamp f3ba7306-f05b-4d20-86ba-01c8bef6fcad)) (segment (start 183.769 48.26) (end 185.228 48.26) (width 0.15) (layer "F.Cu") (net 82) (tstamp 43438ebc-7bad-4a81-b05c-0dc5a25ab5bb)) (segment (start 183.25 47.741) (end 183.769 48.26) (width 0.15) (layer "F.Cu") (net 82) (tstamp b65464e0-553b-4697-a2bc-3fe981073e90)) (segment (start 183.25 42.1875) (end 183.25 47.741) (width 0.15) (layer "F.Cu") (net 82) (tstamp e1cef8f4-83b4-4138-998a-d7594b661387)) - (segment (start 196.2 39.65) (end 195.5 39.65) (width 0.5) (layer "F.Cu") (net 84) (tstamp 6fb83118-ad28-42d7-96d2-7cafd7b0e464)) - (segment (start 193.35 57.35) (end 193.35 56.45) (width 0.3) (layer "F.Cu") (net 84) (tstamp 9124d28b-b335-4013-a30f-8fe9c53e5b12)) - (segment (start 196.2 39.65) (end 196.9 39.65) (width 0.5) (layer "F.Cu") (net 84) (tstamp 9f9e43f1-b8a6-44c2-ac1e-7dffea579c90)) - (segment (start 196.9 39.65) (end 197.05 39.8) (width 0.5) (layer "F.Cu") (net 84) (tstamp b5647ba5-029c-4236-bd62-3bae1d163b2c)) - (segment (start 184.55 42.1875) (end 184.55 43.25) (width 0.4) (layer "F.Cu") (net 84) (tstamp b5e43978-de37-4b85-aaa7-ecf2e22d2213)) - (segment (start 195.5 39.65) (end 195.35 39.8) (width 0.5) (layer "F.Cu") (net 84) (tstamp b8207972-6e65-4219-8942-8eacaca882e0)) - (segment (start 193.35 56.45) (end 193.35 55.55) (width 0.3) (layer "F.Cu") (net 84) (tstamp dab3e575-0027-45f0-8515-a1e2b417124b)) - (via (at 184.55 43.25) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 84) (tstamp 19255830-03be-4aca-880c-0f68e7ccf512)) - (via (at 193.35 56.45) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 84) (tstamp 8803a7b1-1b04-428d-a9d4-58d4ad211b15)) - (via (at 197.05 39.8) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 84) (tstamp a1ebed7a-a9d4-44c5-815d-29f5bd7a1e04)) - (via (at 195.35 39.8) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 84) (tstamp d79bf46a-b22c-4b45-aae3-08c4a2e809dd)) - (segment (start 192.4 39.8) (end 195.35 39.8) (width 0.5) (layer "B.Cu") (net 84) (tstamp 2765bca1-efa6-4b34-b4c2-81502619cb1b)) - (segment (start 197.6755 47.52525) (end 197.6755 40.4255) (width 0.45) (layer "B.Cu") (net 84) (tstamp 37cc8edc-e3ff-4c9e-9d99-86452e62f192)) - (segment (start 197.05 39.8) (end 195.35 39.8) (width 0.5) (layer "B.Cu") (net 84) (tstamp 38f6964d-c259-4242-95b5-b9af9bf14d6c)) - (segment (start 193.35 51.85075) (end 197.6755 47.52525) (width 0.45) (layer "B.Cu") (net 84) (tstamp 52f95826-77fa-45a0-90f2-08a39c194e57)) - (segment (start 193.35 56.45) (end 193.35 51.85075) (width 0.45) (layer "B.Cu") (net 84) (tstamp 88359b00-91ef-437d-b5c9-da81f84a6ccc)) - (segment (start 185 43.7) (end 188.5 43.7) (width 0.5) (layer "B.Cu") (net 84) (tstamp d544a829-5b44-47c9-9602-40ea68021792)) - (segment (start 197.6755 40.4255) (end 197.05 39.8) (width 0.45) (layer "B.Cu") (net 84) (tstamp df7a5513-a6ab-43e4-ad3c-eb020460eca1)) - (segment (start 184.55 43.25) (end 185 43.7) (width 0.5) (layer "B.Cu") (net 84) (tstamp e3ca9905-3295-4573-8d1f-39f3ecfb947a)) - (segment (start 188.5 43.7) (end 192.4 39.8) (width 0.5) (layer "B.Cu") (net 84) (tstamp ff8eeab7-fc44-4b5f-85d5-79857e5833a0)) - (segment (start 183.55 43.3625) (end 183.9 43.0125) (width 0.15) (layer "F.Cu") (net 85) (tstamp 067fb9a1-5278-4e90-ad48-93993d2ed931)) - (segment (start 185.228 46.99) (end 183.769 46.99) (width 0.15) (layer "F.Cu") (net 85) (tstamp 2efb1d28-ca19-43e0-bfcb-4ebd8e6a220b)) - (segment (start 183.9 43.0125) (end 183.9 42.1875) (width 0.15) (layer "F.Cu") (net 85) (tstamp 4e1c6558-3ba9-4882-a41c-13ffc0e34b24)) - (segment (start 183.55 46.771) (end 183.55 43.4) (width 0.15) (layer "F.Cu") (net 85) (tstamp bc67e8e3-b72d-401c-a508-235d91d69b71)) - (segment (start 183.769 46.99) (end 183.55 46.771) (width 0.15) (layer "F.Cu") (net 85) (tstamp e5e86bc8-314d-423c-9f02-0d544472aacf)) - (segment (start 186.05 68.5) (end 186.45 68.9) (width 0.15) (layer "F.Cu") (net 89) (tstamp 00000000-0000-0000-0000-000061aa1baa)) - (segment (start 186.45 68.9) (end 186.45 72.6) (width 0.15) (layer "F.Cu") (net 89) (tstamp 00000000-0000-0000-0000-000061aa1bab)) - (segment (start 186.45 72.6) (end 187.3 73.45) (width 0.15) (layer "F.Cu") (net 89) (tstamp 00000000-0000-0000-0000-000061aa1bac)) - (segment (start 187.3 73.45) (end 187.3 76.7) (width 0.15) (layer "F.Cu") (net 89) (tstamp 00000000-0000-0000-0000-000061aa1bad)) - (segment (start 186.4 77.6) (end 187.3 76.7) (width 0.15) (layer "F.Cu") (net 89) (tstamp 00000000-0000-0000-0000-000061aa1bae)) - (segment (start 185.15 77.6) (end 186.4 77.6) (width 0.15) (layer "F.Cu") (net 89) (tstamp 00000000-0000-0000-0000-000061aa1baf)) - (segment (start 185.15 68.5) (end 186.05 68.5) (width 0.15) (layer "F.Cu") (net 89) (tstamp 00000000-0000-0000-0000-000061aa1c8b)) - (segment (start 186.35 104.9) (end 185.15 104.9) (width 0.15) (layer "F.Cu") (net 90) (tstamp 00000000-0000-0000-0000-000061aa1c1d)) - (segment (start 186.35 104.9) (end 186.75 104.5) (width 0.15) (layer "F.Cu") (net 90) (tstamp 00000000-0000-0000-0000-000061aa1c1f)) - (segment (start 186.75 104.5) (end 186.75 96.35) (width 0.15) (layer "F.Cu") (net 90) (tstamp 00000000-0000-0000-0000-000061aa1c20)) - (segment (start 186.2 95.8) (end 185.15 95.8) (width 0.15) (layer "F.Cu") (net 90) (tstamp 00000000-0000-0000-0000-000061aa1c21)) - (segment (start 186.75 96.35) (end 186.2 95.8) (width 0.15) (layer "F.Cu") (net 90) (tstamp 00000000-0000-0000-0000-000061aa1c22)) - (segment (start 186.75 105.3) (end 186.35 104.9) (width 0.15) (layer "F.Cu") (net 90) (tstamp 630eb8cc-d115-4777-a0ea-2d5345bc8b51)) - (segment (start 186.75 111.4) (end 186.75 105.3) (width 0.15) (layer "F.Cu") (net 90) (tstamp 7bf7ab27-6299-4cb5-9515-438ff7c55905)) - (segment (start 185.15 113) (end 185.15 114) (width 0.15) (layer "F.Cu") (net 90) (tstamp bc4d68af-174d-4fd1-b211-24dde51cb71f)) - (segment (start 185.15 113) (end 186.75 111.4) (width 0.15) (layer "F.Cu") (net 90) (tstamp ff0dd744-4cd0-4c8c-b5bc-ffd9aef4b4be)) - (via (at 185.15 113) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 90) (tstamp 00000000-0000-0000-0000-000061aa1c24)) - (segment (start 185.15 113) (end 185.15 113.8) (width 0.15) (layer "B.Cu") (net 90) (tstamp a34843a6-2d83-48ff-b157-2f07005716a6)) - (segment (start 191.05 74.35) (end 189.95 74.35) (width 0.15) (layer "F.Cu") (net 91) (tstamp 00000000-0000-0000-0000-000061aa1c5d)) - (segment (start 191.05 83.45) (end 189.95 83.45) (width 0.15) (layer "F.Cu") (net 91) (tstamp 00000000-0000-0000-0000-000061aa1c60)) - (segment (start 189.55 110.75) (end 191.05 110.75) (width 0.15) (layer "F.Cu") (net 91) (tstamp 00000000-0000-0000-0000-000061aa1c67)) - (segment (start 189.45 101.65) (end 191.05 101.65) (width 0.15) (layer "F.Cu") (net 91) (tstamp 00000000-0000-0000-0000-000061aa1c6b)) - (segment (start 189.95 119.85) (end 189.75 119.65) (width 0.15) (layer "F.Cu") (net 91) (tstamp 00000000-0000-0000-0000-000061aa1c70)) - (segment (start 191.05 119.85) (end 189.95 119.85) (width 0.15) (layer "F.Cu") (net 91) (tstamp 00000000-0000-0000-0000-000061aa1c71)) - (segment (start 188.25 102.85) (end 189.45 101.65) (width 0.15) (layer "F.Cu") (net 91) (tstamp 2b26ffe6-ef97-49b1-a199-a675c81978d9)) - (segment (start 188.25 118.15) (end 188.25 112.05) (width 0.15) (layer "F.Cu") (net 91) (tstamp 2bb977e9-ddb0-4c56-8cfc-6b9343224002)) - (segment (start 189.75 119.65) (end 188.25 118.15) (width 0.15) (layer "F.Cu") (net 91) (tstamp 3c99c496-25b6-419d-925d-565ab0639a5b)) - (segment (start 188.25 112.05) (end 189.55 110.75) (width 0.15) (layer "F.Cu") (net 91) (tstamp 3ccdf24b-eb9f-4a00-9f41-d5b353b22d7e)) - (segment (start 189.55 110.75) (end 188.25 109.45) (width 0.15) (layer "F.Cu") (net 91) (tstamp 4a7d7b8e-a1e3-4d63-be82-b5641ced43cf)) - (segment (start 188.25 109.45) (end 188.25 102.85) (width 0.15) (layer "F.Cu") (net 91) (tstamp bc9e9273-84e4-4992-b64b-43895f0e7158)) - (via (at 189.95 74.35) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 91) (tstamp 00000000-0000-0000-0000-000061aa1c5e)) - (via (at 189.95 83.45) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 91) (tstamp 00000000-0000-0000-0000-000061aa1c5f)) - (via (at 189.45 101.65) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 91) (tstamp 00000000-0000-0000-0000-000061aa1c6d)) - (via (at 189.75 119.65) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 91) (tstamp 00000000-0000-0000-0000-000061aa1c6f)) - (segment (start 188.15 75.525) (end 189.325 74.35) (width 0.15) (layer "B.Cu") (net 91) (tstamp 00000000-0000-0000-0000-000061aa1c61)) - (segment (start 188.15 79.45) (end 188.15 75.525) (width 0.15) (layer "B.Cu") (net 91) (tstamp 00000000-0000-0000-0000-000061aa1c62)) - (segment (start 189.95 81.25) (end 188.15 79.45) (width 0.15) (layer "B.Cu") (net 91) (tstamp 00000000-0000-0000-0000-000061aa1c63)) - (segment (start 189.325 74.35) (end 189.95 74.35) (width 0.15) (layer "B.Cu") (net 91) (tstamp 00000000-0000-0000-0000-000061aa1c64)) - (segment (start 189.95 83.45) (end 189.95 81.25) (width 0.15) (layer "B.Cu") (net 91) (tstamp 00000000-0000-0000-0000-000061aa1c65)) - (segment (start 188.15 100.35) (end 189.45 101.65) (width 0.15) (layer "B.Cu") (net 91) (tstamp 1e67289c-7f9a-4d50-bfe0-3842f0f82255)) - (segment (start 188.464 118.364) (end 189.75 119.65) (width 0.15) (layer "B.Cu") (net 91) (tstamp 2aea3883-9bba-4418-9eac-85986d3d4e6d)) - (segment (start 191.4 83.45) (end 193.85 85.9) (width 0.15) (layer "B.Cu") (net 91) (tstamp 2ed85c59-b070-4a35-8513-e5607b274b5b)) - (segment (start 189.95 83.45) (end 191.4 83.45) (width 0.15) (layer "B.Cu") (net 91) (tstamp 5060e137-ce79-4b3f-90a1-c6ae0c994d2a)) - (segment (start 190.25 92.05) (end 188.15 94.15) (width 0.15) (layer "B.Cu") (net 91) (tstamp 7bc06056-4b9a-4179-aaaf-d2150d86dce7)) - (segment (start 193.85 90.8) (end 192.6 92.05) (width 0.15) (layer "B.Cu") (net 91) (tstamp b43bf415-dbc0-4224-b55e-3c5e1e6bb9df)) - (segment (start 188.15 94.15) (end 188.15 100.35) (width 0.15) (layer "B.Cu") (net 91) (tstamp b8e9f920-b822-4beb-881a-e46d4a9799ff)) - (segment (start 192.6 92.05) (end 190.25 92.05) (width 0.15) (layer "B.Cu") (net 91) (tstamp dee20e34-49a1-4492-915b-7334a9fc69ee)) - (segment (start 193.85 85.9) (end 193.85 90.8) (width 0.15) (layer "B.Cu") (net 91) (tstamp e233f5f0-bc77-4155-aca0-30de2add42b1)) - (segment (start 104.65 65.022) (end 104.65 109) (width 0.15) (layer "F.Cu") (net 92) (tstamp 556aa9c1-5295-4580-8dd4-72f53823247e)) - (segment (start 194.95 55.55) (end 195.75 55.55) (width 0.15) (layer "F.Cu") (net 93) (tstamp 33fbbe03-f839-4e64-9029-d75d87aa707d)) - (segment (start 167.3 43.15) (end 166.4 43.15) (width 0.15) (layer "F.Cu") (net 93) (tstamp 822e26d2-9795-4bee-834c-5b92141d34bb)) - (segment (start 166.4 43.15) (end 165.75 42.5) (width 0.15) (layer "F.Cu") (net 93) (tstamp b86b2616-320f-48a0-9a63-1de56c4b4ed0)) - (via (at 195.75 55.55) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 93) (tstamp 240d3307-30c7-4dbd-939b-9d9856c9a4d3)) - (via (at 165.75 42.5) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 93) (tstamp b56ddb08-059c-4695-96c5-355c472f2865)) - (segment (start 187.5155 52.6415) (end 187.5155 48.3235) (width 0.15) (layer "B.Cu") (net 93) (tstamp 04ac5ec1-063d-4dde-bad8-5fdf7ab5ccbd)) - (segment (start 187.5155 48.3235) (end 182.892 43.7) (width 0.15) (layer "B.Cu") (net 93) (tstamp 07bfde06-6242-4a27-97b5-b6c295520d29)) - (segment (start 177.5 43.7) (end 176.3 42.5) (width 0.15) (layer "B.Cu") (net 93) (tstamp 5591bcdd-67db-4357-8355-ceb8a0ef1247)) - (segment (start 193.8 57.5) (end 192.374 57.5) (width 0.15) (layer "B.Cu") (net 93) (tstamp 5fd9eb06-61a1-4125-9695-1bbfd86ce53d)) - (segment (start 195.75 55.55) (end 193.8 57.5) (width 0.15) (layer "B.Cu") (net 93) (tstamp 795911f1-936b-446c-8f94-6138229ad22c)) - (segment (start 176.3 42.5) (end 165.75 42.5) (width 0.15) (layer "B.Cu") (net 93) (tstamp 7b2f70bb-68bc-4d30-ab9d-3eae939bd4e8)) - (segment (start 192.374 57.5) (end 187.5155 52.6415) (width 0.15) (layer "B.Cu") (net 93) (tstamp a720a597-bac0-4cc3-aa30-61f7d852f3e3)) - (segment (start 182.892 43.7) (end 177.5 43.7) (width 0.15) (layer "B.Cu") (net 93) (tstamp ded5844c-47c9-4334-aee5-d8213c825721)) - (via (at 196.7865 122.2375) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 94) (tstamp 5e7f121e-1a15-44fb-9630-d38cf3c27a65)) - (segment (start 196.7865 122.2375) (end 197.8025 122.2375) (width 0.15) (layer "B.Cu") (net 94) (tstamp 04a94788-6bd2-4189-b31c-62f69d3f4ac1)) - (segment (start 197.8025 122.2375) (end 200.66 119.38) (width 0.15) (layer "B.Cu") (net 94) (tstamp 8bc308c3-a611-47c0-938e-2597626f9b38)) - (segment (start 183.1595 54.991) (end 183.1595 53.4925) (width 0.15) (layer "F.Cu") (net 141) (tstamp 00000000-0000-0000-0000-000061e5a649)) - (segment (start 181.737 52.07) (end 180.278 52.07) (width 0.15) (layer "F.Cu") (net 141) (tstamp 00000000-0000-0000-0000-000061e5a64c)) - (segment (start 183.1595 53.4925) (end 181.737 52.07) (width 0.15) (layer "F.Cu") (net 141) (tstamp 00000000-0000-0000-0000-000061e5a64f)) - (segment (start 190.1 50.55) (end 191.8 50.55) (width 0.15) (layer "F.Cu") (net 142) (tstamp 1a32102d-4d08-4a90-b777-29f41d092d6c)) - (segment (start 185.228 45.72) (end 187.37 45.72) (width 0.15) (layer "F.Cu") (net 142) (tstamp 250c8859-53d9-4b16-9e33-bcef2d0d08db)) - (segment (start 189.4 48.8) (end 189.4 49.85) (width 0.15) (layer "F.Cu") (net 142) (tstamp 4717d0fe-4403-41d8-b34e-f32ad0c89ac4)) - (segment (start 189.4 49.85) (end 190.1 50.55) (width 0.15) (layer "F.Cu") (net 142) (tstamp 57827e05-5bc2-4fd8-89d5-a292512dab24)) - (segment (start 189 48.4) (end 189.4 48.8) (width 0.15) (layer "F.Cu") (net 142) (tstamp 73265981-a663-420e-888a-cc68bcfa91fd)) - (segment (start 191.8 50.55) (end 191.8 53.9) (width 0.15) (layer "F.Cu") (net 142) (tstamp b19b6cb2-1dcd-4bd7-9b24-4b717ad5e944)) - (segment (start 187.37 45.72) (end 189 47.35) (width 0.15) (layer "F.Cu") (net 142) (tstamp ca732df0-841c-46b2-96b9-d77d13584bfd)) - (segment (start 189 47.35) (end 189 48.4) (width 0.15) (layer "F.Cu") (net 142) (tstamp f9f8e3b3-1388-4946-813f-1a5d5e0d1869)) - (segment (start 185.228 52.07) (end 183.896 52.07) (width 0.15) (layer "F.Cu") (net 145) (tstamp 00000000-0000-0000-0000-000061e5a67f)) - (segment (start 172.25 46.45) (end 171.15 46.45) (width 0.15) (layer "F.Cu") (net 145) (tstamp 94ac34a7-c765-4491-9d5a-70eb0b4da71b)) - (via (at 183.896 52.07) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 145) (tstamp 00000000-0000-0000-0000-000061e5a679)) - (via (at 172.25 46.45) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 145) (tstamp 0719659f-0e4d-4bc3-90f2-cca9c1f2f3c2)) - (segment (start 183.896 52.07) (end 177.87 52.07) (width 0.15) (layer "B.Cu") (net 145) (tstamp 20d44f6a-736a-43bd-ba74-17795621b636)) - (segment (start 177.87 52.07) (end 172.25 46.45) (width 0.15) (layer "B.Cu") (net 145) (tstamp 778a6e9b-8450-4751-9ce7-950e829a0e06)) - (segment (start 194.95 59.75) (end 194.95 58.85) (width 0.15) (layer "F.Cu") (net 146) (tstamp 3f6ca33d-d29c-4d2c-8333-b4fb052758c0)) - (segment (start 194.65 91.85) (end 194.65 60.05) (width 0.15) (layer "F.Cu") (net 146) (tstamp 77a35b9e-7b50-4fd5-8d43-a2a8731f9b4e)) - (segment (start 196.2 109.1) (end 196.2 107.8) (width 0.15) (layer "F.Cu") (net 146) (tstamp 8ceacb90-c76b-4dd9-89e7-625dcc9359be)) - (segment (start 196.2 109.1) (end 196.2 110.8) (width 0.15) (layer "F.Cu") (net 146) (tstamp 92c15745-902a-4afa-9c24-dd3fb8f004e2)) - (segment (start 196.2 107.8) (end 195.95 107.55) (width 0.15) (layer "F.Cu") (net 146) (tstamp a76336ab-532c-408d-8b31-294993bffb9b)) - (segment (start 194.95 57.35) (end 194.95 58.85) (width 0.15) (layer "F.Cu") (net 146) (tstamp bbc86d48-5ce4-4ddd-b79b-6c45ad7d510b)) - (segment (start 194.65 60.05) (end 194.95 59.75) (width 0.15) (layer "F.Cu") (net 146) (tstamp ca0c6971-dfef-4ee0-b1f4-957118ac6eab)) - (via (at 195.95 107.55) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 146) (tstamp 12ab2339-befe-4c2a-83fe-ca919424ff18)) - (via (at 194.65 91.85) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 146) (tstamp 59147bef-e7d3-42c9-9796-6326ea61071b)) - (segment (start 195.95 104.95) (end 195.95 107.55) (width 0.15) (layer "B.Cu") (net 146) (tstamp 753375e0-17ba-4bdf-b41c-fd96fe84cdfa)) - (segment (start 194.65 91.85) (end 194.65 98.2) (width 0.15) (layer "B.Cu") (net 146) (tstamp 87c065a3-2942-4a9f-98ae-cd2ac851018d)) - (segment (start 192.45 101.45) (end 195.95 104.95) (width 0.15) (layer "B.Cu") (net 146) (tstamp 9b769d2d-6c06-4b8e-8260-40de3e4ddced)) - (segment (start 194.65 98.2) (end 192.45 100.4) (width 0.15) (layer "B.Cu") (net 146) (tstamp ade33e14-e6b0-446d-8a77-8db14a79e0e3)) - (segment (start 192.45 100.4) (end 192.45 101.45) (width 0.15) (layer "B.Cu") (net 146) (tstamp d0780931-fd80-4887-ae40-469dcb55b038)) - (segment (start 168.25 41.05) (end 171.15 41.05) (width 0.15) (layer "F.Cu") (net 147) (tstamp cbc96328-cf32-4afa-9f80-62cf7d10af5b)) - (segment (start 171.15 41.05) (end 171.15 42.55) (width 0.15) (layer "F.Cu") (net 147) (tstamp dcb39c74-b4fc-4cef-b985-815393bc340d)) - (segment (start 187.706 54.102) (end 187.071 53.467) (width 0.15) (layer "F.Cu") (net 148) (tstamp 21d8c47c-4cf0-4c07-9491-9a6bb6d4083a)) - (segment (start 186.69 50.8) (end 185.228 50.8) (width 0.15) (layer "F.Cu") (net 148) (tstamp b09903a5-693f-4dec-87b4-b28ce4c6d7db)) - (segment (start 187.071 53.467) (end 187.071 51.181) (width 0.15) (layer "F.Cu") (net 148) (tstamp cf6c9828-d663-47f6-a4ca-8ec07a2d5311)) - (segment (start 187.071 51.181) (end 186.69 50.8) (width 0.15) (layer "F.Cu") (net 148) (tstamp e0dc366a-91de-469c-9519-00ce64d02647)) - (segment (start 187.706 54.991) (end 187.706 54.102) (width 0.15) (layer "F.Cu") (net 148) (tstamp f49665ae-fbc7-4b7f-a9b5-8cfef2850911)) - (segment (start 177.358 49.85) (end 175.3 49.85) (width 0.15) (layer "F.Cu") (net 149) (tstamp 0f576e76-3559-4fcb-a8b4-e7c2a29d925c)) - (segment (start 173.45 46.35) (end 172.25 45.15) (width 0.15) (layer "F.Cu") (net 149) (tstamp 251ac4af-1365-4a56-afd6-0389f8335488)) - (segment (start 180.278 50.8) (end 178.308 50.8) (width 0.15) (layer "F.Cu") (net 149) (tstamp 92e25f3a-fc08-4dd0-9453-52b014102a29)) - (segment (start 172.25 45.15) (end 171.15 45.15) (width 0.15) (layer "F.Cu") (net 149) (tstamp 99dad093-5efd-4aa9-ad70-71fe162f5dd5)) - (segment (start 173.45 48) (end 173.45 46.35) (width 0.15) (layer "F.Cu") (net 149) (tstamp 9b3ecb1b-8155-4a89-9d54-9f27f2514c86)) - (segment (start 178.308 50.8) (end 177.358 49.85) (width 0.15) (layer "F.Cu") (net 149) (tstamp d2f1f0a5-7865-4951-8ff9-838caa678870)) - (segment (start 175.3 49.85) (end 173.45 48) (width 0.15) (layer "F.Cu") (net 149) (tstamp e0f39568-b780-4cfc-a710-9e50455dcdae)) - (segment (start 175.43 49.53) (end 180.278 49.53) (width 0.15) (layer "F.Cu") (net 150) (tstamp 177148f9-8f0f-4982-8a97-c338508c403f)) - (segment (start 173.75 45.35) (end 173.75 47.85) (width 0.15) (layer "F.Cu") (net 150) (tstamp 17bffe97-bc29-4dff-95c8-7047dcee4c5d)) - (segment (start 172.25 43.85) (end 173.75 45.35) (width 0.15) (layer "F.Cu") (net 150) (tstamp 3288488f-52fa-4b11-80cf-bfa08d6d999e)) - (segment (start 173.75 47.85) (end 175.43 49.53) (width 0.15) (layer "F.Cu") (net 150) (tstamp 51b56a34-3925-4bcb-9a62-a4235930105b)) - (segment (start 171.15 43.85) (end 172.25 43.85) (width 0.15) (layer "F.Cu") (net 150) (tstamp 5ba1ac85-70d2-44a6-b34d-f4dda5577bcd)) + (segment (start 196.2 39.65) (end 195.5 39.65) (width 0.5) (layer "F.Cu") (net 83) (tstamp 6fb83118-ad28-42d7-96d2-7cafd7b0e464)) + (segment (start 193.35 57.35) (end 193.35 56.45) (width 0.3) (layer "F.Cu") (net 83) (tstamp 9124d28b-b335-4013-a30f-8fe9c53e5b12)) + (segment (start 196.2 39.65) (end 196.9 39.65) (width 0.5) (layer "F.Cu") (net 83) (tstamp 9f9e43f1-b8a6-44c2-ac1e-7dffea579c90)) + (segment (start 196.9 39.65) (end 197.05 39.8) (width 0.5) (layer "F.Cu") (net 83) (tstamp b5647ba5-029c-4236-bd62-3bae1d163b2c)) + (segment (start 184.55 42.1875) (end 184.55 43.25) (width 0.4) (layer "F.Cu") (net 83) (tstamp b5e43978-de37-4b85-aaa7-ecf2e22d2213)) + (segment (start 195.5 39.65) (end 195.35 39.8) (width 0.5) (layer "F.Cu") (net 83) (tstamp b8207972-6e65-4219-8942-8eacaca882e0)) + (segment (start 193.35 56.45) (end 193.35 55.55) (width 0.3) (layer "F.Cu") (net 83) (tstamp dab3e575-0027-45f0-8515-a1e2b417124b)) + (via (at 184.55 43.25) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 83) (tstamp 19255830-03be-4aca-880c-0f68e7ccf512)) + (via (at 193.35 56.45) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 83) (tstamp 8803a7b1-1b04-428d-a9d4-58d4ad211b15)) + (via (at 197.05 39.8) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 83) (tstamp a1ebed7a-a9d4-44c5-815d-29f5bd7a1e04)) + (via (at 195.35 39.8) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 83) (tstamp d79bf46a-b22c-4b45-aae3-08c4a2e809dd)) + (segment (start 192.4 39.8) (end 195.35 39.8) (width 0.5) (layer "B.Cu") (net 83) (tstamp 2765bca1-efa6-4b34-b4c2-81502619cb1b)) + (segment (start 197.6755 47.52525) (end 197.6755 40.4255) (width 0.45) (layer "B.Cu") (net 83) (tstamp 37cc8edc-e3ff-4c9e-9d99-86452e62f192)) + (segment (start 197.05 39.8) (end 195.35 39.8) (width 0.5) (layer "B.Cu") (net 83) (tstamp 38f6964d-c259-4242-95b5-b9af9bf14d6c)) + (segment (start 193.35 51.85075) (end 197.6755 47.52525) (width 0.45) (layer "B.Cu") (net 83) (tstamp 52f95826-77fa-45a0-90f2-08a39c194e57)) + (segment (start 193.35 56.45) (end 193.35 51.85075) (width 0.45) (layer "B.Cu") (net 83) (tstamp 88359b00-91ef-437d-b5c9-da81f84a6ccc)) + (segment (start 185 43.7) (end 188.5 43.7) (width 0.5) (layer "B.Cu") (net 83) (tstamp d544a829-5b44-47c9-9602-40ea68021792)) + (segment (start 197.6755 40.4255) (end 197.05 39.8) (width 0.45) (layer "B.Cu") (net 83) (tstamp df7a5513-a6ab-43e4-ad3c-eb020460eca1)) + (segment (start 184.55 43.25) (end 185 43.7) (width 0.5) (layer "B.Cu") (net 83) (tstamp e3ca9905-3295-4573-8d1f-39f3ecfb947a)) + (segment (start 188.5 43.7) (end 192.4 39.8) (width 0.5) (layer "B.Cu") (net 83) (tstamp ff8eeab7-fc44-4b5f-85d5-79857e5833a0)) + (segment (start 183.55 43.3625) (end 183.9 43.0125) (width 0.15) (layer "F.Cu") (net 84) (tstamp 067fb9a1-5278-4e90-ad48-93993d2ed931)) + (segment (start 185.228 46.99) (end 183.769 46.99) (width 0.15) (layer "F.Cu") (net 84) (tstamp 2efb1d28-ca19-43e0-bfcb-4ebd8e6a220b)) + (segment (start 183.9 43.0125) (end 183.9 42.1875) (width 0.15) (layer "F.Cu") (net 84) (tstamp 4e1c6558-3ba9-4882-a41c-13ffc0e34b24)) + (segment (start 183.55 46.771) (end 183.55 43.4) (width 0.15) (layer "F.Cu") (net 84) (tstamp bc67e8e3-b72d-401c-a508-235d91d69b71)) + (segment (start 183.769 46.99) (end 183.55 46.771) (width 0.15) (layer "F.Cu") (net 84) (tstamp e5e86bc8-314d-423c-9f02-0d544472aacf)) + (segment (start 186.05 68.5) (end 186.45 68.9) (width 0.15) (layer "F.Cu") (net 86) (tstamp 00000000-0000-0000-0000-000061aa1baa)) + (segment (start 186.45 68.9) (end 186.45 72.6) (width 0.15) (layer "F.Cu") (net 86) (tstamp 00000000-0000-0000-0000-000061aa1bab)) + (segment (start 186.45 72.6) (end 187.3 73.45) (width 0.15) (layer "F.Cu") (net 86) (tstamp 00000000-0000-0000-0000-000061aa1bac)) + (segment (start 187.3 73.45) (end 187.3 76.7) (width 0.15) (layer "F.Cu") (net 86) (tstamp 00000000-0000-0000-0000-000061aa1bad)) + (segment (start 186.4 77.6) (end 187.3 76.7) (width 0.15) (layer "F.Cu") (net 86) (tstamp 00000000-0000-0000-0000-000061aa1bae)) + (segment (start 185.15 77.6) (end 186.4 77.6) (width 0.15) (layer "F.Cu") (net 86) (tstamp 00000000-0000-0000-0000-000061aa1baf)) + (segment (start 185.15 68.5) (end 186.05 68.5) (width 0.15) (layer "F.Cu") (net 86) (tstamp 00000000-0000-0000-0000-000061aa1c8b)) + (segment (start 186.45 63.499999) (end 187.3 64.349999) (width 0.15) (layer "F.Cu") (net 86) (tstamp 1c880d1e-e070-400e-9b6b-efe082edf311)) + (segment (start 185.15 59.399999) (end 186.05 59.399999) (width 0.15) (layer "F.Cu") (net 86) (tstamp 221985a0-0d18-49f6-bd9a-21562558979d)) + (segment (start 186.05 59.399999) (end 186.45 59.799999) (width 0.15) (layer "F.Cu") (net 86) (tstamp 50acc024-ab67-4cdf-8a10-88b0a8005ee3)) + (segment (start 186.45 59.799999) (end 186.45 63.499999) (width 0.15) (layer "F.Cu") (net 86) (tstamp b00e53e4-d7eb-4c47-a2c1-c6dc4b2f5ce9)) + (segment (start 186.35 95.8) (end 185.15 95.8) (width 0.15) (layer "F.Cu") (net 87) (tstamp 00000000-0000-0000-0000-000061aa1c1d)) + (segment (start 186.35 95.8) (end 186.75 95.4) (width 0.15) (layer "F.Cu") (net 87) (tstamp 00000000-0000-0000-0000-000061aa1c1f)) + (segment (start 186.75 95.4) (end 186.75 87.25) (width 0.15) (layer "F.Cu") (net 87) (tstamp 00000000-0000-0000-0000-000061aa1c20)) + (segment (start 186.2 86.65) (end 185.15 86.65) (width 0.15) (layer "F.Cu") (net 87) (tstamp 00000000-0000-0000-0000-000061aa1c21)) + (segment (start 186.75 87.2) (end 186.2 86.65) (width 0.15) (layer "F.Cu") (net 87) (tstamp 00000000-0000-0000-0000-000061aa1c22)) + (segment (start 186.75 96.2) (end 186.35 95.8) (width 0.15) (layer "F.Cu") (net 87) (tstamp 630eb8cc-d115-4777-a0ea-2d5345bc8b51)) + (segment (start 175.15 116.6) (end 175.15 117.6) (width 0.15) (layer "F.Cu") (net 87) (tstamp 6e2d0d1c-4ff7-4aa7-9c9f-cd79aa056241)) + (segment (start 186.75 102.3) (end 186.75 96.2) (width 0.15) (layer "F.Cu") (net 87) (tstamp 7bf7ab27-6299-4cb5-9515-438ff7c55905)) + (segment (start 185.15 103.9) (end 185.15 104.9) (width 0.15) (layer "F.Cu") (net 87) (tstamp bc4d68af-174d-4fd1-b211-24dde51cb71f)) + (segment (start 185.15 116.6) (end 185.15 117.6) (width 0.15) (layer "F.Cu") (net 87) (tstamp dbbaa5fd-2c42-4b00-9169-eb1be214cb91)) + (via (at 185.15 103.9) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 87) (tstamp 00000000-0000-0000-0000-000061aa1c24)) + (via (at 175.15 116.6) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 87) (tstamp 750a7394-44ac-40a6-be41-e376de0b84b4)) + (via (at 185.15 116.6) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 87) (tstamp 9fd97380-43e4-4a90-8eef-8e7b48d76a73)) + (segment (start 191.05 74.35) (end 189.95 74.35) (width 0.15) (layer "F.Cu") (net 88) (tstamp 00000000-0000-0000-0000-000061aa1c5d)) + (segment (start 191.05 83.45) (end 189.95 83.45) (width 0.15) (layer "F.Cu") (net 88) (tstamp 00000000-0000-0000-0000-000061aa1c60)) + (segment (start 189.55 101.65) (end 191.05 101.65) (width 0.15) (layer "F.Cu") (net 88) (tstamp 00000000-0000-0000-0000-000061aa1c67)) + (segment (start 189.45 92.55) (end 191.05 92.55) (width 0.15) (layer "F.Cu") (net 88) (tstamp 00000000-0000-0000-0000-000061aa1c6b)) + (segment (start 189.95 110.75) (end 189.75 110.55) (width 0.15) (layer "F.Cu") (net 88) (tstamp 00000000-0000-0000-0000-000061aa1c70)) + (segment (start 191.05 110.75) (end 189.95 110.75) (width 0.15) (layer "F.Cu") (net 88) (tstamp 00000000-0000-0000-0000-000061aa1c71)) + (segment (start 188.25 93.75) (end 189.45 92.55) (width 0.15) (layer "F.Cu") (net 88) (tstamp 2b26ffe6-ef97-49b1-a199-a675c81978d9)) + (segment (start 188.25 102.95) (end 189.55 101.65) (width 0.15) (layer "F.Cu") (net 88) (tstamp 3ccdf24b-eb9f-4a00-9f41-d5b353b22d7e)) + (segment (start 189.95 123.45) (end 189.75 123.25) (width 0.15) (layer "F.Cu") (net 88) (tstamp 4353e637-fbc1-4966-9f53-dcd3aaf3f4b9)) + (segment (start 189.55 101.65) (end 188.25 100.35) (width 0.15) (layer "F.Cu") (net 88) (tstamp 4a7d7b8e-a1e3-4d63-be82-b5641ced43cf)) + (segment (start 179.95 123.45) (end 179.75 123.25) (width 0.15) (layer "F.Cu") (net 88) (tstamp 5cd823d7-6a5d-490a-8349-2a0619bf16a1)) + (segment (start 191.05 123.45) (end 189.95 123.45) (width 0.15) (layer "F.Cu") (net 88) (tstamp 9124d6e0-df25-48d8-9d2d-a38f9f42ae58)) + (segment (start 181.05 123.45) (end 179.95 123.45) (width 0.15) (layer "F.Cu") (net 88) (tstamp 95eb6d85-9853-48b5-a778-897cf17794a0)) + (segment (start 188.25 100.35) (end 188.25 93.75) (width 0.15) (layer "F.Cu") (net 88) (tstamp bc9e9273-84e4-4992-b64b-43895f0e7158)) + (segment (start 191.05 65.249999) (end 189.95 65.249999) (width 0.15) (layer "F.Cu") (net 88) (tstamp caf79a2a-2ba0-49a3-a729-5181ff706676)) + (via (at 189.95 74.35) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 88) (tstamp 00000000-0000-0000-0000-000061aa1c5e)) + (via (at 189.95 83.45) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 88) (tstamp 00000000-0000-0000-0000-000061aa1c5f)) + (via (at 189.45 92.55) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 88) (tstamp 00000000-0000-0000-0000-000061aa1c6d)) + (via (at 189.75 110.55) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 88) (tstamp 00000000-0000-0000-0000-000061aa1c6f)) + (via (at 179.75 123.25) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 88) (tstamp 0b067852-8088-4b13-969f-c1c2e34fce22)) + (via (at 189.95 65.249999) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 88) (tstamp 12654ddc-687c-45df-ad43-344702855718)) + (via (at 189.75 123.25) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 88) (tstamp 7251927c-7230-4936-a06b-b5da810ec249)) + (segment (start 188.15 75.525) (end 189.325 74.35) (width 0.15) (layer "B.Cu") (net 88) (tstamp 00000000-0000-0000-0000-000061aa1c61)) + (segment (start 188.15 79.45) (end 188.15 75.525) (width 0.15) (layer "B.Cu") (net 88) (tstamp 00000000-0000-0000-0000-000061aa1c62)) + (segment (start 189.95 81.25) (end 188.15 79.45) (width 0.15) (layer "B.Cu") (net 88) (tstamp 00000000-0000-0000-0000-000061aa1c63)) + (segment (start 189.325 74.35) (end 189.95 74.35) (width 0.15) (layer "B.Cu") (net 88) (tstamp 00000000-0000-0000-0000-000061aa1c64)) + (segment (start 189.95 83.45) (end 189.95 81.25) (width 0.15) (layer "B.Cu") (net 88) (tstamp 00000000-0000-0000-0000-000061aa1c65)) + (segment (start 189.325 65.249999) (end 189.95 65.249999) (width 0.15) (layer "B.Cu") (net 88) (tstamp 0e1f21ff-22d6-42a8-bc60-da4828a62a09)) + (segment (start 188.15 91.25) (end 189.45 92.55) (width 0.15) (layer "B.Cu") (net 88) (tstamp 1e67289c-7f9a-4d50-bfe0-3842f0f82255)) + (segment (start 191.4 83.45) (end 193.85 85.9) (width 0.15) (layer "B.Cu") (net 88) (tstamp 2ed85c59-b070-4a35-8513-e5607b274b5b)) + (segment (start 189.95 83.45) (end 191.4 83.45) (width 0.15) (layer "B.Cu") (net 88) (tstamp 5060e137-ce79-4b3f-90a1-c6ae0c994d2a)) + (segment (start 188.15 85.05) (end 188.15 91.25) (width 0.15) (layer "B.Cu") (net 88) (tstamp b8e9f920-b822-4beb-881a-e46d4a9799ff)) + (segment (start 194.95 55.55) (end 195.75 55.55) (width 0.15) (layer "F.Cu") (net 89) (tstamp 33fbbe03-f839-4e64-9029-d75d87aa707d)) + (segment (start 167.3 43.15) (end 166.4 43.15) (width 0.15) (layer "F.Cu") (net 89) (tstamp 822e26d2-9795-4bee-834c-5b92141d34bb)) + (segment (start 166.4 43.15) (end 165.75 42.5) (width 0.15) (layer "F.Cu") (net 89) (tstamp b86b2616-320f-48a0-9a63-1de56c4b4ed0)) + (via (at 195.75 55.55) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 89) (tstamp 240d3307-30c7-4dbd-939b-9d9856c9a4d3)) + (via (at 165.75 42.5) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 89) (tstamp b56ddb08-059c-4695-96c5-355c472f2865)) + (segment (start 195.75 55.55) (end 194.025 57.275) (width 0.15) (layer "B.Cu") (net 89) (tstamp 007177bf-d5dd-4a70-a1e7-9309fdac0787)) + (segment (start 190.075 55.65) (end 187.5155 53.0905) (width 0.15) (layer "B.Cu") (net 89) (tstamp 0383c6b7-4028-40fc-81b3-6cb7a6c7b0f0)) + (segment (start 194.025 57.275) (end 192.65 57.275) (width 0.15) (layer "B.Cu") (net 89) (tstamp 0d4a14ce-0bc0-4713-a3ec-613a0025c4b2)) + (segment (start 192.65 57.275) (end 191.025 55.65) (width 0.15) (layer "B.Cu") (net 89) (tstamp 1e676e3e-4bc6-4049-84dd-94b8ed8ee54a)) + (segment (start 187.5155 48.3235) (end 182.892 43.7) (width 0.15) (layer "B.Cu") (net 89) (tstamp 3eb89acc-f155-4163-8697-04ad9892e647)) + (segment (start 182.892 43.7) (end 177.5 43.7) (width 0.15) (layer "B.Cu") (net 89) (tstamp 865723c4-8209-4fe1-9f00-6c71e3ef7f83)) + (segment (start 187.5155 53.0905) (end 187.5155 48.3235) (width 0.15) (layer "B.Cu") (net 89) (tstamp 8e3a3e32-0da9-4500-bcb3-3c8c08a1bf06)) + (segment (start 176.3 42.5) (end 165.75 42.5) (width 0.15) (layer "B.Cu") (net 89) (tstamp 9f4d9a2c-741f-490e-ab71-eca9698fabbd)) + (segment (start 191.025 55.65) (end 190.075 55.65) (width 0.15) (layer "B.Cu") (net 89) (tstamp c4b651bd-bbd8-472a-8654-e249dc329a51)) + (segment (start 177.5 43.7) (end 176.3 42.5) (width 0.15) (layer "B.Cu") (net 89) (tstamp c8a159f3-76e7-47d3-ab2d-37d844d96ca3)) + (via (at 196.7865 122.2375) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 90) (tstamp 5e7f121e-1a15-44fb-9630-d38cf3c27a65)) + (segment (start 196.7865 122.2375) (end 197.8025 122.2375) (width 0.15) (layer "B.Cu") (net 90) (tstamp 04a94788-6bd2-4189-b31c-62f69d3f4ac1)) + (segment (start 197.8025 122.2375) (end 200.66 119.38) (width 0.15) (layer "B.Cu") (net 90) (tstamp 8bc308c3-a611-47c0-938e-2597626f9b38)) + (segment (start 183.1595 54.991) (end 183.1595 53.4925) (width 0.15) (layer "F.Cu") (net 137) (tstamp 00000000-0000-0000-0000-000061e5a649)) + (segment (start 181.737 52.07) (end 180.278 52.07) (width 0.15) (layer "F.Cu") (net 137) (tstamp 00000000-0000-0000-0000-000061e5a64c)) + (segment (start 183.1595 53.4925) (end 181.737 52.07) (width 0.15) (layer "F.Cu") (net 137) (tstamp 00000000-0000-0000-0000-000061e5a64f)) + (segment (start 190.1 50.55) (end 191.8 50.55) (width 0.15) (layer "F.Cu") (net 138) (tstamp 1a32102d-4d08-4a90-b777-29f41d092d6c)) + (segment (start 185.228 45.72) (end 187.37 45.72) (width 0.15) (layer "F.Cu") (net 138) (tstamp 250c8859-53d9-4b16-9e33-bcef2d0d08db)) + (segment (start 189.4 48.8) (end 189.4 49.85) (width 0.15) (layer "F.Cu") (net 138) (tstamp 4717d0fe-4403-41d8-b34e-f32ad0c89ac4)) + (segment (start 189.4 49.85) (end 190.1 50.55) (width 0.15) (layer "F.Cu") (net 138) (tstamp 57827e05-5bc2-4fd8-89d5-a292512dab24)) + (segment (start 189 48.4) (end 189.4 48.8) (width 0.15) (layer "F.Cu") (net 138) (tstamp 73265981-a663-420e-888a-cc68bcfa91fd)) + (segment (start 191.8 50.55) (end 191.8 53.9) (width 0.15) (layer "F.Cu") (net 138) (tstamp b19b6cb2-1dcd-4bd7-9b24-4b717ad5e944)) + (segment (start 187.37 45.72) (end 189 47.35) (width 0.15) (layer "F.Cu") (net 138) (tstamp ca732df0-841c-46b2-96b9-d77d13584bfd)) + (segment (start 189 47.35) (end 189 48.4) (width 0.15) (layer "F.Cu") (net 138) (tstamp f9f8e3b3-1388-4946-813f-1a5d5e0d1869)) + (segment (start 185.228 52.07) (end 183.896 52.07) (width 0.15) (layer "F.Cu") (net 141) (tstamp 00000000-0000-0000-0000-000061e5a67f)) + (segment (start 172.25 46.45) (end 171.15 46.45) (width 0.15) (layer "F.Cu") (net 141) (tstamp 94ac34a7-c765-4491-9d5a-70eb0b4da71b)) + (via (at 183.896 52.07) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 141) (tstamp 00000000-0000-0000-0000-000061e5a679)) + (via (at 172.25 46.45) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 141) (tstamp 0719659f-0e4d-4bc3-90f2-cca9c1f2f3c2)) + (segment (start 183.896 52.07) (end 177.87 52.07) (width 0.15) (layer "B.Cu") (net 141) (tstamp 20d44f6a-736a-43bd-ba74-17795621b636)) + (segment (start 177.87 52.07) (end 172.25 46.45) (width 0.15) (layer "B.Cu") (net 141) (tstamp 778a6e9b-8450-4751-9ce7-950e829a0e06)) + (segment (start 194.3 60.7) (end 194.3 60.4) (width 0.15) (layer "F.Cu") (net 142) (tstamp 072d132e-af0b-447c-9780-2cf597c674b9)) + (segment (start 194.95 59.75) (end 194.95 58.85) (width 0.15) (layer "F.Cu") (net 142) (tstamp 6b7f5aed-daae-4a3b-961a-dfffc41246e8)) + (segment (start 194.3 60.4) (end 194.95 59.75) (width 0.15) (layer "F.Cu") (net 142) (tstamp 898986ca-366c-4222-af30-78ef506a3596)) + (segment (start 196.2 102.25) (end 196.2 103.95) (width 0.15) (layer "F.Cu") (net 142) (tstamp 92c15745-902a-4afa-9c24-dd3fb8f004e2)) + (segment (start 194.95 57.35) (end 194.95 58.85) (width 0.15) (layer "F.Cu") (net 142) (tstamp bbc86d48-5ce4-4ddd-b79b-6c45ad7d510b)) + (via (at 194.3 60.7) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 142) (tstamp 2c3f01b7-0e08-4f18-a6df-af7a9671d675)) + (via (at 194.65 66.1) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 142) (tstamp ed8acc22-10a7-4922-a909-1f7378b2bdac)) + (segment (start 194.65 61.05) (end 194.65 66.1) (width 0.15) (layer "B.Cu") (net 142) (tstamp 45cd1382-9546-4801-8a27-c6a7ec4d3c22)) + (segment (start 194.3 60.7) (end 194.65 61.05) (width 0.15) (layer "B.Cu") (net 142) (tstamp 58c2e80a-178c-4183-9d58-aabe9b78079e)) + (segment (start 168.25 41.05) (end 171.15 41.05) (width 0.15) (layer "F.Cu") (net 143) (tstamp cbc96328-cf32-4afa-9f80-62cf7d10af5b)) + (segment (start 171.15 41.05) (end 171.15 42.55) (width 0.15) (layer "F.Cu") (net 143) (tstamp dcb39c74-b4fc-4cef-b985-815393bc340d)) + (segment (start 187.706 54.102) (end 187.071 53.467) (width 0.15) (layer "F.Cu") (net 144) (tstamp 21d8c47c-4cf0-4c07-9491-9a6bb6d4083a)) + (segment (start 186.69 50.8) (end 185.228 50.8) (width 0.15) (layer "F.Cu") (net 144) (tstamp b09903a5-693f-4dec-87b4-b28ce4c6d7db)) + (segment (start 187.071 53.467) (end 187.071 51.181) (width 0.15) (layer "F.Cu") (net 144) (tstamp cf6c9828-d663-47f6-a4ca-8ec07a2d5311)) + (segment (start 187.071 51.181) (end 186.69 50.8) (width 0.15) (layer "F.Cu") (net 144) (tstamp e0dc366a-91de-469c-9519-00ce64d02647)) + (segment (start 187.706 54.991) (end 187.706 54.102) (width 0.15) (layer "F.Cu") (net 144) (tstamp f49665ae-fbc7-4b7f-a9b5-8cfef2850911)) + (segment (start 177.358 49.85) (end 175.3 49.85) (width 0.15) (layer "F.Cu") (net 145) (tstamp 0f576e76-3559-4fcb-a8b4-e7c2a29d925c)) + (segment (start 173.45 46.35) (end 172.25 45.15) (width 0.15) (layer "F.Cu") (net 145) (tstamp 251ac4af-1365-4a56-afd6-0389f8335488)) + (segment (start 180.278 50.8) (end 178.308 50.8) (width 0.15) (layer "F.Cu") (net 145) (tstamp 92e25f3a-fc08-4dd0-9453-52b014102a29)) + (segment (start 172.25 45.15) (end 171.15 45.15) (width 0.15) (layer "F.Cu") (net 145) (tstamp 99dad093-5efd-4aa9-ad70-71fe162f5dd5)) + (segment (start 173.45 48) (end 173.45 46.35) (width 0.15) (layer "F.Cu") (net 145) (tstamp 9b3ecb1b-8155-4a89-9d54-9f27f2514c86)) + (segment (start 178.308 50.8) (end 177.358 49.85) (width 0.15) (layer "F.Cu") (net 145) (tstamp d2f1f0a5-7865-4951-8ff9-838caa678870)) + (segment (start 175.3 49.85) (end 173.45 48) (width 0.15) (layer "F.Cu") (net 145) (tstamp e0f39568-b780-4cfc-a710-9e50455dcdae)) + (segment (start 175.43 49.53) (end 180.278 49.53) (width 0.15) (layer "F.Cu") (net 146) (tstamp 177148f9-8f0f-4982-8a97-c338508c403f)) + (segment (start 173.75 45.35) (end 173.75 47.85) (width 0.15) (layer "F.Cu") (net 146) (tstamp 17bffe97-bc29-4dff-95c8-7047dcee4c5d)) + (segment (start 172.25 43.85) (end 173.75 45.35) (width 0.15) (layer "F.Cu") (net 146) (tstamp 3288488f-52fa-4b11-80cf-bfa08d6d999e)) + (segment (start 173.75 47.85) (end 175.43 49.53) (width 0.15) (layer "F.Cu") (net 146) (tstamp 51b56a34-3925-4bcb-9a62-a4235930105b)) + (segment (start 171.15 43.85) (end 172.25 43.85) (width 0.15) (layer "F.Cu") (net 146) (tstamp 5ba1ac85-70d2-44a6-b34d-f4dda5577bcd)) (zone (net 1) (net_name "GND") (layer "F.Cu") (tstamp 00000000-0000-0000-0000-000061aa3071) (hatch edge 0.508) (connect_pads (clearance 0.1524)) diff --git a/WarpSE.kicad_sch b/WarpSE.kicad_sch index a161e40..33d21cd 100644 --- a/WarpSE.kicad_sch +++ b/WarpSE.kicad_sch @@ -65,23 +65,31 @@ ) ) + (junction (at 109.22 91.44) (diameter 0) (color 0 0 0 0) + (uuid 2a1eab90-5dba-4fc0-bd11-76f075c72832) + ) - (wire (pts (xy 109.22 142.24) (xy 106.68 142.24)) + (wire (pts (xy 76.2 93.98) (xy 81.28 93.98)) (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 07f31189-9c25-4451-a6f9-bdcc32248b57) + (uuid 0848d53e-c8a1-4e24-b120-bda9e180c56d) ) (wire (pts (xy 76.2 147.32) (xy 81.28 147.32)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 09c0a9de-2dfc-4be9-9250-9ae2d6526ac2) ) - (wire (pts (xy 76.2 124.46) (xy 81.28 124.46)) + (wire (pts (xy 76.2 111.76) (xy 81.28 111.76)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 0ba8b692-872e-4c9a-89ee-1ecf19eb8ee7) + ) + (bus (pts (xy 109.22 91.44) (xy 111.76 91.44)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1a93bbbf-803b-4f9d-b6b7-e4e284a34068) + ) + + (wire (pts (xy 76.2 119.38) (xy 81.28 119.38)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 1ca448cc-c833-4193-8af3-3b4f8ca5d7fc) ) - (wire (pts (xy 109.22 147.32) (xy 106.68 147.32)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 1f374070-2d1d-4810-b173-a6fa5d875cff) - ) (wire (pts (xy 38.1 124.46) (xy 45.72 124.46)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 216aed44-c892-4118-9b40-edc290643ffb) @@ -91,10 +99,6 @@ (uuid 24e01e05-641d-49cb-81ab-2450d5bebdeb) ) - (wire (pts (xy 76.2 86.36) (xy 81.28 86.36)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 267fd74b-291c-4307-a498-d55baee96c9e) - ) (wire (pts (xy 76.2 144.78) (xy 81.28 144.78)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 27c43bdb-fb37-4019-9150-6ac46d7b1bc7) @@ -103,7 +107,7 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 3026d280-15e8-496c-8134-a3ad44decc9f) ) - (wire (pts (xy 109.22 139.7) (xy 106.68 139.7)) + (wire (pts (xy 111.76 93.98) (xy 106.68 93.98)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 328cc4df-a48e-48a7-8cbf-2ba0e715f2f1) ) @@ -111,15 +115,15 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 37f767ca-0515-4697-9c6c-b3cc9bb730f5) ) - (wire (pts (xy 109.22 160.02) (xy 106.68 160.02)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 3f91e7ab-b6b5-4d24-9f6e-1290ed21aaf8) - ) (wire (pts (xy 76.2 157.48) (xy 81.28 157.48)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 440a14f0-1871-48e4-a747-61ed669003e7) ) - (wire (pts (xy 76.2 88.9) (xy 81.28 88.9)) + (wire (pts (xy 76.2 91.44) (xy 81.28 91.44)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 4412d6a4-d58f-4630-b7dd-1e89a5f6cf2c) + ) + (wire (pts (xy 76.2 96.52) (xy 81.28 96.52)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 44a4f2cd-23a0-44b4-997d-5623202e8b6b) ) @@ -135,29 +139,29 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 49f248e6-ff6b-4937-b58a-9835508b2a6b) ) - (bus (pts (xy 106.68 172.72) (xy 109.22 172.72)) + (bus (pts (xy 106.68 111.76) (xy 111.76 111.76)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 4c1efb41-b9c6-4378-8a68-9ecb6bd29787) ) - (wire (pts (xy 76.2 106.68) (xy 81.28 106.68)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 50907be3-5a7d-4256-9859-52aaf1455eb1) - ) (wire (pts (xy 38.1 137.16) (xy 45.72 137.16)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 525a8aee-73cc-4405-b368-88dcce894336) ) - (bus (pts (xy 106.68 170.18) (xy 109.22 170.18)) + (bus (pts (xy 76.2 86.36) (xy 109.22 86.36)) (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 5533a8ea-fec9-4e12-87d2-358aed39f856) + (uuid 538b61bb-bc8b-4f88-92e1-8e36810c129b) + ) + (bus (pts (xy 109.22 91.44) (xy 109.22 86.36)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 56c8b94e-52dc-4821-8181-89c1ecf2b5ed) ) - (wire (pts (xy 76.2 104.14) (xy 81.28 104.14)) + (wire (pts (xy 38.1 147.32) (xy 45.72 147.32)) (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 5b080882-86ff-43d5-be09-0d2ca87b5f2a) + (uuid 5f4aaf7a-f453-49b2-a4d4-adbdc06c6283) ) - (wire (pts (xy 109.22 144.78) (xy 106.68 144.78)) + (wire (pts (xy 111.76 96.52) (xy 106.68 96.52)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 61e5a8e8-07a2-47de-a2d2-87ac5c51107d) ) @@ -165,7 +169,7 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 65dcc54d-1086-4dc5-b07f-909f624f5664) ) - (wire (pts (xy 76.2 96.52) (xy 81.28 96.52)) + (wire (pts (xy 76.2 109.22) (xy 81.28 109.22)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 72aef990-047c-498c-8334-9cea55d2efb1) ) @@ -197,7 +201,7 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 833a2ab4-a188-48a1-bff5-a46fcf03b508) ) - (wire (pts (xy 106.68 152.4) (xy 109.22 152.4)) + (wire (pts (xy 106.68 101.6) (xy 111.76 101.6)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 855c22df-945b-434b-bf92-bf14739aa807) ) @@ -206,14 +210,10 @@ (uuid 881c4e57-9604-4665-871c-66d5cdc9c65e) ) - (wire (pts (xy 76.2 129.54) (xy 81.28 129.54)) + (wire (pts (xy 76.2 124.46) (xy 81.28 124.46)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 8ea6d8c0-c4c8-4f67-b82c-1659458dc66d) ) - (wire (pts (xy 76.2 99.06) (xy 81.28 99.06)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 9d64387a-032b-4d50-b731-d236ce832079) - ) (wire (pts (xy 73.66 190.5) (xy 81.28 190.5)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid a15c7052-81fb-44fb-b5d2-d4438dabcdb5) @@ -222,10 +222,6 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid a18c3852-0383-479d-a3a4-09d209ff81c2) ) - (wire (pts (xy 76.2 109.22) (xy 81.28 109.22)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid a5010fa0-2199-4def-babe-5094399a6c93) - ) (wire (pts (xy 38.1 152.4) (xy 45.72 152.4)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid a79cdfa3-0db5-45d0-b34a-d4b8f90b32bb) @@ -251,27 +247,19 @@ (uuid c95ffd84-accf-40c3-be2f-801cd887cba5) ) - (wire (pts (xy 76.2 127) (xy 81.28 127)) + (wire (pts (xy 76.2 121.92) (xy 81.28 121.92)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid ca3a66ff-b17c-4779-9c2c-ce68c84f3664) ) - (wire (pts (xy 76.2 101.6) (xy 81.28 101.6)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid caa4b9f6-f441-46d4-95ad-e610c85334b8) - ) (wire (pts (xy 38.1 25.4) (xy 45.72 25.4)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid cf795f40-7341-4946-922e-bfdcf6394e8f) ) - (wire (pts (xy 109.22 157.48) (xy 106.68 157.48)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d5b53c24-86cd-402d-a287-e084ae8aab6e) - ) (wire (pts (xy 73.66 185.42) (xy 81.28 185.42)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid dab2584f-1822-4631-b2fb-f0002ec1c101) ) - (wire (pts (xy 106.68 149.86) (xy 109.22 149.86)) + (wire (pts (xy 106.68 99.06) (xy 111.76 99.06)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid deac550b-4e35-4295-a14e-75f71390ef39) ) @@ -279,7 +267,7 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid e04a1d45-2014-4f7b-a381-c8736d598214) ) - (wire (pts (xy 109.22 154.94) (xy 106.68 154.94)) + (wire (pts (xy 111.76 104.14) (xy 106.68 104.14)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid e0f3ea4e-0b68-4c78-91a1-affc503ea8f6) ) @@ -287,16 +275,16 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid e90750d6-9904-4d06-bbc3-fdaa73e363cd) ) - (bus (pts (xy 106.68 167.64) (xy 109.22 167.64)) + (bus (pts (xy 106.68 91.44) (xy 109.22 91.44)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid ee0713a6-d508-4c25-ba09-232e57d2d409) ) - (wire (pts (xy 76.2 93.98) (xy 81.28 93.98)) + (wire (pts (xy 76.2 101.6) (xy 81.28 101.6)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid ef399e22-c65a-42be-8e14-cf040287d81a) ) - (wire (pts (xy 76.2 121.92) (xy 81.28 121.92)) + (wire (pts (xy 76.2 116.84) (xy 81.28 116.84)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid ef546128-3ce3-4dc8-b0c8-9888a7ae5995) ) @@ -312,7 +300,7 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid f1d45c65-2f79-4f67-ac0d-7db45b117699) ) - (wire (pts (xy 106.68 162.56) (xy 109.22 162.56)) + (wire (pts (xy 106.68 106.68) (xy 111.76 106.68)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid f32e2450-247a-4e84-acba-970615abf8b0) ) @@ -320,7 +308,7 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid faa7310e-7d63-48e4-99eb-3069816753f0) ) - (wire (pts (xy 106.68 165.1) (xy 109.22 165.1)) + (wire (pts (xy 106.68 109.22) (xy 111.76 109.22)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid fe1faf2b-4546-4e2c-9ad5-a9a20b5bd68c) ) @@ -554,160 +542,128 @@ ) ) - (sheet (at 81.28 83.82) (size 25.4 109.22) + (sheet (at 81.28 90.17) (size 25.4 102.87) (stroke (width 0) (type solid) (color 0 0 0 0)) (fill (color 0 0 0 0.0000)) (uuid 00000000-0000-0000-0000-00005f723173) - (property "Sheet name" "Control" (id 0) (at 81.28 83.82 0) + (property "Sheet name" "Control" (id 0) (at 81.28 90.17 0) (effects (font (size 1.27 1.27)) (justify left bottom)) ) (property "Sheet file" "Control.kicad_sch" (id 1) (at 81.28 193.04 0) (effects (font (size 1.27 1.27)) (justify left top)) ) - (pin "~{RESET}" input (at 106.68 86.36 0) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 810ed4ff-ffe2-4032-9af6-fb5ada3bae5b) - ) - (pin "P~{AS}" output (at 106.68 121.92 0) - (effects (font (size 1.27 1.27)) (justify right)) + (pin "P~{AS}" output (at 81.28 116.84 180) + (effects (font (size 1.27 1.27)) (justify left)) (uuid eac8d865-0226-4958-b547-6b5592f39713) ) - (pin "P~{VMA}" output (at 106.68 124.46 0) - (effects (font (size 1.27 1.27)) (justify right)) + (pin "P~{VMA}" output (at 81.28 119.38 180) + (effects (font (size 1.27 1.27)) (justify left)) (uuid 443bc73a-8dc0-4e2f-a292-a5eff00efa5b) ) - (pin "P~{DTACK}" input (at 106.68 134.62 0) - (effects (font (size 1.27 1.27)) (justify right)) + (pin "P~{DTACK}" input (at 81.28 134.62 180) + (effects (font (size 1.27 1.27)) (justify left)) (uuid cc75e5ae-3348-4e7a-bd16-4df685ee47bd) ) - (pin "P~{VPA}" input (at 106.68 137.16 0) - (effects (font (size 1.27 1.27)) (justify right)) + (pin "P~{VPA}" input (at 81.28 137.16 180) + (effects (font (size 1.27 1.27)) (justify left)) (uuid 83021f70-e61e-4ad3-bae7-b9f02b28be4f) ) - (pin "P~{BERR}" input (at 106.68 139.7 0) - (effects (font (size 1.27 1.27)) (justify right)) + (pin "P~{BERR}" input (at 81.28 139.7 180) + (effects (font (size 1.27 1.27)) (justify left)) (uuid a25b7e01-1754-4cc9-8a14-3d9c461e5af5) ) - (pin "PE" input (at 106.68 157.48 0) - (effects (font (size 1.27 1.27)) (justify right)) + (pin "PE" input (at 81.28 157.48 180) + (effects (font (size 1.27 1.27)) (justify left)) (uuid 014d13cd-26ad-4d0e-86ad-a43b541cab14) ) - (pin "PC8M" input (at 106.68 154.94 0) - (effects (font (size 1.27 1.27)) (justify right)) + (pin "PC8M" input (at 81.28 154.94 180) + (effects (font (size 1.27 1.27)) (justify left)) (uuid 7744b6ee-910d-401d-b730-65c35d3d8092) ) - (pin "PC16M" input (at 106.68 152.4 0) - (effects (font (size 1.27 1.27)) (justify right)) + (pin "PC16M" input (at 81.28 152.4 180) + (effects (font (size 1.27 1.27)) (justify left)) (uuid 633292d3-80c5-4986-be82-ce926e9f09f4) ) - (pin "P~{UDS}" output (at 106.68 129.54 0) - (effects (font (size 1.27 1.27)) (justify right)) + (pin "P~{UDS}" output (at 81.28 124.46 180) + (effects (font (size 1.27 1.27)) (justify left)) (uuid 78f9c3d3-3556-46f6-9744-05ad54b330f0) ) - (pin "P~{LDS}" output (at 106.68 127 0) - (effects (font (size 1.27 1.27)) (justify right)) + (pin "P~{LDS}" output (at 81.28 121.92 180) + (effects (font (size 1.27 1.27)) (justify left)) (uuid 1427bb3f-0689-4b41-a816-cd79a5202fd0) ) - (pin "DinLE" output (at 106.68 106.68 0) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid fa00d3f4-bb71-4b1d-aa40-ae9267e2c41f) - ) - (pin "Dout~{OE}" output (at 106.68 96.52 0) - (effects (font (size 1.27 1.27)) (justify right)) + (pin "Dout~{OE}" output (at 81.28 109.22 180) + (effects (font (size 1.27 1.27)) (justify left)) (uuid 616287d9-a51f-498c-8b91-be46a0aa3a7f) ) - (pin "Aout~{OE}" output (at 106.68 93.98 0) - (effects (font (size 1.27 1.27)) (justify right)) + (pin "Aout~{OE}" output (at 81.28 101.6 180) + (effects (font (size 1.27 1.27)) (justify left)) (uuid a599509f-fbb9-4db4-9adf-9e96bab1138d) ) - (pin "Din~{OE}" output (at 106.68 99.06 0) - (effects (font (size 1.27 1.27)) (justify right)) + (pin "Din~{OE}" output (at 81.28 111.76 180) + (effects (font (size 1.27 1.27)) (justify left)) (uuid 8bdea5f6-7a53-427a-92b8-fd15994c2e8c) ) - (pin "ADoutLE0" output (at 106.68 101.6 0) - (effects (font (size 1.27 1.27)) (justify right)) + (pin "AoutLE" output (at 81.28 104.14 180) + (effects (font (size 1.27 1.27)) (justify left)) (uuid 235067e2-1686-40fe-a9a0-61704311b2b1) ) - (pin "ADoutLE1" output (at 106.68 104.14 0) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 31f91ec8-56e4-4e08-9ccd-012652772211) - ) - (pin "P~{BR}" output (at 106.68 144.78 0) - (effects (font (size 1.27 1.27)) (justify right)) + (pin "P~{BR}" output (at 81.28 144.78 180) + (effects (font (size 1.27 1.27)) (justify left)) (uuid 6ce84655-15b6-4143-aed8-826f523d6c72) ) - (pin "~{IPL}2" input (at 106.68 88.9 0) - (effects (font (size 1.27 1.27)) (justify right)) + (pin "~{IPL}2" input (at 81.28 96.52 180) + (effects (font (size 1.27 1.27)) (justify left)) (uuid ba115b22-e951-466b-81a5-2aff6a5a2fea) ) ) - (sheet (at 109.22 137.16) (size 17.78 38.1) + (sheet (at 111.76 88.9) (size 12.7 25.4) (stroke (width 0) (type solid) (color 0 0 0 0)) (fill (color 0 0 0 0.0000)) (uuid 00000000-0000-0000-0000-00005f723900) - (property "Sheet name" "RAM" (id 0) (at 109.22 137.16 0) + (property "Sheet name" "RAM" (id 0) (at 111.76 88.9 0) (effects (font (size 1.27 1.27)) (justify left bottom)) ) - (property "Sheet file" "RAM.kicad_sch" (id 1) (at 109.22 175.26 0) + (property "Sheet file" "RAM.kicad_sch" (id 1) (at 111.76 114.3 0) (effects (font (size 1.27 1.27)) (justify left top)) ) - (pin "~{RAS}" input (at 109.22 152.4 180) + (pin "~{RAS}" input (at 111.76 101.6 180) (effects (font (size 1.27 1.27)) (justify left)) (uuid cbde200f-1075-469a-89f8-abbdcf30e36a) ) - (pin "D[31..0]" bidirectional (at 109.22 172.72 180) + (pin "D[15..0]" bidirectional (at 111.76 111.76 180) (effects (font (size 1.27 1.27)) (justify left)) (uuid 3249bd81-9fd4-4194-9b4f-2e333b2195b8) ) - (pin "~{CAS}" input (at 109.22 154.94 180) + (pin "~{CAS}" input (at 111.76 104.14 180) (effects (font (size 1.27 1.27)) (justify left)) (uuid 718e5c6d-0e4c-46d8-a149-2f2bfc54c7f1) ) - (pin "RA[11..0]" input (at 109.22 170.18 180) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid 90f81af1-b6de-44aa-a46b-6504a157ce6c) - ) - (pin "~{WE}" input (at 109.22 149.86 180) + (pin "~{WE}" input (at 111.76 99.06 180) (effects (font (size 1.27 1.27)) (justify left)) (uuid 33357c6a-3be5-492b-8e19-acbd1a5d2d57) ) - (pin "CKE" input (at 109.22 144.78 180) + (pin "CKE" input (at 111.76 96.52 180) (effects (font (size 1.27 1.27)) (justify left)) (uuid a9e2f3d2-00fa-4303-8bcd-493a53d8f850) ) - (pin "CLK0" input (at 109.22 139.7 180) + (pin "CLK" input (at 111.76 93.98 180) (effects (font (size 1.27 1.27)) (justify left)) (uuid 9f7e0ecd-5c40-4f99-901e-d016ecd749b4) ) - (pin "BA[1..0]" input (at 109.22 167.64 180) + (pin "A[23..1]" input (at 111.76 91.44 180) (effects (font (size 1.27 1.27)) (justify left)) (uuid 0db5e330-593d-4221-a9ab-729140253442) ) - (pin "DQM2" input (at 109.22 160.02 180) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid cb0bacad-2a6f-4d58-9276-f791eb7b1d03) - ) - (pin "DQM3" input (at 109.22 157.48 180) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid 8ff807be-fe46-453c-99e8-bbff8c18eeec) - ) - (pin "DQM1" input (at 109.22 162.56 180) + (pin "DQMH" input (at 111.76 106.68 180) (effects (font (size 1.27 1.27)) (justify left)) (uuid 28ef5abd-9f0f-4bec-a691-072ad5135b86) ) - (pin "DQM0" input (at 109.22 165.1 180) + (pin "DQML" input (at 111.76 109.22 180) (effects (font (size 1.27 1.27)) (justify left)) (uuid 38595c20-7eee-4586-ae91-23f159338f60) ) - (pin "~{CS}" input (at 109.22 147.32 180) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid 41d45cfa-bfe1-4456-af82-b630a1d4947e) - ) - (pin "CLK1" input (at 109.22 142.24 180) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid 9014c43d-dfbb-4876-b4fd-617e3d2bc631) - ) ) (sheet (at 45.72 15.24) (size 30.48 144.78) @@ -732,15 +688,7 @@ (effects (font (size 1.27 1.27)) (justify left)) (uuid 901440f4-e2a6-4447-83cc-f58a2b26f5c4) ) - (pin "Din~{OE}" input (at 76.2 101.6 0) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid d66d3c12-11ce-4566-9a45-962e329503d8) - ) - (pin "DinLE" input (at 76.2 106.68 0) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 4b1fce17-dec7-457e-ba3b-a77604e77dc9) - ) - (pin "Aout~{OE}" input (at 76.2 93.98 0) + (pin "Aout~{OE}" input (at 76.2 101.6 0) (effects (font (size 1.27 1.27)) (justify right)) (uuid 869d6302-ae22-478f-9723-3feacbb12eef) ) @@ -752,11 +700,11 @@ (effects (font (size 1.27 1.27)) (justify right)) (uuid 4a54c707-7b6f-4a3d-a74d-5e3526114aba) ) - (pin "P~{VMA}" input (at 76.2 124.46 0) + (pin "P~{VMA}" input (at 76.2 119.38 0) (effects (font (size 1.27 1.27)) (justify right)) (uuid 897ba6b1-fbcb-4337-9d54-6665e16be4af) ) - (pin "P~{AS}" input (at 76.2 121.92 0) + (pin "P~{AS}" input (at 76.2 116.84 0) (effects (font (size 1.27 1.27)) (justify right)) (uuid fd1e309c-be10-4e0b-ab7c-7341e9512821) ) @@ -764,7 +712,7 @@ (effects (font (size 1.27 1.27)) (justify right)) (uuid f7820a8d-e125-44e5-93ef-ca1ce9a373e9) ) - (pin "P~{LDS}" input (at 76.2 127 0) + (pin "P~{LDS}" input (at 76.2 121.92 0) (effects (font (size 1.27 1.27)) (justify right)) (uuid b4e73a01-7d8f-4cba-8c1f-c9b774a20c3c) ) @@ -772,7 +720,7 @@ (effects (font (size 1.27 1.27)) (justify right)) (uuid 0fb84c72-0eed-4473-8852-952350d5593a) ) - (pin "P~{UDS}" input (at 76.2 129.54 0) + (pin "P~{UDS}" input (at 76.2 124.46 0) (effects (font (size 1.27 1.27)) (justify right)) (uuid 8664bcbf-244a-4db6-a242-5d76fb7e8831) ) @@ -844,23 +792,19 @@ (effects (font (size 1.27 1.27)) (justify left)) (uuid cb4911f3-1e25-4670-bb69-8a59508d3fed) ) - (pin "ADoutLE" input (at 76.2 104.14 0) + (pin "AoutLE" input (at 76.2 104.14 0) (effects (font (size 1.27 1.27)) (justify right)) (uuid 2d07e55e-6120-4343-879e-aa10b2746b37) ) - (pin "FBA1" input (at 76.2 109.22 0) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 60941c80-27be-43e2-b384-be60aab774c7) - ) (pin "~{IPL}[2..0]" bidirectional (at 45.72 22.86 180) (effects (font (size 1.27 1.27)) (justify left)) (uuid 2d5c0de6-c07d-4ead-8066-8feaef9b0f49) ) - (pin "Dout0~{OE}" input (at 76.2 99.06 0) + (pin "DDIR" input (at 76.2 111.76 0) (effects (font (size 1.27 1.27)) (justify right)) (uuid aaba045c-c0df-46ca-9a66-25ff7fb9d5f1) ) - (pin "Dout1~{OE}" input (at 76.2 96.52 0) + (pin "D~{OE}" input (at 76.2 109.22 0) (effects (font (size 1.27 1.27)) (justify right)) (uuid 8e85b1e8-b445-41f3-b26a-237f455abbcd) ) @@ -872,7 +816,7 @@ (effects (font (size 1.27 1.27)) (justify left)) (uuid 73e48ed9-98b0-4d45-b458-af695a89dd99) ) - (pin "~{IPL}2o" output (at 76.2 88.9 0) + (pin "~{IPL}[2..0]" output (at 76.2 96.52 0) (effects (font (size 1.27 1.27)) (justify right)) (uuid 7a2db460-3d03-4a88-8f15-03efc02493c3) ) @@ -880,6 +824,86 @@ (effects (font (size 1.27 1.27)) (justify right)) (uuid dc5a351e-c3d0-4f83-8f00-14ecdb7645e9) ) + (pin "~{RESET}" output (at 76.2 93.98 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid f864f15f-4b6f-4df5-96eb-10a153418590) + ) + (pin "~{RESETOE}" input (at 76.2 91.44 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid c48ce12f-7879-4486-b872-bb52e6a3e833) + ) + (pin "AHoutLE" input (at 76.2 104.14 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 3eb9a94e-264c-403d-8522-2213e6ec8e9d) + ) + (pin "FA[23..1]" input (at 76.2 86.36 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 23840fe8-27c2-4b53-9400-65f8b27a4a08) + ) + (pin "O~{UDS}" input (at 76.2 124.46 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 412f4c9d-8594-4c8e-8f12-5bd27c559454) + ) + (pin "O~{LDS}" input (at 76.2 121.92 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 1da9bf91-ad34-453b-b39c-c2d0cad697b6) + ) + (pin "O~{AS}" input (at 76.2 116.84 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid c86300a4-d0cb-4b2e-8a22-2c47d466619c) + ) + (pin "O~{VMA}" input (at 76.2 119.38 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 1425d331-6a8a-403c-8653-00e120c3d9c9) + ) + (pin "B~{BG}" output (at 76.2 147.32 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 34082a3b-8931-4503-8f8f-e1973d1bc210) + ) + (pin "B~{RESET}" output (at 76.2 93.98 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid db21b196-1301-488e-b887-41f24ad87b3c) + ) + (pin "B~{DTACK}" output (at 76.2 134.62 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid dfcad24b-212f-4dba-8dfc-471a56ff486d) + ) + (pin "B~{VPA}" output (at 76.2 137.16 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 399838ae-b739-40aa-ac7b-c16ee2bb8b7c) + ) + (pin "O~{BR}" input (at 76.2 144.78 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid ccd073af-3feb-4b2b-89f4-7fe12b621ef4) + ) + (pin "B~{BERR}" output (at 76.2 139.7 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 26db30c7-4c05-4a53-ae3a-c443433c9693) + ) + (pin "ALoutLE" input (at 76.2 106.68 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid e0b3d8b9-e5a5-4b7e-b83e-0c555dd25413) + ) + (pin "O~{R}W" input (at 76.2 129.54 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid e7f31983-7cb6-40f0-b4f0-813e517fda6e) + ) + (pin "B~{IPL}[2..0]" output (at 76.2 96.52 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 8ee481ae-945b-4a18-8836-cd803d53c6dc) + ) + (pin "BC8M" output (at 76.2 154.94 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid bfe652c9-da98-43ea-b531-b76a16af1242) + ) + (pin "BC16M" output (at 76.2 152.4 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid bb5e5f80-0371-4942-8e68-5722c62cc7ed) + ) + (pin "BE" output (at 76.2 157.48 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 77570efd-ac6c-43c3-af34-b8b411a9f042) + ) ) (sheet (at 60.96 182.88) (size 12.7 10.16) @@ -1143,12 +1167,6 @@ (path "/00000000-0000-0000-0000-000060941922/00000000-0000-0000-0000-0000616832d7" (reference "#PWR?") (unit 1) (value "+5V") (footprint "") ) - (path "/00000000-0000-0000-0000-000060941922/00000000-0000-0000-0000-0000617febe9" - (reference "#PWR?") (unit 1) (value "+5V") (footprint "") - ) - (path "/00000000-0000-0000-0000-000060941922/00000000-0000-0000-0000-0000618471db" - (reference "#PWR?") (unit 1) (value "GND") (footprint "") - ) (path "/00000000-0000-0000-0000-000060941922/00000000-0000-0000-0000-000061b00ec2" (reference "#PWR?") (unit 1) (value "+5V") (footprint "") ) @@ -1158,83 +1176,62 @@ (path "/00000000-0000-0000-0000-000060941922/00000000-0000-0000-0000-000061db2803" (reference "#PWR?") (unit 1) (value "GND") (footprint "") ) - (path "/00000000-0000-0000-0000-000060941922/00a2240d-d5b8-4931-9c1f-61bcb6f865f3" - (reference "#PWR?") (unit 1) (value "+5V") (footprint "") - ) - (path "/00000000-0000-0000-0000-000060941922/185d4313-b33e-4898-9053-5ca1a3ed65a9" - (reference "#PWR?") (unit 1) (value "+5V") (footprint "") - ) - (path "/00000000-0000-0000-0000-000060941922/243aac87-256b-4037-9b73-75c9961ed5df" - (reference "#PWR?") (unit 1) (value "+5V") (footprint "") - ) - (path "/00000000-0000-0000-0000-00005f723900/30e9ca9b-199f-416e-a169-8ab3b9881cde" - (reference "#PWR?") (unit 1) (value "GND") (footprint "") - ) - (path "/00000000-0000-0000-0000-000060941922/3dcc9e4e-41c1-4834-9db7-a6ce82abb62c" - (reference "#PWR?") (unit 1) (value "+5V") (footprint "") - ) - (path "/00000000-0000-0000-0000-000060941922/468a3287-acaf-4363-b26e-9194a39d5f51" + (path "/00000000-0000-0000-0000-000060941922/0e7051ab-9323-4360-b4bb-175b8d251326" (reference "#PWR?") (unit 1) (value "GND") (footprint "") ) (path "/00000000-0000-0000-0000-000060941922/47b368c6-c801-4184-b974-7650132181f4" (reference "#PWR?") (unit 1) (value "GND") (footprint "") ) - (path "/00000000-0000-0000-0000-000060941922/5a97214c-b884-4e30-a715-f4f5819b6e89" - (reference "#PWR?") (unit 1) (value "+5V") (footprint "") - ) - (path "/00000000-0000-0000-0000-000060941922/6b219851-964d-4f1f-a9ac-da06cf893941" - (reference "#PWR?") (unit 1) (value "+5V") (footprint "") - ) - (path "/00000000-0000-0000-0000-000060941922/6b8189fa-0dd2-4789-8a32-a6bb1ddc5ee8" + (path "/00000000-0000-0000-0000-00005f723900/5de357eb-eea4-419b-9336-16a824067e38" (reference "#PWR?") (unit 1) (value "GND") (footprint "") ) - (path "/00000000-0000-0000-0000-000060941922/779a9e0a-5231-42a3-94b7-d74482018d6a" + (path "/00000000-0000-0000-0000-000060941922/78510aa1-2106-4d91-9212-c607958ccd1e" + (reference "#PWR?") (unit 1) (value "+3V3") (footprint "") + ) + (path "/00000000-0000-0000-0000-000060941922/7b597a26-a727-4930-b9b5-749d0e5a32de" + (reference "#PWR?") (unit 1) (value "+3V3") (footprint "") + ) + (path "/00000000-0000-0000-0000-000060941922/82227135-4b49-447a-beb4-5254c3a3bca4" (reference "#PWR?") (unit 1) (value "GND") (footprint "") ) - (path "/00000000-0000-0000-0000-000060941922/84f0b4e5-cb96-4fac-93c8-5a8150a539d4" + (path "/00000000-0000-0000-0000-000060941922/82288757-c155-4a04-836b-0bf4c542bd6d" (reference "#PWR?") (unit 1) (value "GND") (footprint "") ) (path "/00000000-0000-0000-0000-000060941922/85d0da62-f375-453c-802d-4e4e3ad402d2" (reference "#PWR?") (unit 1) (value "GND") (footprint "") ) - (path "/00000000-0000-0000-0000-000060941922/89c7780c-e1e8-4939-98b5-81c58dd34a5c" - (reference "#PWR?") (unit 1) (value "+5V") (footprint "") + (path "/00000000-0000-0000-0000-000060941922/8a0cdb74-29e9-408e-b453-c41677175503" + (reference "#PWR?") (unit 1) (value "+3V3") (footprint "") ) - (path "/00000000-0000-0000-0000-000060941922/91e8596b-ff7d-4428-a3bd-d2caa1e1397a" + (path "/00000000-0000-0000-0000-000060941922/97025b58-5d58-4b6b-a442-79ca014c9d57" (reference "#PWR?") (unit 1) (value "GND") (footprint "") ) + (path "/00000000-0000-0000-0000-000060941922/9faac1a4-281b-4539-bbd2-f9878347746c" + (reference "#PWR?") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-000060941922/a4959482-ff50-40b7-9727-3b930a3a57fb" + (reference "#PWR?") (unit 1) (value "+3V3") (footprint "") + ) (path "/00000000-0000-0000-0000-000060941922/bb00627b-586f-400a-803e-ecd57558d5ef" (reference "#PWR?") (unit 1) (value "GND") (footprint "") ) - (path "/00000000-0000-0000-0000-000060941922/c29759cc-611f-4ff8-8819-e7c22c02ae00" - (reference "#PWR?") (unit 1) (value "+5V") (footprint "") + (path "/00000000-0000-0000-0000-000060941922/bdb2dd75-7576-4893-9514-b9f528cf2be4" + (reference "#PWR?") (unit 1) (value "GND") (footprint "") ) (path "/00000000-0000-0000-0000-00005f723900/c414b539-1308-42cf-b176-ff62a3b0b458" (reference "#PWR?") (unit 1) (value "GND") (footprint "") ) - (path "/00000000-0000-0000-0000-000060941922/cada9d69-c352-47d4-98d1-bd8b7f25f0c2" - (reference "#PWR?") (unit 1) (value "+5V") (footprint "") - ) - (path "/00000000-0000-0000-0000-000060941922/d1083571-9658-4ac7-985d-18637e0d1d5e" - (reference "#PWR?") (unit 1) (value "+5V") (footprint "") - ) - (path "/00000000-0000-0000-0000-000060941922/d3795630-fa38-4344-b493-fece87c716df" + (path "/00000000-0000-0000-0000-000060941922/d5854dc2-c3ef-4760-87d1-a9a0c12a1f27" (reference "#PWR?") (unit 1) (value "GND") (footprint "") ) - (path "/00000000-0000-0000-0000-000060941922/ed56035b-1e99-44c4-a1ae-a396096a6380" - (reference "#PWR?") (unit 1) (value "+5V") (footprint "") - ) - (path "/00000000-0000-0000-0000-000060941922/ede8c0df-c0fc-45e9-8726-e6fce50bb812" - (reference "#PWR?") (unit 1) (value "+5V") (footprint "") + (path "/00000000-0000-0000-0000-000060941922/e156411d-4d53-41e3-b629-be2ab77c7c79" + (reference "#PWR?") (unit 1) (value "+3V3") (footprint "") ) (path "/00000000-0000-0000-0000-000060941922/f6c9ddac-af5d-40e7-a4d8-a093af50db56" (reference "#PWR?") (unit 1) (value "GND") (footprint "") ) - (path "/00000000-0000-0000-0000-000060941922/f724b63a-b103-4088-a73c-5f2dfb7394f7" - (reference "#PWR?") (unit 1) (value "+5V") (footprint "") - ) - (path "/00000000-0000-0000-0000-000060941922/f7efdc6a-3d8c-44f3-bde2-124c2c7bcf6f" - (reference "#PWR?") (unit 1) (value "+5V") (footprint "") + (path "/00000000-0000-0000-0000-000060941922/fc3c070b-10cb-498e-92c1-3106420cad37" + (reference "#PWR?") (unit 1) (value "+3V3") (footprint "") ) (path "/00000000-0000-0000-0000-000061b3a5f1/00000000-0000-0000-0000-000061b3df5f" (reference "C3") (unit 1) (value "10u") (footprint "stdpads:C_0805") @@ -1458,6 +1455,15 @@ (path "/00000000-0000-0000-0000-000061aa52c4/00000000-0000-0000-0000-0000627624e5" (reference "R16") (unit 1) (value "10k") (footprint "stdpads:R_0603") ) + (path "/00000000-0000-0000-0000-000060941922/08db6324-4271-4438-bc50-b4f874b66eae" + (reference "R?") (unit 1) (value "47") (footprint "") + ) + (path "/00000000-0000-0000-0000-000060941922/7b0b939d-3617-4d3e-b1d1-7c49580de797" + (reference "R?") (unit 1) (value "47") (footprint "") + ) + (path "/00000000-0000-0000-0000-000060941922/eee87bd0-bb32-4d08-81fb-91a50d0518c8" + (reference "R?") (unit 1) (value "47") (footprint "") + ) (path "/00000000-0000-0000-0000-000061a87b62/00000000-0000-0000-0000-000061a8bbd9" (reference "SW1") (unit 1) (value "CFG") (footprint "stdpads:SW_DIP_SPSTx03_Slide_DSHP03TS_P1.27mm") ) @@ -1485,38 +1491,23 @@ (path "/00000000-0000-0000-0000-000060941922/00000000-0000-0000-0000-000061398c8d" (reference "U?") (unit 1) (value "74AHCT573PW") (footprint "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm") ) - (path "/00000000-0000-0000-0000-000060941922/00000000-0000-0000-0000-0000617fcc42" - (reference "U?") (unit 1) (value "74AHCT573PW") (footprint "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm") - ) - (path "/00000000-0000-0000-0000-000060941922/183788a2-d6ed-4c69-8275-e5bce824006d" - (reference "U?") (unit 1) (value "74AHCT573PW") (footprint "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm") - ) - (path "/00000000-0000-0000-0000-000060941922/238682dd-6926-4582-8b71-69d53e4627fa" - (reference "U?") (unit 1) (value "74AHCT573PW") (footprint "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm") + (path "/00000000-0000-0000-0000-000060941922/2c0d06e7-2d00-4963-aacf-15e1d22e22a2" + (reference "U?") (unit 1) (value "74LVC245APW") (footprint "") ) (path "/00000000-0000-0000-0000-00005f723900/35bf29bf-e562-4fa0-b441-018be365462d" (reference "U?") (unit 1) (value "SDRAM-16Mx16-TSOP2-54") (footprint "stdpads:Winbond_TSOPII-54") ) - (path "/00000000-0000-0000-0000-000060941922/3885cebd-12ab-471a-ba1a-49100e31dd20" - (reference "U?") (unit 1) (value "74AHCT573PW") (footprint "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm") + (path "/00000000-0000-0000-0000-000060941922/49aadb62-8269-4ec8-9e17-0528d184f952" + (reference "U?") (unit 1) (value "74AHC245PW") (footprint "") ) - (path "/00000000-0000-0000-0000-00005f723900/5de0888a-b58a-43c4-9d82-6c4672589dea" - (reference "U?") (unit 1) (value "SDRAM-16Mx16-TSOP2-54") (footprint "stdpads:Winbond_TSOPII-54") - ) - (path "/00000000-0000-0000-0000-000060941922/626f338b-fc3e-4031-9eda-bf85729cd3fa" + (path "/00000000-0000-0000-0000-000060941922/546821eb-cfd6-4d93-b3ea-6d624b34d623" (reference "U?") (unit 1) (value "74LVC245APW") (footprint "") ) - (path "/00000000-0000-0000-0000-000060941922/6ebbd45c-593f-4357-bc92-0d724e88b772" - (reference "U?") (unit 1) (value "74AHCT573PW") (footprint "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm") + (path "/00000000-0000-0000-0000-000060941922/8825bb76-a188-4049-ac17-17aacf08d640" + (reference "U?") (unit 1) (value "74AHC245PW") (footprint "") ) - (path "/00000000-0000-0000-0000-000060941922/99f12c6e-ec59-4685-afc5-0eeafaed785d" - (reference "U?") (unit 1) (value "74AHCT573PW") (footprint "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm") - ) - (path "/00000000-0000-0000-0000-000060941922/aaf50c0d-29aa-43ae-9b49-01e1734c71a3" - (reference "U?") (unit 1) (value "74AHCT573PW") (footprint "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm") - ) - (path "/00000000-0000-0000-0000-000060941922/ddcafd1a-7832-426d-9e42-2f9a5def655e" - (reference "U?") (unit 1) (value "74LVC245APW") (footprint "") + (path "/00000000-0000-0000-0000-000060941922/d6bee790-2111-4887-81d8-44accd303515" + (reference "U?") (unit 1) (value "74AHC245PW") (footprint "") ) (path "/00000000-0000-0000-0000-000061aa52c4/00000000-0000-0000-0000-0000616f4596" (reference "Y1") (unit 1) (value "12M") (footprint "stdpads:Crystal_HC49-SMD")