From 625f7fd01439295a225949d916c2b822bb797305 Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Sun, 9 Apr 2023 04:19:06 -0400 Subject: [PATCH] Sound QoS working? --- cpld/CNT.v | 43 +- cpld/CS.v | 62 +- cpld/RAM.v | 5 +- cpld/WarpSE.v | 13 +- cpld/XC95144XL/WarpSE.bld | 10 +- cpld/XC95144XL/WarpSE.cmd_log | 633 + cpld/XC95144XL/WarpSE.gise | 27 +- cpld/XC95144XL/WarpSE.gyd | 70 +- cpld/XC95144XL/WarpSE.jed | 2612 ++-- cpld/XC95144XL/WarpSE.mfd | 2825 +++-- cpld/XC95144XL/WarpSE.nga | 2 +- cpld/XC95144XL/WarpSE.ngc | 2 +- cpld/XC95144XL/WarpSE.ngd | 2 +- cpld/XC95144XL/WarpSE.ngr | 2 +- cpld/XC95144XL/WarpSE.pad | 4 +- cpld/XC95144XL/WarpSE.pnx | 2 +- cpld/XC95144XL/WarpSE.prj | 14 +- cpld/XC95144XL/WarpSE.rpt | 1809 +-- cpld/XC95144XL/WarpSE.syr | 127 +- cpld/XC95144XL/WarpSE.tim | 0 cpld/XC95144XL/WarpSE.tspec | 1058 ++ cpld/XC95144XL/WarpSE.vm6 | 10141 +++++++++------- cpld/XC95144XL/WarpSE.xise | 58 +- cpld/XC95144XL/WarpSE.xml | 2 +- cpld/XC95144XL/WarpSE_envsettings.html | 2 +- cpld/XC95144XL/WarpSE_html/fit/ascii.htm | 1809 +-- cpld/XC95144XL/WarpSE_html/fit/defeqns.htm | 790 +- cpld/XC95144XL/WarpSE_html/fit/eqns.htm | 1832 +-- cpld/XC95144XL/WarpSE_html/fit/errs.htm | 3 +- cpld/XC95144XL/WarpSE_html/fit/fbs.htm | 36 +- cpld/XC95144XL/WarpSE_html/fit/fbs_FB1.htm | 114 +- cpld/XC95144XL/WarpSE_html/fit/fbs_FB2.htm | 126 +- cpld/XC95144XL/WarpSE_html/fit/fbs_FB3.htm | 136 +- cpld/XC95144XL/WarpSE_html/fit/fbs_FB4.htm | 115 +- cpld/XC95144XL/WarpSE_html/fit/fbs_FB5.htm | 121 +- cpld/XC95144XL/WarpSE_html/fit/fbs_FB7.htm | 101 +- cpld/XC95144XL/WarpSE_html/fit/fbs_FB8.htm | 78 +- .../XC95144XL/WarpSE_html/fit/maplogic_00.htm | 1038 +- .../XC95144XL/WarpSE_html/fit/maplogic_01.htm | 764 +- .../XC95144XL/WarpSE_html/fit/maplogic_02.htm | 836 +- cpld/XC95144XL/WarpSE_html/fit/pins.htm | 2 +- cpld/XC95144XL/WarpSE_html/fit/summary.htm | 22 +- cpld/XC95144XL/WarpSE_html/fit/time.htm | 3 +- cpld/XC95144XL/WarpSE_html/tim/report.htm | 5 +- .../WarpSE_html/tim/timing_report.htm | 4028 +++++- cpld/XC95144XL/WarpSE_ngdbuild.xrpt | 44 +- cpld/XC95144XL/WarpSE_pad.csv | 4 +- cpld/XC95144XL/WarpSE_summary.html | 12 +- cpld/XC95144XL/WarpSE_xst.xrpt | 42 +- cpld/XC95144XL/_ngo/netlist.lst | 2 +- cpld/XC95144XL/_xmsgs/cpldfit.xmsgs | 3 + cpld/XC95144XL/_xmsgs/pn_parser.xmsgs | 2 +- cpld/XC95144XL/_xmsgs/taengine.xmsgs | 9 + cpld/XC95144XL/_xmsgs/xst.xmsgs | 7 +- cpld/XC95144XL/iseconfig/WarpSE.projectmgr | 77 + cpld/XC95144XL/iseconfig/WarpSE.xreport | 4 +- cpld/XC95144XL/webtalk_pn.xml | 4 +- cpld/XC95144XL/xlnx_auto_0_xdb/cst.xbcd | Bin 5117 -> 5187 bytes cpld/XC95144XL/xst/work/hdllib.ref | 14 +- cpld/XC95144XL/xst/work/vlg14/_r_a_m.bin | Bin 21602 -> 21297 bytes cpld/XC95144XL/xst/work/vlg22/_c_s.bin | Bin 10990 -> 13206 bytes cpld/XC95144XL/xst/work/vlg37/_f_s_b.bin | Bin 4820 -> 5100 bytes cpld/XC95144XL/xst/work/vlg52/_warp_s_e.bin | Bin 19437 -> 20062 bytes cpld/XC95144XL/xst/work/vlg65/_c_n_t.bin | Bin 7432 -> 10687 bytes cpld/XC95144XL/xst/work/vlg73/_i_o_b_m.bin | Bin 17214 -> 17217 bytes cpld/XC95144XL/xst/work/vlg79/_i_o_b_s.bin | Bin 14195 -> 14198 bytes 66 files changed, 19749 insertions(+), 11959 deletions(-) create mode 100644 cpld/XC95144XL/WarpSE.tim create mode 100644 cpld/XC95144XL/WarpSE.tspec create mode 100644 cpld/XC95144XL/_xmsgs/taengine.xmsgs create mode 100644 cpld/XC95144XL/iseconfig/WarpSE.projectmgr diff --git a/cpld/CNT.v b/cpld/CNT.v index 8006349..bfdac6b 100644 --- a/cpld/CNT.v +++ b/cpld/CNT.v @@ -8,7 +8,9 @@ module CNT( /* Mac PDS bus master control outputs */ output reg AoutOE, output reg nBR_IOB, /* Sound QoS */ - input BACT, input SndRAMCSWR, output reg QoSReady); + input BACT, input nWE, + input SndROMCS, input SndRAMCSWR, input RAMCS, + output reg QoSReady); /* E clock synchronization */ reg [1:0] Er; @@ -49,37 +51,30 @@ module CNT( end end - /* During init (IS!=3) long timer counts from 0 to 8191. - * 8192 states == 115.033 ms - * During operation (IS==3) long timer counts from 0 to 1023 + /* During init (IS!=3) long timer counts from 0 to 4095. + * 4096 states == 57.516 ms + * During operation (IS==3) long timer counts from 0 to 3 * starting at first sound RAM access. - * 8192 states == 14.379 ms */ - reg [12:0] LTimer; + * 4 states == 56.168 us */ + reg [11:0] LTimer; reg LTimerTC; always @(posedge CLK) begin - if (EFall && TimerTC) begin - if (IS==3) begin - LTimer[12:10] <= 3'b000; - if (LTimer==0 && BACT && SndRAMCSWR) LTimer <= 1; - else if (LTimer==0) LTimer <= 0; - else LTimer[9:0] <= LTimer+1; - end else LTimer <= LTimer+1; - LTimerTC <= LTimer[12:0]==13'h1FFE; - end + if (IS==3) begin + LTimer[11:2] <= 0; + if (BACT && SndRAMCSWR) LTimer[1:0] <= 1; + else if (LTimer==0) LTimer[1:0] <= 0; + else if (EFall && TimerTC) LTimer[1:0] <= LTimer+1; + end else if (EFall && TimerTC) LTimer <= LTimer+1; + LTimerTC <= LTimer[11:0]==12'hFFE; end /* Sound QoS */ reg [3:0] WS = 0; always @(posedge CLK) begin - if (!BACT) begin - if (LTimer!=0) QoSReady <= 0; - else QoSReady <= 1; - WS <= 0; - end else begin - if (QoSReady) QoSReady <= 1; - else if (WS==12) QoSReady <= 1; - WS <= WS+1; - end + if (!BACT) WS <= 0; + else WS <= WS+1; + QoSReady <= (LTimer[1:0]==0) || (BACT && ( + QoSReady || WS==15 || !nWE || (!RAMCS && !SndROMCS))); end /* Startup sequence state control */ diff --git a/cpld/CS.v b/cpld/CS.v index f2ef6ba..72fb14f 100644 --- a/cpld/CS.v +++ b/cpld/CS.v @@ -5,7 +5,7 @@ module CS( input BACT, /* Device select outputs */ output IOCS, output IOPWCS, output IACS, - output ROMCS, output ROMCS4X, + output ROMCS, output ROMCS4X, output SndROMCS, output RAMCS, output RAMCS0X, output SndRAMCSWR); /* Overlay control */ @@ -22,74 +22,26 @@ module CS( /* ROM select signals */ assign ROMCS4X = A[23:20]==4'h4; assign ROMCS = ((A[23:20]==4'h0) && Overlay) || ROMCS4X; + assign SndROMCS = ROMCS4X && + (A[20:8]==12'h36C || A[20:8]==12'h36D || A[20:8]==12'h36F); /* RAM select signals */ assign RAMCS0X = A[23:22]==2'b00; assign RAMCS = RAMCS0X && !Overlay; wire VidRAMCSWR64k = RAMCS0X && !nWE && (A[23:20]==4'h3) && (A[19:16]==4'hF); // 3F0000-3FFFFF wire VidRAMCSWR = VidRAMCSWR64k && ( - (A[15:12]==4'h2 && // 1792 bytes RAM, 2304 bytes video - (A[11:8]==4'h7 || - A[11:8]==4'h8 || - A[11:8]==4'h9 || - A[11:8]==4'hA || - A[11:8]==4'hB || - A[11:8]==4'hC || - A[11:8]==4'hD || - A[11:8]==4'hE || - A[11:8]==4'hF)) || + (A[15:12]==4'h2) || // 1792 bytes RAM, 2304 bytes video (A[15:12]==4'h3) || // 4096 bytes video (A[15:12]==4'h4) || // 4096 bytes video (A[15:12]==4'h5) || // 4096 bytes video (A[15:12]==4'h6) || // 4096 bytes video - (A[15:12]==4'h7 && // 3200 bytes video, 896 bytes RAM - (A[11:8]==4'h0 || - A[11:8]==4'h1 || - A[11:8]==4'h2 || - A[11:8]==4'h3 || - A[11:8]==4'h4 || - A[11:8]==4'h5 || - A[11:8]==4'h6 || - A[11:8]==4'h7 || - A[11:8]==4'h8 || - A[11:8]==4'h9 || - A[11:8]==4'hA || - A[11:8]==4'hB || - A[11:8]==4'hC)) || - (A[15:12]==4'hA && // 256 bytes RAM, 768 bytes sound, 768 bytes RAM, 2304 bytes video - (A[11:8]==4'h1 || - A[11:8]==4'h2 || - A[11:8]==4'h3 || - A[11:8]==4'h7 || - A[11:8]==4'h8 || - A[11:8]==4'h9 || - A[11:8]==4'hA || - A[11:8]==4'hB || - A[11:8]==4'hC || - A[11:8]==4'hD || - A[11:8]==4'hE || - A[11:8]==4'hF)) || + (A[15:12]==4'h7) || // 3200 bytes video, 896 bytes RAM + (A[15:12]==4'hA) || // 256 bytes RAM, 768 bytes sound, 768 bytes RAM, 2304 bytes video (A[15:12]==4'hB) || // 4096 bytes video (A[15:12]==4'hC) || // 4096 bytes video (A[15:12]==4'hD) || // 4096 bytes video (A[15:12]==4'hE) || // 4096 bytes video - (A[15:12]==4'hF && // 3200 bytes video, 128 bytes RAM (system error space), 768 bytes sound - (A[11:8]==4'h0 || - A[11:8]==4'h1 || - A[11:8]==4'h2 || - A[11:8]==4'h3 || - A[11:8]==4'h4 || - A[11:8]==4'h5 || - A[11:8]==4'h6 || - A[11:8]==4'h7 || - A[11:8]==4'h8 || - A[11:8]==4'h9 || - A[11:8]==4'hA || - A[11:8]==4'hB || - A[11:8]==4'hC || - A[11:8]==4'hD || - A[11:8]==4'hE || - A[11:8]==4'hF))); + (A[15:12]==4'hF)); // 3200 bytes video, 128 bytes RAM (system error space), 768 bytes sound assign SndRAMCSWR = VidRAMCSWR64k && ( ((A[15:12]==4'hF) && ((A[11:8]==4'hD) || (A[11:8]==4'hE) || (A[11:8]==4'hF))) || ((A[15:12]==4'hA) && ((A[11:8]==4'h1) || (A[11:8]==4'h2) || (A[11:8]==4'h3)))); diff --git a/cpld/RAM.v b/cpld/RAM.v index 73e3e07..684468c 100644 --- a/cpld/RAM.v +++ b/cpld/RAM.v @@ -59,9 +59,8 @@ module RAM( assign RA[01] = !RASEL ? A[10] : A[02]; assign RA[00] = !RASEL ? A[09] : A[01]; - wire RefFromRS0 = ((RefReq && BACT && !BACTr && !RAMCS) || - (RefUrg && !BACT) || - (RefUrg && BACT && !RAMEN)); + wire RefFromRS0 = ((RefReq && !BACT) || + (RefUrg && !BACT)); wire RefFromRS2 = RefUrg; wire RAMStart = BACT && RAMCS && RAMEN; always @(posedge CLK) begin diff --git a/cpld/WarpSE.v b/cpld/WarpSE.v index d81a2fb..a680484 100644 --- a/cpld/WarpSE.v +++ b/cpld/WarpSE.v @@ -56,7 +56,7 @@ module WarpSE( /* FSB chip select signals */ wire IOCS, IOPWCS, IACS; - wire ROMCS, ROMCS4X; + wire ROMCS, ROMCS4X, SndROMCS; wire RAMCS, RAMCS0X, SndRAMCSWR; CS cs( /* MC68HC000 interface */ @@ -65,7 +65,7 @@ module WarpSE( BACT, /* Device select outputs */ IOCS, IOPWCS, IACS, - ROMCS, ROMCS4X, + ROMCS, ROMCS4X, SndROMCS, RAMCS, RAMCS0X, SndRAMCSWR); wire RAMReady; @@ -137,7 +137,9 @@ module WarpSE( /* Mac PDS bus master control outputs */ AoutOE, nBR_IOB, /* Sound QoS */ - BACT, SndRAMCSWR, QoSReady); + BACT, nWE_FSB, + SndROMCS, SndRAMCSWR, RAMCS0X, + QoSReady); FSB fsb( /* MC68HC000 interface */ @@ -148,9 +150,8 @@ module WarpSE( ROMCS4X, RAMCS0X, RAMReady, IOPWCS, IOPWReady, IONPReady, + QoSReady, /* Interrupt acknowledge select */ - IACS, - /* Sound QoS */ - QoSReady); + IACS); endmodule diff --git a/cpld/XC95144XL/WarpSE.bld b/cpld/XC95144XL/WarpSE.bld index d5d9fec..f0ae243 100644 --- a/cpld/XC95144XL/WarpSE.bld +++ b/cpld/XC95144XL/WarpSE.bld @@ -2,15 +2,17 @@ Release 14.7 ngdbuild P.20131013 (nt64) Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. Command Line: C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64\unwrapped\ngdbuild.exe --intstyle ise -dd _ngo -uc WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc -WarpSE.ngd +-intstyle ise -dd _ngo -uc +C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p +xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd Reading NGO file "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.ngc" ... Gathering constraint information from source properties... Done. -Annotating constraints to design from ucf file "WarpSE-XC95144XL.ucf" ... +Annotating constraints to design from ucf file +"C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf" ... Resolving constraint associations... Checking Constraint Associations... Done... @@ -28,7 +30,7 @@ NGDBUILD Design Results Summary: Number of errors: 0 Number of warnings: 0 -Total memory usage is 151012 kilobytes +Total memory usage is 155428 kilobytes Writing NGD file "WarpSE.ngd" ... Total REAL time to NGDBUILD completion: 3 sec diff --git a/cpld/XC95144XL/WarpSE.cmd_log b/cpld/XC95144XL/WarpSE.cmd_log index d5fb1cb..f724748 100644 --- a/cpld/XC95144XL/WarpSE.cmd_log +++ b/cpld/XC95144XL/WarpSE.cmd_log @@ -20,3 +20,636 @@ cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt XSLTProcess WarpSE_build.xml tsim -intstyle ise WarpSE WarpSE.nga hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +taengine -intstyle ise -f WarpSE -w --format html1 -l WarpSE_html/tim/timing_report.htm +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +taengine -intstyle ise -f WarpSE -w --format html1 -l WarpSE_html/tim/timing_report.htm +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +taengine -intstyle ise -f WarpSE -w --format html1 -l WarpSE_html/tim/timing_report.htm +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +taengine -intstyle ise -f WarpSE -w --format html1 -l WarpSE_html/tim/timing_report.htm +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +taengine -intstyle ise -f WarpSE -w --format html1 -l WarpSE_html/tim/timing_report.htm +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +taengine -intstyle ise -f WarpSE -w --format html1 -l WarpSE_html/tim/timing_report.htm +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +taengine -intstyle ise -f WarpSE -w --format html1 -l WarpSE_html/tim/timing_report.htm +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE diff --git a/cpld/XC95144XL/WarpSE.gise b/cpld/XC95144XL/WarpSE.gise index 23f8fa7..eae0e12 100644 --- a/cpld/XC95144XL/WarpSE.gise +++ b/cpld/XC95144XL/WarpSE.gise @@ -38,6 +38,8 @@ + + @@ -57,17 +59,19 @@ - + - + - + + + @@ -80,45 +84,50 @@ - + - + + - + + + + - + + - + - + diff --git a/cpld/XC95144XL/WarpSE.gyd b/cpld/XC95144XL/WarpSE.gyd index be843e9..d4cf90c 100644 --- a/cpld/XC95144XL/WarpSE.gyd +++ b/cpld/XC95144XL/WarpSE.gyd @@ -36,9 +36,8 @@ nLDS_FSB S:PIN30 nUDS_FSB S:PIN33 nVPA_IOB S:PIN77 nWE_FSB S:PIN29 -nRES S:PIN91 -C20MEN S:PIN59 C25MEN S:PIN58 +nRES S:PIN91 RA<0> S:PIN53 RA<10> S:PIN55 RA<3> S:PIN41 @@ -77,41 +76,44 @@ nVPA_FSB S:PIN93 ;The remaining section of the .gyd file is for documentation purposes only. ;It shows where your internal equations were placed in the last successful fit. -PARTITION FB1_1 iobs/IODONEr iobs/IOACTr iobm/VPAr iobm/IOWRREQr - iobm/IOS_FSM_FFd5 iobm/IOS_FSM_FFd4 iobm/IOS_FSM_FFd1 iobm/IORDREQr - iobm/Er iobm/C8Mr cnt/nIPL2r cnt/Er<0> - ALE0S iobs/IOU1 iobs/IOL1 iobm/IOS_FSM_FFd2 - IOBERR iobm/ES<2> -PARTITION FB2_14 ram/RS_FSM_FFd5 ram/RS_FSM_FFd3 ram/RS_FSM_FFd2 ram/RS_FSM_FFd1 - ram/RASrf -PARTITION FB3_1 ram/BACTr fsb/ASrf cnt/LTimerTC cnt/LTimer<9> - cnt/LTimer<8> cnt/LTimer<7> cnt/LTimer<6> cnt/LTimer<5> - nDTACK_FSB_OBUF cnt/LTimer<4> cnt/LTimer<3> cnt/LTimer<2> - cnt/LTimer<1> cnt/LTimer<12> cnt/LTimer<11> cnt/LTimer<10> - nROMWE_OBUF IOPWReady -PARTITION FB4_1 nRESout nAoutOE_OBUF ram/RS_FSM_FFd8 iobs/IORW1 - nDoutOE_OBUF nDinOE_OBUF -PARTITION FB4_8 C20MEN_OBUF IORDREQ cs/ODCSr nVPA_FSB_OBUF - iobs/Load1 iobs/TS_FSM_FFd1 EXP10_ RAMReady - ram/RS_FSM_FFd7 iobs/Sent EXP11_ -PARTITION FB5_1 EXP12_ nROMCS_OBUF ram/RAMEN ram/RASrr - nCAS_OBUF nOE_OBUF ram/RS_FSM_FFd6 ram/Once - RA_4_OBUF ram/RASEL RA_11_OBUF RA_5_OBUF - cs/nOverlay RA_2_OBUF RA_6_OBUF ram/RS_FSM_FFd4 - ram/RefDone ram/CAS +PARTITION FB1_1 iobs/Clear1 iobm/Er cnt/nIPL2r cnt/Er<1> + cnt/Er<0> ram/RS_FSM_FFd4 iobs/TS_FSM_FFd1 iobs/IOU1 + cnt/Timer<0> cnt/IS_FSM_FFd2 RefUrg RefReq + IOPWReady IOBERR iobm/ES<2> cnt/Timer<1> + cnt/Timer<3> cnt/Timer<2> +PARTITION FB2_4 ram/RS_FSM_FFd5 ram/RS_FSM_FFd3 ram/RS_FSM_FFd2 ram/RS_FSM_FFd1 + ram/RASrf iobs/IODONEr iobs/IOACTr iobm/VPAr + iobm/IOWRREQr iobm/IOS_FSM_FFd5 iobm/IOS_FSM_FFd4 iobm/IOS_FSM_FFd1 + iobm/IORDREQr iobm/C8Mr iobm/IOS_FSM_FFd2 +PARTITION FB3_1 iobs/Sent ram/RefDone ram/RS_FSM_FFd7 cs/nOverlay + ram/RS_FSM_FFd6 ram/RASrr ram/RASEL ram/Once + nDTACK_FSB_OBUF RAMReady ram/RS_FSM_FFd8 ram/RAMEN + EXP10_ ram/CAS iobs/Load1 IORDREQ + nROMWE_OBUF EXP11_ +PARTITION FB4_1 QoSReady nAoutOE_OBUF fsb/ASrf cnt/WS<0> + nDoutOE_OBUF nDinOE_OBUF $OpTx$$OpTx$FX_DC$354_INV$541 N0 + iobs/IOL1 cs/ODCSr nVPA_FSB_OBUF cnt/WS<2> + cnt/WS<1> IONPReady cnt/LTimer<1> cnt/WS<3> + nRESout EXP12_ +PARTITION FB5_1 EXP13_ nROMCS_OBUF +PARTITION FB5_5 nCAS_OBUF nOE_OBUF +PARTITION FB5_9 RA_4_OBUF +PARTITION FB5_11 RA_11_OBUF RA_5_OBUF cnt/LTimerTC RA_2_OBUF + RA_6_OBUF ALE0S EXP14_ cnt/LTimer<0> + PARTITION FB6_1 iobm/IOS_FSM_FFd6 nVMA_IOBout iobm/IOS_FSM_FFd7 iobm/IOS_FSM_FFd3 iobm/ES<0> iobm/ES<3> iobm/ES<1> iobm/DoutOE nLDS_IOBout IODONE nUDS_IOBout nAS_IOBout iobm/IOS0 nADoutLE1_OBUF nADoutLE0_OBUF ALE0M nDinLE_OBUF IOACT -PARTITION FB7_1 cnt/LTimer<0> RA_1_OBUF cnt/INITS_FSM_FFd1 cnt/Er<1> - RA_7_OBUF RA_0_OBUF cnt/TimerTC RA_8_OBUF - RA_10_OBUF cnt/Timer<0> RA_9_OBUF C25MEN_OBUF - cnt/INITS_FSM_FFd2 C20MEN_OBUF$BUF0 RefReq cnt/Timer<1> - cnt/Timer<2> RefUrg -PARTITION FB8_1 EXP13_ RA_11_OBUF$BUF0 EXP14_ iobs/TS_FSM_FFd2 - nRAS_OBUF nRAMLWE_OBUF EXP15_ nRAMUWE_OBUF - IOWRREQ EXP16_ EXP17_ nBERR_FSB_OBUF - IOU0 EXP18_ nBR_IOB_OBUF iobs/Clear1 - IONPReady IOL0 +PARTITION FB7_1 cnt/LTimer<9> RA_1_OBUF cnt/LTimer<8> cnt/LTimer<7> + RA_7_OBUF RA_0_OBUF cnt/LTimer<6> RA_8_OBUF + RA_10_OBUF cnt/LTimer<5> RA_9_OBUF C25MEN_OBUF + cnt/LTimer<4> cnt/LTimer<3> cnt/LTimer<2> cnt/LTimer<11> + cnt/LTimer<10> cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 +PARTITION FB8_1 IOL0 RA_11_OBUF$BUF0 iobs/TS_FSM_FFd2 EXP15_ + nRAS_OBUF nRAMLWE_OBUF EXP16_ nRAMUWE_OBUF + IOWRREQ EXP17_ EXP18_ nBERR_FSB_OBUF + EXP19_ IOU0 nBR_IOB_OBUF cnt/IS_FSM_FFd1 + iobs/IORW1 EXP20_ diff --git a/cpld/XC95144XL/WarpSE.jed b/cpld/XC95144XL/WarpSE.jed index 3130dbf..265c719 100644 --- a/cpld/XC95144XL/WarpSE.jed +++ b/cpld/XC95144XL/WarpSE.jed @@ -1,5 +1,5 @@ Programmer Jedec Bit Map -Date Extracted: Fri Apr 07 02:26:23 2023 +Date Extracted: Sun Apr 09 04:14:42 2023 QF93312* QP100* @@ -90,763 +90,763 @@ N PPMAP 153 95* N PPMAP 155 96* N PPMAP 157 97* N PPMAP 160 99* -L0000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0000064 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0000128 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0000192 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000100* -L0000256 00000000 00000000 00000000 01000000 00000000 00001100 00000000 00000000* -L0000320 00000000 00000000 00000000 10001000 10000000 00000000 00000000 00000000* -L0000384 00000000 00000000 00000000 10001000 10000000 00100000 00000000 00000000* -L0000448 00000000 00000000 00000000 10001000 00000000 00100000 00000000 00000000* -L0000512 00000000 00000000 00000000 10001000 00000000 00100000 00000000 00000000* -L0000576 000000 000000 000000 100010 000000 000000 000000 000000* -L0000624 000010 000000 000000 000000 000000 000100 000000 000000* -L0000672 000000 000000 000000 000000 000000 000000 000000 000000* -L0000720 000000 000000 000000 000000 000000 000100 000000 000000* -L0000768 000000 000000 000000 000000 000000 000100 000000 000000* -L0000816 000000 000000 000000 000010 000000 000000 000000 000000* -L0000864 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0000928 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0000992 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001056 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001184 00000000 00000000 00000000 00000000 00010000 00000000 00000000 00000000* -L0001248 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0001312 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0001376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001440 000000 000000 000000 000000 000000 000100 000000 000000* +L0000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0000064 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0000128 00000000 00000000 10000000 00001000 00000000 00000000 00000000 00000000* +L0000192 00000000 00000000 10000000 00000000 00000000 00000000 00000000 10000000* +L0000256 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0000320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0000384 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* +L0000448 00000000 00000000 10001000 00000000 00000000 00000000 00000000 00000000* +L0000512 00000000 00000000 10001000 00000000 00000000 00000000 00000000 00000000* +L0000576 000000 000000 100010 000000 000000 000000 000000 000000* +L0000624 000000 000000 000011 000000 000000 000000 000000 000001* +L0000672 000000 000000 000011 000000 000000 000000 000000 000000* +L0000720 000000 000000 000011 000000 000000 000000 000000 000000* +L0000768 000000 000000 000011 000000 000000 000000 000000 000000* +L0000816 000000 000000 000011 000000 000000 000000 000000 000000* +L0000864 00000000 00001000 10000000 00000000 00001000 00000000 00000000 00000000* +L0000928 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0000992 00000000 00000000 00000000 00000000 10000000 00000000 10000000 10000000* +L0001056 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* +L0001120 00000000 00000000 00000100 00000000 00000000 00000000 10000000 00000000* +L0001184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0001248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0001312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0001376 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0001440 000000 000000 000000 000000 000000 000000 000001 000000* L0001488 000000 000000 000000 000000 000000 000000 000000 000000* L0001536 000000 000000 000000 000000 000000 000000 000000 000000* -L0001584 000000 000000 000000 000000 000000 000001 000000 000000* -L0001632 000000 000000 001000 000000 000000 000000 000000 000000* -L0001680 000000 000000 000000 000000 000000 001000 000000 000000* -L0001728 00000000 00000000 00000000 00000000 00001000 10000000 00000000 00000000* -L0001792 00000010 00000000 00000000 00000000 00001000 10000000 00000000 00000100* -L0001856 00000010 00000000 00000000 00000000 00100000 00000000 00000000 00000000* -L0001920 00000010 00000000 00000000 00000000 00000000 00000000 00000000 01000000* -L0001984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002048 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* -L0002112 00000000 00000000 00000000 00000000 00000000 10010100 00000000 00000000* -L0002176 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000100* -L0002240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002304 000000 000000 000000 000000 000000 000000 000000 000000* -L0002352 000000 000000 000000 000000 000000 000000 000000 000100* -L0002400 000000 000000 000000 000000 000000 101100 000000 000000* -L0002448 000000 000000 000000 000000 000000 100000 000000 000100* -L0002496 000000 000000 000000 000000 000000 000000 000000 000000* -L0002544 000000 000000 000000 000000 000001 000001 000000 000000* -L0002592 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00010000* -L0002656 00000000 00000000 00000000 00001000 11000000 00001000 00000000 00000000* -L0002720 00000000 00000000 00000000 00000000 11010000 00000000 00000000 00000000* -L0002784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* -L0002848 00000000 00000000 00000000 00000000 11000000 00000000 00000000 00010000* -L0002912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* -L0003040 00000000 00000000 00100000 00000000 00100000 00000000 00000000 00000000* -L0003104 00000000 00000000 00000000 00000000 01100100 00000000 00000000 00000000* -L0003168 000000 000000 000000 000000 000000 000000 000000 001000* -L0003216 000000 000000 000000 000000 000000 000000 000000 000000* -L0003264 000000 000000 000000 001000 010000 000000 000000 000000* -L0003312 000000 000000 000000 000000 110000 000000 000000 000000* +L0001584 000000 000000 000000 000000 000000 000000 000001 000000* +L0001632 000000 000000 000000 000000 000000 000000 000001 000000* +L0001680 000000 000000 000000 000000 000000 000000 000001 000000* +L0001728 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0001792 00000010 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0001856 00000010 00000000 00011000 00000000 00000000 00000000 00000000 00000000* +L0001920 00000010 00000000 00010000 00000000 00000100 00000000 00000000 00000000* +L0001984 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0002048 00000000 00000000 01000000 01000000 00000000 00000000 00000000 00000000* +L0002112 00000000 00000000 11010000 01000000 00000000 00000000 00000000 00000000* +L0002176 00000000 00000000 01010000 00000000 00000000 01000000 00000000 00000000* +L0002240 00000000 00000000 00000000 00000000 10000000 00000000 00000000 01000000* +L0002304 000000 000000 000100 000000 100000 000000 000000 000001* +L0002352 000000 000000 000000 000000 000000 000000 000000 000000* +L0002400 010000 000000 000000 100000 000000 000000 000000 000000* +L0002448 000000 000000 000000 000000 000000 010000 000000 000000* +L0002496 000000 000000 000000 000000 000000 000000 000000 000100* +L0002544 000000 000000 000100 000000 000000 000000 000000 000000* +L0002592 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0002656 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0002720 00000000 00000000 00000000 00000000 00000100 00100000 00000000 00000000* +L0002784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010100* +L0002848 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00010100* +L0002912 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* +L0002976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003040 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000100* +L0003104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0003168 000000 000000 000000 000000 000000 000000 000000 000000* +L0003216 000000 000000 000000 000000 000000 010000 000000 000000* +L0003264 000000 000000 000000 000000 000000 000000 000000 000000* +L0003312 000000 000000 000000 000000 000000 000000 000000 000000* L0003360 000000 000000 000000 000000 000000 000000 000000 000000* -L0003408 000000 000000 000000 000000 000000 000000 000000 001000* -L0003456 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* -L0003520 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* -L0003584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003776 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0003840 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0003904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0004032 000000 000000 000000 001000 000000 000000 000000 110000* -L0004080 000000 000000 000000 000000 000000 000000 000000 100000* +L0003408 000000 000000 000000 000000 000000 000000 000000 000000* +L0003456 00000000 00000000 00000000 01100100 00000000 00000000 00000000 00000000* +L0003520 00000000 00000000 00000000 00001000 00000000 00000100 00000000 01000000* +L0003584 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00001000* +L0003648 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0003712 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* +L0003776 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003904 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0003968 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0004032 000000 000000 000000 000000 000000 000000 000000 110000* +L0004080 000000 000000 000000 000100 000000 100000 000000 000000* L0004128 000000 000000 000000 000000 000000 000000 000000 000000* -L0004176 000000 000000 000000 000000 000000 000000 000000 000000* -L0004224 000000 000000 000000 000000 000000 000000 000000 000100* -L0004272 000000 000000 000000 000000 000000 000000 000000 000010* -L0004320 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* -L0004384 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00010000* -L0004448 00000000 00000000 00000000 01001100 00000000 00000000 00000000 00010000* -L0004512 00000000 00000000 00000000 01001000 00000000 00000000 00000000 00010000* -L0004576 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00010100* -L0004640 00000000 00000000 00000000 00010000 00000000 00000000 00010000 00100100* -L0004704 00000000 00000000 00000000 01010000 00000000 00000000 00000000 00100000* -L0004768 00000000 00000000 00000000 01000000 00000000 10000000 00000000 00000000* -L0004832 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* -L0004896 000000 000000 000000 010000 000000 000000 000000 000000* -L0004944 000000 000000 000000 000101 000000 000000 000000 000000* -L0004992 000000 000000 000000 010101 000000 000000 000000 000000* -L0005040 000000 000000 000000 000101 000000 000000 000000 000000* -L0005088 000000 000000 000000 000101 000000 000000 000000 000000* -L0005136 000000 000000 000000 000101 000000 000000 000000 000000* -L0005184 00000010 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0004176 000000 000000 000000 000000 000000 000100 000000 000001* +L0004224 000000 000000 000000 000100 000000 000000 000000 000000* +L0004272 000000 000000 000000 000000 000000 000000 000000 000100* +L0004320 10100000 00000000 00100000 00000000 00001000 10000100 00000000 00000000* +L0004384 00000000 00000000 00000000 00010000 10000000 10001000 00000000 00010100* +L0004448 00000000 00000000 00000000 00000100 00000000 00001000 10000000 00010100* +L0004512 00000000 00000000 00000000 00000100 00000000 00001000 10000000 00010100* +L0004576 00000000 00000000 00000000 00000100 00000000 00010100 10000000 00010100* +L0004640 00000000 00000000 00001000 00000000 00000000 00100000 00000000 00100100* +L0004704 00000000 00000000 00000000 00010000 00000000 00000100 00000000 00100100* +L0004768 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000100* +L0004832 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000100* +L0004896 000000 000000 000000 000010 000000 001000 000000 000000* +L0004944 000000 000000 000100 000000 000000 000000 000000 000000* +L0004992 000000 000000 000000 000000 000000 000000 000000 000000* +L0005040 000000 000000 000000 000100 000000 000000 000000 000000* +L0005088 000000 000000 000000 000000 000000 000000 000000 000000* +L0005136 000000 000000 000000 000000 000000 000001 000000 000000* +L0005184 00000010 00000000 00000000 01000000 00000000 00000100 00000000 00010000* L0005248 00000010 00000000 00000000 00000000 00000000 00000100 00000000 00000000* L0005312 00000010 00000000 00000000 00000000 00000000 00000100 00000000 00000000* L0005376 00000011 00000000 00000000 00000000 00000000 00000100 00000000 00000000* L0005440 00000010 00000000 00000000 00000000 00000000 00001100 00000000 00000000* L0005504 00000001 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0005568 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0005568 00000100 00000000 00000000 00000000 10000000 00100000 00000000 00000000* L0005632 00000010 00000000 00000000 00000000 00000000 00100000 00000000 00000000* L0005696 00000000 00000000 00000000 00000000 00000000 00100100 00000000 00000000* -L0005760 000000 000000 000000 000000 000000 000000 000000 000000* +L0005760 000000 000000 000000 000000 000000 000000 000000 001000* L0005808 000000 000000 000000 000000 000000 000100 000000 000000* -L0005856 000000 000000 000000 000000 000000 000000 000001 000000* -L0005904 000000 000000 000000 000000 000000 000100 000000 001000* -L0005952 000000 000000 000000 010000 000000 000100 000000 000000* -L0006000 000000 000000 000000 000000 000000 000000 000000 000000* -L0006048 00001010 00000000 00000100 00000000 00000000 00000000 00011000 00000000* -L0006112 00000011 00000000 00000000 00000000 00000000 01000000 00001000 00000000* -L0006176 00000000 00000000 00000000 00000000 00000000 01000000 00000100 00000000* -L0006240 00000001 00000000 00000000 00000000 00000000 00000000 10110100 00000000* +L0005856 000000 000000 000000 000000 000000 000000 000000 000000* +L0005904 000000 000000 000000 000000 000000 000100 000000 000000* +L0005952 000000 000000 000000 000000 000000 000100 000000 000000* +L0006000 000000 000000 000000 000100 000000 000000 000000 000000* +L0006048 00010010 00000000 00001000 00001000 00000000 00000000 00000000 00000000* +L0006112 00010011 00000000 00000000 00000100 00000000 01000000 11111100 00000000* +L0006176 00000100 00000000 00000000 00000100 00000000 01000000 01111100 00000000* +L0006240 00000101 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0006304 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0006368 00000011 00000000 00001000 00000000 00000000 00010000 00000000 00000000* -L0006432 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0006496 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0006560 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0006624 000000 000000 000000 000000 000000 000000 000001 000000* -L0006672 000000 000000 100010 000000 000000 001000 100000 000000* -L0006720 000000 000000 000000 000000 000000 000000 000000 000000* -L0006768 000000 000000 000000 000000 000000 000000 000000 000000* -L0006816 000000 000000 000000 000000 000000 000001 000011 000000* -L0006864 000000 000000 000000 000000 000000 000000 000001 000000* -L0006912 00000000 00000000 00000000 01000100 00000000 00010000 00000000 00000000* -L0006976 00000000 00000000 00000000 01000100 00010000 00000000 00000000 00000000* -L0007040 00000000 00000000 00000000 01001000 00010000 00000000 00000000 00000000* -L0007104 00000000 00000000 00000000 01001000 00000000 00000000 00000000 00000000* -L0007168 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0007232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0007296 00000000 00000000 00000000 01000000 00100000 00000000 00000000 00000000* -L0007360 00000000 00000000 00000000 01100000 01100100 00000000 00000000 00000000* -L0007424 00000000 00000000 00000000 01000000 01000100 00000000 00000000 00000000* -L0007488 000000 000000 000000 010000 000000 000000 000000 000000* -L0007536 000000 000000 000000 000100 000000 000000 000000 000000* -L0007584 000000 000000 000000 000101 000000 000000 000000 000000* -L0007632 000000 000000 000000 000101 000000 000000 000000 000000* -L0007680 000000 000000 000000 100101 000000 000000 000000 000000* -L0007728 000000 000000 000000 100101 000000 000000 000000 000000* -L0007776 00000000 00000000 01000100 00010000 00000000 00000000 00000000 00000000* -L0007840 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* -L0007904 00000000 00000000 00000000 00000100 11000000 00000000 00000000 00000000* -L0007968 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0008032 00000000 00000000 00000000 00000100 00100000 00000000 00000000 00000000* -L0008096 00000000 00000000 00001000 00010000 10000000 00000000 10000000 00000000* -L0008160 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* -L0008224 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000000* -L0008288 00000000 00000000 00000000 10000100 00000000 00000000 00000000 00000000* -L0008352 000000 000000 000000 000001 100001 000000 000000 000000* -L0008400 010000 000000 100010 000001 000000 000000 000000 000000* -L0008448 000000 000000 000000 010000 000000 000000 000000 000000* -L0008496 000000 000000 000000 010000 010000 000000 000000 000000* -L0008544 000000 000000 000000 000000 000000 000000 000000 000000* -L0008592 000000 000000 000000 000010 000000 000000 000000 000000* -L0008640 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0008704 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0008768 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0008832 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0008896 00000000 00000000 00000000 00000000 00000000 00001100 00000000 00000000* -L0008960 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0009024 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0009088 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0009152 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0006368 00000011 00000000 01000000 00000000 00000000 00010000 00000000 00000000* +L0006432 00000000 00000000 00000000 00000000 00000000 00000000 00001100 00000000* +L0006496 00000000 00000000 00000000 00000000 00000000 00000100 10001100 00000000* +L0006560 00010100 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0006624 000001 000000 000000 000000 000000 000000 000000 110000* +L0006672 001000 000000 000000 000000 000000 001000 000001 000000* +L0006720 000000 000000 000000 000100 000000 000000 100010 000000* +L0006768 000000 000000 000000 000100 000000 000000 100010 000000* +L0006816 001101 000000 000000 000000 000000 000001 000000 010000* +L0006864 000001 000000 000000 000000 000000 000000 000000 000100* +L0006912 00010000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* +L0006976 00010000 00000000 00000000 00000000 00000100 00100000 11111100 01000100* +L0007040 00000100 00000000 00000000 00000000 00000100 00000000 01111100 01001100* +L0007104 00000100 00000000 00000000 00000000 00000100 00010000 00000000 01001100* +L0007168 00000000 00000000 00000000 00000000 10000100 00000000 00000000 01001100* +L0007232 00000000 00000000 00000000 00000000 00000000 10000000 00000000 11000100* +L0007296 00000000 00000000 00000000 00000000 00000000 01000000 00001100 11000100* +L0007360 00000000 00000000 00000000 00000000 10000000 01000000 10001100 11000100* +L0007424 00010100 00000000 00000000 00000000 10000000 00000000 00000000 11000100* +L0007488 000001 000000 000000 000000 100000 000000 000000 000001* +L0007536 001000 000000 000000 000000 000000 000000 000001 000000* +L0007584 000000 000000 000000 000000 000000 000000 100010 000000* +L0007632 000000 000000 000000 000000 000000 000000 100010 000111* +L0007680 001101 000000 000000 000000 000000 000000 000000 010111* +L0007728 000001 000000 000000 000000 000000 000000 000000 000011* +L0007776 01000000 00000000 00000000 00000000 00000000 00100000 00000000 00010000* +L0007840 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* +L0007904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0007968 00000000 00000000 00000000 00000100 00000000 00100000 00000000 00000000* +L0008032 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0008096 00000100 00000000 00000000 00000000 10000000 01000000 00000000 00100000* +L0008160 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00100000* +L0008224 00000000 00000000 00000000 00000100 00000000 10000000 00000000 00100000* +L0008288 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00100000* +L0008352 000000 000000 000000 000000 000000 000000 000000 001000* +L0008400 000000 010000 000000 000000 000000 000000 000000 000000* +L0008448 000000 000000 000000 000000 000000 010000 000000 000000* +L0008496 000000 000000 000000 000000 000000 010000 000000 000000* +L0008544 000000 000000 000000 000000 000000 010000 000000 000000* +L0008592 000000 000000 000000 000000 000000 000000 000000 000000* +L0008640 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0008704 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0008768 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0008832 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0008896 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0008960 00000000 00000000 00010000 00000000 00000000 10000000 00000000 00000000* +L0009024 00000000 00000000 00010000 10000000 00000000 01000000 00000000 00000000* +L0009088 00000000 00000000 00010000 00000000 00000000 11000000 00000000 00000000* +L0009152 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* L0009216 000000 000000 000000 000000 000000 000000 000000 000000* -L0009264 000000 000000 000000 000000 000000 000100 000000 000000* +L0009264 000000 000000 000000 000000 000000 000000 000000 000000* L0009312 000000 000000 000000 000000 000000 000000 000000 000000* -L0009360 000000 000000 000000 000000 000000 000100 000000 000000* -L0009408 000000 000000 000000 000000 000000 000100 000000 000000* +L0009360 000000 000000 000000 000000 000000 000000 000000 000000* +L0009408 000000 000000 000100 000000 000000 000000 000000 000000* L0009456 000000 000000 000000 000000 000000 000000 000000 000000* -L0009504 00000000 00000000 01000100 01000000 00000000 01000000 00000000 00000000* -L0009568 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* -L0009632 00000000 00000000 00000000 01001000 00000000 00000000 00000000 00000000* -L0009696 00000000 00000000 00000000 01001000 00000000 00000000 00000000 00000000* -L0009760 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0009824 00000000 00000000 01001000 00000000 00001000 00000000 00000000 00000000* -L0009888 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* -L0009952 00000000 00000000 00000000 01000000 00000000 00010000 00000000 00000000* -L0010016 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* -L0010080 000000 000000 000000 010000 000000 000000 000000 000000* -L0010128 000000 000000 100010 000100 000000 000001 000000 000000* -L0010176 000000 000000 000000 000101 000000 000000 000000 000000* -L0010224 000000 000000 000000 000101 000000 001000 000000 000000* -L0010272 000000 000000 000000 000101 000000 000000 000000 000000* -L0010320 000000 000000 000000 000101 000000 000000 000000 000000* -L0010368 00000011 00000000 00000011 00000011 00000001 00000011 00000001 00000000* -L0010432 00000011 00000000 00000011 00000001 00000011 00000011 00000011 00000010* -L0010496 00000011 00000000 00000011 00000011 00000011 00000011 00000010 00000001* -L0010560 00000011 00000000 00000011 00000011 00000011 00000011 00000011 00000011* -L0010624 00000011 00000001 00000011 00000010 00000011 00000011 00000010 00000010* -L0010688 00000011 00000001 00000011 00000011 00000011 00000011 00000011 00000011* -L0010752 00000011 00000001 00000011 00000001 00000011 00000011 00000011 00000001* -L0010816 00000011 00000001 00000011 00000001 00000011 00000011 00000011 00000001* -L0010880 00000011 00000001 00000011 00000010 00000011 00000111 00000011 00000011* -L0010944 000000 000000 000000 000000 000000 000000 000000 000000* -L0010992 000000 000000 000000 000000 000000 100000 000000 000000* -L0011040 000000 000000 000000 000000 000000 100000 000000 000000* -L0011088 000000 000000 000000 000000 000000 100000 000001 000000* -L0011136 000000 000000 000000 000000 000000 000000 000000 000000* -L0011184 000000 000000 000000 000000 000000 000000 000000 000000* -L0011232 00000000 00000000 01000100 00000000 00000010 00001000 00011000 00000011* -L0011296 00000000 00000000 00000000 00000010 00000000 00000100 00001000 00000001* -L0011360 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000010* -L0011424 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* -L0011488 00000000 00000000 00000000 00000001 00000000 00000000 00000000 00000001* -L0011552 00000000 00000000 01001000 00000000 00000000 00000000 00000000 00000000* -L0011616 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000010* -L0011680 00000000 00000000 00000000 00000010 00000000 00000000 00000000 00000010* -L0011744 00000000 00000000 00000000 00000001 00000000 00000000 00000000 00000000* -L0011808 000000 000000 000000 000000 000000 000000 000001 000000* -L0011856 000001 000000 110010 000000 000000 000000 100000 000000* -L0011904 000001 000000 000000 000000 000001 000000 000000 000000* -L0011952 000000 000000 000000 000000 000000 000000 000000 000000* +L0009504 00000000 00100000 00000000 00000000 00000000 00000000 00000000 00000000* +L0009568 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0009632 00000000 00000000 00000000 00000000 00000100 00000000 10000000 00000000* +L0009696 00000000 00000000 00000000 00000100 00000100 00110000 10000000 00000000* +L0009760 00000000 00000000 00000000 00001100 10000100 00000000 10000000 00000000* +L0009824 00000000 00000000 00000000 00011000 00001000 00000000 00000000 00000000* +L0009888 00000000 00000000 00000000 00011000 00000000 00000000 00000000 00000000* +L0009952 00000000 00000000 00000000 00001000 10000000 00000000 00000000 00000000* +L0010016 00000000 00000000 00000000 00001000 10000000 00000000 00000100 00000000* +L0010080 000000 000000 000000 000010 100000 000000 000001 000000* +L0010128 000000 000000 000000 000000 000000 010000 000000 000000* +L0010176 000000 000000 000000 000000 000000 000000 000000 000000* +L0010224 000000 000000 000000 000000 000000 000000 000001 010000* +L0010272 000000 000000 000000 000010 000000 010000 000001 000000* +L0010320 000000 000000 000000 000010 000000 000000 000001 000000* +L0010368 10000011 00000001 00000011 10000011 00000000 00000011 00000011 00010010* +L0010432 00000011 00000001 00000011 10000001 00000011 00100011 00000011 00010000* +L0010496 00000011 00000001 00000011 00000011 00000001 00000011 00000010 00010011* +L0010560 00000011 00000011 00000010 10000011 00000001 00010011 00000011 00010000* +L0010624 00000011 00000011 00000011 10000011 00000011 00000011 00000011 00010001* +L0010688 00000011 00000011 00000011 10000011 00001011 10000011 00000011 00100011* +L0010752 00000011 00000011 00000011 10000111 00010001 01000011 00000011 00100001* +L0010816 00000011 00000011 00000011 10000101 00000000 11000011 00000011 00100001* +L0010880 00000011 00000011 00000010 10000110 00000011 00000011 00000011 00100010* +L0010944 000000 000000 000000 100000 000000 000000 000000 001000* +L0010992 000000 000000 000000 000001 001110 000000 000000 001000* +L0011040 000000 000000 000000 000001 000000 000000 000001 000000* +L0011088 000000 000000 000000 000001 000000 000000 000000 001000* +L0011136 000000 000000 000000 000001 000000 010000 000000 001000* +L0011184 000000 000000 000000 000001 000000 000000 000000 001000* +L0011232 00000000 00000000 00000000 00000000 00000010 00100000 00100000 10000001* +L0011296 00100000 00000000 00000000 00000010 00000000 00000000 11000100 00000111* +L0011360 00000000 00000000 00000000 00000000 00000000 00000000 01000100 00000000* +L0011424 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00000111* +L0011488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000010* +L0011552 00000000 00000000 00000000 00000000 00010000 00000000 00000000 00001100* +L0011616 00000000 00000000 00000000 00000000 00001000 00000000 00000100 00000010* +L0011680 00000000 00000000 00000000 00000000 00000001 00000000 10000100 00000110* +L0011744 00000000 00000000 00000001 00000001 00000000 00000000 00000000 00000001* +L0011808 000000 000000 000000 000000 000000 000000 000000 000000* +L0011856 000000 000000 000000 000000 000000 000000 000000 100000* +L0011904 000000 000000 000000 000000 001110 010000 100000 100000* +L0011952 000000 000000 000000 000000 000000 010000 100000 000000* L0012000 000000 000000 000000 000000 000000 000000 000000 000000* -L0012048 000000 000000 000000 000000 000000 000000 000001 000000* -L0012096 00000000 00000000 00000000 00000001 00000001 00000011 00000000 00000000* -L0012160 00000000 00000000 00000000 00000001 00000011 00000011 00000011 00000010* -L0012224 00000000 00000000 00000000 00000011 00000011 00000011 00000000 10000000* -L0012288 00000000 00000000 00000000 00000011 00000001 00001011 00000001 00000011* -L0012352 00000000 00000000 00000000 00000000 00000001 00000111 00000010 00000000* -L0012416 00000000 00000000 00000000 00000011 00000001 00000010 00000010 00000001* -L0012480 00000001 00000000 00000000 00000001 00100011 00000011 00000001 00000000* -L0012544 00000000 00000000 00000000 00000011 00100011 00000010 00000011 01001000* -L0012608 00000001 00000000 00000011 00000010 00000011 00000011 00000011 00000011* +L0012048 000000 000000 000000 000000 000000 000000 000000 000000* +L0012096 00000001 00000000 00000011 00000011 00000000 00000011 00000011 00001010* +L0012160 00000000 00000000 00000011 00000001 00000011 00010011 00000011 00000000* +L0012224 00000000 00000000 00000011 00000001 00000001 00000011 00000010 00000011* +L0012288 00000001 00000000 00100010 00000000 00000000 00000011 00000011 00000000* +L0012352 00000000 00000000 00100011 00000010 00000001 00000011 00000011 00000001* +L0012416 00000011 00000000 00000011 00000011 00000001 00000010 00000011 00000001* +L0012480 00000011 00000000 00000011 00000001 00000000 00000011 00000011 00000000* +L0012544 00000001 00000000 00000000 00000010 00000000 00000010 00000011 00000001* +L0012608 00000001 00000001 00000010 00000000 00000011 00000011 00000011 00000010* L0012672 000000 000000 000000 000000 000000 000000 000000 000000* L0012720 000000 000000 000000 000000 000000 000000 000000 000000* L0012768 000000 000000 000000 000000 000000 000000 000000 000000* L0012816 000000 000000 000000 000000 000000 000000 000000 000000* -L0012864 000000 000000 000000 000000 000000 000100 000000 000000* +L0012864 000000 000000 000000 000000 000000 000000 000000 000000* L0012912 000000 000000 000000 000000 000000 000000 000000 000000* -L0012960 00000000 00000000 01100101 01000010 00000010 10000000 00000000 00000011* -L0013024 00000000 00000000 00000000 01000010 00000000 00000011 00000000 00010001* -L0013088 00000000 00000000 00000000 01001000 00000000 00000001 00000000 00010011* -L0013152 00000000 00000000 00000000 01001000 00000010 00000000 00000000 00010000* -L0013216 00000000 00000000 00000000 00001011 00000010 00010000 00000000 00010111* -L0013280 00000000 00000000 01001000 00000000 00100010 00000000 00000000 00000110* -L0013344 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000001* -L0013408 00000000 00000000 00000010 01000010 00000000 00000001 00000000 00000011* -L0013472 00000000 00000000 00000000 01000001 00000000 00000010 00000000 00000000* -L0013536 000000 000000 000000 010000 000000 001000 000000 000000* -L0013584 000000 000000 110010 000100 000000 000000 001000 000000* -L0013632 000000 000000 000000 000101 100000 100000 000000 000000* -L0013680 000000 000000 000000 000101 100000 000000 000000 000000* -L0013728 000000 000000 000000 000101 000000 000000 000000 000000* -L0013776 000000 000000 000000 000101 000000 000001 000000 000000* -L0013824 00000000 00000000 00000000 00000000 10000001 00000001 00000000 00000000* -L0013888 00000000 00000000 00000000 00000000 00010000 00000011 00000000 00000000* -L0013952 00000000 00000000 00000000 00000011 00000010 00000011 00000000 00000000* -L0014016 00000000 00000000 00000000 00000010 11100000 00000011 00000000 00000011* -L0014080 00000000 00000000 00000000 00000000 00100000 00000010 00000000 00000000* -L0014144 00000000 00000000 10000100 00000011 00000000 00000010 00000000 00000000* -L0014208 00000000 00000000 00000000 00000000 00100010 00000011 00000001 00000000* -L0014272 00000001 00000000 00000000 00000001 01000110 01000010 00000001 00000000* -L0014336 00000001 00000000 00000010 00000010 10000001 00000011 00000001 00000011* -L0014400 000000 000000 000000 000000 100001 000000 000000 000000* -L0014448 000000 000000 000000 000000 010000 000000 000000 000000* -L0014496 000000 000000 000000 000000 100000 000000 000000 000000* -L0014544 000000 000000 000000 001000 000000 010000 000000 000000* -L0014592 000000 000000 000000 000000 010000 000000 000000 000000* -L0014640 000000 000000 000000 000000 000000 000000 000000 000000* -L0014688 01000000 00000000 10000000 00000010 00001010 00000001 00000000 00000011* -L0014752 00000000 00000000 00000000 00000010 00001010 00000000 00000000 00000010* -L0014816 00000000 00000000 00000000 00000000 00100000 00100000 00000000 00000011* -L0014880 00000000 00000000 00000000 00000001 00000010 00000000 00000000 00000000* -L0014944 00000000 00000000 00000000 00000011 00000010 00000000 00000000 00000011* -L0015008 00000000 00000000 00000000 00000000 00100010 01000000 00000000 00000011* -L0015072 00000000 00000000 00000000 00000001 00000000 00000000 00000000 00000001* -L0015136 00000001 00000000 00000010 00000010 10000001 00000001 00000000 00000011* -L0015200 00000000 00000000 00000000 00000001 00000000 00000000 00000000 00000000* -L0015264 000000 000000 000000 000000 000000 000000 000000 000000* -L0015312 000000 000000 000001 000000 000000 010000 000000 001000* -L0015360 000000 000000 001000 000000 000000 000000 000000 001000* -L0015408 000000 000000 000000 000000 000000 000000 000000 000000* +L0012960 00000000 00000000 00000000 00000000 00000010 00000000 00000000 00000001* +L0013024 00000000 00000000 00000000 00000010 00000100 00000011 00000000 00000010* +L0013088 00000000 00000000 00000000 00000010 00000100 00000001 00000000 00000000* +L0013152 00000000 00000000 00000001 00000001 00000100 00000000 00000000 00000011* +L0013216 00000000 00000000 00000000 00000001 10000100 00000000 00000000 00000010* +L0013280 00000000 00000000 00100000 01000000 00000000 00000000 00000000 00000010* +L0013344 00000000 00000000 00000000 00000000 00000001 00000000 00000000 00000001* +L0013408 00000000 00000000 00000011 00000011 10000001 00000001 00000000 00001010* +L0013472 00000000 00000000 00000001 00000001 10000000 00000010 00000000 00000001* +L0013536 000000 000000 000000 000000 100000 000000 000000 000000* +L0013584 000000 000000 000000 000000 000000 000000 000000 000000* +L0013632 000000 000000 000100 000000 000000 000000 000000 000000* +L0013680 000000 000000 000100 000000 000000 000000 000000 000000* +L0013728 000000 000000 000000 000000 000000 000000 000000 000000* +L0013776 000000 000000 000000 000000 000000 000000 000000 000000* +L0013824 00000000 00000000 00000011 00000010 00000000 00000001 00000001 00100010* +L0013888 00000100 00000000 10100001 00000000 00000000 00000011 00000000 00010000* +L0013952 00000000 00000000 00000001 00000001 00000000 00000011 00000010 00000010* +L0014016 00000000 00000000 10101000 00001000 00000000 00000011 00000011 00010000* +L0014080 00000001 00000000 10000010 00000000 00000000 00000010 00000001 00000001* +L0014144 00000001 00000000 00000111 00000011 00000000 00000010 00000001 00100100* +L0014208 00000001 00000000 00000011 00000001 00000000 00000011 00000011 00000100* +L0014272 00000001 00000000 10000000 00000000 00000000 00000010 00000001 00100101* +L0014336 00000001 00000000 00010010 00000000 00000001 00000011 00000001 00000110* +L0014400 000000 000000 000000 000000 000000 000000 000001 001000* +L0014448 001101 000000 100010 000000 000000 000000 000000 010000* +L0014496 000000 000000 000110 000000 000000 000000 000000 000000* +L0014544 000000 000000 010011 000000 000000 000000 000001 000000* +L0014592 000000 000000 000010 000000 000000 000000 000001 011000* +L0014640 000000 000000 000001 000000 000000 001000 000001 000000* +L0014688 00000100 00000000 11000000 00000000 00000010 00000001 00000010 01000001* +L0014752 00000000 00000000 01011010 00000010 00000010 00000000 00000010 00001010* +L0014816 00000000 00000000 00011000 00000010 00000000 00000000 00000000 00000001* +L0014880 00000000 00000000 00010001 00000001 00000000 00000000 00000000 00000011* +L0014944 00000001 00000000 00000001 00000001 00000000 00000000 00000000 00000010* +L0015008 00010100 00000000 00100000 00000000 00000000 00000000 00000000 00000011* +L0015072 00000100 00000000 01010000 00000000 00000001 00000000 00000000 00000001* +L0015136 00000100 00000000 01010011 00000001 00000001 00000001 00000000 00000010* +L0015200 00000000 00000000 00000101 00000001 00000000 00000000 00000000 00000001* +L0015264 000000 000000 000100 000000 000000 000000 000000 000000* +L0015312 000000 000100 000000 000000 000000 000000 000000 000100* +L0015360 000000 000000 000000 000000 001000 000000 000000 000001* +L0015408 000001 000000 001000 000000 000000 000000 000000 000000* L0015456 000000 000000 000000 000000 000000 000000 000000 000000* -L0015504 000000 000000 000000 000000 000001 000000 000000 000000* -L0015552 00000000 00000000 00000001 00000000 00000000 10000001 00000011 00000000* -L0015616 00000000 00000000 00000001 00000001 00000000 10000001 00000000 00000000* -L0015680 00000000 00000000 00000011 00000011 00000010 00000001 00000000 00000000* -L0015744 00000000 00000000 00000011 00000010 00000000 00000001 00000000 00000011* -L0015808 00000001 00000000 00000011 00000000 00000000 00010000 00000000 00000000* -L0015872 00000001 00000000 00000011 00000001 00000000 00100010 01000001 00000000* -L0015936 00000000 00000000 00000011 00000000 00000010 00000011 10110011 10000000* -L0016000 00000000 00000000 00000010 00000001 00000000 00000010 00000001 00000000* -L0016064 00000000 00000000 00000010 00000010 00000001 00000011 00000001 00000011* -L0016128 000000 000000 000000 000000 000000 001000 000000 000000* -L0016176 000000 000000 000000 000000 000000 000000 001000 000000* -L0016224 000000 000000 000000 000000 000000 100000 010000 000000* -L0016272 000000 000000 000000 000000 000000 100000 000000 000000* -L0016320 000000 000000 000000 000000 000000 000000 000000 000000* -L0016368 000000 000000 000000 000000 000000 000000 000000 000000* -L0016416 10000000 00000000 01100101 01000000 00000010 00000000 00000011 00000011* -L0016480 00000100 00000000 00000001 01000011 00001010 01000000 00000000 00000010* -L0016544 00000000 00000000 00000011 01001000 00000000 00001000 00000000 00000011* -L0016608 00000000 00000000 00000011 01001001 00000010 00000000 00000000 00000000* -L0016672 00000001 00000000 00000011 00001011 00000010 00000000 00000000 00000011* -L0016736 00000001 00000000 01101011 00010010 00000010 00000000 10110001 10000001* -L0016800 00000000 00000000 00000011 01010001 00000000 00100000 01000011 00000001* -L0016864 00000000 00000000 00000010 01000010 00000011 00000001 00000001 00000011* -L0016928 00000000 00000000 00000000 01000001 00000000 00000000 00000001 00000000* -L0016992 000000 000000 000000 010000 000000 000000 000000 000000* -L0017040 000000 000000 110010 000100 000000 000100 010000 000000* -L0017088 000000 000000 000000 000101 000000 000000 001000 000000* -L0017136 000000 000000 000000 000101 000000 000000 000000 000000* -L0017184 000000 000000 000000 000101 000000 000001 000000 000000* -L0017232 000000 000000 000000 000101 000000 000000 000000 000000* -L0017280 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0015504 000000 000000 000100 000000 000000 000000 000000 000000* +L0015552 00000000 00000000 00000011 00000010 00000000 00000001 00000000 00000010* +L0015616 00000001 00000000 00000001 00000001 00000000 00000001 00000000 00000000* +L0015680 00000001 00000000 00000001 00000000 00000000 01000001 00000000 00000000* +L0015744 00000000 00000000 00000000 00000100 00000000 00000001 00000000 00000000* +L0015808 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000001* +L0015872 00000000 00000000 00000011 00000101 00000000 00000010 00000000 00000000* +L0015936 00000001 00000000 00000001 00001000 00000000 00000011 00000000 00000000* +L0016000 00000011 00000000 00000000 00001000 00000000 00000110 00000000 00000001* +L0016064 00000011 00000000 00000010 00001010 00000001 00000011 00000001 00000010* +L0016128 000000 000000 000000 000010 000000 000000 000000 000000* +L0016176 000000 000000 000000 000000 000000 000000 000000 000010* +L0016224 000000 000000 000000 100000 000000 000000 000000 000000* +L0016272 000000 000000 000000 000000 000000 000000 000000 000000* +L0016320 000000 000000 000000 000010 000000 000000 000000 000000* +L0016368 000000 000000 000000 000010 000000 000000 000000 000000* +L0016416 00000000 00000000 00000000 00000000 00001010 00000000 00000010 00000101* +L0016480 00000001 00000000 00000110 00000011 00000010 00000000 00000000 00000010* +L0016544 00000001 00000000 00000000 00000001 00000000 00000000 10000000 00000011* +L0016608 00000000 00000000 00000001 00000001 00000000 00000000 10000000 00000011* +L0016672 00000000 00000000 00000001 00000001 00000000 00000000 10000000 00000010* +L0016736 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000011* +L0016800 00000001 00000000 00000010 00000001 00000001 00000000 00100000 00000001* +L0016864 00000011 00000000 00000011 00000001 00000101 00000001 00000001 00000010* +L0016928 00000011 00000000 00000001 00000011 00000000 00000000 00000100 00000001* +L0016992 000000 000000 000000 000000 000000 000000 000001 000000* +L0017040 000000 001000 000000 000000 000000 000000 000000 000000* +L0017088 000000 000001 000000 000000 000000 000000 000000 000010* +L0017136 000000 000000 000000 100000 000000 000000 000001 000000* +L0017184 000000 000000 000000 000000 000000 000000 000001 000000* +L0017232 000000 000000 000000 000000 000000 000000 000001 000000* +L0017280 00000000 00000000 00000010 00000010 00000000 00000000 00000000 00000010* L0017344 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* -L0017408 00000000 00000000 00000000 00000011 00000010 00000000 00000000 00000000* -L0017472 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000011* -L0017536 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0017600 00000000 00000000 00000000 00000001 00000000 00000000 00000000 00000000* -L0017664 00000000 00000000 00000000 00000000 00000010 00000001 00000000 00000000* -L0017728 00000000 00000000 00000000 00000001 00000000 00000000 00000001 00000000* -L0017792 00000000 00000000 00000010 00000010 00000001 00000011 00000001 00000011* -L0017856 000000 000000 000000 000000 000000 000100 000000 000000* +L0017408 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00000000* +L0017472 00000000 00000000 00000000 00000000 00000100 00000001 00000000 00000000* +L0017536 00000000 00000000 00000000 00000100 00000100 00000000 00000000 00000001* +L0017600 00000000 00000000 10000001 00000001 00000000 00000000 00000000 00000000* +L0017664 00000000 00000000 00000001 00000100 00000000 00000001 00000000 00000000* +L0017728 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0017792 00000001 00000000 00000010 00001000 10000001 00000011 00000001 00000010* +L0017856 000000 000000 000000 000010 100000 000000 000000 000000* L0017904 000000 000000 000000 000000 000000 000000 000000 000000* -L0017952 000000 000000 000000 000000 000000 000000 000000 000010* +L0017952 000000 000000 000000 000000 000000 000000 000000 000000* L0018000 000000 000000 000000 000000 000000 000000 000000 000000* L0018048 000000 000000 000000 000000 000000 000000 000000 000000* -L0018096 000000 000000 000000 000000 000000 000000 000000 000000* -L0018144 00000000 00000000 01110100 00000000 00000010 00000001 00000000 00000011* -L0018208 00000000 00000000 00000000 00000010 00000010 00000000 00000000 00000010* -L0018272 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000011* -L0018336 00000000 00000000 00000000 00000011 00000010 00000000 00000000 00000000* -L0018400 00000000 00000000 00000000 00000011 00000000 00000000 00000000 00000011* -L0018464 00000000 00000000 01101000 00000000 00000000 00000000 00000000 00000001* -L0018528 00000000 00000000 00000000 00000001 00000000 00000000 01000000 00000001* -L0018592 00000000 00000000 00000000 00000010 00000001 00000010 00000000 00000011* -L0018656 00000000 00000000 00000000 00000001 00000000 00000000 00000000 00000000* -L0018720 000000 000000 000000 000000 000000 000000 000000 000000* -L0018768 000000 000000 110010 000000 000000 000000 000000 000000* -L0018816 000000 000000 000000 000000 001000 000000 000000 000000* -L0018864 000000 000000 000000 000000 000000 000000 000000 000000* -L0018912 000000 000000 000000 000000 000000 000000 000000 000000* +L0018096 000000 000000 000000 000010 000000 000000 000000 000000* +L0018144 00000000 00000000 00001001 00000000 00000010 00000001 00000010 00000001* +L0018208 00000000 00000000 00001011 00000010 00000110 00000000 11111100 00000010* +L0018272 00000000 00000000 00010000 00000001 00000100 00000000 01111100 00000011* +L0018336 00000000 00000000 00000001 00000101 00000000 00000000 00000000 00000011* +L0018400 00000000 00000000 00000001 00000001 10000000 00000000 00000000 00000010* +L0018464 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000001* +L0018528 00000000 00000000 01010010 00001001 00000001 00000000 00001100 00000001* +L0018592 00000000 00000000 00000011 00001000 10000001 10000010 10001101 00000011* +L0018656 00000000 00000000 00000001 00000001 00000000 00000000 00000000 00000001* +L0018720 000000 000000 000100 000000 000000 000000 000000 000000* +L0018768 000000 000000 000000 000000 001000 000000 000001 000000* +L0018816 000000 000000 000000 000000 000000 000000 100010 000000* +L0018864 000000 000000 000000 000000 000000 000000 100010 000000* +L0018912 000000 000000 000000 000010 000000 000000 000000 000000* L0018960 000000 000000 000000 000000 000000 000000 000000 000000* -L0019008 00000000 00000000 00000010 00000000 00000000 00000000 00000011 00000000* -L0019072 00000000 00000000 00000000 00000011 00000010 00000001 00000000 00000000* -L0019136 00000000 00000000 00000000 00000010 00000010 00000010 00000001 00000000* -L0019200 00000000 00000000 01111100 00000000 00000000 00000000 00000000 00000011* -L0019264 00000000 00000000 00000000 00000010 00000000 00000011 00000000 00000010* -L0019328 00000000 00000000 00000000 00000011 00000010 00000000 00000001 00000010* -L0019392 00000000 00000000 00000000 00000000 00000001 00000011 00000001 00000000* -L0019456 00000000 00000000 00000001 00000000 00000001 00000001 00000001 00000011* -L0019520 00000000 00000000 01111001 00000010 00000000 00000010 00000000 00000001* +L0019008 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00000010* +L0019072 00000000 00000000 00000011 00000011 00000010 00000001 00000000 00000000* +L0019136 00000001 00000000 00000001 00000000 00000000 00000010 00000001 00000010* +L0019200 00000000 00000000 00000000 00000011 00000000 00000000 00000000 00000000* +L0019264 00000000 00000000 00000000 00000011 00000000 00000011 00000000 00000011* +L0019328 00000010 00010000 10000000 00000011 00000010 00000000 00000000 00000010* +L0019392 00000001 00000000 00000001 01000000 00000000 00000011 00000000 00000000* +L0019456 00000000 00000000 00000001 00000000 00000000 00000001 00000000 00000010* +L0019520 00000011 00000000 00000000 00000000 00000000 00000010 00000000 00000000* L0019584 000000 000000 000000 000000 000000 000000 000000 000000* L0019632 000000 000000 000000 000000 000000 000000 000000 000000* L0019680 000000 000000 000000 000000 000000 000000 000000 000000* L0019728 000000 000000 000000 000000 000000 000000 000000 000000* -L0019776 000000 000000 110110 000000 000000 000000 000000 000000* +L0019776 000000 000000 000000 000000 000000 000000 000000 000000* L0019824 000000 000000 000000 000000 000000 000000 000000 000000* -L0019872 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0019936 00000000 00000000 00000000 00000010 00000000 00000001 00000000 00000000* -L0020000 00000000 00000000 00000000 00000010 00000010 00000000 00000000 00000001* -L0020064 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000011* -L0020128 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0020192 00000000 00000000 00000000 00000001 00000000 00001000 00000000 00010000* -L0020256 00000000 00000000 00000000 00000000 00000010 00000000 00000000 00010000* -L0020320 00000100 00000000 00000000 00000001 00000000 00000000 00000000 00000011* -L0020384 00000000 00000000 00000010 00000010 00000001 00000011 00000000 00000011* +L0019872 00000000 00000000 00000010 00000010 00000000 00000000 00000000 00100010* +L0019936 00000000 00000000 00000001 00000000 00000000 00000001 00000000 00000000* +L0020000 00000000 00000000 00001001 00000000 00000000 00010000 00000000 00000001* +L0020064 00000000 00000000 00010000 00000001 00000000 00000000 00000000 00000000* +L0020128 00000000 00000000 00000001 00000001 00000000 00000000 00000000 00000001* +L0020192 00000000 00000000 00000001 00000001 00000000 00000000 00000000 00000000* +L0020256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0020320 00000000 00000000 01010010 00000000 00000000 00000000 00000000 00000011* +L0020384 00000000 00000000 00000010 00000000 00000001 00000011 00000001 00000010* L0020448 000000 000000 000000 000000 000000 000000 000000 000000* -L0020496 000000 000000 000000 000000 000000 000000 000000 000000* +L0020496 000000 000000 000000 000000 000000 000000 000000 010000* L0020544 000000 000000 000000 000000 000000 000000 000000 000000* L0020592 000000 000000 000000 000000 000000 000000 000000 000000* -L0020640 000000 000000 000000 000000 000000 000000 000000 000000* -L0020688 000000 000000 000000 000000 000000 000000 000000 000000* -L0020736 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0020800 00000000 00000000 00000000 00000000 00001000 00000000 00001000 00000000* -L0020864 00000000 00000000 00000000 00000111 00000010 00000000 00000000 00000000* -L0020928 00000000 00000000 00000000 00000110 11000010 00000000 00000000 00000011* -L0020992 00000000 00000000 00000000 00000110 11000000 00000000 00000000 01000010* -L0021056 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0021120 00000000 00000000 00000000 00000100 10000010 00000000 00000000 00000000* -L0021184 00000000 00000000 00000000 00000101 00000000 00000000 00000000 00000000* -L0021248 00000000 00000000 00000010 00000100 00100001 00000000 00000000 00100011* -L0021312 000000 000000 000000 000001 000000 000000 000000 001001* -L0021360 000000 000000 000000 000001 000000 000010 000000 000000* -L0021408 000000 000000 000000 000000 000000 000000 000000 000000* -L0021456 000000 000000 000000 000000 000000 000000 000000 000000* -L0021504 000000 000000 000000 000000 010000 000000 000000 000000* -L0021552 000000 000000 000000 000000 000000 000000 000000 000100* -L0021600 00010000 00000000 01110101 01000000 00001010 00000000 00001000 00000011* -L0021664 00000000 00000000 00000000 00001000 00010000 00000000 00000000 00010010* -L0021728 00000000 00000000 00000000 01001000 00010000 00000000 00000000 00010000* -L0021792 00000000 00000000 00000000 00001011 00000010 00000000 00000000 00010000* -L0021856 00000000 00000000 00000000 00000010 00000010 00000000 00000000 00010111* -L0021920 00000000 00000000 01111000 00000010 00000000 00000000 00000000 00000111* -L0021984 00000000 00000000 00000000 01000000 00100000 00000000 00000000 00000000* -L0022048 00000000 00000000 00000000 00000000 01100110 00000000 00000000 00000000* -L0022112 00000000 00000000 00000000 01000001 01000100 00000000 00000000 00000000* -L0022176 000000 000000 000000 000000 000000 000000 000000 110000* -L0022224 000000 000000 110110 001100 000000 000000 100000 000000* -L0022272 000000 000000 000000 001000 000000 000000 000000 000000* -L0022320 000000 000000 000000 000100 000000 000000 000000 010000* -L0022368 000000 000000 000000 000000 000000 000000 000000 000000* -L0022416 000000 000000 000000 000000 000000 000000 000000 000010* -L0022464 00000011 00000000 00000011 00000011 00000101 00000011 00000011 00000000* -L0022528 00000011 00000000 00000011 00000011 00000011 00000011 00000011 00000010* -L0022592 00000011 00000000 00000011 00000011 00000011 00000011 00000011 00000001* -L0022656 00000011 00000000 00000011 00000011 00000011 00000011 00000011 00000011* -L0022720 00000011 00000001 00000011 00000010 00000011 00000011 00000011 00000010* -L0022784 00000011 00000001 00000011 00100011 00000011 00000011 00000011 00000011* -L0022848 00000011 00000001 00000011 00000001 00000011 00000011 00000011 00000001* -L0022912 00000011 00000001 00000011 00000011 00000011 00000011 00000011 00000011* -L0022976 00000011 00000001 00000011 00000010 00000011 00000011 00000011 00000011* +L0020640 000000 000000 000000 000000 000000 000000 000000 010000* +L0020688 000000 000000 000100 000000 000000 000000 000000 000000* +L0020736 00000000 00000000 00000010 00000010 00000000 00000100 00000010 00000010* +L0020800 00000000 00000000 00000000 00000010 00000000 00000100 00000000 00000010* +L0020864 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000010* +L0020928 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0020992 00000000 00000000 00000000 00000000 00000000 00001100 00000000 00000011* +L0021056 00100000 00000000 00000000 00000001 00000000 00000000 00000000 00000000* +L0021120 00000000 00000000 00000001 00000000 00000000 00100000 00000000 00000000* +L0021184 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0021248 00000000 00000000 00100000 00000000 00000001 00100000 00000001 00000010* +L0021312 000000 000000 001000 000000 000000 000000 000000 000000* +L0021360 000000 000000 000000 000000 000000 000100 000000 000000* +L0021408 000000 000000 000000 000000 000000 000000 000001 000000* +L0021456 000000 000000 000000 000000 000000 000100 000000 000000* +L0021504 000000 000000 000000 000000 000000 000100 000000 000000* +L0021552 000000 000000 000000 000100 000000 000000 000000 000000* +L0021600 00000000 00000000 00000000 00001000 00000010 00000000 00001010 00000101* +L0021664 00000000 00000000 00000010 00000110 00000010 00000000 11110110 00000010* +L0021728 00000000 00000000 00000000 00000110 00000000 00000000 01110100 00000010* +L0021792 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000010* +L0021856 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000010* +L0021920 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000011* +L0021984 00000000 00000000 00000000 00000001 00000000 00000000 00000100 00000000* +L0022048 00000000 00000000 00000001 00000000 00000000 00000000 10000100 00000000* +L0022112 00000000 00000000 00000000 00000100 00000000 00010000 00000000 00000000* +L0022176 000000 000000 000000 000000 000000 000000 000000 000000* +L0022224 000000 000000 000000 000000 000000 000000 000000 000000* +L0022272 000000 000000 000000 000100 000100 000001 100000 000000* +L0022320 000000 000000 000000 000100 000000 000000 100000 000000* +L0022368 000000 000000 000000 000000 000000 001000 000000 000000* +L0022416 000000 000000 000000 000000 000000 000000 000000 000000* +L0022464 00000011 00000001 00000011 00000011 00000000 00000111 00000011 00000010* +L0022528 00000011 00000001 00000011 00000011 00000011 00000111 00000011 00000010* +L0022592 00000011 00000001 00000011 00001011 00000001 00000111 00000011 00000011* +L0022656 00000011 00000011 00000010 00001011 00000001 00000111 00000011 00000000* +L0022720 00000011 00000011 00000011 00001011 00000011 00001111 00000011 00000011* +L0022784 00000011 00000011 00000011 00001011 01000011 00000111 00000011 00010011* +L0022848 00000011 00000011 00000111 00000011 00000001 00100011 00000011 00000001* +L0022912 00000011 00000011 00000011 00000011 00000000 00100011 00000011 00000011* +L0022976 00000011 00000011 00000010 00000010 00000011 00100011 00000011 00000010* L0023040 000000 000000 000000 000000 000000 000000 000000 000000* -L0023088 000000 000000 000000 000000 000000 000000 000000 000000* -L0023136 000000 000000 000000 000000 000000 000000 000000 000100* -L0023184 000000 000000 000000 000000 000000 000000 000000 000000* -L0023232 000000 000000 000000 000000 000000 000000 000000 000000* +L0023088 000000 000000 000000 000000 000000 000100 000000 000000* +L0023136 000000 000000 000000 000000 000000 000000 000001 000000* +L0023184 000000 000000 000000 000000 000000 000100 000000 000000* +L0023232 000000 000000 000000 000000 000000 000100 000000 000000* L0023280 000000 000000 000000 000000 000000 000000 000000 000000* -L0023328 00000000 00000000 00000000 01000000 00100000 00000000 00000000 00000000* -L0023392 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* -L0023456 00000000 00000000 00000000 01001100 00000000 00000000 00000000 00001000* -L0023520 00000000 00000000 00000000 01001100 00000000 00000000 00000000 00000000* -L0023584 00000000 00000000 00000000 00001100 00000000 00000000 00000000 00000000* -L0023648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0023712 00000000 00000000 00000000 01000100 00000000 00000000 00000000 00000000* -L0023776 00000000 00000000 00000000 01100100 00000000 00000000 00000000 00000000* -L0023840 00000000 00000000 00000000 01100100 00000000 00000000 00000000 00000000* -L0023904 000000 000000 000000 011001 000000 000000 000000 000000* -L0023952 000000 000000 100000 000101 000000 000000 000000 000000* -L0024000 000000 000000 000000 000101 000000 000000 000000 000000* -L0024048 000000 000000 000000 000101 000001 000000 000000 000000* -L0024096 000000 000000 000000 001101 000000 000000 000000 000000* -L0024144 000000 000000 000000 001101 000000 000000 000000 000000* +L0023328 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* +L0023392 00001000 00000000 10000000 00000000 00000000 00000000 11111100 00000000* +L0023456 00000000 00000000 10000000 00000000 00000000 00000000 01111100 00000000* +L0023520 00000000 00000000 10000100 00000000 00000000 00000000 00000000 00000000* +L0023584 00000000 00000000 10000100 00000000 00000000 00000000 00000000 00000000* +L0023648 00000000 00000000 00000000 00010000 00000000 00000000 00001000 00000000* +L0023712 00000000 00000000 00000000 00010000 00000000 00000000 00000100 00000000* +L0023776 00000000 00000000 10001100 00000000 00000000 00010000 10000100 00000000* +L0023840 00000000 00000000 10001100 00000000 00000000 00000000 00000000 00000000* +L0023904 000000 000000 100011 000000 000000 000000 000000 000000* +L0023952 000000 000001 000011 000000 000000 000001 000000 000000* +L0024000 000000 000000 000011 000000 000000 000000 100000 000000* +L0024048 000000 000000 000011 000000 000000 001000 100000 000000* +L0024096 000000 000000 000011 000000 000000 000000 000000 000000* +L0024144 000000 000000 000011 000000 000000 000000 000000 000000* L0024192 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0024256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0024320 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* -L0024384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0024448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0024512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0024576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0024640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0024704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0024768 000000 000000 000000 000000 000000 000000 000000 000000* +L0024256 00001000 00000000 00000000 00000000 00000000 00000000 00000000 01000100* +L0024320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01001100* +L0024384 00000000 00000000 00000000 00000000 00000000 00110000 00000000 01001100* +L0024448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01001100* +L0024512 00000000 00000000 00000000 00000000 00000000 10000000 00000000 11000100* +L0024576 00000000 00000000 00000000 00000000 00000000 01000000 00000000 11000100* +L0024640 00000000 00000000 00000000 00000000 00000000 01000000 00000000 11000100* +L0024704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11000100* +L0024768 000000 000000 000000 000000 000000 000000 000000 110001* L0024816 000000 000000 000000 000000 000000 000000 000000 000000* -L0024864 000000 000000 000000 000000 000000 000000 000000 000000* -L0024912 000000 000000 000000 000000 000000 000000 000000 000000* -L0024960 000000 000000 000000 000000 000000 000000 000000 000000* -L0025008 000000 000000 000000 000000 000000 000000 000000 000000* -L0025056 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0024864 000000 000000 000000 000000 000000 000000 000001 000000* +L0024912 000000 000000 000000 000000 000000 000000 000000 000111* +L0024960 000000 000000 000000 000000 000000 010000 000000 010111* +L0025008 000000 000000 000000 000000 000000 000000 000000 000111* +L0025056 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* +L0025120 00000000 00000000 00000000 00000000 00000000 00000000 11111100 00000000* +L0025184 00000000 00000000 00000000 00000000 00000000 00000000 01111100 00000000* L0025248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0025312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025376 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0025440 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* -L0025504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025440 00000000 00000000 00000000 00000000 00000000 00000000 00001100 00000000* +L0025504 00000000 00000000 00000000 00000000 00000000 10000000 10001100 00000000* +L0025568 00100000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0025632 000000 000000 000000 000000 000000 000000 000000 000000* -L0025680 000000 000000 100000 000000 000000 000000 000000 000000* -L0025728 000000 000000 000000 000000 000000 000000 000000 000000* -L0025776 000000 000000 000000 000000 000000 000000 000000 000001* -L0025824 000000 000000 000000 000000 000000 000000 000000 000000* -L0025872 000000 000000 000000 000000 000000 000000 000000 000000* -L0025920 00000000 00000000 00000000 00000000 00000000 00000000 00100000 00000000* -L0025984 00000000 00000000 00000000 00000011 00000011 00000000 00000111 00000010* -L0026048 00000000 00000000 00000000 00000000 00000001 00000000 00000001 00000001* +L0025680 000000 000000 000000 000000 000000 000000 000010 000000* +L0025728 000000 000000 000000 000000 000000 010000 100000 000000* +L0025776 000000 000000 000000 000000 000000 010000 100000 000000* +L0025824 000000 000000 000000 001000 000001 000000 000000 001000* +L0025872 000000 000000 000000 000000 000000 000000 000000 001000* +L0025920 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0025984 00000100 00000000 00000000 00000011 00000011 00000000 00000011 00000010* +L0026048 00000000 00000000 00000100 00000000 00000001 00000000 00000001 00000001* L0026112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0026176 00000000 00000000 00000000 01000010 00000011 00001001 00000011 00000010* -L0026240 00000100 00000000 00000000 10001010 00000011 00000001 00000010 00000011* -L0026304 00000000 00000000 00000000 10001000 00000100 00000000 00000100 00000000* -L0026368 00000000 00000000 00000001 10001000 00000000 00000001 00000010 00000010* -L0026432 00000000 00000000 00000010 10001000 00000010 00000100 00000010 00000000* -L0026496 000000 000000 000000 100010 000000 000000 000000 000000* -L0026544 000000 000000 000000 100010 000000 000000 000010 000000* -L0026592 000000 000000 000000 100010 000000 000000 000000 000000* -L0026640 000000 000000 000000 100010 000000 000000 000000 000000* -L0026688 000000 000000 000000 100010 000000 000000 000000 000000* -L0026736 000000 000000 000000 100010 000000 000000 000000 000000* -L0026784 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0026176 00000000 00000000 00000000 00000010 00000011 00000001 00000010 00000010* +L0026240 00010000 00000000 00000000 00000010 00000011 00000001 00000010 00000011* +L0026304 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* +L0026368 00000000 00000000 00000001 00000000 00000000 00000001 00000010 00000010* +L0026432 00000000 00000000 00000010 00000000 00000010 00000000 00000010 00000000* +L0026496 000000 000000 000000 000000 000000 000000 000000 000000* +L0026544 000000 000000 000000 000000 000000 000010 000000 000010* +L0026592 000001 000000 000000 000000 000000 000000 000001 000010* +L0026640 000000 000000 000000 000000 000000 000000 000000 000000* +L0026688 000000 000000 000000 000000 000000 000000 000000 000000* +L0026736 000000 000000 000000 000000 000000 000000 000000 000000* +L0026784 00000100 00000000 00000000 00000000 00001000 00000000 00000100 00000000* L0026848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0026912 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0026976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0026912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0026976 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* L0027040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0027104 00000000 00001000 00001000 00000000 00000000 00000000 00000000 00000000* -L0027168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0027232 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0027104 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0027168 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0027232 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0027296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0027360 000000 000000 000000 000000 000000 000000 000000 000000* -L0027408 000000 000001 100010 000000 000000 000000 000001 000000* -L0027456 000000 000000 000000 000000 000000 000000 000001 000000* -L0027504 000000 000000 000000 000000 000000 000000 000001 000000* +L0027408 000100 000000 000000 000000 000000 000000 000000 000000* +L0027456 000000 000000 000000 000000 000000 000000 000000 000000* +L0027504 000001 000000 000000 000000 000000 000000 000000 000000* L0027552 000000 000000 000000 000000 000000 000000 000000 000000* L0027600 000000 000000 000000 000000 000000 000000 000000 000000* -L0027648 00000000 00000000 00000000 01000000 00000100 00000000 00100000 00000000* -L0027712 00000000 00000000 00010000 01000000 00000111 00000000 00000011 00000010* -L0027776 00000000 00000000 00000000 01001100 00000001 01000000 00000001 00000000* -L0027840 00000000 00000000 00000000 01001100 00000000 00000000 00000000 00000000* -L0027904 00000000 00000000 00000000 00001110 00000001 00000000 00000011 00000010* -L0027968 00000000 00000000 00000000 00000010 00000011 00000001 00000110 00000010* -L0028032 00000000 00000000 00000000 01000100 00000000 00000000 00000100 00000000* -L0028096 00000000 00000000 00100001 01000110 00000000 00000100 00000010 00000010* -L0028160 00000000 00000000 00000000 01000100 00000010 00000000 00000010 11000000* -L0028224 000000 000000 000000 010001 000000 000000 000000 000000* -L0028272 000000 000000 001000 000101 000000 000000 000010 000000* -L0028320 000000 000000 000000 000101 000000 000000 000000 000000* -L0028368 000001 000000 001000 000101 000000 000000 000000 000000* -L0028416 000000 000000 001000 000101 000000 000000 000000 000010* -L0028464 000000 000000 001000 000101 000000 000000 000000 000000* -L0028512 00000010 00000000 00000011 00000011 00000001 00000000 00000011 00000000* -L0028576 00000011 00000000 00000011 00000011 00000000 00000000 00000000 00000000* -L0028640 00000001 00000000 00000011 00000011 00000010 00000000 00000010 00010001* -L0028704 00000001 00000000 00000011 00000011 00000011 00000000 00000011 00000011* -L0028768 00000001 00000001 00000011 00000000 00000010 00000001 00000000 00010100* -L0028832 00000001 00000001 00000011 00000101 00000000 00000000 00000001 00000001* -L0028896 00000000 00000001 00000011 00000001 00000011 00000000 00000011 00000001* -L0028960 00000000 00000001 00000010 00000001 00000011 00000000 00000101 00000001* -L0029024 00000000 00000001 00000011 00000010 00000001 00000000 00000001 00000011* -L0029088 000000 000000 000000 000000 000000 000000 000000 000000* -L0029136 001101 000000 000000 000000 000000 000000 000001 000000* -L0029184 000000 000000 000000 000000 000000 000000 000001 000000* +L0027648 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0027712 00000000 00000000 00000000 00000000 00000011 10000000 00000011 00000010* +L0027776 00000000 00000000 00000000 00000000 00000001 00000000 00000001 00000000* +L0027840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0027904 00000000 00000000 00000000 00000010 00000001 00010000 00000010 00000010* +L0027968 00000000 00000000 00000000 00000010 00000011 00100001 00000010 00000010* +L0028032 00000000 00000000 00000100 00100010 00000000 00000000 00000000 00000000* +L0028096 00000000 00000000 00000001 00000010 00000000 00000000 00000010 00000010* +L0028160 00000000 00000000 00000000 00000000 00000010 00000000 00000011 01000000* +L0028224 000000 000000 000000 000000 000000 001000 000000 000001* +L0028272 000000 000000 000000 000000 000000 000000 000000 000000* +L0028320 000000 000000 000000 000000 000000 100000 000000 000000* +L0028368 000000 000000 000000 000000 000000 100000 000000 000000* +L0028416 000000 000000 000000 000000 000000 000000 000000 000100* +L0028464 000000 000000 000000 000000 000000 000000 000000 000000* +L0028512 00000011 00000001 00000011 00000011 10001000 00000000 00000011 00000010* +L0028576 00000001 00000000 00000011 00000011 00000000 01000000 00000000 00010100* +L0028640 00000011 00000000 00000011 00000011 00000000 00001000 10000010 00010111* +L0028704 00000011 00000010 00000010 00000011 00000001 00000000 10000011 00000000* +L0028768 00000010 00000010 00000011 00000001 00000010 00000001 10000001 00000001* +L0028832 00010010 00000010 00000011 00000001 00000000 00000000 00000001 00000101* +L0028896 00000011 00000010 00000011 00000001 00000001 00100000 00000011 00000101* +L0028960 00000011 00000010 00000010 00000001 00000000 00000000 00000001 00000001* +L0029024 00000011 00000010 00000010 00000010 00000001 00000000 00000100 00000010* +L0029088 000001 000000 000000 000000 000000 000000 000001 000000* +L0029136 000100 000000 000000 000000 000000 000100 000000 000000* +L0029184 000000 000000 000000 000000 000000 000000 000000 000000* L0029232 000000 000000 000000 000000 000000 000000 000001 000000* -L0029280 000000 000000 000000 000000 000000 000000 000000 000000* -L0029328 000000 000000 000000 001000 000000 000000 000000 000000* -L0029376 00000001 00000000 00000000 00000000 00000000 00000010 00000000 00000000* -L0029440 00000000 00000000 00010000 00000000 00000000 00000001 00000000 00000000* -L0029504 00000000 00000000 00000000 00000000 00000000 00000011 00000000 00000000* -L0029568 00000010 00000000 00000000 00000000 00000000 00110011 00000000 00000000* -L0029632 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0029696 00000010 00000000 00000000 00000000 01000000 10000000 00000000 00000000* -L0029760 00000011 00000000 00000000 00000000 00000000 01000001 00000000 00000000* -L0029824 00000010 00000000 00100000 00000000 00000000 01000011 00000000 00000000* -L0029888 00000000 00000000 00000000 00000000 00000000 00000011 00000000 00000000* +L0029280 000000 000000 000000 000000 000000 000001 000001 000000* +L0029328 000000 000000 000000 000000 000000 000000 000001 000000* +L0029376 00000000 00000000 00000000 00000000 00000000 00000010 00000000 00000000* +L0029440 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* +L0029504 00000000 00000001 00000000 10000000 00000000 00000011 00000000 00000000* +L0029568 00000000 00000001 00000000 00000000 00000000 00000011 00000000 00000000* +L0029632 00000000 00000001 00000000 00000000 00000000 00001000 00000000 00000000* +L0029696 00010000 00000001 00000000 00000000 00000000 00000000 00000000 00000000* +L0029760 00000000 00000001 00000000 00000000 00000000 00000001 00000000 00000000* +L0029824 00000000 00000001 00000000 00000000 00000000 00000011 00000000 00000000* +L0029888 00000000 00000001 00000000 00000000 00000000 00000111 00000000 00000000* L0029952 000000 000000 000000 000000 000000 000000 000000 000000* -L0030000 000000 000000 000000 000000 000000 000000 000010 000000* -L0030048 000000 000000 000001 000000 000000 000000 000000 000000* +L0030000 000101 000000 000000 000010 000001 000000 000000 000000* +L0030048 000001 000000 000000 000000 000000 000000 000001 000000* L0030096 000000 000000 000000 000000 000000 000000 000000 000000* -L0030144 000000 000000 000000 000000 000000 010000 000000 000000* +L0030144 000000 000000 000000 000000 000000 000000 000000 000000* L0030192 000000 000000 000000 000000 000000 000000 000000 000000* -L0030240 00000000 00000000 00000000 00000000 00000000 00000001 00100000 00000000* -L0030304 00000000 00000000 00000010 00000000 00000000 00000001 00000000 00000000* -L0030368 00000010 00000000 00000000 00000000 00000000 00000001 00000000 00000000* -L0030432 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0030496 00000000 00000000 00000000 00000000 00000010 00000010 00000000 00000000* -L0030560 10000000 00000000 00000000 00000000 00000000 00000010 00000000 01000000* -L0030624 00000000 00000000 00000000 00000000 00000000 00000010 00000000 00000000* -L0030688 00000001 00000000 00000000 00000000 00000000 10000001 00000000 00000000* -L0030752 00000011 00000001 00000000 00000000 00000000 00000010 00000000 00000000* -L0030816 000000 000000 000000 000000 000000 000000 000000 000000* +L0030240 00000000 00010000 00000000 00000000 10000000 00000001 00000000 00000000* +L0030304 00000010 00000001 00000000 00000000 10000100 00000001 11111100 00000000* +L0030368 00000000 00000000 00000000 00000010 10000100 00000101 01111100 00000000* +L0030432 00000000 00000000 00000000 00000000 10000100 00000000 00000000 00000000* +L0030496 00000001 00000000 00000000 00000000 10000110 00000010 00000000 00000000* +L0030560 00000101 00000000 00000000 00000000 00000100 00000010 00000000 00000000* +L0030624 00000100 00000000 00000000 00000000 00000100 00000010 00001100 00000000* +L0030688 00000100 00000010 00000000 00000000 10000100 00000001 10001100 00000000* +L0030752 00000000 00000000 00000000 00000000 10000100 00000010 00000000 00000000* +L0030816 000000 000000 000000 000000 100001 000000 000000 000000* L0030864 000000 000000 000000 000000 000000 000000 000000 000000* -L0030912 000000 000000 000000 100000 000000 010000 000000 000000* -L0030960 000000 000000 000000 100010 000000 010000 000000 000000* -L0031008 000000 000000 000000 000010 000000 000000 000000 000000* -L0031056 000000 000000 000000 000000 000000 000000 000001 000000* -L0031104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0030912 000000 000000 000000 000000 000001 000000 100010 000000* +L0030960 000001 000000 000000 000010 000001 000000 100010 000000* +L0031008 000000 000000 000000 000000 000001 000000 000000 000000* +L0031056 000000 000000 000000 000000 000001 000000 000000 000000* +L0031104 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* L0031168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0031232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0031296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0031360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0031424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* -L0031488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0031424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0031488 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* L0031552 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0031616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0031680 000000 000000 000000 000000 000000 000000 000000 000000* L0031728 000000 000000 000000 000000 000000 000000 000000 000000* -L0031776 000000 000000 000000 000000 000000 000000 000000 100000* +L0031776 000000 000000 000000 000000 000000 000000 000000 000000* L0031824 000000 000000 000000 000000 000000 000000 000000 000000* L0031872 000000 000000 000000 000000 000000 000000 000000 000000* L0031920 000000 000000 000000 000000 000000 000000 000000 000000* -L0031968 00000000 00000000 00000001 00000000 00000000 00000000 00000011 00000000* -L0032032 00000000 00000000 00010001 00000000 00000000 00000000 00000000 00010000* -L0032096 00000000 00000000 00000011 00000000 00000000 00000000 00000000 00010000* -L0032160 00000000 00000000 00000011 00000000 00000000 00000000 00000000 00010000* -L0032224 00000001 00000000 00000011 00000000 00000000 00000000 00000000 00010100* -L0032288 00000001 00000000 00000011 00000000 00000000 00000000 00000001 00000100* -L0032352 00000000 00000000 00100011 00000000 00010000 00000000 00000011 10000000* -L0032416 00000000 00000000 00100010 00000000 00000000 00000000 00000001 00000000* -L0032480 00000000 00000000 00000000 00000000 00000000 00000000 00000001 00000000* +L0031968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0032032 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0032096 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0032160 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0032224 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0032288 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0032352 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0032416 00000011 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0032480 00000011 00000000 00000000 00000010 00000000 00000000 00000000 00000000* L0032544 000000 000000 000000 000000 000000 000000 000000 000000* L0032592 000000 000000 000000 000000 000000 000000 000000 000000* L0032640 000000 000000 000000 000000 000000 000000 000000 000000* L0032688 000000 000000 000000 000000 000000 000000 000000 000000* L0032736 000000 000000 000000 000000 000000 000000 000000 000000* L0032784 000000 000000 000000 000000 000000 000000 000000 000000* -L0032832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0033024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0033088 00000000 00000000 00000000 01000100 00000000 00000000 00000000 00000000* -L0033152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0033216 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0032832 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0032896 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0032960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* +L0033024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0033088 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0033152 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0033216 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* L0033280 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0033344 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0033408 000000 000000 000000 100011 000000 000000 000000 000000* +L0033344 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0033408 000000 000000 000001 000000 000000 000000 000000 110000* L0033456 000000 000000 000000 000000 000000 000000 000000 000000* L0033504 000000 000000 000000 000000 000000 000000 000000 000000* L0033552 000000 000000 000000 000000 000000 000000 000000 000000* -L0033600 000000 000000 000000 000000 000000 000000 000000 000000* -L0033648 000000 000000 000000 000000 000000 000000 000000 000000* -L0033696 00000000 00000000 00000001 01000100 00000000 00000000 00000011 00000000* -L0033760 00000000 00000000 00010001 01000100 00000000 00000010 00000000 00000000* -L0033824 00000000 00000000 00000001 01001000 00000000 00000000 00000010 00000000* -L0033888 00000000 00000000 00000011 01001010 00000001 00000000 00000001 00000001* -L0033952 00000000 00000000 00000011 00001000 00000000 00000010 00000000 00000000* -L0034016 00000000 00000000 00000011 00000000 00000000 00000010 00000000 00000001* -L0034080 00000000 00000000 00000011 01000000 00000000 00000000 00000000 00000000* -L0034144 00000000 00000000 00100010 01000001 00000010 00000010 00000000 00000000* -L0034208 00000001 00000000 00000000 01000000 00000000 00000000 00000001 00000001* -L0034272 000000 000000 000000 011000 000000 000000 000000 000000* -L0034320 000000 000000 000000 000100 000000 000000 000000 000000* -L0034368 000000 000000 000000 000101 000000 000000 000000 000000* -L0034416 000000 000000 000000 000101 000000 000000 000000 000000* -L0034464 000000 000000 000000 100101 000000 000000 000000 000000* -L0034512 000000 000000 000000 100101 000000 000000 000000 000000* +L0033600 000000 000000 000000 000000 000000 000000 000000 000001* +L0033648 000000 000000 000000 000000 000000 000000 000000 000100* +L0033696 00000001 00000000 00000010 00000000 00000000 00000000 00000011 00000010* +L0033760 00000000 00000000 00000000 00000000 00000100 00000010 00000000 00010100* +L0033824 00000000 00000000 00000000 00000001 00000100 00000000 00000010 00010100* +L0033888 00000000 00000000 00000010 00000110 00000100 00000000 00000011 00010100* +L0033952 00000000 00000000 10000000 10001100 10000100 00000010 00000001 00010101* +L0034016 00000001 00000000 00000000 00011000 00010000 00000010 00000001 00000101* +L0034080 00000000 00000000 00000000 00011001 00000000 00000000 00000011 00000101* +L0034144 00000001 00000000 10101010 00001000 10000000 00000010 00000001 00100101* +L0034208 00000010 00000000 10101000 00001000 10000000 00000000 00000000 00100100* +L0034272 000000 000000 101010 000010 100000 000000 000000 000000* +L0034320 000000 000000 000010 000000 000000 000000 000000 000000* +L0034368 000000 000000 000011 000000 000000 000000 000000 000000* +L0034416 000000 000000 000010 010000 000000 000000 000000 000000* +L0034464 000000 000000 000010 000010 000000 000000 000000 100000* +L0034512 000000 000000 001011 000010 000000 000000 000000 000000* L0034560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0034624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0034688 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0034752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0034752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* L0034816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0034880 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0034944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0035008 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035072 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035072 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* L0035136 000000 000000 000000 000000 000000 000000 000000 000000* L0035184 000000 000000 000000 000000 000000 000000 000000 000000* L0035232 000000 000000 000000 000000 000000 000000 000000 000000* L0035280 000000 000000 000000 000000 000000 000000 000000 000000* L0035328 000000 000000 000000 000000 000000 000000 000000 000000* -L0035376 000000 000000 000000 000000 000000 000000 000000 000000* -L0035424 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00100000* -L0035488 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035552 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035680 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035744 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035872 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035936 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0036000 000000 000000 000000 000000 000000 000000 000000 000000* -L0036048 000000 000000 000000 000000 000000 000000 000000 010000* +L0035376 000000 000000 000000 000000 000000 000000 000000 000010* +L0035424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010100* +L0035552 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010100* +L0035616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010100* +L0035680 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010100* +L0035744 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0035808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0035872 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0035936 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0036000 000000 000000 000000 000000 000000 001000 000000 000000* +L0036048 100000 000000 000000 000000 000000 001000 000000 000000* L0036096 000000 000000 000000 000000 000000 000000 000000 000000* -L0036144 000000 000000 000000 000000 000000 000000 000000 010000* -L0036192 000000 000000 000000 000000 000000 000000 000000 000000* -L0036240 000000 000000 000000 000000 000000 000000 000000 000000* +L0036144 000000 000000 000000 000000 000000 001000 000000 000000* +L0036192 000000 000000 000000 000000 000000 001000 000000 000000* +L0036240 000000 000000 000000 000000 000000 001000 000000 000000* L0036288 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0036352 00000000 00000000 00000000 00000010 00000000 00000000 00000000 00000000* -L0036416 00000000 00000000 00000000 00000010 00000000 00000010 00000000 00000000* +L0036352 00000000 00000000 00000001 00000010 00000000 00000000 00000000 00000000* +L0036416 00000000 00000000 00000000 00000000 00000000 00000010 00000000 00000000* L0036480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0036544 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* -L0036608 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0036672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0036608 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11000000* +L0036672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0036736 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0036800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0036864 000000 000000 000000 000000 000000 000000 000000 000000* L0036912 000000 000000 000000 000000 000000 000000 000000 000000* L0036960 000000 000000 000000 000000 000000 000000 000000 000000* -L0037008 000000 000000 000000 000000 000000 000000 000000 000000* -L0037056 000000 000000 000000 000000 000000 000000 000000 000001* +L0037008 000000 000000 000000 000000 000000 000000 000000 000100* +L0037056 000000 000000 000000 000000 000000 000000 000000 000000* L0037104 000000 000000 000000 000000 000000 000000 000000 000000* -L0037152 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* -L0037216 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* -L0037280 00000000 00000000 00000000 01001000 00000000 00000000 00000000 00000000* -L0037344 00000000 00000000 00000000 01001000 00000000 00000000 00000000 00000000* -L0037408 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0037472 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* -L0037536 00000000 00000000 00000000 01010000 00000000 00000000 00000000 00000000* -L0037600 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* -L0037664 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* -L0037728 000000 000000 000000 010000 000000 001000 000000 000000* -L0037776 000000 000000 000000 000100 001000 001000 000000 000000* -L0037824 000000 000000 000000 000101 000000 000000 000000 000001* -L0037872 000000 000000 000000 000101 000000 001000 000000 000001* -L0037920 000000 000000 000000 000101 000000 001000 000000 000000* -L0037968 000000 000000 000000 000101 000000 001000 000000 000000* +L0037152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0037216 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00010100* +L0037280 00000000 00000000 00000000 00000000 00000100 00000000 10000000 00010100* +L0037344 00000000 00000000 00000000 00000100 00000100 00000000 10000000 00010100* +L0037408 00000000 00000000 00000000 00001100 10000100 00000000 10000000 00010100* +L0037472 00000000 00000000 00000000 00011000 00000000 00000000 00000000 00000100* +L0037536 00000000 00000000 00000000 00011000 00010000 00000000 00000000 00000100* +L0037600 00000000 00000000 10101000 00001000 10000000 00000000 00000000 00000100* +L0037664 00000000 00000000 10101000 00001000 10000000 00000000 00000100 00000100* +L0037728 000000 000000 101010 000010 100000 000000 000001 000000* +L0037776 000000 000000 000010 000000 000000 000000 000000 000000* +L0037824 000000 000000 000010 000001 000000 000000 000000 000000* +L0037872 000000 000000 000010 000000 000000 000000 000001 000000* +L0037920 000000 000000 000010 000010 000000 000000 000001 000000* +L0037968 000000 000000 000011 000010 000000 000000 000001 100000* L0038016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0038080 00000000 00000000 00000000 00000011 00000011 00000011 00000011 00000010* L0038144 00000000 00000000 00000000 00000000 00000001 00000001 00000001 00000001* -L0038208 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0038272 00000000 00000000 00000000 00000010 00000011 00000001 00000011 00000010* +L0038208 00000000 00000000 00000000 00000000 00000100 00000000 10000000 00000000* +L0038272 00000000 00000000 00000000 00000110 00000111 00000001 10000010 00000010* L0038336 00000000 00000000 00000000 00000010 00000011 00000001 00000010 00000011* L0038400 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0038464 00000000 00000000 00000001 00000010 00000000 00000001 00000010 00000010* -L0038528 00000000 00000000 00000010 00000000 00000010 00000010 00000010 00000000* -L0038592 000000 000000 000000 000000 000000 000000 000000 000000* -L0038640 000000 000000 000000 000000 000000 000000 000000 000000* -L0038688 000000 000000 000000 000000 000000 000000 000000 001000* +L0038528 00000000 00000000 00000010 00001000 10000010 00000010 00000010 00000000* +L0038592 000000 000000 000000 000010 100000 000000 000001 000000* +L0038640 000000 000000 000000 000000 000000 000000 000000 000001* +L0038688 000010 000000 000000 000000 000000 000000 000000 000000* L0038736 000000 000000 000000 000000 000000 000000 000000 000000* L0038784 000000 000000 000000 000000 000000 000000 000000 000000* -L0038832 000000 000000 000000 000000 000000 000000 000000 000000* -L0038880 00000011 00000000 00000011 00000011 00000011 00000011 00000001 00000011* -L0038944 00000011 00000000 00000011 00000011 00000011 00000011 00000011 01000011* -L0039008 00000011 00000000 00000011 00000011 00000011 00000011 00000010 00000011* -L0039072 00000011 00000000 00000011 00000011 00000011 00000011 00000011 00000011* -L0039136 00000011 00000001 00000011 00000011 00000011 00000011 00000010 00000011* -L0039200 00000011 00000001 00000011 00000011 00000011 00000011 00000011 00000011* -L0039264 00000011 00000001 00000011 00000001 00000011 00000011 00000011 00000011* -L0039328 00000011 00000001 00000011 00000011 00000011 00000011 00000011 00000011* -L0039392 00000011 00000001 00000011 00000011 00000011 00000011 00000011 00000011* +L0038832 000000 000000 000000 000010 000000 000000 000001 000000* +L0038880 00000011 00000001 00000011 00000011 00000010 00000011 00000011 00000011* +L0038944 00000011 00000001 00000011 00000011 00000111 00000011 00000011 00000011* +L0039008 00000011 00000001 00000011 00000011 00000101 00000011 10000010 10000011* +L0039072 00000011 00000011 00000011 00000111 00000001 00000011 00000011 00000011* +L0039136 00000011 00000011 00000011 00000011 10000011 00000011 00000011 00000011* +L0039200 00000011 00000011 00000011 00000011 00000011 00000011 00000011 00000011* +L0039264 00000011 00000011 00000011 00001011 00000001 00000011 00000011 00000011* +L0039328 00000011 00000011 00000011 00001001 10000001 00000011 00000011 00000011* +L0039392 00000011 00000011 00000011 00000011 00000011 00000011 00000111 00000011* L0039456 000000 000000 000000 000000 000000 000000 000000 000000* -L0039504 000000 000000 000000 000000 000000 000000 000000 000000* +L0039504 000000 000000 000000 000000 000100 000000 000000 000000* L0039552 000000 000000 000000 000000 000000 000000 000000 000000* -L0039600 000000 000000 000000 000000 000000 000000 000000 000000* -L0039648 000000 000000 000000 000000 000000 000000 000000 000000* -L0039696 000000 000000 000000 000000 000000 000000 000000 000000* -L0039744 00000000 00000000 00000001 00000011 00000011 00000011 00000000 00000011* -L0039808 00000000 00000000 00000000 00000011 00010011 00000011 00000011 00000011* -L0039872 00000000 00000000 00000000 00000011 00010011 00000011 00000000 00000011* -L0039936 00000000 00000000 00000000 00000011 00000011 00000011 00000001 00000011* -L0040000 00000000 00000000 00000000 00000011 00000011 00000011 00000010 00000011* -L0040064 00000000 00000000 00000000 00000011 10000011 00000010 00000010 00000011* -L0040128 00000001 00000000 00000000 00000001 10100011 00000011 00000001 00000001* -L0040192 00000000 00000000 00000010 00000011 01100111 00000011 00000011 00000011* -L0040256 00000001 00000000 00000011 00000011 01000111 00000011 00000011 00000011* -L0040320 000000 000000 000000 000000 000000 000000 000000 000000* -L0040368 000000 000000 000000 100010 000000 000000 000000 000000* -L0040416 000000 000000 000000 000010 000000 000000 000000 000000* -L0040464 000000 000000 000000 000000 000000 000000 000000 000000* -L0040512 000000 000000 000000 000000 000000 000000 000000 000000* -L0040560 000000 000000 000000 000000 000000 000000 000000 000000* -L0040608 00000000 00000000 00000000 00000110 10000011 00000001 00000000 00000011* -L0040672 00000000 00000000 00000000 00000110 11000010 00000011 00000000 00000010* -L0040736 00000000 00000000 00000000 00000011 00000010 00000011 00000000 00000011* -L0040800 00000000 00000000 00000000 00000011 00100010 00000011 00000000 00000011* -L0040864 00000000 00000000 00000000 00000011 00000010 00010010 00000000 00000011* -L0040928 00000000 00000000 00000000 00000011 00000010 00010010 00000000 00000011* -L0040992 00000000 00000000 00000000 00000001 00000010 00000011 00000001 00000001* -L0041056 00000001 00000000 00000010 00000011 00000011 00010011 00000001 00000011* -L0041120 00000001 00000000 00000010 00000011 10000001 00010011 00000001 00000011* -L0041184 000000 000000 000000 000000 000000 000100 000000 000000* +L0039600 000010 000000 000000 000000 000000 000000 000001 000000* +L0039648 000000 000000 000000 000010 000000 000000 000001 000000* +L0039696 000000 000000 000000 000001 000000 000000 000000 000000* +L0039744 00000001 00000000 00000011 00000011 00000010 00000011 00000011 01000011* +L0039808 00000000 00000000 00100011 00000011 00000111 00000011 00000011 00001010* +L0039872 00000000 00000000 00100011 00000011 00000101 00000011 10000010 00000011* +L0039936 00000001 00000000 00101011 00000001 00000100 00000011 10000011 00000011* +L0040000 00000000 00000000 00111011 00000011 10000101 00000011 10000011 00000011* +L0040064 00000011 00000000 00000011 00000011 10000001 00000010 00000011 00000011* +L0040128 00000011 00000000 00000011 00000001 10000001 00000011 00000011 00000001* +L0040192 00000001 00000000 10001111 00000011 10000001 00000011 00000011 00000011* +L0040256 00000001 00000001 10011011 00000001 10000011 00000011 00000111 00000011* +L0040320 000000 000000 100011 000000 100000 000000 000001 000000* +L0040368 000000 000000 100010 000000 000000 000000 000000 000100* +L0040416 000000 000000 100010 000000 000000 000000 000000 000001* +L0040464 000000 000000 010010 000000 000000 000000 000001 000000* +L0040512 000000 000000 010010 000000 000000 000000 000001 000000* +L0040560 000000 000000 000011 000000 000000 000000 000001 000000* +L0040608 00000000 00000000 00000011 00000010 00000010 00000001 00000011 00010011* +L0040672 00000000 00000000 10000011 00000010 00000010 00000011 00000010 00000110* +L0040736 00000000 00000000 10000001 00000011 00000000 00000011 00000010 00010111* +L0040800 00000000 00000000 00000001 00000001 00000000 00000011 00000011 00000111* +L0040864 00000001 00000000 00000011 00000001 00000000 00000010 00000001 00010111* +L0040928 00000001 00000000 00000011 00000011 00000000 00000010 00000001 00000011* +L0040992 00000001 00000000 00000011 00000001 00000001 00000011 00000011 00100001* +L0041056 00000001 00000000 00100011 00000001 00000001 00000011 00000001 00000011* +L0041120 00000001 00000000 00000011 00000001 00000001 00000011 00000001 00100011* +L0041184 000000 000000 000000 000000 000000 000000 000000 000000* L0041232 000000 000000 000000 000000 000000 000000 000000 000000* -L0041280 000000 000000 000000 000000 010000 000000 000000 000000* +L0041280 000000 000000 001000 000000 000000 000000 000000 000000* L0041328 000000 000000 000000 000000 000000 000000 000000 000000* L0041376 000000 000000 000000 000000 000000 000000 000000 000000* -L0041424 000000 000000 000000 000000 000000 000000 000000 000000* -L0041472 00000000 00000000 00000001 00000000 00000010 00000001 00000011 00000011* -L0041536 00000000 00000000 00000001 00000011 00000010 00000001 00000000 00000010* -L0041600 00000000 00000000 00000011 00000011 00000010 00000001 00000000 00000011* -L0041664 00000000 00000000 00000011 00000011 00000010 00000001 00000000 00000011* -L0041728 00000001 00000000 00000011 00000011 00000010 00000000 00000000 00000011* -L0041792 00000001 00000000 00000011 00000011 00000010 00000010 00000001 00000001* -L0041856 00000000 00000000 00000011 00000001 00000010 00000011 00000011 00000001* -L0041920 00000000 00000000 00000010 00000011 00000011 00000011 00000001 00000011* -L0041984 00000000 00000000 00000010 00000011 00000001 00000011 00000001 00000011* +L0041424 000000 000000 000000 000000 000000 000000 000000 001000* +L0041472 00000000 00000000 00000011 00000010 00000010 00000101 00000010 00000011* +L0041536 00000001 00000000 00000011 00000011 00000010 00000101 00000000 00000010* +L0041600 00000001 00000000 00000001 00000001 00000000 00000101 00000000 00000011* +L0041664 00000000 00000000 00000001 00000001 00000000 00000101 00000000 00000011* +L0041728 00000000 00000000 00000001 00000001 00000000 00001100 00000000 00000011* +L0041792 00000000 00000000 00000011 00000001 00000000 00000010 00000000 00000011* +L0041856 00000001 00000000 00000011 00000001 00000001 00100011 00000000 00000001* +L0041920 00000011 00000000 00000011 00000001 00000001 00100011 00000001 00000011* +L0041984 00000011 00000000 00000011 00000011 00000001 00100011 00000001 00000011* L0042048 000000 000000 000000 000000 000000 000000 000000 000000* -L0042096 000000 000000 000000 000000 000000 000000 000000 000000* -L0042144 000000 000000 000000 000000 000000 000000 000000 000000* -L0042192 000000 000000 000000 000000 000000 000000 000000 000000* -L0042240 000000 000000 000000 000000 000000 000000 000000 000000* +L0042096 000000 000000 000000 000000 000000 000100 000000 000000* +L0042144 000000 000000 000000 000000 000000 000000 000001 000000* +L0042192 000000 000000 000000 000000 000000 000100 000000 000000* +L0042240 000000 000000 000000 000000 000000 000100 000000 000000* L0042288 000000 000000 000000 000000 000000 000000 000000 000000* -L0042336 00000000 00000000 00000000 00000000 00000010 00000001 00000000 00000011* -L0042400 00000000 00000000 00000000 00000010 00000010 00000001 00000000 00000010* -L0042464 00000000 00000000 00000000 00000011 00000010 00000000 00000000 00000011* -L0042528 00000000 00000000 00000000 00000011 00000010 00000001 00000000 00000011* -L0042592 00000000 00000000 00000000 00000011 00000000 00000000 00000000 00000011* -L0042656 01000000 00000000 00000000 00000001 00000000 00000000 00000000 00000001* -L0042720 00000000 00000000 00000000 00000001 00000010 00000001 00000000 00000001* -L0042784 00000000 00000000 00000000 00000011 00000001 00000010 00000001 00000011* -L0042848 00000000 00000000 00000010 00000011 00000001 00000011 00000001 00000011* -L0042912 000000 000000 000000 000000 000000 000000 000000 000000* +L0042336 00000000 00000000 00000011 00000010 00000010 00000001 00010010 00000011* +L0042400 00000000 00000000 00000011 00000010 00000110 00000001 11100100 00000010* +L0042464 00000000 00000000 00000001 00000001 00000100 00000000 01100100 00000011* +L0042528 00000000 00000000 00000001 00000001 00000100 00000001 00000000 00000011* +L0042592 00000000 00000000 00000001 00000001 10000100 00000000 00000000 00000011* +L0042656 00000000 00000000 00000001 00000001 00000000 00000000 00000000 00000001* +L0042720 00000000 00000000 00000011 00000001 00000001 00000001 00000100 00000001* +L0042784 00000001 00000000 00000011 00000000 10000001 00000010 10000101 00000011* +L0042848 00000001 00000000 00000011 00000001 10000001 00000011 00000001 00000011* +L0042912 000000 000000 000000 000000 100000 000100 000000 000000* L0042960 000000 000000 000000 000000 000000 000000 000000 000000* -L0043008 000000 000000 000000 000000 000000 000000 000000 000000* -L0043056 000000 000000 000000 000000 000000 000000 000000 000000* +L0043008 000000 000000 000000 000000 000000 000000 100000 000000* +L0043056 000000 000000 000000 000000 000000 000001 100000 000000* L0043104 000000 000000 000000 000000 000000 000000 000000 000000* -L0043152 000000 000000 000000 000000 000000 000000 000000 000000* -L0043200 00000000 00000000 00000001 00000011 00000000 00000000 00000000 00000000* -L0043264 00000000 00000000 00000010 00000000 00000010 00000011 00000000 00000000* -L0043328 00000000 00000000 00000010 00000000 00000010 00000010 00000000 00000000* -L0043392 00000011 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0043456 00000000 00000000 00000001 00000011 00000000 00000010 00000000 00000010* -L0043520 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* -L0043584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0043152 000000 000000 000000 000000 000000 001000 000000 000000* +L0043200 00000000 00000000 00000010 00000010 00000011 00000000 00000001 00000010* +L0043264 00000000 00000010 00000000 00000000 00000011 00000001 00000011 00000010* +L0043328 00000001 00000010 00000000 00000000 00000000 00000001 00000010 00000010* +L0043392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0043456 00000001 00000010 00000010 00000010 00000011 00000011 00000001 00000011* +L0043520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0043584 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* L0043648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0043712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0043776 000000 000000 000000 000000 000000 000000 000000 000000* @@ -855,26 +855,26 @@ L0043872 000000 000000 000000 000000 000000 000000 000000 000000* L0043920 000000 000000 000000 000000 000000 000000 000000 000000* L0043968 000000 000000 000000 000000 000000 000000 000000 000000* L0044016 000000 000000 000000 000000 000000 000000 000000 000000* -L0044064 00000000 00000000 00000011 00000001 10000011 00000010 00000000 00000011* -L0044128 00000001 00000000 00000001 00000001 11000001 00000001 00000000 00000001* -L0044192 00000001 00000000 00000011 00000001 11000001 00000011 00000000 00000001* -L0044256 00000000 00000000 00000000 00000000 11100000 00000000 00000000 00000000* -L0044320 00000001 00000000 00000000 01000010 11100010 00000011 00000000 00000010* -L0044384 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000000* -L0044448 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000000* -L0044512 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000000* -L0044576 00000000 00000000 00000000 10001000 10100000 00000000 00000000 00000000* -L0044640 000000 000000 000000 100010 100001 000000 000000 000000* -L0044688 000000 000000 000000 000000 000000 000000 000000 000000* -L0044736 000000 000000 000000 000000 010000 000000 000000 000000* -L0044784 000000 000000 000000 000000 010000 000000 000000 000000* -L0044832 000000 000000 000000 000000 010000 000000 000000 000000* -L0044880 000000 000000 000000 000010 000000 000000 000000 000000* -L0044928 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0044992 00000000 00000000 00000000 00000010 00000010 00000000 00000000 00000010* -L0045056 00000000 00000000 00000000 00000010 00000010 00000000 00000010 00000010* +L0044064 00000010 00000000 00000011 00000011 00000011 00000000 00000001 00000011* +L0044128 00000001 00000000 00000001 00000001 00000001 00000000 00000001 00000001* +L0044192 00000001 00000000 00000001 00000011 00000011 00000000 00000001 00000011* +L0044256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0044320 00000011 00000000 00000010 00000010 00000000 00010010 00000000 00000000* +L0044384 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0044448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0044512 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0044576 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0044640 000000 000000 000000 000000 000000 000100 000000 000000* +L0044688 000000 000000 010000 000000 000000 000000 000000 000000* +L0044736 000000 000000 000000 000000 000000 000000 000000 000000* +L0044784 000000 000000 000000 000000 000000 000000 000000 000000* +L0044832 000000 000000 000000 000000 000000 000000 000000 000000* +L0044880 000000 000000 000000 000000 000000 000000 000000 000000* +L0044928 00000010 00000000 00000010 00000010 00000010 00000000 00000011 00000000* +L0044992 00000010 00000000 00000000 00000001 00000010 00000011 00000001 00000010* +L0045056 00000010 00000000 00000000 00000011 00000000 00000011 00000010 00000010* L0045120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000001* -L0045184 00000000 00000000 00000000 00000000 00000000 00000010 00000000 00000000* +L0045184 00000010 00000000 00000010 00000011 00000010 00000011 00000011 00000000* L0045248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0045312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0045376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -885,13 +885,13 @@ L0045600 000000 000000 000000 000000 000000 000000 000000 000000* L0045648 000000 000000 000000 000000 000000 000000 000000 000000* L0045696 000000 000000 000000 000000 000000 000000 000000 000000* L0045744 000000 000000 000000 000000 000000 000000 000000 000000* -L0045792 00000010 00000000 00000011 00000000 00000000 00000001 00000010 00000010* -L0045856 00000010 00000000 00000001 00000001 00000001 00000011 00000010 00000001* -L0045920 00000010 00000000 00000001 00000001 00000000 00000011 00000000 00000011* +L0045792 00000001 00000000 00000000 00000011 00000011 00000000 00000001 00000010* +L0045856 00000001 00000000 00000000 00000001 00000001 00000010 00000001 00000000* +L0045920 00000001 00000000 00000010 00000011 00000001 00000010 00000001 00000000* L0045984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0046048 00000010 00000000 00000011 00000010 00000001 00000011 00000010 00000010* +L0046048 00000011 00000000 00000010 00000011 00000011 00000010 00000011 00000010* L0046112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0046176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0046176 00000000 00000000 00000000 00000000 00000000 00000000 01000000 00000000* L0046240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0046304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0046368 000000 000000 000000 000000 000000 000000 000000 000000* @@ -900,815 +900,815 @@ L0046464 000000 000000 000000 000000 000000 000000 000000 000000* L0046512 000000 000000 000000 000000 000000 000000 000000 000000* L0046560 000000 000000 000000 000000 000000 000000 000000 000000* L0046608 000000 000000 000000 000000 000000 000000 000000 000000* -L0046656 00000000 00000000 10000011 00000001 00000000 00000010 00000000 00000000* -L0046720 00000000 00000000 00000000 00000010 00000010 00010000 00000010 00000000* -L0046784 00000000 00000000 00000001 00000011 00000010 00000000 00000000 00000000* +L0046656 00000000 00000000 00000010 00000001 00000000 00000000 00000001 00000000* +L0046720 00000000 00000000 00000000 00000000 00000010 00000010 00000000 00000010* +L0046784 00000000 00000010 00000000 00000011 00000010 00000010 00000001 00000010* L0046848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0046912 00000010 00000000 00000011 00000001 00000000 00000000 00000000 00000000* -L0046976 00001000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0046912 00000010 00000010 00000000 00000001 00000000 00000010 00000011 00000000* +L0046976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0047040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0047104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0047168 00000000 00000000 00000000 00100000 00000000 00000000 00000000 00000000* -L0047232 000000 000000 000000 000000 000000 000000 000000 000000* -L0047280 000000 000000 000001 000000 000000 000000 000000 000000* -L0047328 000000 000000 001000 000010 000000 000000 000000 000000* -L0047376 000000 000000 000000 100010 000000 000000 000000 000000* +L0047168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0047232 000000 000000 000000 000000 000000 000100 000000 000000* +L0047280 010000 000000 000000 000000 000000 000000 000000 000000* +L0047328 000000 000000 000000 000000 000000 000000 000001 000010* +L0047376 000000 000000 000000 000000 000000 000000 000000 000000* L0047424 000000 000000 000000 000000 000000 000000 000000 000000* L0047472 000000 000000 000000 000000 000000 000000 000000 000000* -L0047520 00000000 00000000 00000010 00000010 00000010 00000000 00000001 00000001* -L0047584 00000000 00000000 00000000 00010100 00000000 00000001 00000000 00000001* -L0047648 00000000 00000000 00000000 00001010 00000011 00000001 00000000 00000001* -L0047712 00000000 00000000 00000000 00001100 00000000 00000000 00000000 00000000* -L0047776 00000000 00000000 00000010 01001100 00000001 00000011 00000001 00000001* +L0047520 00000000 00000000 00000000 00000010 00001010 00000000 01000010 00000110* +L0047584 00000001 00000000 00000000 00000000 00000000 00000010 10000100 00000000* +L0047648 00000001 00000010 00000000 00000010 00000010 00000010 00000110 00000000* +L0047712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0047776 00000001 00000010 00000010 00000000 00000000 00000010 00000000 00000010* L0047840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0047904 00000000 00000000 00000000 11011000 00000000 00000000 00000000 00000000* -L0047968 00000000 00000000 00000000 01001100 00000000 00000000 00000000 00000000* -L0048032 00000000 00000000 00000000 11000000 00000000 00000000 00000000 00000000* -L0048096 000000 000000 000000 010010 000000 000000 000000 000000* -L0048144 000000 000000 000000 000001 000000 000000 000000 000000* -L0048192 000000 000000 000000 000000 000000 000000 000000 000000* -L0048240 000000 000000 000000 000000 000000 000000 000000 000000* -L0048288 000000 000000 000000 000001 000000 000000 000000 000000* -L0048336 000000 000000 000000 100101 000000 000000 000000 000000* -L0048384 00000000 00000000 00000010 01000000 00000001 00000000 00000010 00110000* -L0048448 00000010 00000000 00010000 01000000 00000010 00100001 00000010 00010000* -L0048512 00000010 00000000 00000000 01001000 00000001 00000001 00000000 00010000* -L0048576 00000000 00000000 00000000 01001001 00000000 00010000 00000000 00010001* -L0048640 00000011 00000000 00000010 00001000 00000011 00000010 00000010 00010100* -L0048704 00000000 00000000 00000100 00000000 00000000 10000000 00000000 00100100* -L0048768 00000000 00000000 00000000 01000000 00000000 01000000 00000000 00100000* -L0048832 00000000 00000000 00100000 01000000 00000000 01000000 00000000 00100000* -L0048896 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00100000* -L0048960 000000 000000 000000 010000 000000 000000 000000 001000* -L0049008 000000 000000 000000 000100 000000 000000 000000 010000* -L0049056 000000 000000 000000 000101 000000 000000 000000 000000* -L0049104 000000 000000 000000 000101 000000 000000 000000 000000* -L0049152 000000 000000 000000 001101 000000 000000 000000 001000* -L0049200 000000 000000 000000 000101 000000 000000 000000 001000* -L0049248 00000000 00000000 00000010 00000010 00000001 00100000 00000010 00000011* -L0049312 00000000 00000000 00000000 00000001 00000011 00000001 00000000 00000001* -L0049376 00000000 00000000 00000000 00000011 00000000 00000001 00000010 00000011* -L0049440 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0049504 00000001 00000000 00000010 00000001 00000010 00000011 00000000 10000001* -L0049568 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* +L0047904 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0047968 00000000 00000000 00000000 00000000 00000000 00000000 10000100 00000000* +L0048032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0048096 000000 000000 000000 000000 000001 000000 000000 000000* +L0048144 000000 000000 000000 000000 000000 000000 000000 000000* +L0048192 000000 000000 000000 000000 000000 000000 100000 000000* +L0048240 000000 000000 000000 000000 000000 000000 100000 000000* +L0048288 000000 000000 000000 000000 000000 000000 000000 000000* +L0048336 000000 000000 000000 000000 000000 000000 000000 000000* +L0048384 00000000 00000000 00000001 00000010 00000011 00000000 00000011 00110001* +L0048448 00000001 00000001 00000000 10000000 00000100 00000011 00000010 00010100* +L0048512 00000001 00000001 00000001 00000010 00000101 00000011 10000000 00010100* +L0048576 00000000 00000000 00000100 00000101 00000100 00000000 10000000 00010100* +L0048640 00000010 00000001 00000001 00001110 10000111 00000010 10000011 00010111* +L0048704 00000000 00000000 00000100 00001000 00000000 00000000 00000000 00100100* +L0048768 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00100100* +L0048832 00000000 00000000 10001000 00001000 10000000 00000000 00000000 00100100* +L0048896 00000000 00000000 10101000 00001000 10000000 00000000 00000100 00100100* +L0048960 000000 000000 101010 000010 100000 000000 000001 001000* +L0049008 000000 000000 000010 000000 000000 000000 000000 010000* +L0049056 000000 000000 000010 000000 000000 000000 000000 000000* +L0049104 000000 000000 000010 000000 000000 000000 000001 000000* +L0049152 000000 000000 000010 000010 000000 000000 000001 001000* +L0049200 000000 000000 000011 000010 000000 000000 000001 001000* +L0049248 00000000 00000000 00000011 00000001 00000011 00000000 00000001 00000000* +L0049312 00000000 00000000 00000000 00000010 00000001 00000011 00000000 00000000* +L0049376 00000000 00000000 00000000 00000011 00000010 00000001 00000001 00000001* +L0049440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0049504 00000000 00000000 00000011 00000010 00000000 00000001 00000000 01001011* +L0049568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0049632 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0049696 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0049696 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0049760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0049824 000000 000000 000000 000000 000000 000000 000000 000010* -L0049872 000001 000000 000000 010000 010000 000000 000000 000000* -L0049920 000001 000000 000000 010000 000000 010000 000000 000000* -L0049968 000000 000000 000000 010000 000000 010000 000000 000000* -L0050016 000000 000000 000000 000000 000000 010000 000000 000000* -L0050064 000000 000000 000000 000000 000000 000000 000000 100000* -L0050112 00000010 00000000 00000000 00000011 00000000 00000000 00000001 00000011* -L0050176 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000010* -L0050240 00000010 00000000 00000000 00000011 00000000 00000000 00000001 00000011* -L0050304 00000000 00000000 00000010 00000000 00000010 00000000 00000000 00000000* -L0050368 00000010 00000000 00000000 00000010 00000000 00000010 00000000 00000010* +L0049824 000000 000000 000000 000000 000000 000000 000000 000000* +L0049872 000010 000000 000000 010000 010000 000000 000000 000000* +L0049920 000010 000000 000000 010000 000000 000000 000000 000000* +L0049968 000000 000000 000000 010000 000000 000000 000000 000000* +L0050016 000000 000000 000000 000000 000000 000000 000000 000000* +L0050064 000000 000000 000000 000000 000000 000000 000000 000001* +L0050112 00000000 00000010 00000001 00000001 00000000 00000000 00000001 00000001* +L0050176 00000000 00000010 00000000 00000000 00000010 00000010 00000000 10000001* +L0050240 00000000 00000010 00000001 00000011 00000000 00000010 00000001 00000001* +L0050304 00000000 00000000 00000010 00000000 00000000 00000000 00000010 00000010* +L0050368 00000010 00000010 00000000 00000010 00000000 00000010 00000000 00000001* L0050432 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0050496 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0050560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0050624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0050688 000000 000000 000000 000000 000000 000000 000000 000000* L0050736 000000 000000 000000 000000 000000 000000 000000 010000* -L0050784 000000 000000 000000 000000 000000 000000 000000 000001* +L0050784 000000 000000 000000 000000 000000 000000 000001 000000* L0050832 000000 000000 000000 000000 000000 000000 000000 000000* L0050880 000000 000000 000000 000000 000000 000000 000000 000000* L0050928 000000 000000 000000 000000 000000 000000 000000 000000* -L0050976 00000000 00000000 00000010 00000010 00000010 00000000 00000000 00000000* -L0051040 00000010 00000000 00000001 00000001 00000001 00000000 00000010 00000011* -L0051104 00000010 00000000 00000001 00000011 00000010 00000000 00000000 00000001* -L0051168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0051232 00000010 00000000 00000010 00000000 00000010 00000011 00000010 00000010* -L0051296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0051360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0050976 00000000 00000000 00000001 00000000 00000010 00000000 00000010 00000000* +L0051040 00000000 00000010 00000000 00000001 00000010 00000010 00000100 00000000* +L0051104 00000001 00000010 00000011 00000001 00000000 00000010 00000110 00000000* +L0051168 00000000 00000000 00000000 00000000 00000001 00000000 00000000 10000000* +L0051232 00000001 00000010 00000011 00000010 00000010 00001010 00000000 00000011* +L0051296 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0051360 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* L0051424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0051488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0051552 000000 000000 000000 000000 000000 000000 000000 000000* L0051600 000000 000000 000000 000000 000000 000000 000000 000000* L0051648 000000 000000 000000 000000 000000 000000 000000 000000* L0051696 000000 000000 000000 000000 000000 000000 000000 000000* -L0051744 000000 000000 000000 000000 000000 000000 000000 000001* +L0051744 000000 000000 000000 000000 000000 000000 000000 000000* L0051792 000000 000000 000000 000000 000000 000000 000000 000000* -L0051840 00000000 00000000 00000010 00010000 00000000 00000000 00000010 00000001* -L0051904 00000000 00000000 00000000 00010000 00000000 00000010 00000010 00000000* -L0051968 00000000 00000001 00000001 00000001 00000011 00000010 00000000 00000001* -L0052032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0052096 00000000 00000001 00000010 00000000 00000000 00000010 00000000 00000011* -L0052160 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* -L0052224 00000000 00000000 00000000 00000000 00010000 00001000 00000000 01000000* -L0052288 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0051840 00000000 00000000 00000001 00000000 00000001 00000000 00000000 00000001* +L0051904 00010001 00000000 00000001 00000000 00000001 00000000 11111100 00000001* +L0051968 00000000 00000000 00000001 00000010 00000011 00000011 00000000 00000001* +L0052032 00000000 00000000 00000000 00000001 00000000 00000000 00000000 00000000* +L0052096 00000001 00000000 00000011 00000000 00000001 00000011 00000010 00000001* +L0052160 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0052224 00000000 00000000 00000000 00000000 00000000 00000000 00001100 00000000* +L0052288 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0052352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0052416 000000 000000 000000 001000 000000 000000 000000 110000* -L0052464 000000 000000 000000 000000 000010 000000 000000 000000* -L0052512 000000 000000 000001 000000 001100 000000 000000 000000* -L0052560 000000 000000 000000 000000 000000 000000 000000 000010* +L0052416 000000 000000 000000 000000 000000 000000 000000 000000* +L0052464 000000 000000 000000 000000 000000 000000 000000 000000* +L0052512 000000 000000 000000 000000 000001 000000 100010 000000* +L0052560 000000 000000 000000 000000 000000 000000 000000 000000* L0052608 000000 000000 000000 000000 000000 000000 000000 000000* -L0052656 000000 000000 000000 000000 000000 000000 000000 000010* -L0052704 00000001 00000000 00000000 01000000 00000000 00000010 00000000 00010010* -L0052768 00000010 00000000 00000000 01000000 00000000 00000001 00000000 00010010* -L0052832 00000011 00000000 00000000 01001000 00000000 00000011 00000000 00010010* -L0052896 00000000 00000000 00000000 01001100 00000000 00000000 00000000 00010000* -L0052960 00000011 00000000 00000010 00001000 00000000 00000011 00000000 00010110* -L0053024 00000000 00000000 00000000 00010000 00010000 00000000 00000000 10000100* -L0053088 00000000 00000000 00000000 01010000 00001000 00000000 00000000 00000000* -L0053152 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00100000* -L0053216 00000000 00000000 00000000 01000100 00000000 00000000 00000000 00000000* -L0053280 000000 000000 000000 010000 000000 000000 000000 000000* -L0053328 000000 000000 000000 000100 001100 000000 000000 000000* -L0053376 000000 000000 000000 000101 000010 000000 000000 000000* -L0053424 000000 000000 000000 010101 000000 000000 000000 000000* -L0053472 000000 000000 000000 000101 000000 000000 000000 000000* -L0053520 000000 000000 000000 000101 000000 000000 000000 000000* -L0053568 00000000 00000000 00000010 00000010 00000000 00000000 00000010 00000000* -L0053632 00000010 00000000 00000001 00000001 00000011 00000001 00000010 00000011* -L0053696 00000010 00000000 00000001 00000010 00000000 00000001 00000000 00000001* -L0053760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0053824 00000000 00000000 00000010 00000011 00000011 00000011 00000011 00000010* -L0053888 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0053952 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0054016 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0054080 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0054144 000000 000000 000000 000010 000000 000000 000000 000000* +L0052656 000000 000000 000000 000000 000000 000000 000000 000000* +L0052704 00010000 00000000 00000001 00000000 00000000 00000000 11111100 00000010* +L0052768 00000000 00000010 00000000 00000000 00000100 00000011 00000000 00000000* +L0052832 00000001 00000000 00000000 00000010 00000100 00000011 10000000 00000001* +L0052896 00000000 00000000 00000000 00000100 00000100 00000000 10000000 00000000* +L0052960 00000001 00000000 00000011 00000110 10000100 00000011 10000000 00000011* +L0053024 00000000 00000000 00000000 00000100 00000000 00000000 00001100 00000000* +L0053088 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0053152 00000000 00000000 00000000 00001000 10000000 00000000 00000000 00000000* +L0053216 00000000 00000000 00000000 00001000 10000000 00000000 00000100 00000000* +L0053280 000000 000000 000000 000010 100000 000000 000001 000000* +L0053328 000000 000000 000000 000000 000000 000000 100010 000000* +L0053376 000000 000000 000000 100000 000000 000000 000001 000000* +L0053424 000000 000000 000000 100000 000000 000000 000001 000000* +L0053472 000000 000000 000000 000010 000000 000000 000001 000000* +L0053520 000000 000000 000000 000010 000000 000000 000001 000000* +L0053568 00000000 00000001 00000000 00000010 00000001 00000000 00000010 00000000* +L0053632 00000000 00000010 00000001 00000001 00000011 00000000 00000011 00000010* +L0053696 00000000 00000010 00000001 10000011 00000000 00000010 00000001 00000000* +L0053760 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0053824 00000001 00000001 00000010 00000010 00000001 00000010 00000010 00000011* +L0053888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0053952 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0054016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0054080 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0054144 000000 000000 000000 000000 000000 000000 000000 000000* L0054192 000000 000000 000000 000010 000000 000000 000000 000000* -L0054240 000000 000000 000000 000000 000000 000000 000000 000000* +L0054240 000000 000000 000000 000010 000000 000000 000001 000000* L0054288 000000 000000 000000 000000 000000 000000 000000 000000* -L0054336 000000 000000 000000 000010 000000 000000 000000 000000* -L0054384 000000 000000 001000 000010 000000 000000 000000 000000* -L0054432 00000000 00000000 00000010 00000000 00000001 00000000 00000001 00000000* -L0054496 00000000 00000000 00000000 00000010 00000001 00000001 00000001 00000000* -L0054560 00000000 00000000 00000000 00000010 00000010 00000001 00000000 00000000* +L0054336 000000 000000 000000 000000 000000 000000 000000 000000* +L0054384 000000 000000 000000 000000 000000 000000 000000 000000* +L0054432 00000000 00000000 00000000 00000011 00000011 00000000 00000011 00000000* +L0054496 00000010 00000000 00000010 00000001 00000001 00000000 11111111 00000000* +L0054560 00000010 00000001 00000010 00000010 00000000 00000010 01111100 00000000* L0054624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0054688 00000000 00000000 00000010 00000010 00000011 00000001 00000000 00000010* +L0054688 00000010 00000011 00000010 00000011 00000010 00000010 00000010 00000010* L0054752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0054816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0054880 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0054816 00000000 00000000 00000000 00000000 00000000 00000000 00001100 00000000* +L0054880 00000000 00000000 00000000 00000000 00000000 00000000 10001100 00000000* L0054944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0055008 000000 000000 000000 000000 000000 000000 000000 000000* L0055056 000000 000000 000000 000000 000000 000000 000000 000000* -L0055104 000000 000000 000000 000000 000000 000000 000000 000000* -L0055152 000000 000000 000000 000000 000000 000000 000000 000000* +L0055104 000000 000000 000000 000000 000000 000000 100010 000000* +L0055152 000000 000000 000000 000010 000000 000000 100010 000000* L0055200 000000 000000 000000 000000 000000 000000 000000 000000* L0055248 000000 000000 000000 000000 000000 000000 000000 000000* -L0055296 00000000 00000000 00000010 00000010 00000010 00000000 00000000 00000000* -L0055360 00000001 00000000 00000000 00000010 00000010 00100001 00000000 00000000* -L0055424 00000000 00000000 00000000 00000010 00000000 00000001 00000000 00000000* -L0055488 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00001000* -L0055552 00000000 00000000 00000010 00000010 00000000 00000001 00000001 00000010* -L0055616 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* -L0055680 00000000 00000000 00000000 00000000 00000100 01000000 00000000 00000000* -L0055744 00000000 00000000 00000000 00000000 00000000 11000000 00000000 00000000* +L0055296 00000010 00000000 00000001 00000010 00000010 00000001 00000010 00000000* +L0055360 00000000 00000000 00000000 00000000 00000000 00000000 00000010 00000010* +L0055424 00000000 00000000 00000000 00000000 00000010 00000000 00000000 00000010* +L0055488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0055552 00000010 00000000 00000001 00000010 00000010 00000010 00000010 00000000* +L0055616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0055680 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0055744 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0055808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0055872 000000 000000 000000 000000 000000 000000 000000 000000* L0055920 000000 000000 000000 000000 000000 000000 000000 000000* -L0055968 000000 000000 000000 000000 000000 000000 000000 000100* +L0055968 000000 000000 000000 000000 000000 000000 000000 000000* L0056016 000000 000000 000000 000000 000000 000000 000000 000000* -L0056064 000000 000000 000000 000000 000000 010000 000000 000000* +L0056064 000000 000000 000000 000000 000000 000000 000000 000000* L0056112 000000 000000 000000 000000 000000 000000 000000 000000* -L0056160 00000000 00000010 00000010 00000001 01000000 00100000 00001010 00000001* -L0056224 00000010 00000000 00000000 00000010 00000000 00000000 00001010 00001001* -L0056288 00000000 00000010 00000000 00000001 00000011 00000000 00000000 00001001* +L0056160 00000000 00000000 00000010 00000001 00000011 00000001 00000010 00000011* +L0056224 00000000 00000000 00000000 00000001 00000011 00000010 00000010 00000011* +L0056288 00000000 00000000 00000000 00000011 00000000 00000000 00000000 00000011* L0056352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0056416 00000000 00000010 00000010 00000011 00000010 00000010 00000010 00000001* +L0056416 00000011 00000000 00000010 00000011 00000011 00000011 00000010 00000011* L0056480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0056544 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0056608 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0056672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0056736 000000 000000 000000 000000 000000 000000 000000 000000* -L0056784 000000 000000 000000 000000 000000 000000 100000 000000* -L0056832 000000 000000 000000 000000 000000 010000 000000 000000* -L0056880 000000 000000 000000 000000 000000 010000 000000 000000* +L0056784 000010 000000 000000 000000 000000 000000 000000 000000* +L0056832 000010 000000 000000 000000 000000 000000 000000 000000* +L0056880 000000 000000 000000 000000 000000 000000 000000 000000* L0056928 000000 000000 000000 000000 000000 000000 000000 000000* L0056976 000000 000000 000000 000000 000000 000000 000000 000000* -L0057024 00000000 00000000 00000010 01010110 00000000 00000000 00000010 00100000* -L0057088 00000010 00000000 00000010 01000001 00000001 00000001 00000010 00010000* -L0057152 00000010 00000000 00000010 01000111 00000010 00100001 00000001 00010010* -L0057216 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* -L0057280 00000000 00000000 00000010 00000011 00000011 00000011 00000010 00000000* -L0057344 00000000 00000000 00000000 10011000 00000000 01000000 00000000 00100000* -L0057408 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0057472 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00100000* -L0057536 00000000 00000000 00000000 00001100 00000000 00000000 00000000 00100000* -L0057600 000000 000000 000000 100001 000000 000000 000000 000000* -L0057648 000000 000000 000000 010100 000000 010000 000000 010000* -L0057696 000001 000000 000000 010101 000000 000000 000000 000000* -L0057744 000000 000000 000000 010101 000000 000000 000000 010000* -L0057792 000000 000000 000000 100100 100000 000000 000000 001000* -L0057840 000000 000000 000000 000010 000000 000000 000000 000000* -L0057888 00000000 00000000 00000010 00000011 10000001 00000000 00000011 00000001* -L0057952 00000010 00000000 00000000 00000011 11110010 00000001 00000011 00000101* -L0058016 00000010 00000000 00000000 00000000 11110000 00000001 00000000 00000010* -L0058080 00000000 00000000 00000000 00000000 11100000 00000000 00000000 01000000* -L0058144 00000010 00000000 00000010 00000011 11100011 00000011 00000011 00000011* -L0058208 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0058272 00000000 00000000 00000000 00000000 00100000 01000000 00000000 00000000* -L0058336 00000000 00000000 00000000 00000000 11100100 00000000 00000000 00000100* -L0058400 00000000 00000000 00000000 00110000 11100100 00000000 00000000 00000000* -L0058464 000000 000000 000000 000000 100001 000000 000000 000000* -L0058512 000000 000000 000000 000000 000000 000000 000000 000100* -L0058560 000000 000000 000000 000010 010000 010000 000000 000000* -L0058608 000001 000000 000000 100010 010000 000000 000000 000100* -L0058656 000000 000000 000000 000000 010001 000000 000000 000000* -L0058704 000000 000000 000000 000000 100001 000000 000000 000000* -L0058752 00000000 00000000 00000011 00000001 00000010 00000000 00000001 11010010* -L0058816 00000000 00000000 00000000 00000001 00000000 00000000 00000000 10010000* -L0058880 00000000 00000000 00000011 00000001 00000010 00000000 00000000 11010010* -L0058944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11010000* -L0059008 00000001 00000000 00000000 00000001 00000000 00000000 00000000 11011001* -L0059072 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00101000* -L0059136 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01101000* -L0059200 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11101100* -L0059264 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11101100* -L0059328 000000 000000 000000 000000 000000 000000 000000 111011* -L0059376 000000 000000 000000 000000 000000 000000 000000 100000* -L0059424 000000 000000 000000 000000 000000 000000 000000 100000* -L0059472 000000 000000 000000 000000 000000 000000 000000 101110* -L0059520 000000 000000 000000 000000 000000 000000 000000 101110* -L0059568 000000 000000 000000 000000 000000 000000 000000 101111* -L0059616 00000000 00000000 00000000 00000000 00000000 00000000 00000001 00000100* -L0059680 00000000 00000000 00000011 00000010 00000000 00000000 00000000 00000001* -L0059744 00000000 00000000 00000001 00000000 00000000 00000000 00000001 00000001* -L0059808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0059872 00000000 00000000 00000011 00000010 00000000 00000000 00000000 00000001* -L0059936 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* -L0060000 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0060064 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0060128 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0060192 000000 000000 000000 000000 000000 000000 000000 000000* -L0060240 000000 000000 000000 000000 000000 000000 000000 001000* -L0060288 000000 000000 000000 000000 000010 000000 000000 001000* -L0060336 000001 000000 000000 000000 000000 000000 000000 000000* -L0060384 000000 000000 000000 000000 000000 000000 000000 000000* -L0060432 000000 000000 000000 000000 000000 000000 000000 000000* -L0060480 00000000 00000000 00000000 00000001 00000000 00000000 00000000 00000001* -L0060544 00000010 00000000 00000001 00000001 00000000 00000000 00000001 00000011* -L0060608 00000010 00000000 00000001 00000001 00000000 00000000 00000001 00000001* -L0060672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0060736 00000010 00000000 00000000 00000001 00000000 00000001 00000000 00000011* +L0057024 00000000 00000000 11000010 00010010 00000010 00000000 00000000 00000001* +L0057088 00000000 00000000 01011010 00000100 00000010 00000000 00000000 00000001* +L0057152 00000000 00000000 00011010 00000011 00000001 00100011 00000011 00000011* +L0057216 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0057280 00000010 00000000 00000011 00000010 00000010 00000011 00000000 00000001* +L0057344 00000000 00000000 00100000 00010000 00000000 01000000 11010000 00000000* +L0057408 00000000 00000000 01010000 00001000 00000000 00000000 00100000 00000000* +L0057472 00000000 00000000 01010000 00000000 00000000 00000000 00000000 00000000* +L0057536 00000000 00000000 00000100 00001000 00000000 00000000 00000000 00000000* +L0057600 000000 000000 000100 000000 000000 000000 000000 000000* +L0057648 000000 000000 000000 110000 000000 010000 011000 000000* +L0057696 000000 000000 000000 010100 000000 000000 000000 000000* +L0057744 000010 000000 001000 010000 000000 000000 000000 000000* +L0057792 000000 000000 000000 000010 000000 000000 000000 000000* +L0057840 000000 000000 000100 000010 000000 000000 000000 100000* +L0057888 00000000 00000000 00000000 01100100 00000010 00000000 00000001 00000001* +L0057952 00000000 00000010 00000000 00001010 00000010 00000001 00000011 00000000* +L0058016 00000000 00000010 10100001 00000001 00000101 00000011 00000000 00000000* +L0058080 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0058144 00000010 00000010 00111000 00000010 00000010 00000011 00000011 00000001* +L0058208 11000000 00000000 00000000 00000000 00000000 00000000 00100000 00000000* +L0058272 00000000 00000000 00000000 00000000 00000000 01000000 11010000 00000000* +L0058336 00000000 00000000 00001000 00000000 10000000 00000000 00000000 00000000* +L0058400 00000000 00000000 10001000 00010000 10000000 00000000 00000000 00000000* +L0058464 000000 000000 100010 000000 100000 000000 000000 000000* +L0058512 000010 000001 000001 000100 000000 000000 000000 000000* +L0058560 000000 000001 100001 000000 000000 010000 011000 000000* +L0058608 000000 000000 000100 000000 000000 000000 000000 000000* +L0058656 000000 000000 010001 000100 000000 000000 000000 100000* +L0058704 000000 000000 000010 000000 000000 000000 000000 000000* +L0058752 00000000 00000000 00000011 00000001 00000001 00000000 00000001 00000001* +L0058816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0058880 00000000 00000000 00000001 00000001 00000001 00000000 00000000 00000001* +L0058944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0059008 00000000 00000000 00000011 00000000 00000000 00000000 00000000 00000000* +L0059072 00000000 00000000 00000000 10000000 00000000 00100000 00000000 00000000* +L0059136 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0059200 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0059264 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0059328 000000 000000 000000 000000 000000 000000 000000 000000* +L0059376 000000 000000 000000 000000 000000 000000 000000 000000* +L0059424 000000 000000 000100 000000 000000 000000 000000 000000* +L0059472 000000 000000 000100 000000 000000 000000 000000 000000* +L0059520 000000 000000 000100 000000 000000 000000 000000 000000* +L0059568 000000 000000 000000 000000 000000 000000 000000 000000* +L0059616 00000000 00000000 00000000 00000001 00000000 00000000 00000001 00000000* +L0059680 00000001 00000000 00100010 00000010 00000011 00000001 00000000 00000011* +L0059744 00000000 00000001 00100010 00000011 00000010 00000001 10000001 00000011* +L0059808 00000000 00000000 00101000 00000100 00000000 00000000 10000000 00000000* +L0059872 00000000 00000001 00111000 00001100 00000001 00000001 10000000 00000001* +L0059936 00000000 00000000 00000000 00011000 00000000 00000000 00000000 00000000* +L0060000 00000000 00000000 00000000 00011000 00000000 00100000 00000000 00000000* +L0060064 00000000 00000000 00000000 00001000 00000000 00100000 00000000 00000000* +L0060128 00000000 00000000 00010000 00001000 00000000 00100000 00000100 00000000* +L0060192 000000 000000 000000 000010 000000 000000 000001 000000* +L0060240 000000 000000 100000 000000 000000 000000 001000 000000* +L0060288 000000 000000 100000 000000 000010 000000 000000 010000* +L0060336 000000 000000 010000 000000 000000 000000 000001 000000* +L0060384 000000 000000 010000 000010 000000 000000 000001 000000* +L0060432 000000 000000 000000 000010 000000 000000 000001 000000* +L0060480 00000010 00000000 00000000 00000000 00000000 00000010 00000000 00000010* +L0060544 00000000 00000000 00000001 00000001 00000001 00000010 00000001 00000000* +L0060608 00000000 00000001 00000001 00000001 00000001 00000010 00000001 00000010* +L0060672 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* +L0060736 00000000 00000001 00000000 00000100 00000000 00000010 10000000 00000001* L0060800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0060864 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0060928 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0060992 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11000000* -L0061056 000000 000000 000000 000000 000000 000000 000000 000000* +L0060992 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000000* +L0061056 000000 000000 000000 000010 000000 000000 000001 000000* L0061104 000000 000000 000000 000000 000000 000000 000000 000000* L0061152 000000 000000 000000 000000 000000 000000 000000 000000* L0061200 000000 000000 000000 000000 000000 000000 000000 000000* -L0061248 000000 000000 000000 000000 000000 000000 000000 000010* -L0061296 000000 000000 000000 000000 000000 000000 000000 000000* -L0061344 00000000 00000000 00000001 01000011 00000000 00000010 00000000 00000011* -L0061408 00000000 00000000 00000000 01000001 00000010 00000010 00000000 00010011* -L0061472 00000000 00000000 00000000 00001010 00000000 00000010 00000000 00000010* -L0061536 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* -L0061600 00000000 00000000 00000001 00000001 00000000 00000010 00000000 00000011* -L0061664 00000000 00000000 00000000 00000000 00000000 00000000 01000000 00000100* -L0061728 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* -L0061792 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* -L0061856 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0061920 000000 000000 000000 000000 000000 000000 000000 000000* -L0061968 000000 000000 000000 000100 000000 000000 000000 000000* -L0062016 000000 000000 000000 000101 000000 000000 000000 000000* -L0062064 000000 000000 000000 000000 000000 000000 000000 000000* -L0062112 000000 000000 000000 000001 000000 000000 000000 000000* -L0062160 000000 000000 000000 000100 000000 000000 000000 000000* -L0062208 00000001 00000000 00000001 01010100 00000000 00000100 00000001 10000001* -L0062272 00000000 00000000 00000000 01010101 00000001 00000101 00000001 10000000* -L0062336 00000000 00000000 00000001 01001000 00000000 00000101 00000000 10000001* -L0062400 00000000 00000000 00000000 01001000 00000000 00000100 00000000 10000000* -L0062464 00000000 00000000 00000000 00001001 00000001 00001101 00000001 11000111* -L0062528 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001100* -L0062592 00000000 00000000 00000000 01000000 00000000 00100000 00000000 01001000* -L0062656 00000000 00000000 00000000 01100000 00000000 00100000 00000000 11001000* -L0062720 00000000 00000000 00000000 01000000 00000000 00100000 00000000 11001100* -L0062784 000000 000000 000000 011000 000000 000000 000000 110011* -L0062832 000000 000000 000000 000100 000000 000100 000000 100000* -L0062880 000000 000000 000000 000101 000000 000000 000000 100000* -L0062928 000000 000000 000000 000101 000000 000100 000000 110010* -L0062976 000000 000000 000000 100101 000000 000100 000000 100110* -L0063024 000000 000000 000000 100101 000000 000000 000000 100110* -L0063072 00000000 00000000 00000001 00000010 00000001 00000010 00000001 00000001* -L0063136 00000000 00000001 00000001 00000000 00000010 00000010 00000000 00000000* -L0063200 00000000 00000000 00000000 00000010 00000011 00000010 00000001 00000001* +L0061248 000000 000000 000000 000000 000000 000000 000000 000000* +L0061296 000000 000000 000000 000010 000000 000000 000001 000000* +L0061344 00000000 00000000 00000010 00000010 00000011 00000000 00000010 00000010* +L0061408 00000000 00000000 00000000 00000000 00000001 00000000 00000001 00000000* +L0061472 00000000 00000000 00000011 00000010 00000010 00000000 10000010 00000010* +L0061536 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0061600 00000000 00000000 00000001 00000000 00000001 00000001 00000000 00000000* +L0061664 00000000 00000000 00000000 00001000 00000000 00000000 01000000 00010000* +L0061728 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0061792 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0061856 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0061920 000000 000000 101010 000000 000000 000000 000000 000000* +L0061968 000000 000000 000000 000000 000000 000000 000000 000000* +L0062016 000000 000000 000000 000000 000000 000000 000000 000100* +L0062064 000000 000000 000010 000000 000000 000000 000001 000000* +L0062112 000000 000000 000010 000010 000000 000000 000001 000000* +L0062160 000000 000000 000011 000000 000000 000000 000000 000000* +L0062208 00000000 00000000 00000001 00010000 00000000 00000000 00000000 00000111* +L0062272 00000000 00000000 00000000 00010000 00000100 00000000 00000000 00000000* +L0062336 00000000 00000000 00000001 00000011 00000011 00000000 00000011 00000011* +L0062400 00000000 00000000 00000000 00000100 00000100 00000000 00000000 00000000* +L0062464 00000011 00000000 00000000 00001100 10000100 00000000 00000000 00000010* +L0062528 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0062592 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0062656 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0062720 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0062784 000000 000000 000000 000010 000000 000000 000000 000000* +L0062832 010000 000000 000000 000000 010000 000000 000000 000000* +L0062880 010000 000000 000000 000000 000000 000000 000000 000000* +L0062928 000000 000000 000000 000000 000000 000000 000000 000000* +L0062976 000000 000000 000000 000010 000000 000000 000000 000000* +L0063024 000000 000000 000000 000010 000000 000000 000000 000000* +L0063072 00000000 00000000 00000001 10000001 00000000 00000000 00000001 00000010* +L0063136 00000000 00000000 01000010 00000000 00000010 00000000 00000011 00000000* +L0063200 00000000 00000000 00000011 00000001 00000010 00000000 00000010 00000010* L0063264 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0063328 00000001 00000001 00000001 00000010 00000001 00000010 00000000 00000000* -L0063392 00000000 00000000 00000000 10011000 00000000 00000000 00000000 00000000* -L0063456 00000000 00000000 00100000 10011100 00000000 00000000 00000000 00000000* -L0063520 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0063584 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0063328 00000000 00000000 00000000 00000001 00000000 00000000 00000001 00000010* +L0063392 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0063456 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0063520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0063584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0063648 000000 000000 000000 000000 000000 000000 000000 000000* -L0063696 000000 000000 001000 010000 000100 000000 000000 000000* -L0063744 000000 000000 000000 000000 000000 000001 000000 000000* +L0063696 000000 000000 000000 010000 000000 000000 000000 000000* +L0063744 000000 000000 000000 000000 000000 000000 000000 000000* L0063792 000000 000000 000000 000000 000000 000000 000000 000000* -L0063840 000000 000000 000000 000000 000000 001000 000000 001000* -L0063888 000000 000000 000000 000000 000000 000000 000000 001000* -L0063936 00000000 00000000 00000001 00000001 00000001 00000000 00000000 00010000* -L0064000 00000010 00000000 00000001 00000000 00000000 00000001 00000000 00010000* -L0064064 00000010 00000000 00000000 00000001 00000000 00000001 00000000 00010100* -L0064128 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010001* -L0064192 00000011 00000000 00000001 00000001 00000000 00000001 00000000 00010000* -L0064256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00110000* -L0064320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* -L0064384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* -L0064448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* -L0064512 000000 000000 000000 000000 000000 000000 000000 001000* -L0064560 000000 000000 000000 000000 000000 000000 000000 000000* -L0064608 000000 000000 000000 000000 000000 000000 000000 000000* -L0064656 000000 000000 000000 000000 000000 000000 000000 000000* -L0064704 000000 000000 000000 000000 000000 000000 000000 001000* -L0064752 000000 000000 000000 000000 000000 000000 000000 001000* -L0064800 00000000 00000100 00000001 00000011 00000010 00000000 00000000 00000000* -L0064864 00000000 00000000 00010001 00000010 00000010 00000001 00000000 00000001* -L0064928 00000000 00000001 00000000 01000001 00000000 00000001 00000000 01000000* -L0064992 00000000 00000000 00000000 01001000 00000000 00000000 00000000 00000000* -L0065056 00000000 00000001 00000001 00001011 00000010 00000001 00000000 00001001* +L0063840 000000 000000 000000 000000 000000 000000 000000 000000* +L0063888 000000 000000 000000 000000 000000 000000 000000 000000* +L0063936 00000000 00000001 00000101 00000000 00000010 00000001 00000010 01010000* +L0064000 00000000 00000000 10000000 00000000 00000000 00000010 00000010 01011000* +L0064064 00000000 00000000 10000000 00000000 00000010 00000011 00000000 01011000* +L0064128 00000000 00000000 10000100 00000000 00000000 00000000 00000000 01011001* +L0064192 00000001 00000001 10000101 00000000 00000001 00000011 00000011 11011000* +L0064256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11100000* +L0064320 00000000 00000000 00000100 00000000 00000000 00000000 00000000 11100000* +L0064384 00000000 00000000 00000100 00000000 00000000 00000000 00000000 11100000* +L0064448 00000000 00000000 00000100 00000000 00000000 00000100 00000000 11100000* +L0064512 000000 000000 000001 000000 000000 000000 000000 111011* +L0064560 000000 000000 000001 001000 000000 100000 000000 100000* +L0064608 000000 000000 000001 000000 000000 100000 000000 000001* +L0064656 000000 000000 000001 000000 000000 100000 000000 101111* +L0064704 000000 000000 000001 000000 000000 000000 000000 001111* +L0064752 000000 000000 000000 000000 000000 000000 000000 001111* +L0064800 00010000 00000001 00000001 00000001 00000101 00001010 00000000 00000001* +L0064864 00010001 00000000 00000000 00000000 00000001 00000110 00000000 00000001* +L0064928 00000001 00000000 00000111 00000001 00000000 00000011 00000001 00000101* +L0064992 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0065056 00000001 00000001 00000011 00000001 00000001 00000011 00000000 00000101* L0065120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0065184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0065184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* L0065248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0065312 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* -L0065376 000000 000000 000000 010000 000000 000000 000000 000000* -L0065424 000000 000000 000000 000000 000010 000000 000000 000000* -L0065472 000000 000000 000000 000000 000000 000000 000000 000000* -L0065520 000000 000000 000000 000101 000000 000000 000000 000000* -L0065568 000000 000000 000000 000100 000000 000000 000000 000000* -L0065616 000000 000000 000000 000001 000000 000000 000000 000001* -L0065664 00000001 00000000 00000001 00000000 00000000 00000000 00000000 00000001* -L0065728 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* -L0065792 00000001 00000000 00000001 00000000 00000000 00000001 00000001 00000001* +L0065312 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000100* +L0065376 000000 000000 000000 000000 000000 000000 000000 000000* +L0065424 000000 000000 000000 000000 000000 000000 000000 001000* +L0065472 000000 000000 000010 000000 000000 000000 000000 001000* +L0065520 000000 000000 000000 000000 000000 000000 000000 000000* +L0065568 000000 000000 000010 000000 000000 000000 000000 000000* +L0065616 000000 000000 000010 000000 000000 000000 000000 000000* +L0065664 00000000 00000000 00000001 00000001 00000000 00000000 00000010 00000001* +L0065728 00000000 00000000 01000000 00000000 00000000 00000001 00000010 00000001* +L0065792 00000000 00000000 00000001 00000001 00000001 00000001 00000001 00000001* L0065856 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0065920 00000001 00000000 00000000 00000001 00000000 00000001 00000000 00000000* +L0065920 00000000 00000000 00000000 00000001 00000000 00000001 00000000 00000001* L0065984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0066048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0066112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0066176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0066240 000000 000000 000000 000000 000000 000000 000000 000000* L0066288 000000 000000 000000 000000 000000 000010 000000 000000* -L0066336 000000 000000 000000 000000 000000 000000 000000 000000* -L0066384 000000 000000 000000 000000 000000 000000 000000 000000* -L0066432 000000 000000 000000 000000 000000 000000 000000 000000* +L0066336 000000 000000 000000 000000 000000 000000 000000 001000* +L0066384 000000 000000 000001 000000 000000 000000 000000 000000* +L0066432 000000 000000 000001 000000 000000 000000 000000 000000* L0066480 000000 000000 000000 000000 000000 000000 000000 000000* -L0066528 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066592 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066656 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066720 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066848 00100000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066528 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* +L0066592 00100000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0066656 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0066720 00000000 00000000 00101000 00000000 00000000 00000000 00000000 00000000* +L0066784 00000000 00000000 00111000 00000000 00000000 00000000 00000000 00000000* +L0066848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0066912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0066976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0067040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0067104 000000 000000 000000 000000 000000 000000 000000 000000* -L0067152 000000 000000 000000 000000 000000 000000 000000 000000* -L0067200 000000 000000 000000 000000 000000 000000 000000 000000* -L0067248 000000 000000 000000 000000 000000 000000 000000 000000* -L0067296 000000 000000 000000 000000 000000 000000 000000 000000* +L0067040 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0067104 000000 000000 000001 000000 000000 000000 000000 000000* +L0067152 000000 000000 100000 000000 000000 000000 000000 000000* +L0067200 000000 000000 100000 000000 000000 000000 000000 100000* +L0067248 000000 000000 010000 000000 000000 000000 000000 000000* +L0067296 000000 000000 010000 000000 000000 000000 000000 000000* L0067344 000000 000000 000000 000000 000000 000000 000000 000000* -L0067392 00000010 00000010 00000010 00000010 00000010 00000010 00000010 10000010* -L0067456 00000000 00000000 00000010 00000010 00100010 00000010 00000000 10000010* -L0067520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* -L0067584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* -L0067648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11000100* -L0067712 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00001100* -L0067776 00000010 00000010 00000010 00000010 00000010 01000010 00000010 01001010* -L0067840 00000000 00000000 00000000 00000000 00000000 11000000 00000000 11001000* -L0067904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11001100* -L0067968 000000 000000 000000 000000 000000 000000 000000 000011* -L0068016 000000 000000 000000 000000 000000 000000 000000 100000* -L0068064 000000 000000 000000 100000 100000 000000 000000 100000* -L0068112 000000 000000 000000 000000 100000 000000 000000 110010* -L0068160 000000 000000 000000 000010 100001 000000 000000 100110* -L0068208 000000 000000 001000 000000 100000 000000 000000 100100* -L0068256 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00010000* -L0068320 00000000 00000000 00000000 00000100 00010000 00000000 00000000 00000000* -L0068384 00000000 00000000 00000000 00000000 00010000 00000000 00000000 00000000* -L0068448 00000000 00000000 00000000 00000000 00000000 00110000 00000000 00000000* -L0068512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0068576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* -L0068640 00000000 00000000 00100000 00000000 00100000 00000000 00000000 00100000* -L0068704 00000000 00000000 00000000 00000000 01100100 00000000 00000000 00100000* -L0068768 00000000 00000000 00000000 00000000 01000100 00000000 00000000 00100000* -L0068832 000000 000000 000000 000000 000000 000000 000000 001000* -L0068880 000000 000000 000000 000000 000000 010000 100000 000000* -L0068928 000000 000000 000000 000000 000000 000000 000000 000000* -L0068976 000000 000000 001000 000000 000000 000000 000000 000000* -L0069024 000000 000000 001000 100000 000000 010000 000000 000000* -L0069072 000000 000000 000000 100000 000000 000000 000000 000000* +L0067392 00000010 00000010 00000010 00000010 00000010 00000010 00000010 00000010* +L0067456 00000000 00000000 00100010 00000010 00000010 00000010 00000010 00000010* +L0067520 00000000 00000000 00100000 00000000 00000000 00000000 10000000 00000000* +L0067584 00000000 00000000 00101000 00000100 00000000 00000000 10000000 00000000* +L0067648 00000000 00000000 00111000 00001100 00000000 00000000 10000000 00000000* +L0067712 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0067776 00000010 00000010 00100010 00001010 00000010 00000010 00000010 00000010* +L0067840 00000100 00000000 10001100 00001000 00000000 00000000 00000000 00000000* +L0067904 00000000 00000000 10011000 00001000 00000000 00000000 00000100 00000000* +L0067968 000000 000000 100010 000010 000000 000000 000001 000000* +L0068016 000000 000000 100010 000000 000000 000000 000000 000000* +L0068064 000000 000000 100010 000000 000000 000000 000000 000000* +L0068112 000000 000000 010010 000000 000000 000000 000001 000000* +L0068160 000000 000000 010010 000010 000000 000000 000001 000000* +L0068208 000000 000000 000011 000010 000000 000000 000001 000000* +L0068256 00010000 01000000 00000000 00010000 00001000 00000000 00000000 00000100* +L0068320 00010000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0068384 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0068448 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0068512 00000000 00000000 10000000 10000000 00000000 00000000 00000000 00000000* +L0068576 00000000 00100000 00000000 10010000 00000000 00000000 00000000 00000000* +L0068640 00000000 00000000 00000000 10010100 00000000 00000000 00000000 00000000* +L0068704 00000000 00000000 00100000 10000100 00000000 00000000 00000000 00000000* +L0068768 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* +L0068832 000001 000000 000000 100000 000000 000000 000000 000000* +L0068880 001000 000000 000001 000001 000000 000000 000000 000000* +L0068928 010000 000000 000001 010001 000000 000000 000000 000000* +L0068976 000000 000000 000001 010001 000000 000000 000000 000000* +L0069024 000000 000000 001001 000001 000000 000000 000000 000000* +L0069072 000001 000000 001000 000001 000001 000000 000000 000000* L0069120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0069184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0069248 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* +L0069248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0069312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0069376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0069440 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0069440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0069504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0069568 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0069568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0069632 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0069696 000000 000000 000000 000000 000000 000000 000000 000000* L0069744 000000 000000 000000 000000 000000 000000 000000 000000* -L0069792 000000 000000 000000 000000 000000 000000 000000 000000* +L0069792 000000 000000 000000 000010 000000 000000 000000 000000* L0069840 000000 000000 000000 000000 000000 000000 000000 000000* L0069888 000000 000000 000000 000000 000000 000000 000000 000000* L0069936 000000 000000 000000 000000 000000 000000 000000 000000* -L0069984 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* -L0070048 00000000 00000000 00000000 00000000 00100100 00000000 00000000 00000000* -L0070112 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* -L0070176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0069984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0070048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0070112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0070176 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0070240 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* L0070304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070368 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070432 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0070496 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070560 000000 000000 000000 000000 000000 000000 000000 000000* -L0070608 000000 000000 000000 000000 000000 000000 000000 000000* +L0070368 00000000 00000000 00000000 00001000 00001000 00000000 00000000 00000000* +L0070432 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0070496 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0070560 000000 000000 000000 000010 000000 000000 000000 000000* +L0070608 000000 000010 000000 000000 000000 000000 000000 000000* L0070656 000000 000000 000000 000000 000000 000000 001000 000000* -L0070704 000000 000000 000000 000000 000001 000000 000000 000000* -L0070752 000000 000000 000000 000000 000001 000000 000000 000000* -L0070800 000000 000000 000000 000000 100001 000000 000000 000000* -L0070848 00000000 00000000 00000000 00000000 00000000 00000000 00011000 00000000* -L0070912 00000000 00000000 00000000 00000000 00000000 00000000 00001000 00000000* -L0070976 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0071040 00000000 00000000 00000000 00000000 00000000 00000000 10110100 00000000* +L0070704 000000 000000 000000 000010 000000 000000 000000 000000* +L0070752 000000 000000 000000 000010 000000 000000 000000 000000* +L0070800 000000 000000 000000 000010 000000 000000 000000 000000* +L0070848 00000000 00000000 00010000 00000000 00000000 00010000 00000000 00000000* +L0070912 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0070976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0071040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0071104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0071168 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* L0071232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0071296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071360 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0071424 000000 000000 000000 000000 000000 000000 000001 000000* -L0071472 100000 000000 000000 000000 000000 000000 100000 000000* +L0071360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0071424 000000 000000 000000 000000 000000 000000 000000 000000* +L0071472 000000 000000 000000 000000 000000 000000 000000 000000* L0071520 000000 000000 000000 000000 000000 000000 000000 000000* L0071568 000000 000000 000000 000000 000000 000000 000000 000000* -L0071616 000000 000000 000000 000000 000000 000000 000011 000000* -L0071664 000000 000000 000000 000000 000000 001000 000001 000000* -L0071712 00000000 00000000 00000000 00000000 00000000 00000000 01000000 00000000* -L0071776 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0071616 000000 000000 000100 000000 000000 000000 000000 000000* +L0071664 000000 000000 000000 000000 000000 000000 000000 000000* +L0071712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0071776 00000000 00000000 00101000 00000000 00000000 00000000 00000000 00000000* +L0071840 00000000 00000000 00101000 00000000 00000000 00000000 00000000 00000000* +L0071904 00000000 00000000 00101000 00000000 00000000 00000000 00000000 00000000* +L0071968 00000000 00000000 00101000 00000000 00000000 00000000 00000000 00000000* L0072032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0072096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0072160 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0072096 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* +L0072160 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* L0072224 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0072288 000000 000000 000000 000000 000000 000000 000000 000000* -L0072336 000000 000000 000000 000000 000000 000000 000001 000000* -L0072384 000000 000000 000000 000000 000000 000000 000000 000000* -L0072432 000000 000000 000000 000000 000000 000000 000000 000000* -L0072480 000000 000000 000000 000000 000000 000000 000000 000000* -L0072528 000000 000000 000000 000000 000000 000000 000000 000000* +L0072288 000000 000000 000100 000000 000000 000000 000000 000000* +L0072336 000000 000000 100000 000000 000000 000000 000000 000000* +L0072384 000000 000000 100000 000000 000000 000000 000000 000000* +L0072432 000000 000000 010000 000000 000000 000000 000000 000000* +L0072480 000000 000000 010000 000000 000000 000000 000000 000000* +L0072528 000000 000000 000100 000000 000000 000000 000000 000000* L0072576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0072640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0072704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0072768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0072832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0072896 00000000 00000000 00000000 00100000 00000000 00000000 00000000 00000000* +L0072896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0072960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0073024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0073088 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0073152 000000 000000 000000 000000 000000 000000 000000 000000* L0073200 000000 000000 000000 000000 000000 000000 000000 000000* L0073248 000000 000000 000000 000000 000000 000000 000000 000010* -L0073296 000000 000000 000000 000000 000000 000000 000000 000000* +L0073296 000000 000000 000000 100000 000000 000000 000000 000000* L0073344 000000 000000 000000 000000 000000 000000 000000 000000* L0073392 000000 000000 000000 000000 000000 000000 000000 000000* -L0073440 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* -L0073504 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* -L0073568 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* -L0073632 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* +L0073440 00001000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* +L0073504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0073568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0073632 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0073696 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073824 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* -L0073888 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* -L0073952 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* -L0074016 000000 000000 000000 010000 000000 000000 000000 000000* +L0073760 00000000 00000000 00000000 00000000 00000100 00001000 00000000 00000000* +L0073824 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0073888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0073952 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0074016 000000 000000 000000 000000 000000 000000 000000 000000* L0074064 000000 000000 000000 000000 000000 000000 000000 000010* -L0074112 000000 000000 000000 000000 000100 000000 000000 000000* +L0074112 000000 000000 000000 000000 000000 000000 000000 000000* L0074160 000000 000000 000000 000000 000000 000000 000000 000000* L0074208 000000 000000 000000 000000 000000 000000 000000 000000* L0074256 000000 000000 000000 000000 000000 000000 000000 000000* -L0074304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0074368 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0074432 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0074496 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0074560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0074304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0074368 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0074432 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0074496 00000000 00000000 10000000 00000000 00000100 00001000 10000000 00000000* +L0074560 00000000 00000000 10000000 00000100 00000100 00000100 10000000 00000000* L0074624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0074688 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0074752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0074816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0074880 000000 000000 000000 000000 000000 000000 000000 000000* -L0074928 000000 000000 000000 000000 000000 000000 000000 000000* -L0074976 000000 000000 000000 000000 000000 000000 000000 000000* -L0075024 000000 000000 000000 000000 000000 000000 000000 000000* -L0075072 000000 000000 000000 000000 100000 000000 000000 000000* -L0075120 000000 000000 000000 000000 000000 000000 000000 000000* -L0075168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0075232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0075296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0075360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0075424 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* -L0075488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0075552 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* -L0075616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0075680 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0075744 000000 000000 000000 000000 000000 000000 000000 000000* -L0075792 000000 000000 000000 000000 000000 000000 000000 000000* +L0074816 00000000 00000000 00000000 00001000 10000000 00100000 00000000 00001000* +L0074880 000000 000000 000000 100010 100000 000000 000001 000000* +L0074928 000000 000000 000001 000000 000000 000000 000000 000000* +L0074976 000000 000000 000001 000000 000000 000000 000000 000000* +L0075024 000000 000000 000001 000000 000000 000000 000000 000000* +L0075072 000000 000000 000001 000000 000000 000100 000000 000000* +L0075120 000000 000000 000000 000010 000000 000000 000001 000000* +L0075168 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0075232 00000000 00000000 00000100 00000000 00000100 10000000 00000000 00000000* +L0075296 00000000 00000000 00000000 00000000 00000100 00000000 10000000 00000000* +L0075360 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0075424 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0075488 00000000 00000000 00000000 00000000 00000000 00100000 10000000 00000000* +L0075552 00000000 00000000 00000000 00001000 00000000 00000100 00000000 00001000* +L0075616 00000000 00000000 00001000 00001000 10000000 00000000 00000000 00001000* +L0075680 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0075744 000000 000000 000010 000000 000000 000000 000000 000000* +L0075792 000000 000000 000010 000000 000000 000000 000000 000000* L0075840 000000 000000 000000 000000 000000 000000 000000 000000* -L0075888 000000 000000 000000 000000 000000 000000 000000 000000* -L0075936 000000 000000 000000 010000 000000 000000 000000 000000* +L0075888 000000 000000 000010 000000 000000 100000 000001 000000* +L0075936 000000 000000 000000 000010 000000 000000 000001 000000* L0075984 000000 000000 000000 000000 000000 000000 000000 000000* -L0076032 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0076096 00000000 00000000 00000000 00000000 11000000 00000000 00001000 00000000* -L0076160 00000000 00000000 00000000 00000000 11000000 00000000 00000000 00000000* -L0076224 00000000 00000000 00000000 00000000 11100000 00010000 00000000 00000000* -L0076288 00000000 00000000 00000000 00000000 11100000 00000000 00000000 00000000* -L0076352 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* -L0076416 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076544 00000000 00000000 00000000 00000000 10100000 00000000 00000000 00000000* -L0076608 000000 000000 000000 000000 100001 000000 000000 000000* -L0076656 000000 000000 000000 000000 000000 000000 100000 000010* -L0076704 000000 000000 000000 000000 010000 000000 000000 000010* -L0076752 000000 000000 000000 000000 010000 000000 000000 000000* -L0076800 000000 000000 000000 000000 010000 000000 000000 000000* -L0076848 000000 000000 000000 000000 000000 000000 000000 000000* -L0076896 00000000 00000000 00000000 10000000 00000000 00000000 00001000 00000000* -L0076960 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* -L0077024 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* -L0077088 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0077152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* +L0076096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010100* +L0076160 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010100* +L0076224 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00010100* +L0076288 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010100* +L0076352 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00100100* +L0076416 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* +L0076480 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00100100* +L0076544 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* +L0076608 000000 000000 000000 000000 000000 000000 000000 001000* +L0076656 000000 000000 000000 000000 000000 000000 000000 000000* +L0076704 000000 000000 000000 000000 000000 000000 000001 000100* +L0076752 000000 000000 000000 000000 000000 000000 000000 000000* +L0076800 000000 000000 000000 000000 000000 000000 000000 001000* +L0076848 000000 000000 000000 000000 000000 000000 000000 001000* +L0076896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076960 00000000 00000000 00000000 00000000 00000100 00000000 10000100 00000000* +L0077024 00000000 00000000 00000000 00000000 00000100 00000000 00000100 00000000* +L0077088 00000000 00000000 00000000 00000100 00000100 00000000 00000000 00000000* +L0077152 00000000 00000000 00000000 00001100 10000100 00000000 00000000 10000000* L0077216 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0077280 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0077344 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* -L0077408 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0077472 000000 000000 000000 000000 000000 000000 000000 000000* -L0077520 000000 000000 000000 000000 000000 000000 000000 000000* -L0077568 000000 000000 000000 000000 000000 000000 000000 000000* -L0077616 000000 000000 000000 000000 000000 000000 000000 000000* -L0077664 000000 000000 000000 000000 000000 000000 000000 000000* -L0077712 000000 000000 000000 000000 000000 000000 000000 000000* +L0077280 00000000 00000000 00000000 00001000 00000000 00000000 00000100 00000000* +L0077344 00000000 00000000 10001000 00001000 10000000 10000000 10000100 00000000* +L0077408 00000000 00000000 10101000 00001000 10000000 00000000 00000000 00000000* +L0077472 000000 000000 000000 000010 100000 000000 000000 000010* +L0077520 000000 000000 000010 000000 000010 000000 100000 000000* +L0077568 000000 000000 000010 000000 000000 000000 000000 000000* +L0077616 000000 000000 000000 000000 000000 000000 000000 100000* +L0077664 000000 000000 000000 000010 000000 000000 000000 000000* +L0077712 000000 000000 000000 000010 000000 000000 000000 000000* L0077760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0077824 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0077888 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0077952 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0078016 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0078080 00000000 00000000 00000000 00100000 00000000 00000000 00000000 00000000* -L0078144 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00010000* -L0078208 00000000 00000000 00000000 00100100 00000000 00000000 00000000 00000000* -L0078272 00000000 00000000 00000000 00100100 00000000 00000000 00000000 00000000* -L0078336 000000 000000 000000 001001 000000 000000 000000 000000* -L0078384 000000 000000 000000 001001 000000 000000 000000 000000* -L0078432 000000 000000 000000 000000 000000 000000 000000 000000* -L0078480 000000 000000 000000 000000 000000 000000 000000 000000* -L0078528 000000 000000 000000 001000 000000 000000 000000 000000* -L0078576 000000 000000 000000 001000 000000 000000 000000 000000* -L0078624 00000100 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0078688 00000000 00000000 00010000 01000000 00000000 00000000 00000000 00000000* -L0078752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078816 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* -L0078880 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0078944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079008 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0079072 00000000 00000000 00100000 01000000 00000000 00000000 00000000 00000000* -L0079136 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079200 000000 000000 000000 010000 000000 000000 000000 000000* -L0079248 000000 000000 000000 000000 000000 000000 010000 000000* -L0079296 000000 000000 000000 000100 000000 000000 000000 000000* -L0079344 000000 000000 000000 001000 000000 000000 000000 000000* -L0079392 000000 000000 000000 000100 000000 000000 000000 000000* -L0079440 000000 000000 000000 000100 000000 000000 000000 000000* -L0079488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079552 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* -L0079680 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077952 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078080 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078144 00000000 00000000 00100000 00000000 00000000 00000000 00000000 11000000* +L0078208 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078272 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0078336 000000 000000 001000 000000 000000 000000 000000 000000* +L0078384 000000 000000 000000 000000 000000 000000 000000 000000* +L0078432 000000 000000 001000 000000 000000 000000 000000 000000* +L0078480 000000 000000 000000 000000 000000 000000 000000 000010* +L0078528 000000 000000 001000 000000 000000 000000 000000 000000* +L0078576 000000 000000 001000 000000 000000 000000 000000 000000* +L0078624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078688 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00010100* +L0078752 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00010100* +L0078816 00000000 00000000 00000000 00000100 00000100 00000000 00000000 00010100* +L0078880 00000000 00000000 00000000 00001100 10000100 00000000 00000000 00010100* +L0078944 00000000 00000000 00000000 00011000 00000000 00000000 00000000 00000100* +L0079008 00000000 00000000 00000000 00011000 00000000 00000000 00000000 00000100* +L0079072 00000000 00000000 00000000 00001000 10000000 00000000 00000000 00000100* +L0079136 00000000 00000000 00000000 00001000 10000000 00000000 00000000 00000100* +L0079200 000000 000000 000000 000010 100000 000000 000000 000000* +L0079248 000000 000000 000000 000000 000000 000000 000000 000000* +L0079296 000000 000000 000000 000000 000000 000000 010000 000000* +L0079344 000000 000000 000000 000001 000000 000000 000000 000000* +L0079392 000000 000000 000000 000010 000000 000000 000000 000000* +L0079440 000000 000000 000000 000010 000000 000000 000000 000000* +L0079488 00000000 00000000 00000000 00000000 10001000 00000000 00000000 00001000* +L0079552 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0079616 00000000 00000000 00000000 00000000 10000000 00000000 00000000 10000000* +L0079680 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* L0079744 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079872 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0079936 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080064 000000 000000 000000 000000 000000 000000 000000 000000* -L0080112 000000 000000 000000 000000 000000 000000 000000 000000* -L0080160 000000 000000 000001 000000 000000 000000 000000 000100* -L0080208 000000 000000 000000 000000 000000 000000 000000 001001* -L0080256 000000 000000 000000 000000 000000 000000 000000 000000* -L0080304 000000 000000 000000 000000 000000 000000 000000 000000* -L0080352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10010000* -L0080416 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10011000* -L0080480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11010000* -L0080544 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11011000* -L0080608 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11011000* -L0080672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00101000* -L0080736 00000000 00000000 00000000 00000000 00000000 00000000 00010000 01101000* -L0080800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11101100* -L0080864 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11101100* -L0080928 000000 000000 000000 000000 000000 000000 000000 111011* -L0080976 000000 000000 000000 000000 000000 000000 000000 100000* -L0081024 000000 000000 000000 000000 000000 000000 000000 100001* -L0081072 000000 000000 000000 000000 000000 000000 000000 100110* -L0081120 000000 000000 000000 000000 000000 000000 000000 101111* -L0081168 000000 000000 000000 000000 000000 000000 000000 101111* -L0081216 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0081280 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0081344 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0081408 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000100* -L0081472 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000100* -L0081536 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0081600 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079808 00001000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0079872 00000000 00000000 00000000 00000000 00000100 00001000 00000000 00000000* +L0079936 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00001000* +L0080000 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0080064 000000 000000 000000 000000 000001 000000 000000 000000* +L0080112 000000 000001 000000 000000 000000 000000 000000 000001* +L0080160 000000 000001 000000 000000 000001 000000 000000 000000* +L0080208 000000 000000 000000 000000 000001 000000 000000 001000* +L0080256 000000 000000 000000 000000 000001 000000 000000 000000* +L0080304 000000 000000 000000 000000 000001 000000 000000 000000* +L0080352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01010000* +L0080416 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11011100* +L0080480 00000000 00000000 00000000 00000000 00000000 00000000 10000000 01011100* +L0080544 00000000 00000000 00000000 00000100 00000000 00000000 10000000 11011100* +L0080608 00000000 00000000 00000000 00000100 00000000 00000000 10000000 11011100* +L0080672 00000000 00000000 00000000 00000000 00000000 00000000 00010000 11100100* +L0080736 00000000 00000000 00000000 00001000 00000000 00000000 00000000 11101100* +L0080800 00000000 00000000 00000000 00001000 00000000 00000000 00000000 11100100* +L0080864 00000000 00000000 00000000 00001000 00000000 00000000 00000100 11101100* +L0080928 000000 000000 000000 000010 000000 000000 000001 111011* +L0080976 000000 000000 000000 000000 000001 000000 000000 000000* +L0081024 000000 000000 000000 000000 000000 000000 000000 000001* +L0081072 000000 000000 000000 000000 000000 000000 000001 100111* +L0081120 000000 000000 000000 000011 000000 000000 000001 001111* +L0081168 000000 000000 000000 000010 000000 000000 000001 001111* +L0081216 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0081280 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0081344 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0081408 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0081472 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0081536 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0081600 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* L0081664 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0081728 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0081792 000000 000000 000000 000000 000000 000000 000000 000000* +L0081728 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0081792 000000 000000 000001 000000 000000 000000 000000 000000* L0081840 000000 000000 000000 000000 000000 000000 000000 000000* L0081888 000000 000000 000000 000000 000000 000000 000000 000000* L0081936 000000 000000 000000 000000 000000 000000 000000 000000* -L0081984 000000 000000 000000 000000 000000 000100 000000 000000* +L0081984 000000 000000 000000 000000 000000 000000 000000 000000* L0082032 000000 000000 000000 000000 000000 000000 000000 000000* -L0082080 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0082144 00000000 00000000 00010000 00000000 00000000 10000000 00000000 00000000* -L0082208 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0082272 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0082336 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0082400 00000000 00000000 00000000 00010000 00000000 00100000 00100000 00000000* -L0082464 00000000 00000000 00100000 00010000 00000000 00000100 00000000 00000000* -L0082528 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0082592 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0082656 000000 000000 000000 000000 000000 000000 000000 000000* -L0082704 000000 000000 000000 000000 000000 000000 000000 000000* -L0082752 000000 000000 000000 000000 000000 000000 000000 000000* -L0082800 000000 000000 001000 000000 000000 100000 000000 000000* -L0082848 000000 000000 000000 000000 000000 000000 000000 000000* -L0082896 000000 000000 000000 000000 000000 000000 000000 000000* -L0082944 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* -L0083008 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* -L0083072 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0082080 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0082144 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0082208 00000000 00000000 00000000 00000000 00000100 00000000 10000000 00000000* +L0082272 00000000 00000000 10000000 00000100 00000100 00000000 10000000 00000000* +L0082336 00000000 00000000 00000000 00001100 10000100 00000000 10000000 00000000* +L0082400 00000000 00001000 00000000 00011000 00000000 00000000 00100000 00000000* +L0082464 00000000 00000000 00000000 00011000 00000000 00000000 00000000 00000000* +L0082528 00000000 00000000 10101000 00001000 10000000 00000000 00000000 00000000* +L0082592 00000000 00000000 10101000 00001000 10000000 00000000 00000100 00000000* +L0082656 000000 000000 101010 000010 100000 000000 000001 000000* +L0082704 000000 000000 000011 000001 000000 000000 000000 000000* +L0082752 000000 000000 000010 010000 000000 000000 000000 000000* +L0082800 000000 000000 000010 000000 000000 000000 000001 000000* +L0082848 000000 000000 001010 000010 000000 000000 000001 000000* +L0082896 000000 000000 000011 000010 000000 000000 000001 000000* +L0082944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0083008 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0083072 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* L0083136 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0083200 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0083264 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0083328 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0083392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0083328 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0083392 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* L0083456 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0083520 000000 000000 000000 000000 000000 000000 000000 000000* -L0083568 000000 000000 000000 000000 000000 000000 000000 000010* +L0083568 000000 000000 000000 000000 000000 000000 000000 000000* L0083616 000000 000000 000000 000000 000000 000000 000000 000000* L0083664 000000 000000 000000 000000 000000 000000 000000 000000* L0083712 000000 000000 000000 000000 000000 000000 000000 000000* L0083760 000000 000000 000000 000000 000000 000000 000000 000000* -L0083808 00000000 00000000 01110100 00000000 00000000 00000000 00000000 00000000* +L0083808 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* L0083872 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0083936 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* +L0083936 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0084000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0084064 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084128 00000000 00000000 01111000 00000000 00000000 00000000 00000000 00000000* -L0084192 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0084128 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0084192 00000000 00000000 00000000 00000000 00000100 00000000 10000000 00000000* L0084256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0084320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0084384 000000 000000 000000 000000 000000 000000 000000 000000* -L0084432 000000 000000 110010 000000 000000 000000 000000 000000* -L0084480 000000 000000 000000 000000 000000 000000 000000 000010* +L0084432 000000 000000 000000 000000 000000 000000 000000 000000* +L0084480 000000 000000 000000 000000 000000 000000 000000 000000* L0084528 000000 000000 000000 000000 000000 000000 000000 000000* L0084576 000000 000000 000000 000000 000000 000000 000000 000000* L0084624 000000 000000 000000 000000 000000 000000 000000 000000* -L0084672 00000000 00000000 00000000 00000000 10100000 00000000 00010000 00010100* -L0084736 00000000 00000000 00000000 00000000 11100100 00000000 00000100 00010000* -L0084800 00000000 00000000 00000000 00000000 11100000 00000000 00000000 00010000* -L0084864 00000000 00000000 00000000 00000000 11100000 00000000 00000000 00010000* -L0084928 00000000 00000000 00000000 01000000 11100000 00000000 00000000 00010000* -L0084992 00000000 00000000 00000000 10001000 00000000 00000000 00000100 00100000* -L0085056 00000000 00000000 00000000 10001000 00000100 00001000 00000000 00100000* -L0085120 00000000 00000000 00000000 10001000 10000000 00000000 00000000 00100000* -L0085184 00000000 00000000 00000000 10001000 10100000 00000000 00000000 00100000* -L0085248 000000 000000 000000 100010 100001 000000 000000 001000* -L0085296 000000 000000 000000 000000 000000 000000 000000 001000* -L0085344 000000 000000 000000 100000 010000 000000 000000 000000* -L0085392 000000 000000 000000 100010 010001 000000 000000 001000* -L0085440 000000 000000 000000 000010 010001 000000 000000 001000* -L0085488 000000 000000 000000 000010 100001 000000 000000 001000* -L0085536 00000000 00000000 00000000 00000000 00000000 00000000 00100100 01001000* -L0085600 00000000 00000000 00010000 00000000 00000000 00000000 00000000 01000000* -L0085664 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0085728 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0085792 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0085856 00010000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0085920 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0085984 00000000 00000000 00100000 00000000 00000000 00000000 00000100 00000000* -L0086048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0086112 000000 000000 000000 000000 000000 000000 000000 000000* -L0086160 000000 000000 000000 000000 000000 000000 000001 000001* -L0086208 000000 000000 000000 000000 000000 000000 000001 000000* -L0086256 000000 000000 000000 000000 000000 000000 000001 000000* -L0086304 000000 000000 000000 000000 000000 000000 000000 000000* -L0086352 000000 000000 000000 000000 000000 000000 000000 000000* +L0084672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0084736 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0084800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0084864 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0084928 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0084992 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0085056 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0085120 00000000 00000000 00000000 00000100 00000000 00000000 00000000 11000000* +L0085184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0085248 000000 000000 000000 000000 000000 000000 000000 000000* +L0085296 000000 000000 000000 000000 000000 000000 000000 000000* +L0085344 000000 000000 000000 000000 000000 000000 000000 000000* +L0085392 000000 000000 000000 000000 000000 000000 000000 000000* +L0085440 000000 000000 000000 000000 000000 000000 000000 000010* +L0085488 000000 000000 000000 000000 000000 000000 000000 000000* +L0085536 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0085600 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010100* +L0085664 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00010100* +L0085728 00000000 00000000 00000000 00000000 00000100 00000000 10000000 00010100* +L0085792 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00010100* +L0085856 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0085920 00000000 00000000 00000000 00000000 00000000 00000000 00010000 00000100* +L0085984 00000000 00000000 10101000 00001000 00000000 00000000 00000000 00000100* +L0086048 00000000 00000000 10101000 00000000 10000000 00000000 00000100 00000100* +L0086112 000000 000000 101010 000010 000000 000000 000000 000000* +L0086160 000000 000000 000010 000000 000000 000000 000000 000000* +L0086208 000000 000000 000010 000000 000000 000000 000000 000000* +L0086256 000010 000000 000010 000000 000000 000000 000001 000000* +L0086304 000000 000000 000010 000010 000000 000000 000000 000000* +L0086352 000000 000000 000011 000010 000000 000000 000001 000000* L0086400 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0086464 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0086464 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0086528 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0086592 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0086656 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0086720 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0086784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0086784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0086848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0086912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0086976 000000 000000 000000 000000 000000 000000 000000 000000* -L0087024 000000 000000 100000 000000 000000 000000 000000 000000* -L0087072 000000 000000 000000 000000 000000 000000 000000 000000* -L0087120 000000 000000 000000 000000 000000 000000 000000 100000* +L0087024 000000 000000 000000 000000 000000 000000 000000 000000* +L0087072 000000 000000 000000 000000 000000 000000 000001 000000* +L0087120 000000 000000 000000 000000 000000 000000 000000 000000* L0087168 000000 000000 000000 000000 000000 000000 000000 000000* L0087216 000000 000000 000000 000000 000000 000000 000000 000000* -L0087264 00100000 00000000 01111100 00000000 00010000 00000000 00000000 00000000* -L0087328 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* -L0087392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* -L0087456 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* -L0087520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010100* -L0087584 00000000 00000000 01111000 00000000 00000000 00000000 00000000 00000100* -L0087648 00000000 00000000 00000000 00000000 00000000 00000000 00100000 00000000* -L0087712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0087776 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0087840 000000 000000 000000 000000 000000 000000 000000 000000* -L0087888 000000 000010 010110 000000 100001 000000 000000 000000* -L0087936 000000 000000 000000 000000 000000 000000 000000 000000* -L0087984 000000 000000 000000 000000 000000 000000 000000 000000* -L0088032 000000 000000 000000 000000 000000 000000 000000 000000* -L0088080 000000 000000 000000 000000 000000 000000 000000 000000* -L0088128 00000100 00000000 00000000 00000000 00001000 00000000 00000000 00100000* -L0088192 00000100 00000000 00000000 10000000 00000000 00000100 00000000 00001000* -L0088256 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0088320 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0088384 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* -L0088448 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* -L0088512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0088576 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0088640 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0088704 000000 000000 000000 000000 000000 000000 000000 000000* -L0088752 000000 000000 000000 000000 000000 100000 000000 000000* -L0088800 000000 000000 000000 000000 000000 000000 000000 000000* -L0088848 000000 000000 000000 000000 000000 000100 000000 000000* -L0088896 000000 000000 000000 010000 000000 000000 000000 000000* -L0088944 000000 000000 000000 000000 000000 000000 000000 000000* -L0088992 00000000 00000000 00000000 00000000 00000000 10000100 00000000 00000000* -L0089056 00000000 00000000 00000000 00000000 00001000 10001000 00000000 00000000* -L0089120 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* -L0089184 00000000 00000000 01111100 00000000 00000000 00001000 00000000 00001000* -L0089248 00000000 00000000 00000000 00000000 00000000 00010100 00000000 00000000* -L0089312 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0089376 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0089440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0089504 00000000 00000000 01111000 00000000 00000000 00000000 00000000 00000000* -L0089568 000000 000000 000000 000000 000000 001000 000000 000000* +L0087264 00000000 00000000 00000000 00000100 00000000 00000000 10000000 00000000* +L0087328 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0087392 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0087456 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0087520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0087584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0087648 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0087712 00000000 00000000 10101000 00000000 00000000 00000000 00000100 00000000* +L0087776 00000000 00000000 10101000 00000100 00000000 00000000 00000000 00000000* +L0087840 000000 000000 101010 000000 000000 000000 000000 000000* +L0087888 000000 000000 000010 000000 000000 000000 000000 000000* +L0087936 000000 000000 000010 000000 000000 000000 000000 000000* +L0087984 000000 000000 000010 000000 000000 000000 000000 000000* +L0088032 000000 000000 000010 000000 000000 000000 000000 000000* +L0088080 000000 000000 000011 000000 000000 000000 000000 000000* +L0088128 00000000 00000000 00000000 00000000 00000000 10000000 11111100 00100000* +L0088192 00010000 00000000 00000000 00000000 00000100 10000000 00000000 00000000* +L0088256 00000000 00000000 00000000 00000000 00000100 00000000 10000000 00000000* +L0088320 00000000 00000000 00000000 00000000 00000100 00000000 10000000 00000000* +L0088384 00000000 00000000 00000000 00000000 10000100 00000000 10000000 00000000* +L0088448 00000000 00000000 00000000 00000000 00000000 00000000 00001100 00000000* +L0088512 00000000 00000000 00000000 00000000 00000000 10010100 00000000 00001000* +L0088576 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0088640 00000000 00000000 00000000 00000000 10000000 00000000 00000100 00000000* +L0088704 000000 000000 000000 000000 100000 000000 000001 000000* +L0088752 000000 000000 000000 000000 000000 000000 100010 000000* +L0088800 000000 000000 000000 000000 000000 101100 000001 000000* +L0088848 000000 000000 000000 000000 000000 100000 000001 000000* +L0088896 000000 000000 000000 000000 000000 000000 000001 000000* +L0088944 000000 000000 000000 000000 000000 000001 000001 000000* +L0088992 00010000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0089056 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* +L0089120 00000000 00000000 00000000 00000000 00000000 00000000 01111100 00000000* +L0089184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0089248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0089312 00000000 01000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0089376 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0089440 00000000 00000000 00000000 00000000 00000000 00000000 10001100 00000000* +L0089504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0089568 000000 000000 000000 000000 000000 000000 000000 000000* L0089616 000000 000000 000000 000000 000000 000000 000000 000000* -L0089664 000000 000000 000000 000000 000000 000000 000000 000000* -L0089712 000000 000000 000000 000000 000000 000000 000000 000000* -L0089760 000000 000000 110110 000000 000000 000000 000000 000000* -L0089808 000000 000000 000000 000000 000000 000001 000000 000000* +L0089664 000000 000000 010000 000000 000000 000000 000000 000000* +L0089712 000000 000000 000000 000000 000001 000000 100010 000000* +L0089760 000000 000000 000000 000000 000000 000000 000000 000000* +L0089808 000000 000000 000000 000000 000000 000000 000000 000000* L0089856 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0089920 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0089984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0090048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0090112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0090048 00000000 00000000 00000000 00000000 00000000 00001000 10000000 00000000* +L0090112 00000000 00000000 00000000 00000000 00000000 00000100 10000000 00000000* L0090176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0090240 00000000 00000000 00000000 00100000 00000000 00000000 00000000 00000000* +L0090240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0090304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0090368 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0090432 000000 000000 000000 000000 000000 000000 000000 000000* -L0090480 000000 000000 000000 000000 000000 000000 000000 000000* +L0090432 000000 000000 000000 000000 000000 000000 000001 000000* +L0090480 000000 000000 001000 000000 000000 000000 000000 000000* L0090528 000000 000000 000000 000000 000000 000000 000000 000000* -L0090576 000000 000000 000000 000000 000000 000000 000000 000000* -L0090624 000000 000000 000000 000000 000000 000000 000000 000000* -L0090672 000000 000000 000000 000000 000000 000000 000000 000000* -L0090720 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0090784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0090848 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* -L0090912 00000000 00000000 01111100 00000000 00000000 00000000 00000000 00000000* -L0090976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091040 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* -L0091104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091232 00000000 00000000 01111000 00000000 00000000 00000000 00000000 00000000* -L0091296 000000 000000 000000 000000 000000 000000 000000 000000* +L0090576 000000 000000 000000 000000 000000 000000 000000 001000* +L0090624 000000 000000 000000 000000 000000 000100 000000 000000* +L0090672 000000 000000 000000 000000 000000 000000 000001 000000* +L0090720 00000000 00000100 00000000 00000000 00001000 10000000 00000000 00000000* +L0090784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0090848 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000100* +L0090912 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000100* +L0090976 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000100* +L0091040 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000100* +L0091104 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000100* +L0091168 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0091232 00000000 00000000 00000000 00000000 00000100 00000000 00000100 00000100* +L0091296 000000 000000 000000 000000 000000 001000 000000 000000* L0091344 000000 000000 000000 000000 000000 000000 000000 000000* -L0091392 000000 000000 000000 000000 000000 000000 000000 010000* -L0091440 000000 000000 000000 000000 000000 000000 000000 000000* -L0091488 000000 000000 110110 000000 000000 000000 000000 000000* -L0091536 000000 000000 000000 000000 000000 000000 000000 000000* +L0091392 000000 000000 000000 000000 000000 100000 000000 000000* +L0091440 000000 000000 000000 000000 000000 000000 000001 000000* +L0091488 000000 000000 000000 000000 000000 000000 000001 000000* +L0091536 000000 000000 000000 000000 000000 000001 000000 000000* L0091584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0091648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0091712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091776 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0091776 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0091840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0091904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0091968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0092032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0092096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0092160 000000 000000 000000 000000 000000 000000 000000 000000* L0092208 000000 000000 000000 000000 000000 000000 000000 000000* L0092256 000000 000000 000000 000000 000000 000000 000000 000000* L0092304 000000 000000 000000 000000 000000 000000 000000 000000* -L0092352 000000 000000 000000 010000 000000 000000 000000 100000* +L0092352 000000 000000 000000 000000 000000 000000 000000 000000* L0092400 000000 000000 000000 000000 000000 000000 000000 000000* L0092448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092512 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00010000* -L0092576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* -L0092640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* -L0092704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010100* -L0092768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0092512 00000000 00000000 00000000 00000100 00000100 00000000 00000000 00000000* +L0092576 00000000 00000000 00000000 00000100 00000000 00000000 10000000 00000000* +L0092640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092704 00000000 00000000 00000000 00000000 00000100 00000000 10000000 00000000* +L0092768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0092832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092896 00000000 00000000 00100000 00000000 00000000 10000000 00000000 00000000* -L0092960 00001000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0093024 000000 000000 000000 000000 000000 000000 000000 000000* -L0093072 000000 000000 000000 000000 000000 000000 000000 000000* -L0093120 000000 000000 000000 000000 000000 000000 010000 000000* -L0093168 000000 000000 000000 000000 000000 000000 000000 000000* -L0093216 000010 000000 000000 000000 000000 000000 000000 000000* -L0093264 000000 000000 000000 000000 000000 000000 000000 000000* -C46D8* -2AA1 +L0092896 00000000 00000000 10101000 00000000 10000000 10000000 00000000 00000000* +L0092960 00000000 00000000 10101000 00000100 00000000 00000100 00000000 00000000* +L0093024 000000 000000 101010 000000 100000 000000 000001 000000* +L0093072 000000 000000 000010 000100 000000 000000 010000 001000* +L0093120 000000 000000 000010 000000 000000 000000 000000 001000* +L0093168 000000 000000 000010 000000 000000 000000 000000 000000* +L0093216 000000 000000 000010 000000 000000 000000 000001 000000* +L0093264 000000 000000 000011 000000 000000 000000 000000 000000* +CA70B* +2D4C diff --git a/cpld/XC95144XL/WarpSE.mfd b/cpld/XC95144XL/WarpSE.mfd index ef3fbaf..de5139b 100644 --- a/cpld/XC95144XL/WarpSE.mfd +++ b/cpld/XC95144XL/WarpSE.mfd @@ -4,7 +4,7 @@ MACROCELL | 5 | 1 | nVMA_IOBout ATTRIBUTES | 4622082 | 0 OUTPUTMC | 2 | 5 | 1 | 5 | 9 INPUTS | 8 | nVMA_IOB | iobm/ES<0> | iobm/ES<2> | iobm/ES<1> | iobm/ES<3> | IOACT | iobm/VPAr | nAoutOE -INPUTMC | 8 | 5 | 1 | 5 | 4 | 0 | 17 | 5 | 6 | 5 | 5 | 5 | 17 | 0 | 2 | 3 | 1 +INPUTMC | 8 | 5 | 1 | 5 | 4 | 0 | 14 | 5 | 6 | 5 | 5 | 5 | 17 | 1 | 10 | 3 | 1 EQ | 6 | nVMA_IOB.T = !nVMA_IOB & !iobm/ES<0> & !iobm/ES<2> & !iobm/ES<1> & !iobm/ES<3> @@ -16,9 +16,9 @@ GLOBALS | 1 | 2 | C8M MACROCELL | 5 | 11 | nAS_IOBout ATTRIBUTES | 8816386 | 0 -OUTPUTMC | 2 | 0 | 16 | 5 | 9 +OUTPUTMC | 2 | 0 | 13 | 5 | 9 INPUTS | 9 | iobm/IOS_FSM_FFd3 | iobm/C8Mr | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd7 | iobm/IORDREQr | iobm/IOWRREQr | nAoutOE -INPUTMC | 9 | 5 | 3 | 0 | 9 | 0 | 5 | 0 | 4 | 5 | 0 | 5 | 2 | 0 | 7 | 0 | 3 | 3 | 1 +INPUTMC | 9 | 5 | 3 | 1 | 16 | 1 | 13 | 1 | 12 | 5 | 0 | 5 | 2 | 1 | 15 | 1 | 11 | 3 | 1 EQ | 9 | nAS_IOB.D = !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 @@ -35,7 +35,7 @@ MACROCELL | 5 | 8 | nLDS_IOBout ATTRIBUTES | 8816386 | 0 OUTPUTMC | 1 | 5 | 8 INPUTS | 8 | iobm/IOS_FSM_FFd3 | IOL0 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd4 | nLDS_IOB | iobm/IOS_FSM_FFd6 | iobm/DoutOE.EXP | nAoutOE -INPUTMC | 8 | 5 | 3 | 7 | 17 | 0 | 4 | 0 | 5 | 5 | 8 | 5 | 0 | 5 | 7 | 3 | 1 +INPUTMC | 8 | 5 | 3 | 7 | 0 | 1 | 12 | 1 | 13 | 5 | 8 | 5 | 0 | 5 | 7 | 3 | 1 IMPORTS | 1 | 5 | 7 EQ | 9 | !nLDS_IOB.D = iobm/IOS_FSM_FFd3 & IOL0 @@ -52,8 +52,8 @@ GLOBALS | 1 | 2 | C16M MACROCELL | 5 | 10 | nUDS_IOBout ATTRIBUTES | 8816386 | 0 OUTPUTMC | 1 | 5 | 10 -INPUTS | 8 | iobm/IOS_FSM_FFd5 | IOU0 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | nUDS_IOB | iobm/IOS_FSM_FFd6 | IODONE.EXP | nAoutOE -INPUTMC | 8 | 0 | 4 | 7 | 12 | 0 | 5 | 5 | 3 | 5 | 10 | 5 | 0 | 5 | 9 | 3 | 1 +INPUTS | 8 | iobm/IOS_FSM_FFd3 | IOU0 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd4 | nUDS_IOB | iobm/IOS_FSM_FFd6 | IODONE.EXP | nAoutOE +INPUTMC | 8 | 5 | 3 | 7 | 13 | 1 | 12 | 1 | 13 | 5 | 10 | 5 | 0 | 5 | 9 | 3 | 1 IMPORTS | 1 | 5 | 9 EQ | 9 | !nUDS_IOB.D = iobm/IOS_FSM_FFd3 & IOU0 @@ -67,101 +67,214 @@ EQ | 9 | nUDS_IOB.OE = !nAoutOE; GLOBALS | 1 | 2 | C16M -MACROCELL | 6 | 17 | RefUrg -ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 11 | 6 | 17 | 4 | 2 | 4 | 16 | 6 | 14 | 6 | 6 | 3 | 2 | 4 | 15 | 4 | 6 | 3 | 14 | 4 | 17 | 4 | 1 -INPUTS | 7 | cnt/Timer<0> | cnt/Timer<1> | cnt/Timer<2> | cnt/Er<0> | cnt/Er<1> | RefUrg | cnt/TimerTC -INPUTMC | 7 | 6 | 9 | 6 | 15 | 6 | 16 | 0 | 11 | 6 | 3 | 6 | 17 | 6 | 6 -EQ | 9 | - RefUrg.T = RefUrg & cnt/TimerTC & !cnt/Er<0> & cnt/Er<1> - # cnt/Timer<0> & cnt/Timer<1> & cnt/Timer<2> & - !cnt/TimerTC - # cnt/Timer<0> & cnt/Timer<1> & cnt/Timer<2> & - cnt/Er<0> - # cnt/Timer<0> & cnt/Timer<1> & cnt/Timer<2> & - !cnt/Er<1>; +MACROCELL | 0 | 10 | RefUrg +ATTRIBUTES | 8553280 | 0 +OUTPUTMC | 24 | 0 | 8 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 0 | 15 | 0 | 17 | 6 | 17 | 2 | 1 | 6 | 15 | 0 | 16 | 2 | 10 | 7 | 15 | 0 | 9 | 0 | 5 | 2 | 4 | 2 | 9 | 2 | 12 | 6 | 1 +INPUTS | 6 | cnt/Timer<0> | cnt/Timer<1> | cnt/Timer<2> | cnt/Timer<3> | cnt/Er<0> | cnt/Er<1> +INPUTMC | 6 | 0 | 8 | 0 | 15 | 0 | 17 | 0 | 16 | 0 | 4 | 0 | 3 +EQ | 4 | + RefUrg.D = cnt/Timer<0> & !cnt/Timer<1> & !cnt/Timer<2> & + cnt/Timer<3>; RefUrg.CLK = FCLK; // GCK RefUrg.CE = !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 16 | iobs/Sent +MACROCELL | 4 | 17 | cnt/LTimer<0> +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 18 | 4 | 17 | 3 | 14 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 3 | 0 | 4 | 12 | 6 | 17 | 4 | 0 | 4 | 16 | 6 | 1 +INPUTS | 8 | cnt/LTimer<0> | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/LTimer<1> | cnt/LTimer<2> | EXP13_.EXP | EXP14_.EXP +INPUTMC | 8 | 4 | 17 | 6 | 17 | 7 | 15 | 0 | 9 | 3 | 14 | 6 | 14 | 4 | 0 | 4 | 16 +IMPORTS | 2 | 4 | 0 | 4 | 16 +EQ | 73 | + cnt/LTimer<0>.D = cnt/LTimer<0> & + !cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + # !cnt/LTimer<0> & cnt/LTimer<1> & + cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + # !cnt/LTimer<0> & cnt/LTimer<2> & + cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + # !cnt/LTimer<0> & !cnt/IS_FSM_FFd1 & + cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + # !cnt/LTimer<0> & cnt/IS_FSM_FFd2 & + cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 +;Imported pterms FB5_1 + # !cnt/LTimer<0> & cnt/LTimer<10> & + cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + # !cnt/LTimer<0> & cnt/LTimer<8> & + cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + # !cnt/LTimer<0> & cnt/LTimer<9> & + cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + # !cnt/LTimer<0> & cnt/LTimer<11> & + cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & + !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & + A_FSB<8> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 +;Imported pterms FB5_2 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & + !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & + fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & + !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & + fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & + !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & + fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 +;Imported pterms FB5_17 + # !cnt/LTimer<0> & cnt/LTimer<3> & + cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + # !cnt/LTimer<0> & cnt/LTimer<4> & + cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + # !cnt/LTimer<0> & cnt/LTimer<5> & + cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + # !cnt/LTimer<0> & cnt/LTimer<6> & + cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + # !cnt/LTimer<0> & cnt/LTimer<7> & + cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 +;Imported pterms FB5_16 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & + !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & + A_FSB<9> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & + !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & + fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & + !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & + A_FSB<9> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & + !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & + A_FSB<8> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2; + cnt/LTimer<0>.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 3 | 14 | cnt/LTimer<1> +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 16 | 4 | 17 | 3 | 14 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 3 | 0 | 4 | 12 | 6 | 17 | 6 | 1 +INPUTS | 24 | cnt/LTimer<1> | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | cnt/LTimer<0> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<14> | A_FSB<11> | A_FSB<10> | nWE_FSB | nAS_FSB | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | A_FSB<8> | IONPReady.EXP | cnt/WS<3>.EXP +INPUTMC | 7 | 3 | 14 | 6 | 17 | 4 | 17 | 7 | 15 | 0 | 9 | 3 | 13 | 3 | 15 +INPUTP | 17 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 21 | 18 | 17 | 19 | 15 | 13 | 47 | 54 | 11 +IMPORTS | 2 | 3 | 13 | 3 | 15 +EQ | 48 | + !cnt/LTimer<1>.D = !cnt/LTimer<0> & !cnt/LTimer<1> + # !cnt/LTimer<1> & + !cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + # cnt/LTimer<0> & cnt/LTimer<1> & + cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & + !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & + A_FSB<8> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & + !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & + A_FSB<8> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 +;Imported pterms FB4_14 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & + !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & + A_FSB<9> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & + !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & + fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & + !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & + A_FSB<9> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & + !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & + fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 +;Imported pterms FB4_16 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & + !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & + fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & + !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & + fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2; + cnt/LTimer<1>.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 0 | 8 | cnt/Timer<0> +ATTRIBUTES | 4358976 | 0 +OUTPUTMC | 6 | 0 | 10 | 0 | 8 | 0 | 15 | 0 | 17 | 0 | 11 | 0 | 16 +INPUTS | 4 | RefUrg | cnt/Timer<0> | cnt/Er<0> | cnt/Er<1> +INPUTMC | 4 | 0 | 10 | 0 | 8 | 0 | 4 | 0 | 3 +EQ | 3 | + !cnt/Timer<0>.T = RefUrg & !cnt/Timer<0> & !cnt/Er<0> & cnt/Er<1>; + cnt/Timer<0>.CLK = FCLK; // GCK + cnt/Timer<0>.CE = !cnt/Er<0> & cnt/Er<1>; +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 2 | 0 | iobs/Sent ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 16 | 3 | 16 | 3 | 3 | 7 | 15 | 7 | 10 | 7 | 3 | 3 | 11 | 7 | 17 | 7 | 12 | 3 | 8 | 7 | 8 | 3 | 4 | 3 | 12 | 3 | 15 | 3 | 17 | 7 | 7 | 7 | 9 -INPUTS | 12 | iobs/Sent | nAS_FSB | fsb/ASrf | A_FSB<23> | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<22> | A_FSB<20> | cs/nOverlay | ram/RS_FSM_FFd7.EXP | EXP11_.EXP -INPUTMC | 8 | 3 | 16 | 2 | 1 | 3 | 12 | 7 | 3 | 5 | 13 | 4 | 12 | 3 | 15 | 3 | 17 -INPUTP | 4 | 54 | 36 | 30 | 28 -IMPORTS | 2 | 3 | 15 | 3 | 17 +OUTPUTMC | 16 | 2 | 0 | 7 | 16 | 3 | 12 | 7 | 11 | 7 | 2 | 2 | 14 | 7 | 0 | 7 | 13 | 2 | 15 | 7 | 8 | 2 | 1 | 2 | 13 | 2 | 17 | 7 | 7 | 7 | 9 | 7 | 15 +INPUTS | 12 | iobs/Sent | nAS_FSB | fsb/ASrf | A_FSB<23> | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<22> | A_FSB<20> | A_FSB<21> | ram/RefDone.EXP | EXP11_.EXP +INPUTMC | 7 | 2 | 0 | 3 | 2 | 0 | 6 | 7 | 2 | 5 | 13 | 2 | 1 | 2 | 17 +INPUTP | 5 | 54 | 36 | 30 | 28 | 29 +IMPORTS | 2 | 2 | 1 | 2 | 17 EQ | 32 | iobs/Sent.T = iobs/Sent & nAS_FSB & !fsb/ASrf # A_FSB<23> & !iobs/Sent & !nAS_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 # A_FSB<23> & !iobs/Sent & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 - # A_FSB<22> & A_FSB<20> & !iobs/Sent & !nAS_FSB & - !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 - # A_FSB<22> & !iobs/Sent & !cs/nOverlay & !nAS_FSB & - !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 -;Imported pterms FB4_16 # A_FSB<22> & A_FSB<21> & !iobs/Sent & !nAS_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 - # A_FSB<22> & A_FSB<20> & !iobs/Sent & - !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 - # A_FSB<22> & !iobs/Sent & !cs/nOverlay & - !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 -;Imported pterms FB4_18 + # A_FSB<22> & A_FSB<20> & !iobs/Sent & !nAS_FSB & + !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 +;Imported pterms FB3_2 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & + !iobs/Sent & !nWE_FSB & !nAS_FSB & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & + !iobs/Sent & !nWE_FSB & fsb/ASrf & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + !iobs/Sent & !nWE_FSB & fsb/ASrf & nADoutLE1 +;Imported pterms FB3_18 # A_FSB<22> & A_FSB<21> & !iobs/Sent & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 + # A_FSB<22> & A_FSB<20> & !iobs/Sent & + !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 + # A_FSB<22> & !iobs/Sent & !cs/nOverlay & !nAS_FSB & + !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 + # A_FSB<22> & !iobs/Sent & !cs/nOverlay & + !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & - cs/nOverlay & !nWE_FSB & !nAS_FSB & A_FSB<14> & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & - cs/nOverlay & !nWE_FSB & !nAS_FSB & A_FSB<13> & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & - cs/nOverlay & !nWE_FSB & A_FSB<14> & fsb/ASrf & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & - cs/nOverlay & !nWE_FSB & A_FSB<13> & fsb/ASrf & nADoutLE1; + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + !iobs/Sent & !nWE_FSB & !nAS_FSB & nADoutLE1; iobs/Sent.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 4 | 2 | ram/RAMEN -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 12 | 4 | 2 | 4 | 7 | 4 | 16 | 4 | 9 | 3 | 2 | 3 | 15 | 4 | 6 | 3 | 14 | 4 | 17 | 7 | 6 | 7 | 5 | 4 | 4 -INPUTS | 11 | ram/RS_FSM_FFd7 | ram/RAMEN | ram/Once | nAS_FSB | fsb/ASrf | ram/RS_FSM_FFd8 | ram/RS_FSM_FFd4 | RefUrg | ram/RefDone | nROMCS_OBUF.EXP | ram/RASrr.EXP -INPUTMC | 10 | 3 | 15 | 4 | 2 | 4 | 7 | 2 | 1 | 3 | 2 | 4 | 15 | 6 | 17 | 4 | 16 | 4 | 1 | 4 | 3 -INPUTP | 1 | 54 -IMPORTS | 2 | 4 | 1 | 4 | 3 -EQ | 23 | - !ram/RAMEN.D = ram/RS_FSM_FFd7 - # !ram/RAMEN & ram/Once & !nAS_FSB - # !ram/RAMEN & ram/Once & fsb/ASrf - # !ram/RAMEN & !ram/RS_FSM_FFd8 & !ram/RS_FSM_FFd4 - # RefUrg & !ram/RAMEN & !ram/RefDone & - ram/RS_FSM_FFd8 -;Imported pterms FB5_2 - # RefUrg & !ram/RefDone & nAS_FSB & - ram/RS_FSM_FFd8 & !fsb/ASrf - # A_FSB<23> & !ram/RefDone & RefReq & !nAS_FSB & - ram/RS_FSM_FFd8 & !ram/BACTr - # A_FSB<22> & !ram/RefDone & RefReq & !nAS_FSB & - ram/RS_FSM_FFd8 & !ram/BACTr -;Imported pterms FB5_4 - # A_FSB<23> & !ram/RefDone & RefReq & - ram/RS_FSM_FFd8 & fsb/ASrf & !ram/BACTr - # A_FSB<22> & !ram/RefDone & RefReq & - ram/RS_FSM_FFd8 & fsb/ASrf & !ram/BACTr - # !ram/RefDone & RefReq & !cs/nOverlay & !nAS_FSB & - ram/RS_FSM_FFd8 & !ram/BACTr - # !ram/RefDone & RefReq & !cs/nOverlay & - ram/RS_FSM_FFd8 & fsb/ASrf & !ram/BACTr; - ram/RAMEN.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 0 | 16 | IOBERR +MACROCELL | 0 | 13 | IOBERR ATTRIBUTES | 8553232 | 0 -OUTPUTMC | 4 | 7 | 10 | 5 | 3 | 5 | 16 | 0 | 15 +OUTPUTMC | 4 | 7 | 10 | 5 | 3 | 5 | 16 | 1 | 17 INPUTS | 2 | nBERR_IOB | nAS_IOB INPUTMC | 1 | 5 | 11 INPUTP | 1 | 123 @@ -173,9 +286,9 @@ GLOBALS | 1 | 2 | C8M MACROCELL | 5 | 9 | IODONE ATTRIBUTES | 8553232 | 0 -OUTPUTMC | 5 | 5 | 3 | 5 | 16 | 0 | 15 | 0 | 0 | 5 | 10 +OUTPUTMC | 5 | 5 | 3 | 5 | 16 | 1 | 17 | 1 | 8 | 5 | 10 INPUTS | 12 | nRES.PIN | nDTACK_IOB | nVMA_IOB | iobm/ES<0> | iobm/ES<2> | iobm/ES<1> | iobm/ES<3> | nAS_IOB | iobm/IOS_FSM_FFd7 | iobm/C8Mr | IOU0 | iobm/IORDREQr -INPUTMC | 10 | 5 | 1 | 5 | 4 | 0 | 17 | 5 | 6 | 5 | 5 | 5 | 11 | 5 | 2 | 0 | 9 | 7 | 12 | 0 | 7 +INPUTMC | 10 | 5 | 1 | 5 | 4 | 0 | 14 | 5 | 6 | 5 | 5 | 5 | 11 | 5 | 2 | 1 | 16 | 7 | 13 | 1 | 15 INPUTP | 2 | 145 | 127 EXPORTS | 1 | 5 | 10 EQ | 8 | @@ -189,236 +302,314 @@ EQ | 8 | iobm/IORDREQr GLOBALS | 1 | 2 | C8M -MACROCELL | 6 | 0 | cnt/LTimer<0> -ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 13 | 2 | 15 | 2 | 14 | 2 | 12 | 2 | 11 | 2 | 10 | 2 | 9 | 2 | 7 | 2 | 6 | 2 | 5 | 2 | 4 | 2 | 3 | 2 | 13 | 2 | 2 -INPUTS | 3 | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 3 | 6 | 6 | 0 | 11 | 6 | 3 -EQ | 3 | - cnt/LTimer<0>.T = Vcc; - cnt/LTimer<0>.CLK = FCLK; // GCK - cnt/LTimer<0>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; +MACROCELL | 6 | 16 | cnt/LTimer<10> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 5 | 4 | 0 | 6 | 16 | 6 | 15 | 4 | 12 | 6 | 17 +INPUTS | 35 | cnt/LTimer<10> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | RefUrg | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/LTimer<9> | cnt/Er<0> | cnt/Er<1> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<14> | A_FSB<11> | A_FSB<10> | nWE_FSB | A_FSB<8> | fsb/ASrf | nAS_FSB | A_FSB<9> +INPUTMC | 17 | 6 | 16 | 7 | 15 | 0 | 9 | 0 | 10 | 4 | 17 | 3 | 14 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 0 | 4 | 0 | 3 | 3 | 2 +INPUTP | 18 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 21 | 18 | 17 | 19 | 15 | 13 | 47 | 11 | 54 | 12 +EXPORTS | 1 | 6 | 17 +EQ | 21 | + cnt/LTimer<10>.T = cnt/LTimer<10> & cnt/IS_FSM_FFd1 & + !cnt/IS_FSM_FFd2 + # RefUrg & cnt/LTimer<0> & cnt/LTimer<1> & + cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & + cnt/LTimer<6> & cnt/LTimer<7> & cnt/LTimer<8> & cnt/LTimer<9> & + !cnt/IS_FSM_FFd1 & !cnt/Er<0> & cnt/Er<1> + # RefUrg & cnt/LTimer<0> & cnt/LTimer<1> & + cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & + cnt/LTimer<6> & cnt/LTimer<7> & cnt/LTimer<8> & cnt/LTimer<9> & + cnt/IS_FSM_FFd2 & !cnt/Er<0> & cnt/Er<1>; + cnt/LTimer<10>.CLK = FCLK; // GCK + cnt/LTimer<10>.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & + !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & + fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & + !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & + A_FSB<9> GLOBALS | 1 | 2 | FCLK -MACROCELL | 6 | 9 | cnt/Timer<0> -ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 5 | 6 | 17 | 6 | 9 | 6 | 15 | 6 | 16 | 6 | 6 -INPUTS | 4 | cnt/Timer<0> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 4 | 6 | 9 | 6 | 6 | 0 | 11 | 6 | 3 -EQ | 4 | - !cnt/Timer<0>.T = !cnt/Timer<0> & cnt/TimerTC & !cnt/Er<0> & +MACROCELL | 6 | 14 | cnt/LTimer<2> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 14 | 4 | 17 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 4 | 12 | 6 | 17 | 6 | 1 +INPUTS | 8 | cnt/LTimer<2> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | RefUrg | cnt/LTimer<0> | cnt/LTimer<1> | cnt/Er<0> | cnt/Er<1> +INPUTMC | 8 | 6 | 14 | 7 | 15 | 0 | 9 | 0 | 10 | 4 | 17 | 3 | 14 | 0 | 4 | 0 | 3 +EQ | 7 | + cnt/LTimer<2>.T = cnt/LTimer<2> & cnt/IS_FSM_FFd1 & + !cnt/IS_FSM_FFd2 + # RefUrg & cnt/LTimer<0> & cnt/LTimer<1> & + !cnt/IS_FSM_FFd1 & !cnt/Er<0> & cnt/Er<1> + # RefUrg & cnt/LTimer<0> & cnt/LTimer<1> & + cnt/IS_FSM_FFd2 & !cnt/Er<0> & cnt/Er<1>; + cnt/LTimer<2>.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 6 | 13 | cnt/LTimer<3> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 13 | 4 | 16 | 6 | 16 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 4 | 12 | 6 | 17 | 6 | 1 +INPUTS | 9 | cnt/LTimer<3> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | RefUrg | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/Er<0> | cnt/Er<1> +INPUTMC | 9 | 6 | 13 | 7 | 15 | 0 | 9 | 0 | 10 | 4 | 17 | 3 | 14 | 6 | 14 | 0 | 4 | 0 | 3 +EQ | 7 | + cnt/LTimer<3>.T = cnt/LTimer<3> & cnt/IS_FSM_FFd1 & + !cnt/IS_FSM_FFd2 + # RefUrg & cnt/LTimer<0> & cnt/LTimer<1> & + cnt/LTimer<2> & !cnt/IS_FSM_FFd1 & !cnt/Er<0> & cnt/Er<1> + # RefUrg & cnt/LTimer<0> & cnt/LTimer<1> & + cnt/LTimer<2> & cnt/IS_FSM_FFd2 & !cnt/Er<0> & cnt/Er<1>; + cnt/LTimer<3>.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 6 | 12 | cnt/LTimer<4> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 12 | 4 | 16 | 6 | 16 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 4 | 12 | 6 | 17 | 6 | 1 +INPUTS | 10 | cnt/LTimer<4> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | RefUrg | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/Er<0> | cnt/Er<1> +INPUTMC | 10 | 6 | 12 | 7 | 15 | 0 | 9 | 0 | 10 | 4 | 17 | 3 | 14 | 6 | 14 | 6 | 13 | 0 | 4 | 0 | 3 +EQ | 9 | + cnt/LTimer<4>.T = cnt/LTimer<4> & cnt/IS_FSM_FFd1 & + !cnt/IS_FSM_FFd2 + # RefUrg & cnt/LTimer<0> & cnt/LTimer<1> & + cnt/LTimer<2> & cnt/LTimer<3> & !cnt/IS_FSM_FFd1 & !cnt/Er<0> & + cnt/Er<1> + # RefUrg & cnt/LTimer<0> & cnt/LTimer<1> & + cnt/LTimer<2> & cnt/LTimer<3> & cnt/IS_FSM_FFd2 & !cnt/Er<0> & cnt/Er<1>; - cnt/Timer<0>.CLK = FCLK; // GCK - cnt/Timer<0>.CE = !cnt/Er<0> & cnt/Er<1>; + cnt/LTimer<4>.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 6 | 15 | cnt/Timer<1> +MACROCELL | 6 | 9 | cnt/LTimer<5> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 11 | 4 | 16 | 6 | 16 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 4 | 12 | 6 | 17 | 6 | 1 +INPUTS | 11 | cnt/LTimer<5> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | RefUrg | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/Er<0> | cnt/Er<1> +INPUTMC | 11 | 6 | 9 | 7 | 15 | 0 | 9 | 0 | 10 | 4 | 17 | 3 | 14 | 6 | 14 | 6 | 13 | 6 | 12 | 0 | 4 | 0 | 3 +EQ | 9 | + cnt/LTimer<5>.T = cnt/LTimer<5> & cnt/IS_FSM_FFd1 & + !cnt/IS_FSM_FFd2 + # RefUrg & cnt/LTimer<0> & cnt/LTimer<1> & + cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & !cnt/IS_FSM_FFd1 & + !cnt/Er<0> & cnt/Er<1> + # RefUrg & cnt/LTimer<0> & cnt/LTimer<1> & + cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & cnt/IS_FSM_FFd2 & + !cnt/Er<0> & cnt/Er<1>; + cnt/LTimer<5>.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 6 | 6 | cnt/LTimer<6> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 10 | 4 | 16 | 6 | 16 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 4 | 12 | 6 | 17 | 6 | 1 +INPUTS | 12 | cnt/LTimer<6> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | RefUrg | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/Er<0> | cnt/Er<1> +INPUTMC | 12 | 6 | 6 | 7 | 15 | 0 | 9 | 0 | 10 | 4 | 17 | 3 | 14 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 0 | 4 | 0 | 3 +EQ | 9 | + cnt/LTimer<6>.T = cnt/LTimer<6> & cnt/IS_FSM_FFd1 & + !cnt/IS_FSM_FFd2 + # RefUrg & cnt/LTimer<0> & cnt/LTimer<1> & + cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & + !cnt/IS_FSM_FFd1 & !cnt/Er<0> & cnt/Er<1> + # RefUrg & cnt/LTimer<0> & cnt/LTimer<1> & + cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & + cnt/IS_FSM_FFd2 & !cnt/Er<0> & cnt/Er<1>; + cnt/LTimer<6>.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 6 | 3 | cnt/LTimer<7> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 9 | 4 | 16 | 6 | 16 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 4 | 12 | 6 | 17 | 6 | 1 +INPUTS | 13 | cnt/LTimer<7> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | RefUrg | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/Er<0> | cnt/Er<1> +INPUTMC | 13 | 6 | 3 | 7 | 15 | 0 | 9 | 0 | 10 | 4 | 17 | 3 | 14 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 0 | 4 | 0 | 3 +EQ | 9 | + cnt/LTimer<7>.T = cnt/LTimer<7> & cnt/IS_FSM_FFd1 & + !cnt/IS_FSM_FFd2 + # RefUrg & cnt/LTimer<0> & cnt/LTimer<1> & + cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & + cnt/LTimer<6> & !cnt/IS_FSM_FFd1 & !cnt/Er<0> & cnt/Er<1> + # RefUrg & cnt/LTimer<0> & cnt/LTimer<1> & + cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & + cnt/LTimer<6> & cnt/IS_FSM_FFd2 & !cnt/Er<0> & cnt/Er<1>; + cnt/LTimer<7>.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 6 | 2 | cnt/LTimer<8> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 8 | 4 | 0 | 6 | 16 | 6 | 2 | 6 | 0 | 6 | 15 | 4 | 12 | 6 | 17 | 6 | 1 +INPUTS | 14 | cnt/LTimer<8> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | RefUrg | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/Er<0> | cnt/Er<1> +INPUTMC | 14 | 6 | 2 | 7 | 15 | 0 | 9 | 0 | 10 | 4 | 17 | 3 | 14 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 0 | 4 | 0 | 3 +EQ | 11 | + cnt/LTimer<8>.T = cnt/LTimer<8> & cnt/IS_FSM_FFd1 & + !cnt/IS_FSM_FFd2 + # RefUrg & cnt/LTimer<0> & cnt/LTimer<1> & + cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & + cnt/LTimer<6> & cnt/LTimer<7> & !cnt/IS_FSM_FFd1 & !cnt/Er<0> & + cnt/Er<1> + # RefUrg & cnt/LTimer<0> & cnt/LTimer<1> & + cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & + cnt/LTimer<6> & cnt/LTimer<7> & cnt/IS_FSM_FFd2 & !cnt/Er<0> & + cnt/Er<1>; + cnt/LTimer<8>.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 6 | 0 | cnt/LTimer<9> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 6 | 4 | 0 | 6 | 16 | 6 | 0 | 6 | 15 | 4 | 12 | 6 | 17 +INPUTS | 34 | cnt/LTimer<9> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | RefUrg | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/Er<0> | cnt/Er<1> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<14> | A_FSB<11> | A_FSB<10> | nWE_FSB | A_FSB<9> | fsb/ASrf | A_FSB<8> | RA_1_OBUF.EXP +INPUTMC | 17 | 6 | 0 | 7 | 15 | 0 | 9 | 0 | 10 | 4 | 17 | 3 | 14 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 0 | 4 | 0 | 3 | 3 | 2 | 6 | 1 +INPUTP | 17 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 21 | 18 | 17 | 19 | 15 | 13 | 47 | 12 | 11 +EXPORTS | 1 | 6 | 17 +IMPORTS | 1 | 6 | 1 +EQ | 27 | + cnt/LTimer<9>.T = cnt/LTimer<9> & cnt/IS_FSM_FFd1 & + !cnt/IS_FSM_FFd2 + # RefUrg & cnt/LTimer<0> & cnt/LTimer<1> & + cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & + cnt/LTimer<6> & cnt/LTimer<7> & cnt/LTimer<8> & !cnt/IS_FSM_FFd1 & + !cnt/Er<0> & cnt/Er<1> +;Imported pterms FB7_2 + # RefUrg & cnt/LTimer<0> & cnt/LTimer<1> & + cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & + cnt/LTimer<6> & cnt/LTimer<7> & cnt/LTimer<8> & cnt/IS_FSM_FFd2 & + !cnt/Er<0> & cnt/Er<1>; + cnt/LTimer<9>.CLK = FCLK; // GCK + cnt/LTimer<9>.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & + !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & + fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & + !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & + fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & + !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & + fsb/ASrf +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 0 | 15 | cnt/Timer<1> ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 5 | 6 | 17 | 6 | 15 | 6 | 16 | 6 | 14 | 6 | 6 -INPUTS | 5 | cnt/Timer<0> | cnt/Timer<1> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 5 | 6 | 9 | 6 | 15 | 6 | 6 | 0 | 11 | 6 | 3 +OUTPUTMC | 5 | 0 | 10 | 0 | 15 | 0 | 17 | 0 | 11 | 0 | 16 +INPUTS | 5 | cnt/Timer<0> | cnt/Timer<1> | RefUrg | cnt/Er<0> | cnt/Er<1> +INPUTMC | 5 | 0 | 8 | 0 | 15 | 0 | 10 | 0 | 4 | 0 | 3 EQ | 5 | !cnt/Timer<1>.D = cnt/Timer<0> & cnt/Timer<1> # !cnt/Timer<0> & !cnt/Timer<1> - # cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; + # RefUrg & !cnt/Er<0> & cnt/Er<1>; cnt/Timer<1>.CLK = FCLK; // GCK cnt/Timer<1>.CE = !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 6 | 16 | cnt/Timer<2> +MACROCELL | 0 | 17 | cnt/Timer<2> ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 4 | 6 | 17 | 6 | 16 | 6 | 14 | 6 | 6 -INPUTS | 6 | cnt/Timer<0> | cnt/Timer<2> | cnt/Timer<1> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 6 | 6 | 9 | 6 | 16 | 6 | 15 | 6 | 6 | 0 | 11 | 6 | 3 +OUTPUTMC | 4 | 0 | 10 | 0 | 17 | 0 | 11 | 0 | 16 +INPUTS | 6 | cnt/Timer<0> | cnt/Timer<2> | cnt/Timer<1> | RefUrg | cnt/Er<0> | cnt/Er<1> +INPUTMC | 6 | 0 | 8 | 0 | 17 | 0 | 15 | 0 | 10 | 0 | 4 | 0 | 3 EQ | 6 | !cnt/Timer<2>.D = !cnt/Timer<0> & !cnt/Timer<2> # !cnt/Timer<1> & !cnt/Timer<2> - # cnt/Timer<0> & cnt/Timer<1> & cnt/Timer<2> - # cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; + # RefUrg & !cnt/Er<0> & cnt/Er<1> + # cnt/Timer<0> & cnt/Timer<1> & cnt/Timer<2>; cnt/Timer<2>.CLK = FCLK; // GCK cnt/Timer<2>.CE = !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 4 | 16 | ram/RefDone +MACROCELL | 2 | 11 | ram/RAMEN ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 15 | 4 | 2 | 4 | 16 | 3 | 2 | 4 | 15 | 4 | 6 | 3 | 14 | 4 | 17 | 3 | 1 | 3 | 3 | 3 | 13 | 4 | 0 | 4 | 1 | 4 | 3 | 4 | 5 | 4 | 7 -INPUTS | 13 | ram/RefDone | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd2 | RefUrg | RefReq | A_FSB<23> | A_FSB<22> | ram/RAMEN | cs/nOverlay | nAS_FSB | ram/RS_FSM_FFd8 | fsb/ASrf | ram/BACTr -INPUTMC | 10 | 4 | 16 | 1 | 14 | 1 | 15 | 6 | 17 | 6 | 14 | 4 | 2 | 4 | 12 | 3 | 2 | 2 | 1 | 2 | 0 -INPUTP | 3 | 36 | 30 | 54 -EXPORTS | 1 | 4 | 17 -EQ | 9 | +OUTPUTMC | 9 | 2 | 11 | 7 | 6 | 2 | 9 | 2 | 6 | 2 | 10 | 2 | 2 | 2 | 12 | 2 | 5 | 7 | 5 +INPUTS | 10 | ram/RS_FSM_FFd7 | ram/RAMEN | ram/Once | nAS_FSB | fsb/ASrf | ram/RS_FSM_FFd8 | ram/RS_FSM_FFd4 | ram/RefDone | RefReq | ram/RS_FSM_FFd8.EXP +INPUTMC | 9 | 2 | 2 | 2 | 11 | 2 | 7 | 3 | 2 | 2 | 10 | 0 | 5 | 2 | 1 | 0 | 11 | 2 | 10 +INPUTP | 1 | 54 +IMPORTS | 1 | 2 | 10 +EQ | 10 | + !ram/RAMEN.D = ram/RS_FSM_FFd7 + # !ram/RAMEN & ram/Once & !nAS_FSB + # !ram/RAMEN & ram/Once & fsb/ASrf + # !ram/RAMEN & !ram/RS_FSM_FFd8 & !ram/RS_FSM_FFd4 + # !ram/RefDone & RefReq & nAS_FSB & + ram/RS_FSM_FFd8 & !fsb/ASrf +;Imported pterms FB3_11 + # RefUrg & !ram/RefDone & nAS_FSB & + ram/RS_FSM_FFd8 & !fsb/ASrf; + ram/RAMEN.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 2 | 1 | ram/RefDone +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 8 | 2 | 11 | 2 | 1 | 2 | 10 | 0 | 5 | 2 | 4 | 2 | 9 | 2 | 12 | 2 | 0 +INPUTS | 20 | ram/RefDone | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd2 | RefUrg | RefReq | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<13> | iobs/Sent | nWE_FSB | nAS_FSB | nADoutLE1 | fsb/ASrf | A_FSB<14> +INPUTMC | 8 | 2 | 1 | 1 | 4 | 1 | 5 | 0 | 10 | 0 | 11 | 2 | 0 | 5 | 13 | 3 | 2 +INPUTP | 12 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 18 | 47 | 54 | 19 +EXPORTS | 1 | 2 | 0 +EQ | 12 | !ram/RefDone.D = !RefUrg & !RefReq # !ram/RefDone & !ram/RS_FSM_FFd3 & !ram/RS_FSM_FFd2; ram/RefDone.CLK = FCLK; // GCK - ram/RefDone.EXP = !A_FSB<23> & !A_FSB<22> & ram/RAMEN & cs/nOverlay & - !nAS_FSB & ram/RS_FSM_FFd8 - # !A_FSB<23> & !A_FSB<22> & ram/RAMEN & cs/nOverlay & - ram/RS_FSM_FFd8 & fsb/ASrf - # A_FSB<22> & !ram/RefDone & RefReq & !nAS_FSB & - ram/RS_FSM_FFd8 & !ram/BACTr + ram/RefDone.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & + !iobs/Sent & !nWE_FSB & !nAS_FSB & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & + !iobs/Sent & !nWE_FSB & fsb/ASrf & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + !iobs/Sent & !nWE_FSB & fsb/ASrf & nADoutLE1 GLOBALS | 1 | 2 | FCLK -MACROCELL | 6 | 14 | RefReq +MACROCELL | 0 | 11 | RefReq ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 11 | 4 | 1 | 4 | 16 | 3 | 1 | 4 | 6 | 3 | 14 | 4 | 7 | 3 | 3 | 3 | 13 | 4 | 0 | 4 | 3 | 4 | 5 -INPUTS | 5 | RefUrg | cnt/Timer<1> | cnt/Timer<2> | cnt/Er<0> | cnt/Er<1> -INPUTMC | 5 | 6 | 17 | 6 | 15 | 6 | 16 | 0 | 11 | 6 | 3 -EQ | 3 | - !RefReq.D = !RefUrg & !cnt/Timer<1> & !cnt/Timer<2>; +OUTPUTMC | 6 | 2 | 11 | 2 | 1 | 2 | 10 | 2 | 4 | 2 | 9 | 2 | 12 +INPUTS | 6 | cnt/Timer<0> | cnt/Timer<1> | cnt/Timer<2> | cnt/Timer<3> | cnt/Er<0> | cnt/Er<1> +INPUTMC | 6 | 0 | 8 | 0 | 15 | 0 | 17 | 0 | 16 | 0 | 4 | 0 | 3 +EQ | 4 | + !RefReq.D = !cnt/Timer<0> & cnt/Timer<1> & !cnt/Timer<2> & + cnt/Timer<3>; RefReq.CLK = FCLK; // GCK RefReq.CE = !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 15 | cnt/LTimer<10> -ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 3 | 2 | 14 | 2 | 13 | 2 | 2 -INPUTS | 13 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/LTimer<9> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 13 | 6 | 0 | 2 | 12 | 2 | 11 | 2 | 10 | 2 | 9 | 2 | 7 | 2 | 6 | 2 | 5 | 2 | 4 | 2 | 3 | 6 | 6 | 0 | 11 | 6 | 3 -EQ | 5 | - cnt/LTimer<10>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & - cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & cnt/LTimer<6> & - cnt/LTimer<7> & cnt/LTimer<8> & cnt/LTimer<9>; - cnt/LTimer<10>.CLK = FCLK; // GCK - cnt/LTimer<10>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 2 | 14 | cnt/LTimer<11> -ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 2 | 2 | 13 | 2 | 2 -INPUTS | 14 | cnt/LTimer<0> | cnt/LTimer<10> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/LTimer<9> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 14 | 6 | 0 | 2 | 15 | 2 | 12 | 2 | 11 | 2 | 10 | 2 | 9 | 2 | 7 | 2 | 6 | 2 | 5 | 2 | 4 | 2 | 3 | 6 | 6 | 0 | 11 | 6 | 3 -EQ | 5 | - cnt/LTimer<11>.T = cnt/LTimer<0> & cnt/LTimer<10> & cnt/LTimer<1> & - cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & - cnt/LTimer<6> & cnt/LTimer<7> & cnt/LTimer<8> & cnt/LTimer<9>; - cnt/LTimer<11>.CLK = FCLK; // GCK - cnt/LTimer<11>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 2 | 12 | cnt/LTimer<1> -ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 12 | 2 | 15 | 2 | 14 | 2 | 11 | 2 | 10 | 2 | 9 | 2 | 7 | 2 | 6 | 2 | 5 | 2 | 4 | 2 | 3 | 2 | 13 | 2 | 2 -INPUTS | 4 | cnt/LTimer<0> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 4 | 6 | 0 | 6 | 6 | 0 | 11 | 6 | 3 -EQ | 3 | - cnt/LTimer<1>.T = cnt/LTimer<0>; - cnt/LTimer<1>.CLK = FCLK; // GCK - cnt/LTimer<1>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 2 | 11 | cnt/LTimer<2> -ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 11 | 2 | 15 | 2 | 14 | 2 | 10 | 2 | 9 | 2 | 7 | 2 | 6 | 2 | 5 | 2 | 4 | 2 | 3 | 2 | 13 | 2 | 2 -INPUTS | 5 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 5 | 6 | 0 | 2 | 12 | 6 | 6 | 0 | 11 | 6 | 3 -EQ | 3 | - cnt/LTimer<2>.T = cnt/LTimer<0> & cnt/LTimer<1>; - cnt/LTimer<2>.CLK = FCLK; // GCK - cnt/LTimer<2>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 2 | 10 | cnt/LTimer<3> -ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 10 | 2 | 15 | 2 | 14 | 2 | 9 | 2 | 7 | 2 | 6 | 2 | 5 | 2 | 4 | 2 | 3 | 2 | 13 | 2 | 2 -INPUTS | 6 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 6 | 6 | 0 | 2 | 12 | 2 | 11 | 6 | 6 | 0 | 11 | 6 | 3 -EQ | 3 | - cnt/LTimer<3>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2>; - cnt/LTimer<3>.CLK = FCLK; // GCK - cnt/LTimer<3>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 2 | 9 | cnt/LTimer<4> -ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 10 | 2 | 15 | 2 | 14 | 2 | 7 | 2 | 6 | 2 | 5 | 2 | 4 | 2 | 3 | 2 | 13 | 2 | 2 | 2 | 8 -INPUTS | 18 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay | nWE_FSB | IONPReady | IOPWReady | A_FSB<13> -INPUTMC | 10 | 6 | 0 | 2 | 12 | 2 | 11 | 2 | 10 | 6 | 6 | 0 | 11 | 6 | 3 | 4 | 12 | 7 | 16 | 2 | 17 -INPUTP | 8 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 18 -EXPORTS | 1 | 2 | 8 -EQ | 7 | - cnt/LTimer<4>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & - cnt/LTimer<3>; - cnt/LTimer<4>.CLK = FCLK; // GCK - cnt/LTimer<4>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; - cnt/LTimer<4>.EXP = A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & cs/nOverlay & !nWE_FSB & !IONPReady & - !IOPWReady & A_FSB<13> -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 2 | 7 | cnt/LTimer<5> -ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 9 | 2 | 15 | 2 | 14 | 2 | 6 | 2 | 5 | 2 | 4 | 2 | 3 | 2 | 13 | 2 | 2 | 2 | 8 -INPUTS | 21 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay | nWE_FSB | IONPReady | IOPWReady | A_FSB<14> -INPUTMC | 11 | 6 | 0 | 2 | 12 | 2 | 11 | 2 | 10 | 2 | 9 | 6 | 6 | 0 | 11 | 6 | 3 | 4 | 12 | 7 | 16 | 2 | 17 -INPUTP | 10 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 19 -EXPORTS | 1 | 2 | 8 -EQ | 9 | - cnt/LTimer<5>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & - cnt/LTimer<3> & cnt/LTimer<4>; - cnt/LTimer<5>.CLK = FCLK; // GCK - cnt/LTimer<5>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; - cnt/LTimer<5>.EXP = A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> - # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & cs/nOverlay & !nWE_FSB & !IONPReady & - !IOPWReady & A_FSB<14> -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 2 | 6 | cnt/LTimer<6> -ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 7 | 2 | 15 | 2 | 14 | 2 | 5 | 2 | 4 | 2 | 3 | 2 | 13 | 2 | 2 -INPUTS | 9 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 9 | 6 | 0 | 2 | 12 | 2 | 11 | 2 | 10 | 2 | 9 | 2 | 7 | 6 | 6 | 0 | 11 | 6 | 3 -EQ | 4 | - cnt/LTimer<6>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & - cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5>; - cnt/LTimer<6>.CLK = FCLK; // GCK - cnt/LTimer<6>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 2 | 5 | cnt/LTimer<7> -ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 6 | 2 | 15 | 2 | 14 | 2 | 4 | 2 | 3 | 2 | 13 | 2 | 2 -INPUTS | 10 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 10 | 6 | 0 | 2 | 12 | 2 | 11 | 2 | 10 | 2 | 9 | 2 | 7 | 2 | 6 | 6 | 6 | 0 | 11 | 6 | 3 -EQ | 4 | - cnt/LTimer<7>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & - cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & cnt/LTimer<6>; - cnt/LTimer<7>.CLK = FCLK; // GCK - cnt/LTimer<7>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 2 | 4 | cnt/LTimer<8> -ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 5 | 2 | 15 | 2 | 14 | 2 | 3 | 2 | 13 | 2 | 2 -INPUTS | 11 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 11 | 6 | 0 | 2 | 12 | 2 | 11 | 2 | 10 | 2 | 9 | 2 | 7 | 2 | 6 | 2 | 5 | 6 | 6 | 0 | 11 | 6 | 3 -EQ | 5 | - cnt/LTimer<8>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & - cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & cnt/LTimer<6> & - cnt/LTimer<7>; - cnt/LTimer<8>.CLK = FCLK; // GCK - cnt/LTimer<8>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 2 | 3 | cnt/LTimer<9> -ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 4 | 2 | 15 | 2 | 14 | 2 | 13 | 2 | 2 -INPUTS | 12 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 12 | 6 | 0 | 2 | 12 | 2 | 11 | 2 | 10 | 2 | 9 | 2 | 7 | 2 | 6 | 2 | 5 | 2 | 4 | 6 | 6 | 0 | 11 | 6 | 3 -EQ | 5 | - cnt/LTimer<9>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & - cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & cnt/LTimer<6> & - cnt/LTimer<7> & cnt/LTimer<8>; - cnt/LTimer<9>.CLK = FCLK; // GCK - cnt/LTimer<9>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 4 | 12 | cs/nOverlay +MACROCELL | 6 | 15 | cnt/LTimer<11> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 32 | 3 | 16 | 4 | 0 | 4 | 12 | 3 | 3 | 7 | 16 | 4 | 7 | 7 | 1 | 4 | 9 | 7 | 3 | 3 | 2 | 3 | 15 | 3 | 11 | 7 | 15 | 7 | 11 | 4 | 5 | 3 | 7 | 7 | 7 | 3 | 13 | 4 | 16 | 3 | 17 | 2 | 7 | 4 | 1 | 2 | 9 | 3 | 1 | 3 | 4 | 3 | 12 | 4 | 3 | 4 | 4 | 7 | 4 | 7 | 5 | 7 | 9 | 7 | 14 +OUTPUTMC | 4 | 4 | 0 | 6 | 15 | 4 | 12 | 6 | 17 +INPUTS | 17 | cnt/LTimer<11> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | RefUrg | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<10> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/LTimer<9> | cnt/Er<0> | cnt/Er<1> +INPUTMC | 17 | 6 | 15 | 7 | 15 | 0 | 9 | 0 | 10 | 4 | 17 | 3 | 14 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 0 | 4 | 0 | 3 +EQ | 11 | + cnt/LTimer<11>.T = cnt/LTimer<11> & cnt/IS_FSM_FFd1 & + !cnt/IS_FSM_FFd2 + # RefUrg & cnt/LTimer<0> & cnt/LTimer<1> & + cnt/LTimer<10> & cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & + cnt/LTimer<5> & cnt/LTimer<6> & cnt/LTimer<7> & cnt/LTimer<8> & + cnt/LTimer<9> & !cnt/IS_FSM_FFd1 & !cnt/Er<0> & cnt/Er<1> + # RefUrg & cnt/LTimer<0> & cnt/LTimer<1> & + cnt/LTimer<10> & cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & + cnt/LTimer<5> & cnt/LTimer<6> & cnt/LTimer<7> & cnt/LTimer<8> & + cnt/LTimer<9> & cnt/IS_FSM_FFd2 & !cnt/Er<0> & cnt/Er<1>; + cnt/LTimer<11>.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 0 | 16 | cnt/Timer<3> +ATTRIBUTES | 4358976 | 0 +OUTPUTMC | 3 | 0 | 10 | 0 | 11 | 0 | 16 +INPUTS | 7 | cnt/Timer<0> | cnt/Timer<1> | cnt/Timer<2> | cnt/Er<0> | cnt/Er<1> | RefUrg | cnt/Timer<3> +INPUTMC | 7 | 0 | 8 | 0 | 15 | 0 | 17 | 0 | 4 | 0 | 3 | 0 | 10 | 0 | 16 +EQ | 9 | + cnt/Timer<3>.T = RefUrg & cnt/Timer<3> & !cnt/Er<0> & cnt/Er<1> + # !RefUrg & cnt/Timer<0> & cnt/Timer<1> & + cnt/Timer<2> + # cnt/Timer<0> & cnt/Timer<1> & cnt/Timer<2> & + cnt/Er<0> + # cnt/Timer<0> & cnt/Timer<1> & cnt/Timer<2> & + !cnt/Er<1>; + cnt/Timer<3>.CLK = FCLK; // GCK + cnt/Timer<3>.CE = !cnt/Er<0> & cnt/Er<1>; +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 2 | 3 | cs/nOverlay +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 16 | 4 | 1 | 2 | 3 | 2 | 5 | 2 | 16 | 2 | 6 | 7 | 1 | 2 | 10 | 2 | 2 | 2 | 17 | 7 | 11 | 2 | 9 | 7 | 7 | 2 | 12 | 7 | 4 | 7 | 5 | 7 | 9 INPUTS | 5 | nRES.PIN | cs/nOverlay | nAS_FSB | fsb/ASrf | cs/ODCSr -INPUTMC | 3 | 4 | 12 | 2 | 1 | 3 | 9 +INPUTMC | 3 | 2 | 3 | 3 | 2 | 3 | 9 INPUTP | 2 | 145 | 54 EQ | 4 | cs/nOverlay.T = !nRES.PIN & cs/nOverlay & nAS_FSB & !fsb/ASrf @@ -427,139 +618,100 @@ EQ | 4 | cs/nOverlay.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 13 | cnt/LTimer<12> -ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 1 | 2 | 2 -INPUTS | 15 | cnt/LTimer<0> | cnt/LTimer<10> | cnt/LTimer<11> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/LTimer<9> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 15 | 6 | 0 | 2 | 15 | 2 | 14 | 2 | 12 | 2 | 11 | 2 | 10 | 2 | 9 | 2 | 7 | 2 | 6 | 2 | 5 | 2 | 4 | 2 | 3 | 6 | 6 | 0 | 11 | 6 | 3 -EQ | 6 | - cnt/LTimer<12>.T = cnt/LTimer<0> & cnt/LTimer<10> & cnt/LTimer<11> & - cnt/LTimer<1> & cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & - cnt/LTimer<5> & cnt/LTimer<6> & cnt/LTimer<7> & cnt/LTimer<8> & - cnt/LTimer<9>; - cnt/LTimer<12>.CLK = FCLK; // GCK - cnt/LTimer<12>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 6 | 6 | cnt/TimerTC -ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 20 | 6 | 17 | 6 | 0 | 6 | 9 | 6 | 15 | 6 | 16 | 2 | 15 | 2 | 14 | 2 | 12 | 2 | 11 | 2 | 10 | 2 | 9 | 2 | 7 | 2 | 6 | 2 | 5 | 2 | 4 | 2 | 3 | 2 | 13 | 2 | 2 | 6 | 2 | 6 | 12 -INPUTS | 6 | RefUrg | cnt/Timer<0> | cnt/Timer<1> | cnt/Timer<2> | cnt/Er<0> | cnt/Er<1> -INPUTMC | 6 | 6 | 17 | 6 | 9 | 6 | 15 | 6 | 16 | 0 | 11 | 6 | 3 -EQ | 4 | - cnt/TimerTC.D = RefUrg & cnt/Timer<0> & !cnt/Timer<1> & - !cnt/Timer<2>; - cnt/TimerTC.CLK = FCLK; // GCK - cnt/TimerTC.CE = !cnt/Er<0> & cnt/Er<1>; -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 3 | 3 | iobs/IORW1 +MACROCELL | 7 | 16 | iobs/IORW1 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 5 | 3 | 3 | 3 | 7 | 7 | 8 | 3 | 4 | 3 | 2 -INPUTS | 24 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | iobs/Sent | cs/nOverlay | nWE_FSB | iobs/IORW1 | nAS_FSB | iobs/TS_FSM_FFd2 | A_FSB<14> | nADoutLE1 | A_FSB<13> | iobs/TS_FSM_FFd1 | ram/RefDone | RefReq | ram/RS_FSM_FFd4 | fsb/ASrf | ram/BACTr | nDoutOE_OBUF.EXP -INPUTMC | 12 | 3 | 16 | 4 | 12 | 3 | 3 | 7 | 3 | 5 | 13 | 3 | 12 | 4 | 16 | 6 | 14 | 4 | 15 | 2 | 1 | 2 | 0 | 3 | 4 -INPUTP | 12 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 54 | 19 | 18 -EXPORTS | 1 | 3 | 2 -IMPORTS | 1 | 3 | 4 -EQ | 36 | - iobs/IORW1.T = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & - cs/nOverlay & !nWE_FSB & iobs/IORW1 & !nAS_FSB & - iobs/TS_FSM_FFd1 & A_FSB<14> & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & - cs/nOverlay & !nWE_FSB & iobs/IORW1 & !nAS_FSB & - iobs/TS_FSM_FFd1 & A_FSB<13> & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & - cs/nOverlay & !nWE_FSB & iobs/IORW1 & !nAS_FSB & - iobs/TS_FSM_FFd2 & A_FSB<14> & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & - cs/nOverlay & !nWE_FSB & iobs/IORW1 & !nAS_FSB & - iobs/TS_FSM_FFd2 & A_FSB<13> & nADoutLE1 -;Imported pterms FB4_5 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & - cs/nOverlay & !nWE_FSB & iobs/IORW1 & iobs/TS_FSM_FFd1 & - A_FSB<14> & fsb/ASrf & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & - cs/nOverlay & !nWE_FSB & iobs/IORW1 & iobs/TS_FSM_FFd1 & - A_FSB<13> & fsb/ASrf & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & - cs/nOverlay & !nWE_FSB & iobs/IORW1 & iobs/TS_FSM_FFd2 & - A_FSB<14> & fsb/ASrf & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & - cs/nOverlay & !nWE_FSB & iobs/IORW1 & iobs/TS_FSM_FFd2 & - A_FSB<13> & fsb/ASrf & nADoutLE1; - iobs/IORW1.CLK = FCLK; // GCK - iobs/IORW1.EXP = !ram/RefDone & RefReq & !cs/nOverlay & - !ram/RS_FSM_FFd4 & fsb/ASrf & !ram/BACTr -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 7 | 16 | IONPReady -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 7 | 7 | 16 | 3 | 10 | 2 | 8 | 2 | 7 | 2 | 9 | 7 | 15 | 7 | 17 -INPUTS | 19 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay | nWE_FSB | IONPReady | A_FSB<14> | iobs/IOL1 | IOL0 | nADoutLE1 | nAS_FSB | iobs/TS_FSM_FFd2 | fsb/ASrf | iobs/Clear1.EXP -INPUTMC | 8 | 4 | 12 | 7 | 16 | 0 | 14 | 7 | 17 | 5 | 13 | 7 | 3 | 2 | 1 | 7 | 15 -INPUTP | 11 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 19 | 54 +OUTPUTMC | 5 | 7 | 16 | 7 | 8 | 2 | 16 | 7 | 15 | 7 | 17 +INPUTS | 18 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<14> | iobs/Sent | nWE_FSB | iobs/IORW1 | nAS_FSB | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<13> | iobs/TS_FSM_FFd1 | cnt/IS_FSM_FFd1.EXP +INPUTMC | 6 | 2 | 0 | 7 | 16 | 7 | 2 | 5 | 13 | 0 | 6 | 7 | 15 +INPUTP | 12 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 19 | 47 | 54 | 18 EXPORTS | 1 | 7 | 17 IMPORTS | 1 | 7 | 15 -EQ | 18 | - !IONPReady.D = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & cs/nOverlay & - !nWE_FSB & !IONPReady & A_FSB<14> +EQ | 36 | + iobs/IORW1.T = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & + !iobs/Sent & !nWE_FSB & iobs/IORW1 & !nAS_FSB & + iobs/TS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & + !iobs/Sent & !nWE_FSB & iobs/IORW1 & !nAS_FSB & + iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + !iobs/Sent & !nWE_FSB & iobs/IORW1 & !nAS_FSB & + iobs/TS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + !iobs/Sent & !nWE_FSB & iobs/IORW1 & !nAS_FSB & + iobs/TS_FSM_FFd2 & nADoutLE1 ;Imported pterms FB8_16 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & + !iobs/Sent & !nWE_FSB & iobs/IORW1 & iobs/TS_FSM_FFd1 & + fsb/ASrf & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & + !iobs/Sent & !nWE_FSB & iobs/IORW1 & iobs/TS_FSM_FFd2 & + fsb/ASrf & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + !iobs/Sent & !nWE_FSB & iobs/IORW1 & iobs/TS_FSM_FFd1 & + fsb/ASrf & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + !iobs/Sent & !nWE_FSB & iobs/IORW1 & iobs/TS_FSM_FFd2 & + fsb/ASrf & nADoutLE1; + iobs/IORW1.CLK = FCLK; // GCK + iobs/IORW1.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<13> & !A_FSB<14> & + !iobs/TS_FSM_FFd2 & nADoutLE1 +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 3 | 13 | IONPReady +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 6 | 3 | 13 | 3 | 10 | 2 | 8 | 2 | 7 | 3 | 12 | 3 | 14 +INPUTS | 24 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<14> | nWE_FSB | IONPReady | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | nAS_FSB | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | A_FSB<9> | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | A_FSB<8> | fsb/ASrf | cnt/WS<1>.EXP +INPUTMC | 6 | 3 | 13 | 7 | 15 | 0 | 9 | 6 | 17 | 3 | 2 | 3 | 12 +INPUTP | 18 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 19 | 47 | 21 | 18 | 17 | 15 | 13 | 54 | 12 | 11 +EXPORTS | 1 | 3 | 14 +IMPORTS | 1 | 3 | 12 +EQ | 31 | + !IONPReady.D = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + !nWE_FSB & !IONPReady +;Imported pterms FB4_13 # !iobs/Sent & !IONPReady # !IONPReady & !iobs/IODONEr # nAS_FSB & !fsb/ASrf # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & cs/nOverlay & - !nWE_FSB & !IONPReady & A_FSB<13>; + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & + !nWE_FSB & !IONPReady; IONPReady.CLK = FCLK; // GCK - IONPReady.EXP = !iobs/IOL1 & !IOL0 & !nADoutLE1 - # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & - nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay & - !iobs/TS_FSM_FFd2 & nADoutLE1 + IONPReady.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & + !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & + A_FSB<9> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & + !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & + fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & + !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & + A_FSB<9> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & + !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & + fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 17 | IOPWReady -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 2 | 17 | 2 | 7 | 2 | 9 -INPUTS | 5 | IOPWReady | iobs/Clear1 | nADoutLE1 | nAS_FSB | fsb/ASrf -INPUTMC | 4 | 2 | 17 | 7 | 15 | 5 | 13 | 2 | 1 -INPUTP | 1 | 54 -EQ | 3 | - !IOPWReady.D = nAS_FSB & !fsb/ASrf - # !IOPWReady & !iobs/Clear1 & !nADoutLE1; - IOPWReady.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 2 | 2 | cnt/LTimerTC +MACROCELL | 3 | 8 | iobs/IOL1 ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 2 | 6 | 2 | 6 | 12 -INPUTS | 16 | cnt/LTimer<0> | cnt/LTimer<10> | cnt/LTimer<11> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/LTimer<9> | cnt/LTimer<12> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 16 | 6 | 0 | 2 | 15 | 2 | 14 | 2 | 12 | 2 | 11 | 2 | 10 | 2 | 9 | 2 | 7 | 2 | 6 | 2 | 5 | 2 | 4 | 2 | 3 | 2 | 13 | 6 | 6 | 0 | 11 | 6 | 3 -EQ | 6 | - cnt/LTimerTC.D = !cnt/LTimer<0> & cnt/LTimer<10> & cnt/LTimer<11> & - cnt/LTimer<1> & cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & - cnt/LTimer<5> & cnt/LTimer<6> & cnt/LTimer<7> & cnt/LTimer<8> & - cnt/LTimer<9> & cnt/LTimer<12>; - cnt/LTimerTC.CLK = FCLK; // GCK - cnt/LTimerTC.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 0 | 14 | iobs/IOL1 -ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 2 | 7 | 17 | 7 | 16 +OUTPUTMC | 2 | 7 | 0 | 7 | 17 INPUTS | 2 | nLDS_FSB | iobs/Load1 -INPUTMC | 1 | 3 | 11 +INPUTMC | 1 | 2 | 14 INPUTP | 1 | 49 EQ | 3 | iobs/IOL1.D = !nLDS_FSB; @@ -567,11 +719,11 @@ EQ | 3 | iobs/IOL1.CE = iobs/Load1; GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 13 | iobs/IOU1 +MACROCELL | 0 | 7 | iobs/IOU1 ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 2 | 7 | 12 | 7 | 11 +OUTPUTMC | 2 | 7 | 13 | 7 | 12 INPUTS | 2 | nUDS_FSB | iobs/Load1 -INPUTMC | 1 | 3 | 11 +INPUTMC | 1 | 2 | 14 INPUTP | 1 | 56 EQ | 3 | iobs/IOU1.D = !nUDS_FSB; @@ -579,57 +731,67 @@ EQ | 3 | iobs/IOU1.CE = iobs/Load1; GLOBALS | 1 | 2 | FCLK -MACROCELL | 4 | 7 | ram/Once +MACROCELL | 2 | 7 | ram/Once ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 3 | 4 | 2 | 4 | 7 | 4 | 6 -INPUTS | 11 | ram/Once | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | ram/RAMEN | cs/nOverlay | ram/RS_FSM_FFd8 | ram/RefDone | RefReq | ram/BACTr -INPUTMC | 8 | 4 | 7 | 2 | 1 | 4 | 2 | 4 | 12 | 3 | 2 | 4 | 16 | 6 | 14 | 2 | 0 -INPUTP | 3 | 54 | 36 | 30 -EXPORTS | 1 | 4 | 6 -EQ | 8 | +OUTPUTMC | 4 | 2 | 11 | 2 | 7 | 2 | 6 | 2 | 8 +INPUTS | 18 | ram/Once | nAS_FSB | fsb/ASrf | A_FSB<22> | IONPReady | RAMReady | A_FSB<23> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<13> | nWE_FSB | IOPWReady | A_FSB<14> | ram/RASEL.EXP +INPUTMC | 6 | 2 | 7 | 3 | 2 | 3 | 13 | 2 | 9 | 0 | 12 | 2 | 6 +INPUTP | 12 | 54 | 30 | 36 | 29 | 28 | 26 | 24 | 23 | 22 | 18 | 47 | 19 +EXPORTS | 1 | 2 | 8 +IMPORTS | 1 | 2 | 6 +EQ | 16 | ram/Once.T = ram/Once & nAS_FSB & !fsb/ASrf +;Imported pterms FB3_7 # !A_FSB<23> & !A_FSB<22> & ram/RAMEN & cs/nOverlay & !ram/Once & !nAS_FSB & ram/RS_FSM_FFd8 # !A_FSB<23> & !A_FSB<22> & ram/RAMEN & cs/nOverlay & !ram/Once & ram/RS_FSM_FFd8 & fsb/ASrf; ram/Once.CLK = FCLK; // GCK - ram/Once.EXP = !ram/RefDone & RefReq & !cs/nOverlay & - ram/RS_FSM_FFd8 & fsb/ASrf & !ram/BACTr + ram/Once.EXP = !A_FSB<22> & !IONPReady & !RAMReady + # A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> + # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & A_FSB<13> & !nWE_FSB & !IONPReady & + !IOPWReady + # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & A_FSB<14> & !nWE_FSB & !IONPReady & + !IOPWReady GLOBALS | 1 | 2 | FCLK MACROCELL | 7 | 11 | nBERR_FSB_OBUF ATTRIBUTES | 8815362 | 0 -OUTPUTMC | 2 | 7 | 10 | 7 | 12 -INPUTS | 11 | nAS_FSB | fsb/ASrf | iobs/IOU1 | IOU0 | nADoutLE1 | iobs/TS_FSM_FFd2 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay | EXP17_.EXP -INPUTMC | 7 | 2 | 1 | 0 | 13 | 7 | 12 | 5 | 13 | 7 | 3 | 4 | 12 | 7 | 10 -INPUTP | 4 | 54 | 36 | 30 | 29 +OUTPUTMC | 3 | 7 | 11 | 7 | 10 | 7 | 12 +INPUTS | 15 | nAS_FSB | fsb/ASrf | iobs/Sent | nBERR_FSB | A_FSB<23> | A_FSB<22> | A_FSB<19> | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<13> | A_FSB<14> | A_FSB<21> | A_FSB<20> | cs/nOverlay | EXP18_.EXP +INPUTMC | 7 | 3 | 2 | 2 | 0 | 7 | 11 | 7 | 2 | 5 | 13 | 2 | 3 | 7 | 10 +INPUTP | 8 | 54 | 36 | 30 | 26 | 18 | 19 | 29 | 28 EXPORTS | 1 | 7 | 12 IMPORTS | 1 | 7 | 10 -EQ | 12 | - nBERR_FSB.D = nAS_FSB & !fsb/ASrf +EQ | 11 | + nBERR_FSB.D = !iobs/Sent & nBERR_FSB + # nAS_FSB & !fsb/ASrf ;Imported pterms FB8_11 - # !iobs/Sent & nBERR_FSB # !IOBERR & nBERR_FSB; nBERR_FSB.CLK = FCLK; // GCK - nBERR_FSB_OBUF.EXP = !iobs/IOU1 & !IOU0 & !nADoutLE1 - # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & - nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & + nBERR_FSB_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<19> & !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay & + # !A_FSB<23> & !A_FSB<22> & !A_FSB<13> & !A_FSB<14> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & !iobs/TS_FSM_FFd2 & nADoutLE1 GLOBALS | 1 | 2 | FCLK MACROCELL | 3 | 10 | nVPA_FSB_OBUF ATTRIBUTES | 8684418 | 0 -INPUTS | 9 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | IONPReady | nAS_FSB | fsb/ASrf -INPUTMC | 2 | 7 | 16 | 2 | 1 -INPUTP | 7 | 36 | 30 | 29 | 28 | 26 | 24 | 54 -EQ | 6 | +INPUTS | 12 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | IONPReady | nAS_FSB | QoSReady | fsb/ASrf +INPUTMC | 3 | 3 | 13 | 3 | 0 | 3 | 2 +INPUTP | 9 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 54 +EQ | 8 | !nVPA_FSB.D = A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & IONPReady & !nAS_FSB + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & IONPReady & + !nAS_FSB & QoSReady # A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & IONPReady & fsb/ASrf; + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & IONPReady & + QoSReady & fsb/ASrf; nVPA_FSB.CLK = FCLK; // GCK nVPA_FSB.AP = nAS_FSB; GLOBALS | 1 | 2 | FCLK @@ -637,139 +799,132 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 7 | 4 | nRAS_OBUF ATTRIBUTES | 264962 | 0 OUTPUTMC | 1 | 7 | 3 -INPUTS | 12 | ram/RASrf | A_FSB<23> | A_FSB<22> | A_FSB<20> | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<17> | A_FSB<14> | A_FSB<13> | A_FSB<21> | cs/nOverlay | nRAMLWE_OBUF.EXP -INPUTMC | 5 | 1 | 17 | 7 | 3 | 5 | 13 | 4 | 12 | 7 | 5 -INPUTP | 7 | 36 | 30 | 28 | 23 | 19 | 18 | 29 +INPUTS | 13 | A_FSB<23> | A_FSB<22> | A_FSB<19> | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<18> | A_FSB<17> | A_FSB<13> | A_FSB<14> | A_FSB<21> | A_FSB<20> | cs/nOverlay | nRAMLWE_OBUF.EXP +INPUTMC | 4 | 7 | 2 | 5 | 13 | 2 | 3 | 7 | 5 +INPUTP | 9 | 36 | 30 | 26 | 24 | 23 | 18 | 19 | 29 | 28 EXPORTS | 1 | 7 | 3 IMPORTS | 1 | 7 | 5 -EQ | 13 | - !nRAS = ram/RASrf -;Imported pterms FB8_6 +EQ | 15 | + !nRAS = ;Imported pterms FB8_6 + ram/RASrf # ram/RASrr # !A_FSB<23> & !A_FSB<22> & ram/RAMEN & cs/nOverlay & !nAS_FSB; - nRAS_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<20> & + nRAS_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<19> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<18> & !iobs/TS_FSM_FFd2 & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & !A_FSB<17> & !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !iobs/TS_FSM_FFd2 & - !A_FSB<14> & !A_FSB<13> & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<13> & !A_FSB<14> & + !iobs/TS_FSM_FFd2 & nADoutLE1 # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & !iobs/TS_FSM_FFd2 & nADoutLE1 MACROCELL | 7 | 14 | nBR_IOB_OBUF ATTRIBUTES | 4621058 | 0 -OUTPUTMC | 3 | 7 | 14 | 3 | 0 | 7 | 13 -INPUTS | 13 | nBR_IOB | cnt/INITS_FSM_FFd1 | cnt/INITS_FSM_FFd2 | cnt/nIPL2r | A_FSB<23> | A_FSB<22> | A_FSB<20> | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<14> | A_FSB<13> | A_FSB<21> | cs/nOverlay -INPUTMC | 7 | 7 | 14 | 6 | 2 | 6 | 12 | 0 | 10 | 7 | 3 | 5 | 13 | 4 | 12 -INPUTP | 6 | 36 | 30 | 28 | 19 | 18 | 29 +OUTPUTMC | 3 | 7 | 14 | 3 | 2 | 7 | 13 +INPUTS | 11 | nBR_IOB | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/nIPL2r | A_FSB<23> | A_FSB<22> | A_FSB<18> | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<17> | A_FSB<16> +INPUTMC | 6 | 7 | 14 | 7 | 15 | 0 | 9 | 0 | 2 | 7 | 2 | 5 | 13 +INPUTP | 5 | 36 | 30 | 24 | 23 | 22 EXPORTS | 1 | 7 | 13 -EQ | 11 | - nBR_IOB.T = nBR_IOB & !cnt/INITS_FSM_FFd1 & - !cnt/INITS_FSM_FFd2 - # !nBR_IOB & !cnt/INITS_FSM_FFd1 & - cnt/INITS_FSM_FFd2 & !cnt/nIPL2r; +EQ | 10 | + nBR_IOB.T = nBR_IOB & !cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 + # !nBR_IOB & !cnt/IS_FSM_FFd1 & cnt/IS_FSM_FFd2 & + !cnt/nIPL2r; nBR_IOB.CLK = FCLK; // GCK - nBR_IOB_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<20> & + nBR_IOB_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<18> & !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !iobs/TS_FSM_FFd2 & - !A_FSB<14> & !A_FSB<13> & nADoutLE1 - # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & + # !A_FSB<23> & !A_FSB<22> & !A_FSB<17> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<16> & !iobs/TS_FSM_FFd2 & nADoutLE1 GLOBALS | 1 | 2 | FCLK MACROCELL | 4 | 10 | RA_11_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 3 | A_FSB<19> | ram/RASEL | A_FSB<20> -INPUTMC | 1 | 4 | 9 +INPUTMC | 1 | 2 | 6 INPUTP | 2 | 26 | 28 EQ | 2 | RA<3> = A_FSB<20> & ram/RASEL # A_FSB<19> & !ram/RASEL; -MACROCELL | 4 | 9 | ram/RASEL +MACROCELL | 2 | 6 | ram/RASEL ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 12 | 4 | 10 | 6 | 5 | 6 | 8 | 6 | 1 | 4 | 13 | 4 | 8 | 4 | 11 | 4 | 14 | 6 | 4 | 6 | 7 | 6 | 10 | 7 | 1 -INPUTS | 8 | ram/RS_FSM_FFd7 | A_FSB<23> | A_FSB<22> | ram/RAMEN | cs/nOverlay | nAS_FSB | ram/RS_FSM_FFd8 | fsb/ASrf -INPUTMC | 5 | 3 | 15 | 4 | 2 | 4 | 12 | 3 | 2 | 2 | 1 +OUTPUTMC | 13 | 4 | 10 | 6 | 5 | 6 | 8 | 6 | 1 | 4 | 13 | 4 | 8 | 4 | 11 | 4 | 14 | 6 | 4 | 6 | 7 | 6 | 10 | 7 | 2 | 2 | 7 +INPUTS | 9 | ram/RS_FSM_FFd7 | A_FSB<23> | A_FSB<22> | ram/RAMEN | cs/nOverlay | nAS_FSB | ram/RS_FSM_FFd8 | fsb/ASrf | ram/Once +INPUTMC | 6 | 2 | 2 | 2 | 11 | 2 | 3 | 2 | 10 | 3 | 2 | 2 | 7 INPUTP | 3 | 36 | 30 | 54 -EQ | 6 | +EXPORTS | 1 | 2 | 7 +EQ | 10 | ram/RASEL.D = ram/RS_FSM_FFd7 # !A_FSB<23> & !A_FSB<22> & ram/RAMEN & cs/nOverlay & !nAS_FSB & ram/RS_FSM_FFd8 # !A_FSB<23> & !A_FSB<22> & ram/RAMEN & cs/nOverlay & ram/RS_FSM_FFd8 & fsb/ASrf; ram/RASEL.CLK = FCLK; // GCK + ram/RASEL.EXP = !A_FSB<23> & !A_FSB<22> & ram/RAMEN & cs/nOverlay & + !ram/Once & !nAS_FSB & ram/RS_FSM_FFd8 + # !A_FSB<23> & !A_FSB<22> & ram/RAMEN & cs/nOverlay & + !ram/Once & ram/RS_FSM_FFd8 & fsb/ASrf GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 12 | iobs/TS_FSM_FFd1 +MACROCELL | 0 | 6 | iobs/TS_FSM_FFd1 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 15 | 3 | 16 | 3 | 3 | 3 | 12 | 7 | 3 | 3 | 11 | 7 | 17 | 7 | 12 | 3 | 8 | 7 | 8 | 7 | 15 | 3 | 4 | 3 | 15 | 3 | 17 | 7 | 7 | 7 | 9 -INPUTS | 18 | iobs/TS_FSM_FFd2 | iobs/TS_FSM_FFd1 | iobs/IOACTr | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | iobs/Sent | cs/nOverlay | nWE_FSB | A_FSB<14> | fsb/ASrf | nADoutLE1 | A_FSB<13> -INPUTMC | 7 | 7 | 3 | 3 | 12 | 0 | 1 | 3 | 16 | 4 | 12 | 2 | 1 | 5 | 13 -INPUTP | 11 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 19 | 18 -EXPORTS | 1 | 3 | 11 -EQ | 15 | +OUTPUTMC | 15 | 2 | 0 | 7 | 16 | 0 | 6 | 7 | 2 | 2 | 14 | 7 | 0 | 7 | 13 | 2 | 15 | 7 | 8 | 0 | 0 | 2 | 13 | 2 | 17 | 7 | 7 | 7 | 9 | 7 | 15 +INPUTS | 3 | iobs/TS_FSM_FFd2 | iobs/TS_FSM_FFd1 | iobs/IOACTr +INPUTMC | 3 | 7 | 2 | 0 | 6 | 1 | 9 +EQ | 3 | iobs/TS_FSM_FFd1.D = iobs/TS_FSM_FFd2 # iobs/TS_FSM_FFd1 & iobs/IOACTr; iobs/TS_FSM_FFd1.CLK = FCLK; // GCK - iobs/TS_FSM_FFd1.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & - cs/nOverlay & !nWE_FSB & iobs/TS_FSM_FFd1 & A_FSB<14> & - fsb/ASrf & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & - cs/nOverlay & !nWE_FSB & iobs/TS_FSM_FFd1 & A_FSB<13> & - fsb/ASrf & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & - cs/nOverlay & !nWE_FSB & iobs/TS_FSM_FFd2 & A_FSB<13> & - fsb/ASrf & nADoutLE1 GLOBALS | 1 | 2 | FCLK -MACROCELL | 7 | 3 | iobs/TS_FSM_FFd2 +MACROCELL | 7 | 2 | iobs/TS_FSM_FFd2 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 25 | 3 | 16 | 3 | 3 | 3 | 12 | 7 | 3 | 3 | 11 | 7 | 17 | 7 | 12 | 3 | 8 | 7 | 8 | 7 | 15 | 0 | 12 | 3 | 4 | 3 | 7 | 3 | 15 | 3 | 17 | 7 | 0 | 7 | 1 | 7 | 2 | 7 | 4 | 7 | 7 | 7 | 9 | 7 | 11 | 7 | 13 | 7 | 14 | 7 | 16 -INPUTS | 12 | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | iobs/IOACTr | iobs/Sent | nADoutLE1 | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | cs/nOverlay | EXP14_.EXP | nRAS_OBUF.EXP -INPUTMC | 9 | 3 | 12 | 7 | 3 | 0 | 1 | 3 | 16 | 5 | 13 | 2 | 1 | 4 | 12 | 7 | 2 | 7 | 4 -INPUTP | 3 | 54 | 36 | 30 -IMPORTS | 2 | 7 | 2 | 7 | 4 +OUTPUTMC | 24 | 2 | 0 | 7 | 16 | 0 | 6 | 7 | 2 | 2 | 14 | 7 | 0 | 7 | 13 | 2 | 15 | 7 | 8 | 0 | 0 | 4 | 15 | 2 | 13 | 2 | 16 | 2 | 17 | 7 | 1 | 7 | 3 | 7 | 4 | 7 | 7 | 7 | 9 | 7 | 11 | 7 | 12 | 7 | 14 | 7 | 15 | 7 | 17 +INPUTS | 9 | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | iobs/IOACTr | iobs/Sent | nADoutLE1 | A_FSB<20> | ram/RASEL | A_FSB<19> | EXP15_.EXP +INPUTMC | 7 | 0 | 6 | 7 | 2 | 1 | 9 | 2 | 0 | 5 | 13 | 2 | 6 | 7 | 3 +INPUTP | 2 | 28 | 26 +EXPORTS | 1 | 7 | 1 +IMPORTS | 1 | 7 | 3 EQ | 28 | !iobs/TS_FSM_FFd2.D = iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 # iobs/TS_FSM_FFd1 & iobs/IOACTr # iobs/Sent & !iobs/TS_FSM_FFd2 & nADoutLE1 +;Imported pterms FB8_4 # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay & - !iobs/TS_FSM_FFd2 & nADoutLE1 -;Imported pterms FB8_3 # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<19> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<18> & + # !A_FSB<23> & !A_FSB<22> & !A_FSB<20> & !iobs/TS_FSM_FFd2 & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & !A_FSB<16> & !iobs/TS_FSM_FFd2 & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & nWE_FSB & !iobs/TS_FSM_FFd2 & nADoutLE1 ;Imported pterms FB8_5 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<20> & + # !A_FSB<23> & !A_FSB<22> & !A_FSB<19> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<18> & !iobs/TS_FSM_FFd2 & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & !A_FSB<17> & !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !iobs/TS_FSM_FFd2 & - !A_FSB<14> & !A_FSB<13> & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<13> & !A_FSB<14> & + !iobs/TS_FSM_FFd2 & nADoutLE1 # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & !iobs/TS_FSM_FFd2 & nADoutLE1; iobs/TS_FSM_FFd2.CLK = FCLK; // GCK + iobs/TS_FSM_FFd2.EXP = A_FSB<20> & ram/RASEL + # A_FSB<19> & !ram/RASEL GLOBALS | 1 | 2 | FCLK MACROCELL | 5 | 2 | iobm/IOS_FSM_FFd7 ATTRIBUTES | 8553220 | 0 OUTPUTMC | 9 | 5 | 11 | 5 | 12 | 5 | 9 | 5 | 2 | 5 | 0 | 5 | 17 | 5 | 15 | 5 | 7 | 5 | 16 INPUTS | 6 | iobm/IOS_FSM_FFd7 | iobm/IOS_FSM_FFd1 | iobm/C8Mr | iobm/IORDREQr | nAoutOE | iobm/IOWRREQr -INPUTMC | 6 | 5 | 2 | 0 | 6 | 0 | 9 | 0 | 7 | 3 | 1 | 0 | 3 +INPUTMC | 6 | 5 | 2 | 1 | 14 | 1 | 16 | 1 | 15 | 3 | 1 | 1 | 11 EQ | 6 | !iobm/IOS_FSM_FFd7.D = !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd1 # !iobm/C8Mr & !iobm/IOS_FSM_FFd1 & iobm/IORDREQr & @@ -781,9 +936,9 @@ GLOBALS | 1 | 2 | C16M MACROCELL | 5 | 3 | iobm/IOS_FSM_FFd3 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 10 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 3 | 5 | 17 | 0 | 15 | 5 | 15 | 5 | 7 | 5 | 12 | 5 | 16 +OUTPUTMC | 10 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 3 | 5 | 17 | 1 | 17 | 5 | 15 | 5 | 7 | 5 | 12 | 5 | 16 INPUTS | 5 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | iobm/C8Mr | IOBERR | IODONE -INPUTMC | 5 | 0 | 5 | 5 | 3 | 0 | 9 | 0 | 16 | 5 | 9 +INPUTMC | 5 | 1 | 13 | 5 | 3 | 1 | 16 | 0 | 13 | 5 | 9 EQ | 4 | iobm/IOS_FSM_FFd3.D = iobm/IOS_FSM_FFd4 # iobm/IOS_FSM_FFd3 & iobm/C8Mr @@ -791,9 +946,9 @@ EQ | 4 | iobm/IOS_FSM_FFd3.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 0 | 9 | iobm/C8Mr +MACROCELL | 1 | 16 | iobm/C8Mr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 9 | 5 | 11 | 5 | 12 | 5 | 9 | 5 | 2 | 5 | 3 | 5 | 0 | 5 | 17 | 0 | 15 | 5 | 7 +OUTPUTMC | 9 | 5 | 11 | 5 | 12 | 5 | 9 | 5 | 2 | 5 | 3 | 5 | 0 | 5 | 17 | 1 | 17 | 5 | 7 INPUTS | 1 | C8M INPUTP | 1 | 35 EQ | 2 | @@ -801,45 +956,11 @@ EQ | 2 | iobm/C8Mr.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 3 | 2 | ram/RS_FSM_FFd8 -ATTRIBUTES | 8553220 | 0 -OUTPUTMC | 14 | 4 | 2 | 4 | 7 | 4 | 9 | 3 | 2 | 3 | 15 | 4 | 6 | 3 | 14 | 4 | 17 | 4 | 1 | 4 | 0 | 4 | 3 | 4 | 4 | 4 | 5 | 4 | 16 -INPUTS | 12 | ram/RS_FSM_FFd8 | ram/RS_FSM_FFd4 | RefUrg | ram/RAMEN | ram/RefDone | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | cs/nOverlay | nAoutOE_OBUF.EXP | iobs/IORW1.EXP -INPUTMC | 9 | 3 | 2 | 4 | 15 | 6 | 17 | 4 | 2 | 4 | 16 | 2 | 1 | 4 | 12 | 3 | 1 | 3 | 3 -INPUTP | 3 | 54 | 36 | 30 -IMPORTS | 2 | 3 | 1 | 3 | 3 -EQ | 24 | - !ram/RS_FSM_FFd8.D = !ram/RS_FSM_FFd8 & !ram/RS_FSM_FFd4 - # RefUrg & !ram/RAMEN & !ram/RefDone & - !ram/RS_FSM_FFd4 - # RefUrg & !ram/RefDone & nAS_FSB & - !ram/RS_FSM_FFd4 & !fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & ram/RAMEN & cs/nOverlay & - !nAS_FSB & !ram/RS_FSM_FFd4 - # !A_FSB<23> & !A_FSB<22> & ram/RAMEN & cs/nOverlay & - !ram/RS_FSM_FFd4 & fsb/ASrf -;Imported pterms FB4_2 - # A_FSB<23> & !ram/RefDone & RefReq & !nAS_FSB & - !ram/RS_FSM_FFd4 & !ram/BACTr - # A_FSB<23> & !ram/RefDone & RefReq & - !ram/RS_FSM_FFd4 & fsb/ASrf & !ram/BACTr - # A_FSB<22> & !ram/RefDone & RefReq & !nAS_FSB & - !ram/RS_FSM_FFd4 & !ram/BACTr - # A_FSB<22> & !ram/RefDone & RefReq & - !ram/RS_FSM_FFd4 & fsb/ASrf & !ram/BACTr - # !ram/RefDone & RefReq & !cs/nOverlay & !nAS_FSB & - !ram/RS_FSM_FFd4 & !ram/BACTr -;Imported pterms FB4_4 - # !ram/RefDone & RefReq & !cs/nOverlay & - !ram/RS_FSM_FFd4 & fsb/ASrf & !ram/BACTr; - ram/RS_FSM_FFd8.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - MACROCELL | 5 | 4 | iobm/ES<0> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 6 | 5 | 1 | 5 | 9 | 5 | 4 | 0 | 17 | 5 | 6 | 5 | 5 +OUTPUTMC | 6 | 5 | 1 | 5 | 9 | 5 | 4 | 0 | 14 | 5 | 6 | 5 | 5 INPUTS | 6 | iobm/ES<0> | E | iobm/Er | iobm/ES<2> | iobm/ES<1> | iobm/ES<3> -INPUTMC | 5 | 5 | 4 | 0 | 8 | 0 | 17 | 5 | 6 | 5 | 5 +INPUTMC | 5 | 5 | 4 | 0 | 1 | 0 | 14 | 5 | 6 | 5 | 5 INPUTP | 1 | 37 EQ | 6 | !iobm/ES<0>.T = iobm/ES<0> & !E & iobm/Er @@ -850,21 +971,45 @@ EQ | 6 | !iobm/ES<0>.CLK = C8M; // GCK GLOBALS | 1 | 2 | C8M -MACROCELL | 0 | 5 | iobm/IOS_FSM_FFd4 +MACROCELL | 1 | 13 | iobm/IOS_FSM_FFd4 ATTRIBUTES | 8553216 | 0 OUTPUTMC | 9 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 3 | 5 | 17 | 5 | 15 | 5 | 7 | 5 | 12 | 5 | 16 INPUTS | 1 | iobm/IOS_FSM_FFd5 -INPUTMC | 1 | 0 | 4 +INPUTMC | 1 | 1 | 12 EQ | 2 | iobm/IOS_FSM_FFd4.D = iobm/IOS_FSM_FFd5; iobm/IOS_FSM_FFd4.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 0 | 17 | iobm/ES<2> +MACROCELL | 2 | 10 | ram/RS_FSM_FFd8 +ATTRIBUTES | 8553220 | 0 +OUTPUTMC | 8 | 2 | 11 | 2 | 5 | 2 | 6 | 2 | 10 | 2 | 2 | 2 | 4 | 2 | 9 | 2 | 12 +INPUTS | 12 | ram/RS_FSM_FFd8 | ram/RS_FSM_FFd4 | ram/RefDone | RefReq | nAS_FSB | fsb/ASrf | RefUrg | A_FSB<23> | A_FSB<22> | ram/RAMEN | cs/nOverlay | RAMReady.EXP +INPUTMC | 9 | 2 | 10 | 0 | 5 | 2 | 1 | 0 | 11 | 3 | 2 | 0 | 10 | 2 | 11 | 2 | 3 | 2 | 9 +INPUTP | 3 | 54 | 36 | 30 +EXPORTS | 1 | 2 | 11 +IMPORTS | 1 | 2 | 9 +EQ | 13 | + !ram/RS_FSM_FFd8.D = !ram/RS_FSM_FFd8 & !ram/RS_FSM_FFd4 + # RefUrg & !ram/RefDone & nAS_FSB & + !ram/RS_FSM_FFd4 & !fsb/ASrf + # !ram/RefDone & RefReq & nAS_FSB & + !ram/RS_FSM_FFd4 & !fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & ram/RAMEN & cs/nOverlay & + !nAS_FSB & !ram/RS_FSM_FFd4 +;Imported pterms FB3_10 + # !A_FSB<23> & !A_FSB<22> & ram/RAMEN & cs/nOverlay & + !ram/RS_FSM_FFd4 & fsb/ASrf; + ram/RS_FSM_FFd8.CLK = FCLK; // GCK + ram/RS_FSM_FFd8.EXP = RefUrg & !ram/RefDone & nAS_FSB & + ram/RS_FSM_FFd8 & !fsb/ASrf +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 0 | 14 | iobm/ES<2> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 6 | 5 | 1 | 5 | 9 | 5 | 4 | 0 | 17 | 5 | 6 | 5 | 5 +OUTPUTMC | 6 | 5 | 1 | 5 | 9 | 5 | 4 | 0 | 14 | 5 | 6 | 5 | 5 INPUTS | 5 | iobm/ES<0> | iobm/ES<1> | iobm/Er | E | iobm/ES<2> -INPUTMC | 4 | 5 | 4 | 5 | 6 | 0 | 8 | 0 | 17 +INPUTMC | 4 | 5 | 4 | 5 | 6 | 0 | 1 | 0 | 14 INPUTP | 1 | 37 EQ | 4 | iobm/ES<2>.T = iobm/ES<0> & iobm/ES<1> & E @@ -873,9 +1018,9 @@ EQ | 4 | !iobm/ES<2>.CLK = C8M; // GCK GLOBALS | 1 | 2 | C8M -MACROCELL | 0 | 4 | iobm/IOS_FSM_FFd5 +MACROCELL | 1 | 12 | iobm/IOS_FSM_FFd5 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 8 | 5 | 11 | 5 | 8 | 5 | 10 | 0 | 5 | 5 | 17 | 5 | 15 | 5 | 7 | 5 | 12 +OUTPUTMC | 8 | 5 | 11 | 5 | 8 | 5 | 10 | 1 | 13 | 5 | 17 | 5 | 15 | 5 | 7 | 5 | 12 INPUTS | 1 | iobm/IOS_FSM_FFd6 INPUTMC | 1 | 5 | 0 EQ | 2 | @@ -885,9 +1030,9 @@ GLOBALS | 1 | 2 | C16M MACROCELL | 5 | 0 | iobm/IOS_FSM_FFd6 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 8 | 5 | 11 | 5 | 8 | 5 | 10 | 0 | 4 | 5 | 17 | 5 | 15 | 5 | 7 | 5 | 12 +OUTPUTMC | 8 | 5 | 11 | 5 | 8 | 5 | 10 | 1 | 12 | 5 | 17 | 5 | 15 | 5 | 7 | 5 | 12 INPUTS | 5 | iobm/IOS_FSM_FFd7 | iobm/C8Mr | iobm/IORDREQr | nAoutOE | iobm/IOWRREQr -INPUTMC | 5 | 5 | 2 | 0 | 9 | 0 | 7 | 3 | 1 | 0 | 3 +INPUTMC | 5 | 5 | 2 | 1 | 16 | 1 | 15 | 3 | 1 | 1 | 11 EQ | 5 | iobm/IOS_FSM_FFd6.D = iobm/IOS_FSM_FFd7 & !iobm/C8Mr & iobm/IORDREQr & !nAoutOE @@ -896,11 +1041,53 @@ EQ | 5 | iobm/IOS_FSM_FFd6.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M +MACROCELL | 7 | 15 | cnt/IS_FSM_FFd1 +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 24 | 4 | 17 | 3 | 14 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 7 | 14 | 7 | 15 | 0 | 9 | 3 | 16 | 6 | 17 | 3 | 2 | 3 | 13 | 3 | 15 | 4 | 0 | 4 | 1 | 4 | 15 | 7 | 16 +INPUTS | 24 | RefUrg | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/Er<0> | cnt/nIPL2r | cnt/Er<1> | cnt/LTimerTC | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<13> | iobs/Sent | nWE_FSB | iobs/IORW1 | iobs/TS_FSM_FFd1 | fsb/ASrf | nADoutLE1 | iobs/TS_FSM_FFd2 | A_FSB<14> +INPUTMC | 13 | 0 | 10 | 7 | 15 | 0 | 9 | 0 | 4 | 0 | 2 | 0 | 3 | 4 | 12 | 2 | 0 | 7 | 16 | 0 | 6 | 3 | 2 | 5 | 13 | 7 | 2 +INPUTP | 11 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 18 | 47 | 19 +EXPORTS | 1 | 7 | 16 +EQ | 19 | + cnt/IS_FSM_FFd1.T = RefUrg & !cnt/IS_FSM_FFd1 & cnt/IS_FSM_FFd2 & + !cnt/Er<0> & cnt/nIPL2r & cnt/Er<1> & cnt/LTimerTC; + cnt/IS_FSM_FFd1.CLK = FCLK; // GCK + cnt/IS_FSM_FFd1.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & + !iobs/Sent & !nWE_FSB & iobs/IORW1 & iobs/TS_FSM_FFd1 & + fsb/ASrf & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & + !iobs/Sent & !nWE_FSB & iobs/IORW1 & iobs/TS_FSM_FFd2 & + fsb/ASrf & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + !iobs/Sent & !nWE_FSB & iobs/IORW1 & iobs/TS_FSM_FFd1 & + fsb/ASrf & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + !iobs/Sent & !nWE_FSB & iobs/IORW1 & iobs/TS_FSM_FFd2 & + fsb/ASrf & nADoutLE1 +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 0 | 9 | cnt/IS_FSM_FFd2 +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 24 | 4 | 17 | 3 | 14 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 7 | 14 | 7 | 15 | 0 | 9 | 3 | 16 | 6 | 17 | 3 | 2 | 3 | 13 | 3 | 15 | 4 | 0 | 4 | 1 | 4 | 15 | 6 | 1 +INPUTS | 6 | RefUrg | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/Er<0> | cnt/Er<1> | cnt/LTimerTC +INPUTMC | 6 | 0 | 10 | 7 | 15 | 0 | 9 | 0 | 4 | 0 | 3 | 4 | 12 +EQ | 5 | + cnt/IS_FSM_FFd2.T = RefUrg & cnt/IS_FSM_FFd1 & cnt/IS_FSM_FFd2 & + !cnt/Er<0> & cnt/Er<1> & cnt/LTimerTC + # RefUrg & !cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & + !cnt/Er<0> & cnt/Er<1> & cnt/LTimerTC; + cnt/IS_FSM_FFd2.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + MACROCELL | 5 | 6 | iobm/ES<1> ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 6 | 5 | 1 | 5 | 9 | 5 | 4 | 0 | 17 | 5 | 6 | 5 | 5 +OUTPUTMC | 6 | 5 | 1 | 5 | 9 | 5 | 4 | 0 | 14 | 5 | 6 | 5 | 5 INPUTS | 6 | iobm/ES<0> | iobm/ES<1> | E | iobm/Er | iobm/ES<2> | iobm/ES<3> -INPUTMC | 5 | 5 | 4 | 5 | 6 | 0 | 8 | 0 | 17 | 5 | 5 +INPUTMC | 5 | 5 | 4 | 5 | 6 | 0 | 1 | 0 | 14 | 5 | 5 INPUTP | 1 | 37 EQ | 5 | !iobm/ES<1>.D = iobm/ES<0> & iobm/ES<1> @@ -914,7 +1101,7 @@ MACROCELL | 5 | 5 | iobm/ES<3> ATTRIBUTES | 4358912 | 0 OUTPUTMC | 5 | 5 | 1 | 5 | 9 | 5 | 4 | 5 | 6 | 5 | 5 INPUTS | 6 | iobm/ES<3> | E | iobm/Er | iobm/ES<0> | iobm/ES<2> | iobm/ES<1> -INPUTMC | 5 | 5 | 5 | 0 | 8 | 5 | 4 | 0 | 17 | 5 | 6 +INPUTMC | 5 | 5 | 5 | 0 | 1 | 5 | 4 | 0 | 14 | 5 | 6 INPUTP | 1 | 37 EQ | 6 | iobm/ES<3>.T = iobm/ES<3> & !E & iobm/Er @@ -925,56 +1112,69 @@ EQ | 6 | !iobm/ES<3>.CLK = C8M; // GCK GLOBALS | 1 | 2 | C8M -MACROCELL | 6 | 2 | cnt/INITS_FSM_FFd1 -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 4 | 7 | 14 | 6 | 2 | 6 | 12 | 3 | 0 -INPUTS | 7 | cnt/TimerTC | cnt/LTimerTC | cnt/INITS_FSM_FFd1 | cnt/INITS_FSM_FFd2 | cnt/Er<0> | cnt/nIPL2r | cnt/Er<1> -INPUTMC | 7 | 6 | 6 | 2 | 2 | 6 | 2 | 6 | 12 | 0 | 11 | 0 | 10 | 6 | 3 -EQ | 3 | - cnt/INITS_FSM_FFd1.T = cnt/TimerTC & cnt/LTimerTC & !cnt/INITS_FSM_FFd1 & - cnt/INITS_FSM_FFd2 & !cnt/Er<0> & cnt/nIPL2r & cnt/Er<1>; - cnt/INITS_FSM_FFd1.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 6 | 12 | cnt/INITS_FSM_FFd2 -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 4 | 7 | 14 | 6 | 2 | 6 | 12 | 3 | 0 -INPUTS | 6 | cnt/TimerTC | cnt/LTimerTC | cnt/INITS_FSM_FFd1 | cnt/INITS_FSM_FFd2 | cnt/Er<0> | cnt/Er<1> -INPUTMC | 6 | 6 | 6 | 2 | 2 | 6 | 2 | 6 | 12 | 0 | 11 | 6 | 3 -EQ | 5 | - cnt/INITS_FSM_FFd2.T = cnt/TimerTC & cnt/LTimerTC & cnt/INITS_FSM_FFd1 & - cnt/INITS_FSM_FFd2 & !cnt/Er<0> & cnt/Er<1> - # cnt/TimerTC & cnt/LTimerTC & !cnt/INITS_FSM_FFd1 & - !cnt/INITS_FSM_FFd2 & !cnt/Er<0> & cnt/Er<1>; - cnt/INITS_FSM_FFd2.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 3 | 15 | ram/RS_FSM_FFd7 +MACROCELL | 2 | 2 | ram/RS_FSM_FFd7 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 6 | 4 | 2 | 4 | 9 | 1 | 13 | 4 | 17 | 1 | 17 | 3 | 16 -INPUTS | 13 | A_FSB<23> | A_FSB<22> | ram/RAMEN | cs/nOverlay | nAS_FSB | ram/RS_FSM_FFd8 | fsb/ASrf | A_FSB<21> | iobs/Sent | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<20> -INPUTMC | 8 | 4 | 2 | 4 | 12 | 3 | 2 | 2 | 1 | 3 | 16 | 3 | 12 | 7 | 3 | 5 | 13 -INPUTP | 5 | 36 | 30 | 54 | 29 | 28 -EXPORTS | 1 | 3 | 16 -EQ | 11 | +OUTPUTMC | 5 | 2 | 11 | 2 | 6 | 1 | 3 | 2 | 13 | 1 | 7 +INPUTS | 7 | A_FSB<23> | A_FSB<22> | ram/RAMEN | cs/nOverlay | nAS_FSB | ram/RS_FSM_FFd8 | fsb/ASrf +INPUTMC | 4 | 2 | 11 | 2 | 3 | 2 | 10 | 3 | 2 +INPUTP | 3 | 36 | 30 | 54 +EQ | 5 | ram/RS_FSM_FFd7.D = !A_FSB<23> & !A_FSB<22> & ram/RAMEN & cs/nOverlay & !nAS_FSB & ram/RS_FSM_FFd8 # !A_FSB<23> & !A_FSB<22> & ram/RAMEN & cs/nOverlay & ram/RS_FSM_FFd8 & fsb/ASrf; ram/RS_FSM_FFd7.CLK = FCLK; // GCK - ram/RS_FSM_FFd7.EXP = A_FSB<22> & A_FSB<21> & !iobs/Sent & !nAS_FSB & - !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 - # A_FSB<22> & A_FSB<20> & !iobs/Sent & - !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 - # A_FSB<22> & !iobs/Sent & !cs/nOverlay & - !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 2 | 14 | iobs/Load1 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 4 | 3 | 8 | 0 | 7 | 0 | 12 | 5 | 13 +INPUTS | 18 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<14> | iobs/Sent | nWE_FSB | nAS_FSB | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<13> | iobs/TS_FSM_FFd1 | fsb/ASrf | ram/CAS.EXP +INPUTMC | 6 | 2 | 0 | 7 | 2 | 5 | 13 | 0 | 6 | 3 | 2 | 2 | 13 +INPUTP | 12 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 19 | 47 | 54 | 18 +IMPORTS | 1 | 2 | 13 +EQ | 34 | + iobs/Load1.D = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & + !iobs/Sent & !nWE_FSB & !nAS_FSB & iobs/TS_FSM_FFd1 & + nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & + !iobs/Sent & !nWE_FSB & !nAS_FSB & iobs/TS_FSM_FFd2 & + nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + !iobs/Sent & !nWE_FSB & !nAS_FSB & iobs/TS_FSM_FFd1 & + nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + !iobs/Sent & !nWE_FSB & !nAS_FSB & iobs/TS_FSM_FFd2 & + nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + !iobs/Sent & !nWE_FSB & iobs/TS_FSM_FFd2 & fsb/ASrf & + nADoutLE1 +;Imported pterms FB3_14 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & + !iobs/Sent & !nWE_FSB & iobs/TS_FSM_FFd1 & fsb/ASrf & + nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & + !iobs/Sent & !nWE_FSB & iobs/TS_FSM_FFd2 & fsb/ASrf & + nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + !iobs/Sent & !nWE_FSB & iobs/TS_FSM_FFd1 & fsb/ASrf & + nADoutLE1; + iobs/Load1.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK MACROCELL | 5 | 17 | IOACT ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 5 | 1 | 5 | 16 | 0 | 1 +OUTPUTMC | 3 | 5 | 1 | 5 | 16 | 1 | 9 INPUTS | 9 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | iobm/C8Mr | iobm/IOS_FSM_FFd7 | iobm/IORDREQr | nAoutOE | nDinLE_OBUF.EXP -INPUTMC | 9 | 0 | 4 | 5 | 0 | 0 | 5 | 5 | 3 | 0 | 9 | 5 | 2 | 0 | 7 | 3 | 1 | 5 | 16 +INPUTMC | 9 | 1 | 12 | 5 | 0 | 1 | 13 | 5 | 3 | 1 | 16 | 5 | 2 | 1 | 15 | 3 | 1 | 5 | 16 IMPORTS | 1 | 5 | 16 EQ | 11 | IOACT.D = iobm/IOS_FSM_FFd4 @@ -990,30 +1190,41 @@ EQ | 11 | IOACT.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 0 | 6 | iobm/IOS_FSM_FFd1 +MACROCELL | 3 | 3 | cnt/WS<0> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 5 | 3 | 3 | 3 | 12 | 3 | 11 | 3 | 15 | 3 | 16 +INPUTS | 3 | nAS_FSB | cnt/WS<0> | fsb/ASrf +INPUTMC | 2 | 3 | 3 | 3 | 2 +INPUTP | 1 | 54 +EQ | 2 | + !cnt/WS<0>.T = nAS_FSB & !cnt/WS<0> & !fsb/ASrf; + cnt/WS<0>.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 1 | 14 | iobm/IOS_FSM_FFd1 ATTRIBUTES | 8553216 | 0 OUTPUTMC | 4 | 5 | 2 | 5 | 16 | 5 | 15 | 5 | 12 INPUTS | 1 | iobm/IOS_FSM_FFd2 -INPUTMC | 1 | 0 | 15 +INPUTMC | 1 | 1 | 17 EQ | 2 | iobm/IOS_FSM_FFd1.D = iobm/IOS_FSM_FFd2; iobm/IOS_FSM_FFd1.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 0 | 15 | iobm/IOS_FSM_FFd2 +MACROCELL | 1 | 17 | iobm/IOS_FSM_FFd2 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 5 | 16 | 0 | 6 | 5 | 15 | 5 | 12 +OUTPUTMC | 4 | 5 | 16 | 1 | 14 | 5 | 15 | 5 | 12 INPUTS | 4 | IODONE | iobm/IOS_FSM_FFd3 | iobm/C8Mr | IOBERR -INPUTMC | 4 | 5 | 9 | 5 | 3 | 0 | 9 | 0 | 16 +INPUTMC | 4 | 5 | 9 | 5 | 3 | 1 | 16 | 0 | 13 EQ | 3 | iobm/IOS_FSM_FFd2.D = IOBERR & iobm/IOS_FSM_FFd3 & !iobm/C8Mr # IODONE & iobm/IOS_FSM_FFd3 & !iobm/C8Mr; iobm/IOS_FSM_FFd2.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 0 | 1 | iobs/IOACTr +MACROCELL | 1 | 9 | iobs/IOACTr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 3 | 12 | 7 | 3 | 3 | 8 | 7 | 8 +OUTPUTMC | 4 | 0 | 6 | 7 | 2 | 2 | 15 | 7 | 8 INPUTS | 1 | IOACT INPUTMC | 1 | 5 | 17 EQ | 2 | @@ -1021,64 +1232,20 @@ EQ | 2 | iobs/IOACTr.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 11 | iobs/Load1 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 0 | 14 | 0 | 13 | 5 | 13 -INPUTS | 19 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | iobs/Sent | cs/nOverlay | nWE_FSB | nAS_FSB | iobs/TS_FSM_FFd2 | A_FSB<14> | nADoutLE1 | A_FSB<13> | iobs/TS_FSM_FFd1 | fsb/ASrf | iobs/TS_FSM_FFd1.EXP -INPUTMC | 7 | 3 | 16 | 4 | 12 | 7 | 3 | 5 | 13 | 3 | 12 | 2 | 1 | 3 | 12 -INPUTP | 12 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 54 | 19 | 18 -IMPORTS | 1 | 3 | 12 -EQ | 34 | - iobs/Load1.D = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & - cs/nOverlay & !nWE_FSB & !nAS_FSB & iobs/TS_FSM_FFd1 & - A_FSB<14> & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & - cs/nOverlay & !nWE_FSB & !nAS_FSB & iobs/TS_FSM_FFd1 & - A_FSB<13> & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & - cs/nOverlay & !nWE_FSB & !nAS_FSB & iobs/TS_FSM_FFd2 & - A_FSB<14> & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & - cs/nOverlay & !nWE_FSB & !nAS_FSB & iobs/TS_FSM_FFd2 & - A_FSB<13> & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & - cs/nOverlay & !nWE_FSB & iobs/TS_FSM_FFd2 & A_FSB<14> & - fsb/ASrf & nADoutLE1 -;Imported pterms FB4_13 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & - cs/nOverlay & !nWE_FSB & iobs/TS_FSM_FFd1 & A_FSB<14> & - fsb/ASrf & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & - cs/nOverlay & !nWE_FSB & iobs/TS_FSM_FFd1 & A_FSB<13> & - fsb/ASrf & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & - cs/nOverlay & !nWE_FSB & iobs/TS_FSM_FFd2 & A_FSB<13> & - fsb/ASrf & nADoutLE1; - iobs/Load1.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 7 | 17 | IOL0 +MACROCELL | 7 | 0 | IOL0 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 4 | 5 | 8 | 7 | 17 | 5 | 7 | 7 | 16 -INPUTS | 9 | iobs/TS_FSM_FFd1 | iobs/Sent | iobs/TS_FSM_FFd2 | nADoutLE1 | nLDS_FSB | IOL0 | iobs/IOL1 | EXP13_.EXP | IONPReady.EXP -INPUTMC | 8 | 3 | 12 | 3 | 16 | 7 | 3 | 5 | 13 | 7 | 17 | 0 | 14 | 7 | 0 | 7 | 16 +OUTPUTMC | 4 | 5 | 8 | 7 | 0 | 5 | 7 | 7 | 17 +INPUTS | 9 | iobs/TS_FSM_FFd1 | iobs/Sent | iobs/TS_FSM_FFd2 | nADoutLE1 | nLDS_FSB | IOL0 | iobs/IOL1 | RA_11_OBUF$BUF0.EXP | EXP20_.EXP +INPUTMC | 8 | 0 | 6 | 2 | 0 | 7 | 2 | 5 | 13 | 7 | 0 | 3 | 8 | 7 | 1 | 7 | 17 INPUTP | 1 | 49 -IMPORTS | 2 | 7 | 0 | 7 | 16 -EQ | 32 | +IMPORTS | 2 | 7 | 1 | 7 | 17 +EQ | 30 | !IOL0.T = iobs/TS_FSM_FFd1 # iobs/Sent & !iobs/TS_FSM_FFd2 & nADoutLE1 # nLDS_FSB & !IOL0 & nADoutLE1 # !nLDS_FSB & IOL0 & nADoutLE1 # iobs/IOL1 & IOL0 & !nADoutLE1 -;Imported pterms FB8_1 +;Imported pterms FB8_2 # !A_FSB<23> & !A_FSB<22> & !A_FSB<19> & !iobs/TS_FSM_FFd2 & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & !A_FSB<18> & @@ -1087,136 +1254,155 @@ EQ | 32 | !iobs/TS_FSM_FFd2 & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & !A_FSB<16> & !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & nWE_FSB & - !iobs/TS_FSM_FFd2 & nADoutLE1 -;Imported pterms FB8_2 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<20> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !iobs/TS_FSM_FFd2 & - !A_FSB<14> & !A_FSB<13> & nADoutLE1 # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & !iobs/TS_FSM_FFd2 & nADoutLE1 -;Imported pterms FB8_17 +;Imported pterms FB8_18 # !iobs/IOL1 & !IOL0 & !nADoutLE1 # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay & + # !A_FSB<23> & !A_FSB<22> & !A_FSB<20> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & nWE_FSB & + !iobs/TS_FSM_FFd2 & nADoutLE1 +;Imported pterms FB8_17 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<13> & !A_FSB<14> & !iobs/TS_FSM_FFd2 & nADoutLE1; IOL0.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 7 | 12 | IOU0 +MACROCELL | 7 | 13 | IOU0 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 4 | 5 | 10 | 7 | 12 | 5 | 9 | 7 | 11 -INPUTS | 9 | iobs/TS_FSM_FFd1 | iobs/Sent | iobs/TS_FSM_FFd2 | nADoutLE1 | nUDS_FSB | IOU0 | iobs/IOU1 | nBERR_FSB_OBUF.EXP | EXP18_.EXP -INPUTMC | 8 | 3 | 12 | 3 | 16 | 7 | 3 | 5 | 13 | 7 | 12 | 0 | 13 | 7 | 11 | 7 | 13 +OUTPUTMC | 4 | 5 | 10 | 7 | 13 | 5 | 9 | 7 | 12 +INPUTS | 9 | iobs/TS_FSM_FFd1 | iobs/Sent | iobs/TS_FSM_FFd2 | nADoutLE1 | nUDS_FSB | IOU0 | iobs/IOU1 | EXP19_.EXP | nBR_IOB_OBUF.EXP +INPUTMC | 8 | 0 | 6 | 2 | 0 | 7 | 2 | 5 | 13 | 7 | 13 | 0 | 7 | 7 | 12 | 7 | 14 INPUTP | 1 | 56 -IMPORTS | 2 | 7 | 11 | 7 | 13 -EQ | 32 | +IMPORTS | 2 | 7 | 12 | 7 | 14 +EQ | 30 | !IOU0.T = iobs/TS_FSM_FFd1 # iobs/Sent & !iobs/TS_FSM_FFd2 & nADoutLE1 # nUDS_FSB & !IOU0 & nADoutLE1 # !nUDS_FSB & IOU0 & nADoutLE1 # iobs/IOU1 & IOU0 & !nADoutLE1 -;Imported pterms FB8_12 +;Imported pterms FB8_13 # !iobs/IOU1 & !IOU0 & !nADoutLE1 # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay & + # !A_FSB<23> & !A_FSB<22> & !A_FSB<20> & !iobs/TS_FSM_FFd2 & nADoutLE1 -;Imported pterms FB8_14 + # !A_FSB<23> & !A_FSB<22> & nWE_FSB & + !iobs/TS_FSM_FFd2 & nADoutLE1 +;Imported pterms FB8_12 # !A_FSB<23> & !A_FSB<22> & !A_FSB<19> & !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<13> & !A_FSB<14> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & + !iobs/TS_FSM_FFd2 & nADoutLE1 +;Imported pterms FB8_15 # !A_FSB<23> & !A_FSB<22> & !A_FSB<18> & !iobs/TS_FSM_FFd2 & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & !A_FSB<17> & !iobs/TS_FSM_FFd2 & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & !A_FSB<16> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & nWE_FSB & - !iobs/TS_FSM_FFd2 & nADoutLE1 -;Imported pterms FB8_15 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<20> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !iobs/TS_FSM_FFd2 & - !A_FSB<14> & !A_FSB<13> & nADoutLE1 - # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & !iobs/TS_FSM_FFd2 & nADoutLE1; IOU0.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 7 | iobm/IORDREQr +MACROCELL | 3 | 12 | cnt/WS<1> ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 9 | 5 | 11 | 5 | 7 | 5 | 9 | 5 | 2 | 5 | 0 | 5 | 17 | 5 | 15 | 5 | 12 | 3 | 5 +OUTPUTMC | 5 | 3 | 12 | 3 | 11 | 3 | 15 | 3 | 16 | 3 | 13 +INPUTS | 18 | cnt/WS<0> | cnt/WS<1> | iobs/Sent | IONPReady | iobs/IODONEr | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<13> | nWE_FSB | cnt/WS<2>.EXP +INPUTMC | 7 | 3 | 3 | 3 | 12 | 2 | 0 | 3 | 13 | 1 | 8 | 3 | 2 | 3 | 11 +INPUTP | 11 | 54 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 18 | 47 +EXPORTS | 1 | 3 | 13 +IMPORTS | 1 | 3 | 11 +EQ | 11 | + !cnt/WS<1>.D = cnt/WS<0> & cnt/WS<1> +;Imported pterms FB4_12 + # nAS_FSB & !fsb/ASrf + # !cnt/WS<0> & !cnt/WS<1>; + cnt/WS<1>.CLK = FCLK; // GCK + cnt/WS<1>.EXP = !iobs/Sent & !IONPReady + # !IONPReady & !iobs/IODONEr + # nAS_FSB & !fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & + !nWE_FSB & !IONPReady +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 3 | 11 | cnt/WS<2> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 4 | 3 | 11 | 3 | 15 | 3 | 16 | 3 | 12 +INPUTS | 5 | nAS_FSB | cnt/WS<0> | cnt/WS<1> | fsb/ASrf | cnt/WS<2> +INPUTMC | 4 | 3 | 3 | 3 | 12 | 3 | 2 | 3 | 11 +INPUTP | 1 | 54 +EXPORTS | 1 | 3 | 12 +EQ | 6 | + cnt/WS<2>.T = nAS_FSB & cnt/WS<2> & !fsb/ASrf + # !nAS_FSB & cnt/WS<0> & cnt/WS<1> + # cnt/WS<0> & cnt/WS<1> & fsb/ASrf; + cnt/WS<2>.CLK = FCLK; // GCK + cnt/WS<2>.EXP = nAS_FSB & !fsb/ASrf + # !cnt/WS<0> & !cnt/WS<1> +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 1 | 15 | iobm/IORDREQr +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 9 | 5 | 11 | 5 | 7 | 5 | 9 | 5 | 2 | 5 | 0 | 5 | 17 | 5 | 15 | 5 | 12 | 3 | 4 INPUTS | 1 | IORDREQ -INPUTMC | 1 | 3 | 8 +INPUTMC | 1 | 2 | 15 EQ | 2 | iobm/IORDREQr.D = IORDREQ; iobm/IORDREQr.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 1 | 14 | ram/RS_FSM_FFd3 +MACROCELL | 1 | 4 | ram/RS_FSM_FFd3 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 4 | 16 | 1 | 15 | 4 | 3 +OUTPUTMC | 3 | 2 | 1 | 1 | 5 | 2 | 5 INPUTS | 1 | ram/RS_FSM_FFd6 -INPUTMC | 1 | 4 | 6 +INPUTMC | 1 | 2 | 4 EQ | 2 | ram/RS_FSM_FFd3.D = ram/RS_FSM_FFd6; ram/RS_FSM_FFd3.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 4 | 15 | ram/RS_FSM_FFd4 +MACROCELL | 0 | 5 | ram/RS_FSM_FFd4 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 6 | 4 | 2 | 3 | 2 | 3 | 14 | 3 | 1 | 3 | 3 | 3 | 13 +OUTPUTMC | 3 | 2 | 11 | 2 | 10 | 2 | 9 INPUTS | 4 | ram/RS_FSM_FFd5 | ram/RS_FSM_FFd1 | RefUrg | ram/RefDone -INPUTMC | 4 | 1 | 13 | 1 | 16 | 6 | 17 | 4 | 16 +INPUTMC | 4 | 1 | 3 | 1 | 6 | 0 | 10 | 2 | 1 EQ | 3 | !ram/RS_FSM_FFd4.D = !ram/RS_FSM_FFd5 & !ram/RS_FSM_FFd1 # RefUrg & !ram/RefDone & !ram/RS_FSM_FFd1; ram/RS_FSM_FFd4.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 1 | 13 | ram/RS_FSM_FFd5 +MACROCELL | 1 | 3 | ram/RS_FSM_FFd5 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 4 | 15 | 4 | 6 | 4 | 17 +OUTPUTMC | 3 | 0 | 5 | 2 | 4 | 2 | 12 INPUTS | 1 | ram/RS_FSM_FFd7 -INPUTMC | 1 | 3 | 15 +INPUTMC | 1 | 2 | 2 EQ | 2 | ram/RS_FSM_FFd5.D = ram/RS_FSM_FFd7; ram/RS_FSM_FFd5.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 4 | 6 | ram/RS_FSM_FFd6 +MACROCELL | 2 | 4 | ram/RS_FSM_FFd6 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 1 | 14 | 4 | 17 | 4 | 4 -INPUTS | 13 | RefUrg | ram/RefDone | ram/RS_FSM_FFd5 | ram/RAMEN | ram/RS_FSM_FFd8 | nAS_FSB | fsb/ASrf | A_FSB<22> | RefReq | ram/BACTr | A_FSB<23> | nOE_OBUF.EXP | ram/Once.EXP -INPUTMC | 10 | 6 | 17 | 4 | 16 | 1 | 13 | 4 | 2 | 3 | 2 | 2 | 1 | 6 | 14 | 2 | 0 | 4 | 5 | 4 | 7 -INPUTP | 3 | 54 | 30 | 36 -IMPORTS | 2 | 4 | 5 | 4 | 7 -EQ | 20 | +OUTPUTMC | 3 | 1 | 4 | 2 | 13 | 2 | 5 +INPUTS | 7 | RefUrg | ram/RefDone | ram/RS_FSM_FFd5 | RefReq | nAS_FSB | ram/RS_FSM_FFd8 | fsb/ASrf +INPUTMC | 6 | 0 | 10 | 2 | 1 | 1 | 3 | 0 | 11 | 2 | 10 | 3 | 2 +INPUTP | 1 | 54 +EQ | 6 | ram/RS_FSM_FFd6.D = RefUrg & !ram/RefDone & ram/RS_FSM_FFd5 - # RefUrg & !ram/RAMEN & !ram/RefDone & - ram/RS_FSM_FFd8 # RefUrg & !ram/RefDone & nAS_FSB & ram/RS_FSM_FFd8 & !fsb/ASrf - # A_FSB<23> & !ram/RefDone & RefReq & !nAS_FSB & - ram/RS_FSM_FFd8 & !ram/BACTr - # A_FSB<22> & !ram/RefDone & RefReq & !nAS_FSB & - ram/RS_FSM_FFd8 & !ram/BACTr -;Imported pterms FB5_6 - # A_FSB<23> & !ram/RefDone & RefReq & - ram/RS_FSM_FFd8 & fsb/ASrf & !ram/BACTr - # A_FSB<22> & !ram/RefDone & RefReq & - ram/RS_FSM_FFd8 & fsb/ASrf & !ram/BACTr - # !ram/RefDone & RefReq & !cs/nOverlay & !nAS_FSB & - ram/RS_FSM_FFd8 & !ram/BACTr -;Imported pterms FB5_8 - # !ram/RefDone & RefReq & !cs/nOverlay & - ram/RS_FSM_FFd8 & fsb/ASrf & !ram/BACTr; + # !ram/RefDone & RefReq & nAS_FSB & + ram/RS_FSM_FFd8 & !fsb/ASrf; ram/RS_FSM_FFd6.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK @@ -1224,7 +1410,7 @@ MACROCELL | 5 | 15 | ALE0M ATTRIBUTES | 8553216 | 0 OUTPUTMC | 2 | 5 | 15 | 5 | 14 INPUTS | 11 | iobm/IOS_FSM_FFd7 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | ALE0M | nAoutOE | iobm/IORDREQr | iobm/IOWRREQr -INPUTMC | 11 | 5 | 2 | 5 | 3 | 0 | 5 | 0 | 4 | 5 | 0 | 0 | 6 | 0 | 15 | 5 | 15 | 3 | 1 | 0 | 7 | 0 | 3 +INPUTMC | 11 | 5 | 2 | 5 | 3 | 1 | 13 | 1 | 12 | 5 | 0 | 1 | 14 | 1 | 17 | 5 | 15 | 3 | 1 | 1 | 15 | 1 | 11 EQ | 14 | !ALE0M.D = iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 & nAoutOE @@ -1242,20 +1428,20 @@ EQ | 14 | ALE0M.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 3 | 8 | IORDREQ +MACROCELL | 2 | 15 | IORDREQ ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 0 | 7 | 3 | 8 -INPUTS | 8 | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | iobs/IOACTr | IORDREQ | nWE_FSB | nADoutLE1 | iobs/Sent | C20MEN_OBUF.EXP -INPUTMC | 7 | 3 | 12 | 7 | 3 | 0 | 1 | 3 | 8 | 5 | 13 | 3 | 16 | 3 | 7 +OUTPUTMC | 2 | 1 | 15 | 2 | 15 +INPUTS | 8 | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | iobs/IOACTr | IORDREQ | nWE_FSB | nADoutLE1 | iobs/Sent | nROMWE_OBUF.EXP +INPUTMC | 7 | 0 | 6 | 7 | 2 | 1 | 9 | 2 | 15 | 5 | 13 | 2 | 0 | 2 | 16 INPUTP | 1 | 47 -IMPORTS | 1 | 3 | 7 +IMPORTS | 1 | 2 | 16 EQ | 14 | !IORDREQ.D = iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 # iobs/TS_FSM_FFd1 & iobs/IOACTr # iobs/TS_FSM_FFd2 & !IORDREQ # iobs/Sent & !iobs/TS_FSM_FFd2 & nADoutLE1 # !nWE_FSB & !iobs/TS_FSM_FFd2 & nADoutLE1 -;Imported pterms FB4_8 +;Imported pterms FB3_17 # !iobs/IORW1 & !iobs/TS_FSM_FFd2 & !nADoutLE1 # !A_FSB<23> & !A_FSB<22> & !iobs/TS_FSM_FFd2 & nADoutLE1 @@ -1268,12 +1454,12 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 7 | 8 | IOWRREQ ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 7 | 8 | 0 | 3 -INPUTS | 13 | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | IOWRREQ | iobs/IOACTr | iobs/IORW1 | nADoutLE1 | A_FSB<23> | iobs/Sent | nWE_FSB | nAS_FSB | fsb/ASrf | nRAMUWE_OBUF.EXP | EXP16_.EXP -INPUTMC | 10 | 3 | 12 | 7 | 3 | 7 | 8 | 0 | 1 | 3 | 3 | 5 | 13 | 3 | 16 | 2 | 1 | 7 | 7 | 7 | 9 +OUTPUTMC | 2 | 7 | 8 | 1 | 11 +INPUTS | 13 | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | IOWRREQ | iobs/IOACTr | iobs/IORW1 | nADoutLE1 | A_FSB<23> | iobs/Sent | nWE_FSB | nAS_FSB | fsb/ASrf | nRAMUWE_OBUF.EXP | EXP17_.EXP +INPUTMC | 10 | 0 | 6 | 7 | 2 | 7 | 8 | 1 | 9 | 7 | 16 | 5 | 13 | 2 | 0 | 3 | 2 | 7 | 7 | 7 | 9 INPUTP | 3 | 36 | 47 | 54 IMPORTS | 2 | 7 | 7 | 7 | 9 -EQ | 39 | +EQ | 35 | IOWRREQ.D = !iobs/TS_FSM_FFd1 & iobs/TS_FSM_FFd2 & IOWRREQ # iobs/TS_FSM_FFd2 & !iobs/IOACTr & IOWRREQ # !iobs/IORW1 & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & @@ -1289,66 +1475,97 @@ EQ | 39 | !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 # A_FSB<22> & A_FSB<20> & !iobs/Sent & !nWE_FSB & !nAS_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 - # A_FSB<22> & !iobs/Sent & !cs/nOverlay & !nWE_FSB & - !nAS_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 - # A_FSB<22> & !iobs/Sent & !cs/nOverlay & !nWE_FSB & - !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 -;Imported pterms FB8_10 # A_FSB<22> & A_FSB<20> & !iobs/Sent & !nWE_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 + # A_FSB<22> & !iobs/Sent & !cs/nOverlay & !nWE_FSB & + !nAS_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 +;Imported pterms FB8_10 + # A_FSB<22> & !iobs/Sent & !cs/nOverlay & !nWE_FSB & + !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !iobs/Sent & cs/nOverlay & !nWE_FSB & - !nAS_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & A_FSB<14> & - nADoutLE1 + A_FSB<17> & A_FSB<16> & A_FSB<13> & !iobs/Sent & !nWE_FSB & + !nAS_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !iobs/Sent & cs/nOverlay & !nWE_FSB & - !nAS_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & A_FSB<13> & - nADoutLE1 + A_FSB<17> & A_FSB<16> & A_FSB<13> & !iobs/Sent & !nWE_FSB & + !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !iobs/Sent & cs/nOverlay & !nWE_FSB & - !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & A_FSB<14> & fsb/ASrf & - nADoutLE1 + A_FSB<17> & A_FSB<16> & A_FSB<14> & !iobs/Sent & !nWE_FSB & + !nAS_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !iobs/Sent & cs/nOverlay & !nWE_FSB & - !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & A_FSB<13> & fsb/ASrf & - nADoutLE1; + A_FSB<17> & A_FSB<16> & A_FSB<14> & !iobs/Sent & !nWE_FSB & + !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1; IOWRREQ.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 14 | RAMReady +MACROCELL | 3 | 0 | QoSReady ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 3 | 14 | 2 | 8 | 3 | 13 -INPUTS | 12 | ram/RS_FSM_FFd8 | ram/RS_FSM_FFd4 | RAMReady | nAS_FSB | fsb/ASrf | RefUrg | ram/RefDone | ram/RAMEN | A_FSB<22> | RefReq | ram/BACTr | EXP10_.EXP -INPUTMC | 10 | 3 | 2 | 4 | 15 | 3 | 14 | 2 | 1 | 6 | 17 | 4 | 16 | 4 | 2 | 6 | 14 | 2 | 0 | 3 | 13 -INPUTP | 2 | 54 | 30 -IMPORTS | 1 | 3 | 13 -EQ | 21 | +OUTPUTMC | 3 | 3 | 10 | 3 | 0 | 2 | 8 +INPUTS | 10 | A_FSB<23> | $OpTx$$OpTx$FX_DC$354_INV$541 | nWE_FSB | QoSReady | cnt/LTimer<0> | cnt/LTimer<1> | A_FSB<22> | A_FSB<20> | nAoutOE_OBUF.EXP | EXP12_.EXP +INPUTMC | 6 | 3 | 6 | 3 | 0 | 4 | 17 | 3 | 14 | 3 | 1 | 3 | 17 +INPUTP | 4 | 36 | 47 | 30 | 28 +IMPORTS | 2 | 3 | 1 | 3 | 17 +EQ | 36 | + QoSReady.D = A_FSB<23> & !$OpTx$$OpTx$FX_DC$354_INV$541 + # !cnt/LTimer<0> & !cnt/LTimer<1> + # !nWE_FSB & !$OpTx$$OpTx$FX_DC$354_INV$541 + # QoSReady & !$OpTx$$OpTx$FX_DC$354_INV$541 + # A_FSB<22> & A_FSB<20> & + !$OpTx$$OpTx$FX_DC$354_INV$541 +;Imported pterms FB4_2 + # A_FSB<22> & !A_FSB<17> & + !$OpTx$$OpTx$FX_DC$354_INV$541 + # A_FSB<22> & !A_FSB<16> & + !$OpTx$$OpTx$FX_DC$354_INV$541 + # A_FSB<22> & !A_FSB<13> & + !$OpTx$$OpTx$FX_DC$354_INV$541 + # A_FSB<22> & !A_FSB<14> & + !$OpTx$$OpTx$FX_DC$354_INV$541 + # A_FSB<22> & !A_FSB<10> & + !$OpTx$$OpTx$FX_DC$354_INV$541 +;Imported pterms FB4_18 + # A_FSB<22> & A_FSB<21> & + !$OpTx$$OpTx$FX_DC$354_INV$541 + # A_FSB<22> & A_FSB<19> & + !$OpTx$$OpTx$FX_DC$354_INV$541 + # A_FSB<22> & A_FSB<18> & + !$OpTx$$OpTx$FX_DC$354_INV$541 + # A_FSB<22> & A_FSB<15> & + !$OpTx$$OpTx$FX_DC$354_INV$541 + # A_FSB<22> & A_FSB<12> & + !$OpTx$$OpTx$FX_DC$354_INV$541 +;Imported pterms FB4_17 + # A_FSB<22> & !A_FSB<11> & + !$OpTx$$OpTx$FX_DC$354_INV$541 + # A_FSB<22> & A_FSB<9> & !A_FSB<8> & + !$OpTx$$OpTx$FX_DC$354_INV$541 + # cnt/WS<0> & cnt/WS<1> & cnt/WS<2> & cnt/WS<3> & + !$OpTx$$OpTx$FX_DC$354_INV$541; + QoSReady.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 2 | 9 | RAMReady +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 3 | 2 | 9 | 2 | 7 | 2 | 10 +INPUTS | 12 | ram/RS_FSM_FFd8 | ram/RS_FSM_FFd4 | RAMReady | nAS_FSB | fsb/ASrf | ram/RefDone | RefReq | RefUrg | A_FSB<23> | A_FSB<22> | ram/RAMEN | cs/nOverlay +INPUTMC | 9 | 2 | 10 | 0 | 5 | 2 | 9 | 3 | 2 | 2 | 1 | 0 | 11 | 0 | 10 | 2 | 11 | 2 | 3 +INPUTP | 3 | 54 | 36 | 30 +EXPORTS | 1 | 2 | 10 +EQ | 10 | !RAMReady.D = !ram/RS_FSM_FFd8 & !ram/RS_FSM_FFd4 & !RAMReady # nAS_FSB & !ram/RS_FSM_FFd8 & !ram/RS_FSM_FFd4 & !fsb/ASrf - # RefUrg & !ram/RAMEN & !ram/RefDone & - !ram/RS_FSM_FFd4 & !RAMReady # RefUrg & !ram/RefDone & nAS_FSB & !ram/RS_FSM_FFd4 & !fsb/ASrf - # A_FSB<22> & !ram/RefDone & RefReq & !nAS_FSB & - !ram/RS_FSM_FFd4 & !RAMReady & !ram/BACTr -;Imported pterms FB4_14 - # A_FSB<23> & !ram/RefDone & RefReq & !nAS_FSB & - !ram/RS_FSM_FFd4 & !RAMReady & !ram/BACTr - # A_FSB<23> & !ram/RefDone & RefReq & - !ram/RS_FSM_FFd4 & !RAMReady & fsb/ASrf & !ram/BACTr - # A_FSB<22> & !ram/RefDone & RefReq & - !ram/RS_FSM_FFd4 & !RAMReady & fsb/ASrf & !ram/BACTr - # !ram/RefDone & RefReq & !cs/nOverlay & !nAS_FSB & - !ram/RS_FSM_FFd4 & !RAMReady & !ram/BACTr - # !ram/RefDone & RefReq & !cs/nOverlay & - !ram/RS_FSM_FFd4 & !RAMReady & fsb/ASrf & !ram/BACTr; + # !ram/RefDone & RefReq & nAS_FSB & + !ram/RS_FSM_FFd4 & !fsb/ASrf; RAMReady.CLK = FCLK; // GCK + RAMReady.EXP = !A_FSB<23> & !A_FSB<22> & ram/RAMEN & cs/nOverlay & + !ram/RS_FSM_FFd4 & fsb/ASrf GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 11 | cnt/Er<0> +MACROCELL | 0 | 4 | cnt/Er<0> ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 23 | 6 | 17 | 6 | 0 | 6 | 9 | 6 | 15 | 6 | 16 | 6 | 14 | 2 | 15 | 2 | 14 | 2 | 12 | 2 | 11 | 2 | 10 | 2 | 9 | 2 | 7 | 2 | 6 | 2 | 5 | 2 | 4 | 2 | 3 | 2 | 13 | 6 | 6 | 2 | 2 | 6 | 2 | 6 | 12 | 6 | 3 +OUTPUTMC | 21 | 0 | 10 | 0 | 8 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 0 | 15 | 0 | 17 | 0 | 11 | 6 | 15 | 0 | 16 | 7 | 15 | 0 | 9 | 0 | 3 | 6 | 17 | 6 | 1 INPUTS | 1 | E INPUTP | 1 | 37 EQ | 2 | @@ -1356,9 +1573,33 @@ EQ | 2 | cnt/Er<0>.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 10 | cnt/nIPL2r +MACROCELL | 3 | 15 | cnt/WS<3> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 3 | 3 | 15 | 3 | 16 | 3 | 14 +INPUTS | 25 | nAS_FSB | cnt/WS<3> | fsb/ASrf | cnt/WS<0> | cnt/WS<1> | cnt/WS<2> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<14> | A_FSB<11> | A_FSB<10> | nWE_FSB | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | A_FSB<9> | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 +INPUTMC | 8 | 3 | 15 | 3 | 2 | 3 | 3 | 3 | 12 | 3 | 11 | 7 | 15 | 0 | 9 | 6 | 17 +INPUTP | 17 | 54 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 21 | 18 | 17 | 19 | 15 | 13 | 47 | 12 +EXPORTS | 1 | 3 | 14 +EQ | 14 | + cnt/WS<3>.T = nAS_FSB & cnt/WS<3> & !fsb/ASrf + # !nAS_FSB & cnt/WS<0> & cnt/WS<1> & cnt/WS<2> + # cnt/WS<0> & cnt/WS<1> & cnt/WS<2> & fsb/ASrf; + cnt/WS<3>.CLK = FCLK; // GCK + cnt/WS<3>.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & + !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & + fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & + !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & + fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 0 | 2 | cnt/nIPL2r ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 7 | 14 | 6 | 2 +OUTPUTMC | 2 | 7 | 14 | 7 | 15 INPUTS | 1 | nIPL2 INPUTP | 1 | 146 EQ | 2 | @@ -1370,7 +1611,7 @@ MACROCELL | 5 | 7 | iobm/DoutOE ATTRIBUTES | 4358912 | 0 OUTPUTMC | 3 | 5 | 7 | 3 | 4 | 5 | 8 INPUTS | 10 | iobm/IOS_FSM_FFd7 | iobm/C8Mr | iobm/DoutOE | iobm/IOWRREQr | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | IOL0 | iobm/IORDREQr -INPUTMC | 10 | 5 | 2 | 0 | 9 | 5 | 7 | 0 | 3 | 5 | 3 | 0 | 5 | 0 | 4 | 5 | 0 | 7 | 17 | 0 | 7 +INPUTMC | 10 | 5 | 2 | 1 | 16 | 5 | 7 | 1 | 11 | 5 | 3 | 1 | 13 | 1 | 12 | 5 | 0 | 7 | 0 | 1 | 15 EXPORTS | 1 | 5 | 8 EQ | 13 | iobm/DoutOE.T = iobm/IOS_FSM_FFd7 & !iobm/C8Mr & !iobm/DoutOE & @@ -1388,9 +1629,9 @@ EQ | 13 | iobm/IORDREQr GLOBALS | 1 | 2 | C16M -MACROCELL | 0 | 8 | iobm/Er +MACROCELL | 0 | 1 | iobm/Er ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 5 | 4 | 0 | 17 | 5 | 6 | 5 | 5 +OUTPUTMC | 4 | 5 | 4 | 0 | 14 | 5 | 6 | 5 | 5 INPUTS | 1 | E INPUTP | 1 | 37 EQ | 2 | @@ -1400,9 +1641,9 @@ GLOBALS | 1 | 2 | C8M MACROCELL | 5 | 12 | iobm/IOS0 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 5 | 12 | 3 | 5 +OUTPUTMC | 2 | 5 | 12 | 3 | 4 INPUTS | 12 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd7 | iobm/C8Mr | nAoutOE | iobm/IORDREQr | iobm/IOWRREQr | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd2 | iobm/IOS0 -INPUTMC | 12 | 0 | 6 | 5 | 2 | 0 | 9 | 3 | 1 | 0 | 7 | 0 | 3 | 5 | 3 | 0 | 5 | 0 | 4 | 5 | 0 | 0 | 15 | 5 | 12 +INPUTMC | 12 | 1 | 14 | 5 | 2 | 1 | 16 | 3 | 1 | 1 | 15 | 1 | 11 | 5 | 3 | 1 | 13 | 1 | 12 | 5 | 0 | 1 | 17 | 5 | 12 EQ | 9 | iobm/IOS0.D = iobm/IOS_FSM_FFd1 # iobm/IOS_FSM_FFd7 & iobm/C8Mr @@ -1415,9 +1656,9 @@ EQ | 9 | iobm/IOS0.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 0 | 3 | iobm/IOWRREQr +MACROCELL | 1 | 11 | iobm/IOWRREQr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 8 | 5 | 11 | 5 | 2 | 5 | 0 | 5 | 16 | 5 | 15 | 5 | 7 | 5 | 12 | 3 | 5 +OUTPUTMC | 8 | 5 | 11 | 5 | 2 | 5 | 0 | 5 | 16 | 5 | 15 | 5 | 7 | 5 | 12 | 3 | 4 INPUTS | 1 | IOWRREQ INPUTMC | 1 | 7 | 8 EQ | 2 | @@ -1425,7 +1666,7 @@ EQ | 2 | iobm/IOWRREQr.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 0 | 2 | iobm/VPAr +MACROCELL | 1 | 10 | iobm/VPAr ATTRIBUTES | 8553216 | 0 OUTPUTMC | 1 | 5 | 1 INPUTS | 1 | nVPA_IOB @@ -1435,59 +1676,97 @@ EQ | 2 | !iobm/VPAr.CLK = C8M; // GCK GLOBALS | 1 | 2 | C8M -MACROCELL | 7 | 15 | iobs/Clear1 +MACROCELL | 0 | 0 | iobs/Clear1 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 2 | 17 | 5 | 13 | 7 | 16 -INPUTS | 18 | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | iobs/Sent | IONPReady | iobs/IODONEr | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay | nWE_FSB | A_FSB<13> -INPUTMC | 7 | 3 | 12 | 7 | 3 | 3 | 16 | 7 | 16 | 0 | 0 | 2 | 1 | 4 | 12 -INPUTP | 11 | 54 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 18 -EXPORTS | 1 | 7 | 16 -EQ | 8 | +OUTPUTMC | 2 | 0 | 12 | 5 | 13 +INPUTS | 2 | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 +INPUTMC | 2 | 0 | 6 | 7 | 2 +EQ | 2 | iobs/Clear1.D = !iobs/TS_FSM_FFd1 & iobs/TS_FSM_FFd2; iobs/Clear1.CLK = FCLK; // GCK - iobs/Clear1.EXP = !iobs/Sent & !IONPReady - # !IONPReady & !iobs/IODONEr - # nAS_FSB & !fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & cs/nOverlay & - !nWE_FSB & !IONPReady & A_FSB<13> GLOBALS | 1 | 2 | FCLK -MACROCELL | 1 | 15 | ram/RS_FSM_FFd2 +MACROCELL | 1 | 5 | ram/RS_FSM_FFd2 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 4 | 16 | 1 | 16 +OUTPUTMC | 2 | 2 | 1 | 1 | 6 INPUTS | 1 | ram/RS_FSM_FFd3 -INPUTMC | 1 | 1 | 14 +INPUTMC | 1 | 1 | 4 EQ | 2 | ram/RS_FSM_FFd2.D = ram/RS_FSM_FFd3; ram/RS_FSM_FFd2.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 12 | ALE0S +MACROCELL | 4 | 15 | ALE0S ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 5 | 14 -INPUTS | 1 | iobs/TS_FSM_FFd2 -INPUTMC | 1 | 7 | 3 -EQ | 2 | +OUTPUTMC | 2 | 5 | 14 | 4 | 16 +INPUTS | 23 | iobs/TS_FSM_FFd2 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<14> | A_FSB<11> | A_FSB<10> | nWE_FSB | nAS_FSB | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | A_FSB<9> | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | A_FSB<8> | fsb/ASrf +INPUTMC | 5 | 7 | 2 | 7 | 15 | 0 | 9 | 6 | 17 | 3 | 2 +INPUTP | 18 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 21 | 18 | 17 | 19 | 15 | 13 | 47 | 54 | 12 | 11 +EXPORTS | 1 | 4 | 16 +EQ | 22 | ALE0S.D = iobs/TS_FSM_FFd2; ALE0S.CLK = FCLK; // GCK + ALE0S.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & + !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & + A_FSB<9> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & + !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & + fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & + !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & + A_FSB<9> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & + !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & + A_FSB<8> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 GLOBALS | 1 | 2 | FCLK -MACROCELL | 6 | 3 | cnt/Er<1> +MACROCELL | 0 | 12 | IOPWReady ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 22 | 6 | 17 | 6 | 0 | 6 | 9 | 6 | 15 | 6 | 16 | 6 | 14 | 2 | 15 | 2 | 14 | 2 | 12 | 2 | 11 | 2 | 10 | 2 | 9 | 2 | 7 | 2 | 6 | 2 | 5 | 2 | 4 | 2 | 3 | 2 | 13 | 6 | 6 | 2 | 2 | 6 | 2 | 6 | 12 +OUTPUTMC | 1 | 2 | 7 +INPUTS | 3 | iobs/Clear1 | iobs/Load1 | nADoutLE1 +INPUTMC | 3 | 0 | 0 | 2 | 14 | 5 | 13 +EQ | 3 | + IOPWReady.D = iobs/Clear1 + # !iobs/Load1 & nADoutLE1; + IOPWReady.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 0 | 3 | cnt/Er<1> +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 20 | 0 | 10 | 0 | 8 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 0 | 15 | 0 | 17 | 0 | 11 | 6 | 15 | 0 | 16 | 7 | 15 | 0 | 9 | 6 | 17 | 6 | 1 INPUTS | 1 | cnt/Er<0> -INPUTMC | 1 | 0 | 11 +INPUTMC | 1 | 0 | 4 EQ | 2 | cnt/Er<1>.D = cnt/Er<0>; cnt/Er<1>.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK +MACROCELL | 4 | 12 | cnt/LTimerTC +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 2 | 7 | 15 | 0 | 9 +INPUTS | 12 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<10> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/LTimer<9> | cnt/LTimer<11> +INPUTMC | 12 | 4 | 17 | 3 | 14 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 +EQ | 5 | + cnt/LTimerTC.D = !cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<10> & + cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & + cnt/LTimer<6> & cnt/LTimer<7> & cnt/LTimer<8> & cnt/LTimer<9> & + cnt/LTimer<11>; + cnt/LTimerTC.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + MACROCELL | 3 | 9 | cs/ODCSr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 4 | 12 +OUTPUTMC | 1 | 2 | 3 INPUTS | 6 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | nAS_FSB | fsb/ASrf -INPUTMC | 1 | 2 | 1 +INPUTMC | 1 | 3 | 2 INPUTP | 5 | 36 | 30 | 29 | 28 | 54 EQ | 5 | cs/ODCSr.D = !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & @@ -1497,19 +1776,23 @@ EQ | 5 | cs/ODCSr.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 1 | fsb/ASrf +MACROCELL | 3 | 2 | fsb/ASrf ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 36 | 3 | 16 | 4 | 2 | 4 | 12 | 3 | 1 | 7 | 15 | 2 | 17 | 4 | 7 | 7 | 11 | 3 | 10 | 4 | 9 | 7 | 3 | 3 | 2 | 3 | 15 | 3 | 11 | 7 | 16 | 7 | 9 | 4 | 6 | 3 | 7 | 7 | 8 | 3 | 14 | 3 | 9 | 2 | 0 | 4 | 17 | 4 | 1 | 2 | 8 | 3 | 3 | 3 | 4 | 3 | 12 | 3 | 13 | 3 | 17 | 4 | 0 | 4 | 3 | 4 | 4 | 4 | 5 | 4 | 16 | 7 | 7 -INPUTS | 1 | nAS_FSB +OUTPUTMC | 38 | 4 | 15 | 3 | 13 | 2 | 0 | 2 | 11 | 2 | 3 | 7 | 15 | 3 | 12 | 2 | 7 | 7 | 11 | 3 | 10 | 2 | 6 | 4 | 1 | 2 | 10 | 2 | 2 | 2 | 14 | 3 | 3 | 6 | 0 | 7 | 12 | 2 | 17 | 3 | 11 | 2 | 4 | 2 | 13 | 7 | 8 | 2 | 9 | 3 | 15 | 3 | 9 | 2 | 12 | 2 | 5 | 2 | 8 | 6 | 16 | 3 | 6 | 2 | 1 | 2 | 16 | 7 | 3 | 7 | 7 | 7 | 9 | 7 | 17 | 3 | 1 +INPUTS | 5 | nAS_FSB | nBR_IOB | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | nAoutOE +INPUTMC | 4 | 7 | 14 | 7 | 15 | 0 | 9 | 3 | 1 INPUTP | 1 | 54 -EQ | 2 | +EXPORTS | 1 | 3 | 1 +EQ | 4 | fsb/ASrf.D = !nAS_FSB; !fsb/ASrf.CLK = FCLK; // GCK + fsb/ASrf.EXP = !nBR_IOB & cnt/IS_FSM_FFd1 & cnt/IS_FSM_FFd2 + # cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & !nAoutOE GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 0 | iobs/IODONEr +MACROCELL | 1 | 8 | iobs/IODONEr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 7 | 15 +OUTPUTMC | 1 | 3 | 12 INPUTS | 1 | IODONE INPUTMC | 1 | 5 | 9 EQ | 2 | @@ -1517,110 +1800,91 @@ EQ | 2 | iobs/IODONEr.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 0 | nRESout +MACROCELL | 3 | 16 | nRESout ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 3 | 7 | 3 | 1 -INPUTS | 4 | cnt/INITS_FSM_FFd1 | cnt/INITS_FSM_FFd2 | nBR_IOB | nAoutOE -INPUTMC | 4 | 6 | 2 | 6 | 12 | 7 | 14 | 3 | 1 -EXPORTS | 1 | 3 | 1 -EQ | 6 | - nRESout.D = cnt/INITS_FSM_FFd1 & !cnt/INITS_FSM_FFd2; +OUTPUTMC | 2 | 3 | 7 | 3 | 17 +INPUTS | 11 | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | A_FSB<22> | A_FSB<11> | $OpTx$$OpTx$FX_DC$354_INV$541 | A_FSB<9> | A_FSB<8> | cnt/WS<0> | cnt/WS<1> | cnt/WS<2> | cnt/WS<3> +INPUTMC | 7 | 7 | 15 | 0 | 9 | 3 | 6 | 3 | 3 | 3 | 12 | 3 | 11 | 3 | 15 +INPUTP | 4 | 30 | 15 | 12 | 11 +EXPORTS | 1 | 3 | 17 +EQ | 8 | + nRESout.D = cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2; nRESout.CLK = FCLK; // GCK - nRESout.EXP = !nBR_IOB & cnt/INITS_FSM_FFd1 & - cnt/INITS_FSM_FFd2 - # cnt/INITS_FSM_FFd1 & !cnt/INITS_FSM_FFd2 & - !nAoutOE + nRESout.EXP = A_FSB<22> & !A_FSB<11> & + !$OpTx$$OpTx$FX_DC$354_INV$541 + # A_FSB<22> & A_FSB<9> & !A_FSB<8> & + !$OpTx$$OpTx$FX_DC$354_INV$541 + # cnt/WS<0> & cnt/WS<1> & cnt/WS<2> & cnt/WS<3> & + !$OpTx$$OpTx$FX_DC$354_INV$541 GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 0 | ram/BACTr +MACROCELL | 2 | 13 | ram/CAS ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 11 | 4 | 1 | 3 | 1 | 4 | 6 | 3 | 14 | 4 | 16 | 3 | 3 | 3 | 13 | 4 | 0 | 4 | 3 | 4 | 5 | 4 | 7 -INPUTS | 2 | nAS_FSB | fsb/ASrf -INPUTMC | 1 | 2 | 1 -INPUTP | 1 | 54 -EQ | 2 | - !ram/BACTr.D = nAS_FSB & !fsb/ASrf; - ram/BACTr.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 4 | 17 | ram/CAS -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 4 | 4 -INPUTS | 11 | ram/RS_FSM_FFd6 | ram/RS_FSM_FFd7 | RefUrg | ram/RefDone | ram/RS_FSM_FFd5 | ram/RAMEN | ram/RS_FSM_FFd8 | nAS_FSB | fsb/ASrf | EXP12_.EXP | ram/RefDone.EXP -INPUTMC | 10 | 4 | 6 | 3 | 15 | 6 | 17 | 4 | 16 | 1 | 13 | 4 | 2 | 3 | 2 | 2 | 1 | 4 | 0 | 4 | 16 -INPUTP | 1 | 54 -IMPORTS | 2 | 4 | 0 | 4 | 16 -EQ | 26 | +OUTPUTMC | 2 | 4 | 4 | 2 | 14 +INPUTS | 19 | ram/RS_FSM_FFd6 | ram/RS_FSM_FFd7 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<13> | iobs/Sent | nWE_FSB | iobs/TS_FSM_FFd1 | fsb/ASrf | nADoutLE1 | iobs/TS_FSM_FFd2 | A_FSB<14> | EXP10_.EXP +INPUTMC | 8 | 2 | 4 | 2 | 2 | 2 | 0 | 0 | 6 | 3 | 2 | 5 | 13 | 7 | 2 | 2 | 12 +INPUTP | 11 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 18 | 47 | 19 +EXPORTS | 1 | 2 | 14 +IMPORTS | 1 | 2 | 12 +EQ | 25 | ram/CAS.D = ram/RS_FSM_FFd7 # ram/RS_FSM_FFd6 +;Imported pterms FB3_13 # RefUrg & !ram/RefDone & ram/RS_FSM_FFd5 - # RefUrg & !ram/RAMEN & !ram/RefDone & - ram/RS_FSM_FFd8 # RefUrg & !ram/RefDone & nAS_FSB & ram/RS_FSM_FFd8 & !fsb/ASrf -;Imported pterms FB5_1 - # A_FSB<23> & !ram/RefDone & RefReq & !nAS_FSB & - ram/RS_FSM_FFd8 & !ram/BACTr - # A_FSB<23> & !ram/RefDone & RefReq & - ram/RS_FSM_FFd8 & fsb/ASrf & !ram/BACTr - # A_FSB<22> & !ram/RefDone & RefReq & - ram/RS_FSM_FFd8 & fsb/ASrf & !ram/BACTr - # !ram/RefDone & RefReq & !cs/nOverlay & !nAS_FSB & - ram/RS_FSM_FFd8 & !ram/BACTr - # !ram/RefDone & RefReq & !cs/nOverlay & - ram/RS_FSM_FFd8 & fsb/ASrf & !ram/BACTr -;Imported pterms FB5_17 + # !ram/RefDone & RefReq & nAS_FSB & + ram/RS_FSM_FFd8 & !fsb/ASrf # !A_FSB<23> & !A_FSB<22> & ram/RAMEN & cs/nOverlay & !nAS_FSB & ram/RS_FSM_FFd8 # !A_FSB<23> & !A_FSB<22> & ram/RAMEN & cs/nOverlay & - ram/RS_FSM_FFd8 & fsb/ASrf - # A_FSB<22> & !ram/RefDone & RefReq & !nAS_FSB & - ram/RS_FSM_FFd8 & !ram/BACTr; + ram/RS_FSM_FFd8 & fsb/ASrf; ram/CAS.CLK = FCLK; // GCK + ram/CAS.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & + !iobs/Sent & !nWE_FSB & iobs/TS_FSM_FFd1 & fsb/ASrf & + nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & + !iobs/Sent & !nWE_FSB & iobs/TS_FSM_FFd2 & fsb/ASrf & + nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + !iobs/Sent & !nWE_FSB & iobs/TS_FSM_FFd1 & fsb/ASrf & + nADoutLE1 GLOBALS | 1 | 2 | FCLK -MACROCELL | 1 | 17 | ram/RASrf +MACROCELL | 1 | 7 | ram/RASrf ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 7 | 4 +OUTPUTMC | 1 | 7 | 5 INPUTS | 1 | ram/RS_FSM_FFd7 -INPUTMC | 1 | 3 | 15 +INPUTMC | 1 | 2 | 2 EQ | 2 | ram/RASrf.D = ram/RS_FSM_FFd7; !ram/RASrf.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 4 | 3 | ram/RASrr +MACROCELL | 2 | 5 | ram/RASrr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 7 | 5 | 4 | 2 -INPUTS | 11 | ram/RS_FSM_FFd3 | A_FSB<23> | ram/RefDone | RefReq | ram/RS_FSM_FFd8 | fsb/ASrf | ram/BACTr | A_FSB<22> | cs/nOverlay | nAS_FSB | nCAS_OBUF.EXP -INPUTMC | 8 | 1 | 14 | 4 | 16 | 6 | 14 | 3 | 2 | 2 | 1 | 2 | 0 | 4 | 12 | 4 | 4 +OUTPUTMC | 1 | 7 | 5 +INPUTS | 9 | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd6 | A_FSB<23> | A_FSB<22> | ram/RAMEN | cs/nOverlay | nAS_FSB | ram/RS_FSM_FFd8 | fsb/ASrf +INPUTMC | 6 | 1 | 4 | 2 | 4 | 2 | 11 | 2 | 3 | 2 | 10 | 3 | 2 INPUTP | 3 | 36 | 30 | 54 -EXPORTS | 1 | 4 | 2 -IMPORTS | 1 | 4 | 4 -EQ | 16 | +EQ | 7 | ram/RASrr.D = ram/RS_FSM_FFd3 -;Imported pterms FB5_5 # ram/RS_FSM_FFd6 # !A_FSB<23> & !A_FSB<22> & ram/RAMEN & cs/nOverlay & !nAS_FSB & ram/RS_FSM_FFd8 # !A_FSB<23> & !A_FSB<22> & ram/RAMEN & cs/nOverlay & ram/RS_FSM_FFd8 & fsb/ASrf; ram/RASrr.CLK = FCLK; // GCK - ram/RASrr.EXP = A_FSB<23> & !ram/RefDone & RefReq & - ram/RS_FSM_FFd8 & fsb/ASrf & !ram/BACTr - # A_FSB<22> & !ram/RefDone & RefReq & - ram/RS_FSM_FFd8 & fsb/ASrf & !ram/BACTr - # !ram/RefDone & RefReq & !cs/nOverlay & !nAS_FSB & - ram/RS_FSM_FFd8 & !ram/BACTr - # !ram/RefDone & RefReq & !cs/nOverlay & - ram/RS_FSM_FFd8 & fsb/ASrf & !ram/BACTr GLOBALS | 1 | 2 | FCLK -MACROCELL | 1 | 16 | ram/RS_FSM_FFd1 +MACROCELL | 1 | 6 | ram/RS_FSM_FFd1 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 4 | 15 +OUTPUTMC | 1 | 0 | 5 INPUTS | 1 | ram/RS_FSM_FFd2 -INPUTMC | 1 | 1 | 15 +INPUTMC | 1 | 1 | 5 EQ | 2 | ram/RS_FSM_FFd1.D = ram/RS_FSM_FFd2; ram/RS_FSM_FFd1.CLK = FCLK; // GCK @@ -1629,7 +1893,7 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 6 | 5 | RA_0_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 3 | ram/RASEL | A_FSB<1> | A_FSB<9> -INPUTMC | 1 | 4 | 9 +INPUTMC | 1 | 2 | 6 INPUTP | 2 | 149 | 12 EQ | 2 | RA<0> = ram/RASEL & A_FSB<1> @@ -1638,7 +1902,7 @@ EQ | 2 | MACROCELL | 6 | 8 | RA_10_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 3 | A_FSB<17> | ram/RASEL | A_FSB<7> -INPUTMC | 1 | 4 | 9 +INPUTMC | 1 | 2 | 6 INPUTP | 2 | 23 | 8 EQ | 2 | RA<10> = A_FSB<17> & !ram/RASEL @@ -1646,17 +1910,23 @@ EQ | 2 | MACROCELL | 6 | 1 | RA_1_OBUF ATTRIBUTES | 264962 | 0 -INPUTS | 3 | ram/RASEL | A_FSB<10> | A_FSB<2> -INPUTMC | 1 | 4 | 9 +OUTPUTMC | 1 | 6 | 0 +INPUTS | 16 | A_FSB<10> | ram/RASEL | A_FSB<2> | RefUrg | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/IS_FSM_FFd2 | cnt/Er<0> | cnt/Er<1> +INPUTMC | 14 | 2 | 6 | 0 | 10 | 4 | 17 | 3 | 14 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 0 | 9 | 0 | 4 | 0 | 3 INPUTP | 2 | 13 | 153 -EQ | 2 | - RA<1> = ram/RASEL & A_FSB<2> - # !ram/RASEL & A_FSB<10>; +EXPORTS | 1 | 6 | 0 +EQ | 6 | + RA<1> = A_FSB<10> & !ram/RASEL + # ram/RASEL & A_FSB<2>; + RA_1_OBUF.EXP = RefUrg & cnt/LTimer<0> & cnt/LTimer<1> & + cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & + cnt/LTimer<6> & cnt/LTimer<7> & cnt/LTimer<8> & cnt/IS_FSM_FFd2 & + !cnt/Er<0> & cnt/Er<1> MACROCELL | 4 | 13 | RA_2_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 3 | A_FSB<16> | ram/RASEL | A_FSB<7> -INPUTMC | 1 | 4 | 9 +INPUTMC | 1 | 2 | 6 INPUTP | 2 | 22 | 8 EQ | 2 | RA<2> = A_FSB<16> & !ram/RASEL @@ -1664,44 +1934,44 @@ EQ | 2 | MACROCELL | 4 | 8 | RA_4_OBUF ATTRIBUTES | 264962 | 0 -INPUTS | 3 | ram/RASEL | A_FSB<11> | A_FSB<3> -INPUTMC | 1 | 4 | 9 +INPUTS | 3 | A_FSB<11> | ram/RASEL | A_FSB<3> +INPUTMC | 1 | 2 | 6 INPUTP | 2 | 15 | 155 EQ | 2 | - RA<4> = ram/RASEL & A_FSB<3> - # !ram/RASEL & A_FSB<11>; + RA<4> = A_FSB<11> & !ram/RASEL + # ram/RASEL & A_FSB<3>; MACROCELL | 4 | 11 | RA_5_OBUF ATTRIBUTES | 264962 | 0 -INPUTS | 3 | ram/RASEL | A_FSB<12> | A_FSB<4> -INPUTMC | 1 | 4 | 9 +INPUTS | 3 | A_FSB<12> | ram/RASEL | A_FSB<4> +INPUTMC | 1 | 2 | 6 INPUTP | 2 | 17 | 157 EQ | 2 | - RA<5> = ram/RASEL & A_FSB<4> - # !ram/RASEL & A_FSB<12>; + RA<5> = A_FSB<12> & !ram/RASEL + # ram/RASEL & A_FSB<4>; MACROCELL | 4 | 14 | RA_6_OBUF ATTRIBUTES | 264962 | 0 -INPUTS | 3 | ram/RASEL | A_FSB<13> | A_FSB<5> -INPUTMC | 1 | 4 | 9 +INPUTS | 3 | A_FSB<13> | ram/RASEL | A_FSB<5> +INPUTMC | 1 | 2 | 6 INPUTP | 2 | 18 | 4 EQ | 2 | - RA<6> = ram/RASEL & A_FSB<5> - # !ram/RASEL & A_FSB<13>; + RA<6> = A_FSB<13> & !ram/RASEL + # ram/RASEL & A_FSB<5>; MACROCELL | 6 | 4 | RA_7_OBUF ATTRIBUTES | 264962 | 0 -INPUTS | 3 | ram/RASEL | A_FSB<14> | A_FSB<6> -INPUTMC | 1 | 4 | 9 +INPUTS | 3 | A_FSB<14> | ram/RASEL | A_FSB<6> +INPUTMC | 1 | 2 | 6 INPUTP | 2 | 19 | 6 EQ | 2 | - RA<7> = ram/RASEL & A_FSB<6> - # !ram/RASEL & A_FSB<14>; + RA<7> = A_FSB<14> & !ram/RASEL + # ram/RASEL & A_FSB<6>; MACROCELL | 6 | 7 | RA_8_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 3 | A_FSB<18> | ram/RASEL | A_FSB<21> -INPUTMC | 1 | 4 | 9 +INPUTMC | 1 | 2 | 6 INPUTP | 2 | 24 | 29 EQ | 2 | RA<8> = A_FSB<21> & ram/RASEL @@ -1709,82 +1979,75 @@ EQ | 2 | MACROCELL | 6 | 10 | RA_9_OBUF ATTRIBUTES | 264962 | 0 -INPUTS | 3 | ram/RASEL | A_FSB<15> | A_FSB<8> -INPUTMC | 1 | 4 | 9 +INPUTS | 3 | A_FSB<15> | ram/RASEL | A_FSB<8> +INPUTMC | 1 | 2 | 6 INPUTP | 2 | 21 | 11 EQ | 2 | - RA<9> = ram/RASEL & A_FSB<8> - # !ram/RASEL & A_FSB<15>; + RA<9> = A_FSB<15> & !ram/RASEL + # ram/RASEL & A_FSB<8>; MACROCELL | 4 | 5 | nOE_OBUF ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 4 | 6 -INPUTS | 10 | nWE_FSB | nAS_FSB | A_FSB<23> | ram/RefDone | RefReq | ram/RS_FSM_FFd8 | fsb/ASrf | ram/BACTr | A_FSB<22> | cs/nOverlay -INPUTMC | 6 | 4 | 16 | 6 | 14 | 3 | 2 | 2 | 1 | 2 | 0 | 4 | 12 -INPUTP | 4 | 47 | 54 | 36 | 30 -EXPORTS | 1 | 4 | 6 -EQ | 7 | - !nOE = nWE_FSB & !nAS_FSB; - nOE_OBUF.EXP = A_FSB<23> & !ram/RefDone & RefReq & - ram/RS_FSM_FFd8 & fsb/ASrf & !ram/BACTr - # A_FSB<22> & !ram/RefDone & RefReq & - ram/RS_FSM_FFd8 & fsb/ASrf & !ram/BACTr - # !ram/RefDone & RefReq & !cs/nOverlay & !nAS_FSB & - ram/RS_FSM_FFd8 & !ram/BACTr - -MACROCELL | 2 | 16 | nROMWE_OBUF -ATTRIBUTES | 264962 | 0 INPUTS | 2 | nWE_FSB | nAS_FSB INPUTP | 2 | 47 | 54 EQ | 1 | + !nOE = nWE_FSB & !nAS_FSB; + +MACROCELL | 2 | 16 | nROMWE_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 2 | 15 +INPUTS | 11 | nWE_FSB | nAS_FSB | iobs/IORW1 | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<23> | A_FSB<22> | fsb/ASrf | A_FSB<21> | A_FSB<20> | cs/nOverlay +INPUTMC | 5 | 7 | 16 | 7 | 2 | 5 | 13 | 3 | 2 | 2 | 3 +INPUTP | 6 | 47 | 54 | 36 | 30 | 29 | 28 +EXPORTS | 1 | 2 | 15 +EQ | 8 | !nROMWE = !nWE_FSB & !nAS_FSB; + nROMWE_OBUF.EXP = !iobs/IORW1 & !iobs/TS_FSM_FFd2 & !nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !iobs/TS_FSM_FFd2 & + nADoutLE1 + # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & + nADoutLE1 + # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & + !iobs/TS_FSM_FFd2 & nADoutLE1 MACROCELL | 5 | 14 | nADoutLE0_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 2 | ALE0M | ALE0S -INPUTMC | 2 | 5 | 15 | 0 | 12 +INPUTMC | 2 | 5 | 15 | 4 | 15 EQ | 1 | nADoutLE0 = !ALE0M & !ALE0S; MACROCELL | 4 | 4 | nCAS_OBUF ATTRIBUTES | 8684290 | 0 -OUTPUTMC | 1 | 4 | 3 -INPUTS | 9 | ram/CAS | ram/RS_FSM_FFd6 | A_FSB<23> | A_FSB<22> | ram/RAMEN | cs/nOverlay | nAS_FSB | ram/RS_FSM_FFd8 | fsb/ASrf -INPUTMC | 6 | 4 | 17 | 4 | 6 | 4 | 2 | 4 | 12 | 3 | 2 | 2 | 1 -INPUTP | 3 | 36 | 30 | 54 -EXPORTS | 1 | 4 | 3 -EQ | 7 | +INPUTS | 1 | ram/CAS +INPUTMC | 1 | 2 | 13 +EQ | 2 | nCAS.D = !ram/CAS; !nCAS.CLK = FCLK; // GCK - nCAS_OBUF.EXP = ram/RS_FSM_FFd6 - # !A_FSB<23> & !A_FSB<22> & ram/RAMEN & cs/nOverlay & - !nAS_FSB & ram/RS_FSM_FFd8 - # !A_FSB<23> & !A_FSB<22> & ram/RAMEN & cs/nOverlay & - ram/RS_FSM_FFd8 & fsb/ASrf GLOBALS | 1 | 2 | FCLK MACROCELL | 2 | 8 | nDTACK_FSB_OBUF ATTRIBUTES | 8684290 | 0 -INPUTS | 10 | A_FSB<23> | IONPReady | nAS_FSB | fsb/ASrf | A_FSB<22> | A_FSB<20> | A_FSB<21> | RAMReady | cnt/LTimer<5>.EXP | cnt/LTimer<4>.EXP -INPUTMC | 5 | 7 | 16 | 2 | 1 | 3 | 14 | 2 | 7 | 2 | 9 +INPUTS | 9 | QoSReady | A_FSB<23> | IONPReady | nAS_FSB | fsb/ASrf | A_FSB<22> | A_FSB<20> | A_FSB<21> | ram/Once.EXP +INPUTMC | 4 | 3 | 0 | 3 | 13 | 3 | 2 | 2 | 7 INPUTP | 5 | 36 | 54 | 30 | 28 | 29 -IMPORTS | 2 | 2 | 7 | 2 | 9 +IMPORTS | 1 | 2 | 7 EQ | 16 | - nDTACK_FSB.D = A_FSB<23> & !IONPReady + nDTACK_FSB.D = !QoSReady + # A_FSB<23> & !IONPReady # nAS_FSB & !fsb/ASrf # A_FSB<22> & A_FSB<21> & !IONPReady # A_FSB<22> & A_FSB<20> & !IONPReady - # !A_FSB<22> & !IONPReady & !RAMReady ;Imported pterms FB3_8 + # !A_FSB<22> & !IONPReady & !RAMReady # A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & cs/nOverlay & !nWE_FSB & !IONPReady & - !IOPWReady & A_FSB<14> -;Imported pterms FB3_10 + A_FSB<17> & A_FSB<16> & A_FSB<13> & !nWE_FSB & !IONPReady & + !IOPWReady # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & cs/nOverlay & !nWE_FSB & !IONPReady & - !IOPWReady & A_FSB<13>; + A_FSB<17> & A_FSB<16> & A_FSB<14> & !nWE_FSB & !IONPReady & + !IOPWReady; nDTACK_FSB.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK @@ -1792,7 +2055,7 @@ MACROCELL | 5 | 16 | nDinLE_OBUF ATTRIBUTES | 8684290 | 0 OUTPUTMC | 1 | 5 | 17 INPUTS | 10 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | IOBERR | IODONE | iobm/IOS_FSM_FFd7 | iobm/IOWRREQr | nAoutOE | IOACT | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 -INPUTMC | 10 | 5 | 3 | 0 | 5 | 0 | 16 | 5 | 9 | 5 | 2 | 0 | 3 | 3 | 1 | 5 | 17 | 0 | 6 | 0 | 15 +INPUTMC | 10 | 5 | 3 | 1 | 13 | 0 | 13 | 5 | 9 | 5 | 2 | 1 | 11 | 3 | 1 | 5 | 17 | 1 | 14 | 1 | 17 EXPORTS | 1 | 5 | 17 EQ | 6 | !nDinLE.D = !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4; @@ -1803,46 +2066,42 @@ EQ | 6 | !iobm/IOS_FSM_FFd1 & !iobm/IOS_FSM_FFd2 GLOBALS | 1 | 2 | C16M -MACROCELL | 3 | 7 | C20MEN_OBUF +MACROCELL | 3 | 7 | N0 ATTRIBUTES | 265986 | 0 -OUTPUTMC | 1 | 3 | 8 -INPUTS | 11 | nRESout | iobs/IORW1 | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<23> | A_FSB<22> | nAS_FSB | fsb/ASrf | A_FSB<21> | A_FSB<20> | cs/nOverlay -INPUTMC | 6 | 3 | 0 | 3 | 3 | 7 | 3 | 5 | 13 | 2 | 1 | 4 | 12 -INPUTP | 5 | 36 | 30 | 54 | 29 | 28 -EXPORTS | 1 | 3 | 8 -EQ | 9 | +INPUTS | 1 | nRESout +INPUTMC | 1 | 3 | 16 +EQ | 2 | nRES = Gnd; nRES.OE = !nRESout; - C20MEN_OBUF.EXP = !iobs/IORW1 & !iobs/TS_FSM_FFd2 & !nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !iobs/TS_FSM_FFd2 & - nADoutLE1 - # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & - nADoutLE1 - # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & - !iobs/TS_FSM_FFd2 & nADoutLE1 MACROCELL | 7 | 1 | RA_11_OBUF$BUF0 ATTRIBUTES | 264962 | 0 OUTPUTMC | 1 | 7 | 0 -INPUTS | 11 | A_FSB<19> | ram/RASEL | A_FSB<20> | A_FSB<23> | A_FSB<22> | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<14> | A_FSB<13> | A_FSB<21> | cs/nOverlay -INPUTMC | 4 | 4 | 9 | 7 | 3 | 5 | 13 | 4 | 12 -INPUTP | 7 | 26 | 28 | 36 | 30 | 19 | 18 | 29 +INPUTS | 12 | A_FSB<23> | A_FSB<22> | A_FSB<19> | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<21> | A_FSB<20> | cs/nOverlay | iobs/TS_FSM_FFd2.EXP +INPUTMC | 4 | 7 | 2 | 5 | 13 | 2 | 3 | 7 | 2 +INPUTP | 8 | 36 | 30 | 26 | 24 | 23 | 22 | 29 | 28 EXPORTS | 1 | 7 | 0 -EQ | 8 | - RA<11> = A_FSB<20> & ram/RASEL +IMPORTS | 1 | 7 | 2 +EQ | 13 | + RA<11> = ;Imported pterms FB8_3 + A_FSB<20> & ram/RASEL # A_FSB<19> & !ram/RASEL; - RA_11_OBUF$BUF0.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<20> & + RA_11_OBUF$BUF0.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<19> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<18> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<17> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<16> & !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !iobs/TS_FSM_FFd2 & - !A_FSB<14> & !A_FSB<13> & nADoutLE1 # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & !iobs/TS_FSM_FFd2 & nADoutLE1 MACROCELL | 5 | 13 | nADoutLE1_OBUF ATTRIBUTES | 8815366 | 0 -OUTPUTMC | 25 | 3 | 16 | 3 | 3 | 2 | 17 | 7 | 3 | 3 | 11 | 7 | 17 | 7 | 12 | 3 | 8 | 7 | 8 | 5 | 13 | 3 | 4 | 3 | 7 | 3 | 12 | 3 | 15 | 3 | 17 | 7 | 0 | 7 | 1 | 7 | 2 | 7 | 4 | 7 | 7 | 7 | 9 | 7 | 11 | 7 | 13 | 7 | 14 | 7 | 16 +OUTPUTMC | 24 | 2 | 0 | 7 | 16 | 7 | 2 | 2 | 14 | 7 | 0 | 7 | 13 | 2 | 15 | 7 | 8 | 0 | 12 | 5 | 13 | 2 | 1 | 2 | 13 | 2 | 16 | 2 | 17 | 7 | 1 | 7 | 3 | 7 | 4 | 7 | 7 | 7 | 9 | 7 | 11 | 7 | 12 | 7 | 14 | 7 | 15 | 7 | 17 INPUTS | 3 | iobs/Clear1 | nADoutLE1 | iobs/Load1 -INPUTMC | 3 | 7 | 15 | 5 | 13 | 3 | 11 +INPUTMC | 3 | 0 | 0 | 5 | 13 | 2 | 14 EQ | 3 | !nADoutLE1.D = iobs/Load1 # !iobs/Clear1 & !nADoutLE1; @@ -1851,93 +2110,66 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 3 | 1 | nAoutOE_OBUF ATTRIBUTES | 8815366 | 0 -OUTPUTMC | 14 | 5 | 1 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 2 | 5 | 0 | 5 | 17 | 5 | 15 | 5 | 12 | 3 | 0 | 3 | 4 | 3 | 5 | 5 | 16 | 3 | 2 -INPUTS | 10 | A_FSB<23> | ram/RefDone | RefReq | nAS_FSB | ram/RS_FSM_FFd4 | ram/BACTr | fsb/ASrf | A_FSB<22> | cs/nOverlay | nRESout.EXP -INPUTMC | 7 | 4 | 16 | 6 | 14 | 4 | 15 | 2 | 0 | 2 | 1 | 4 | 12 | 3 | 0 -INPUTP | 3 | 36 | 54 | 30 -EXPORTS | 1 | 3 | 2 -IMPORTS | 1 | 3 | 0 -EQ | 16 | - !nAoutOE.D = ;Imported pterms FB4_1 - !nBR_IOB & cnt/INITS_FSM_FFd1 & - cnt/INITS_FSM_FFd2 - # cnt/INITS_FSM_FFd1 & !cnt/INITS_FSM_FFd2 & - !nAoutOE; +OUTPUTMC | 13 | 5 | 1 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 2 | 5 | 0 | 5 | 17 | 5 | 15 | 5 | 12 | 3 | 4 | 3 | 2 | 5 | 16 | 3 | 0 +INPUTS | 8 | A_FSB<22> | A_FSB<17> | $OpTx$$OpTx$FX_DC$354_INV$541 | A_FSB<16> | A_FSB<13> | A_FSB<14> | A_FSB<10> | fsb/ASrf.EXP +INPUTMC | 2 | 3 | 6 | 3 | 2 +INPUTP | 6 | 30 | 23 | 22 | 18 | 19 | 13 +EXPORTS | 1 | 3 | 0 +IMPORTS | 1 | 3 | 2 +EQ | 14 | + !nAoutOE.D = ;Imported pterms FB4_3 + !nBR_IOB & cnt/IS_FSM_FFd1 & cnt/IS_FSM_FFd2 + # cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & !nAoutOE; nAoutOE.CLK = FCLK; // GCK - nAoutOE_OBUF.EXP = A_FSB<23> & !ram/RefDone & RefReq & !nAS_FSB & - !ram/RS_FSM_FFd4 & !ram/BACTr - # A_FSB<23> & !ram/RefDone & RefReq & - !ram/RS_FSM_FFd4 & fsb/ASrf & !ram/BACTr - # A_FSB<22> & !ram/RefDone & RefReq & !nAS_FSB & - !ram/RS_FSM_FFd4 & !ram/BACTr - # A_FSB<22> & !ram/RefDone & RefReq & - !ram/RS_FSM_FFd4 & fsb/ASrf & !ram/BACTr - # !ram/RefDone & RefReq & !cs/nOverlay & !nAS_FSB & - !ram/RS_FSM_FFd4 & !ram/BACTr + nAoutOE_OBUF.EXP = A_FSB<22> & !A_FSB<17> & + !$OpTx$$OpTx$FX_DC$354_INV$541 + # A_FSB<22> & !A_FSB<16> & + !$OpTx$$OpTx$FX_DC$354_INV$541 + # A_FSB<22> & !A_FSB<13> & + !$OpTx$$OpTx$FX_DC$354_INV$541 + # A_FSB<22> & !A_FSB<14> & + !$OpTx$$OpTx$FX_DC$354_INV$541 + # A_FSB<22> & !A_FSB<10> & + !$OpTx$$OpTx$FX_DC$354_INV$541 GLOBALS | 1 | 2 | FCLK MACROCELL | 3 | 5 | nDinOE_OBUF ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 3 | 4 -INPUTS | 10 | A_FSB<23> | nWE_FSB | nAS_FSB | A_FSB<22> | A_FSB<21> | A_FSB<20> | iobm/IORDREQr | iobm/IOS0 | iobm/IOWRREQr | nAoutOE -INPUTMC | 4 | 0 | 7 | 5 | 12 | 0 | 3 | 3 | 1 -INPUTP | 6 | 36 | 47 | 54 | 30 | 29 | 28 -EXPORTS | 1 | 3 | 4 -EQ | 5 | +INPUTS | 6 | A_FSB<23> | nWE_FSB | nAS_FSB | A_FSB<22> | A_FSB<20> | A_FSB<21> +INPUTP | 6 | 36 | 47 | 54 | 30 | 28 | 29 +EQ | 3 | !nDinOE = A_FSB<23> & nWE_FSB & !nAS_FSB # A_FSB<22> & A_FSB<21> & nWE_FSB & !nAS_FSB # A_FSB<22> & A_FSB<20> & nWE_FSB & !nAS_FSB; - nDinOE_OBUF.EXP = !iobm/IORDREQr & iobm/IOS0 & !iobm/IOWRREQr & - !nAoutOE MACROCELL | 3 | 4 | nDoutOE_OBUF ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 3 | 3 -INPUTS | 21 | iobm/DoutOE | nAoutOE | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | iobs/Sent | cs/nOverlay | nWE_FSB | iobs/IORW1 | iobs/TS_FSM_FFd1 | A_FSB<14> | fsb/ASrf | nADoutLE1 | A_FSB<13> | iobs/TS_FSM_FFd2 | nDinOE_OBUF.EXP -INPUTMC | 10 | 5 | 7 | 3 | 1 | 3 | 16 | 4 | 12 | 3 | 3 | 3 | 12 | 2 | 1 | 5 | 13 | 7 | 3 | 3 | 5 -INPUTP | 11 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 19 | 18 -EXPORTS | 1 | 3 | 3 -IMPORTS | 1 | 3 | 5 -EQ | 20 | +INPUTS | 5 | iobm/DoutOE | nAoutOE | iobm/IORDREQr | iobm/IOS0 | iobm/IOWRREQr +INPUTMC | 5 | 5 | 7 | 3 | 1 | 1 | 15 | 5 | 12 | 1 | 11 +EQ | 3 | !nDoutOE = iobm/DoutOE & !nAoutOE -;Imported pterms FB4_6 # !iobm/IORDREQr & iobm/IOS0 & !iobm/IOWRREQr & !nAoutOE; - nDoutOE_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & - cs/nOverlay & !nWE_FSB & iobs/IORW1 & iobs/TS_FSM_FFd1 & - A_FSB<14> & fsb/ASrf & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & - cs/nOverlay & !nWE_FSB & iobs/IORW1 & iobs/TS_FSM_FFd1 & - A_FSB<13> & fsb/ASrf & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & - cs/nOverlay & !nWE_FSB & iobs/IORW1 & iobs/TS_FSM_FFd2 & - A_FSB<14> & fsb/ASrf & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & - cs/nOverlay & !nWE_FSB & iobs/IORW1 & iobs/TS_FSM_FFd2 & - A_FSB<13> & fsb/ASrf & nADoutLE1 MACROCELL | 7 | 5 | nRAMLWE_OBUF ATTRIBUTES | 264962 | 0 OUTPUTMC | 1 | 7 | 4 -INPUTS | 8 | ram/RAMEN | nWE_FSB | nLDS_FSB | nAS_FSB | ram/RASrr | A_FSB<23> | A_FSB<22> | cs/nOverlay -INPUTMC | 3 | 4 | 2 | 4 | 3 | 4 | 12 +INPUTS | 9 | ram/RAMEN | nWE_FSB | nLDS_FSB | nAS_FSB | ram/RASrf | ram/RASrr | A_FSB<23> | A_FSB<22> | cs/nOverlay +INPUTMC | 4 | 2 | 11 | 1 | 7 | 2 | 5 | 2 | 3 INPUTP | 5 | 47 | 49 | 54 | 36 | 30 EXPORTS | 1 | 7 | 4 -EQ | 4 | +EQ | 5 | !nRAMLWE = ram/RAMEN & !nWE_FSB & !nLDS_FSB & !nAS_FSB; - nRAMLWE_OBUF.EXP = ram/RASrr + nRAMLWE_OBUF.EXP = ram/RASrf + # ram/RASrr # !A_FSB<23> & !A_FSB<22> & ram/RAMEN & cs/nOverlay & !nAS_FSB MACROCELL | 7 | 7 | nRAMUWE_OBUF ATTRIBUTES | 264962 | 0 OUTPUTMC | 1 | 7 | 8 -INPUTS | 12 | A_FSB<22> | A_FSB<21> | iobs/Sent | nWE_FSB | nAS_FSB | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | nADoutLE1 | fsb/ASrf | A_FSB<20> | cs/nOverlay | EXP15_.EXP -INPUTMC | 7 | 3 | 16 | 3 | 12 | 7 | 3 | 5 | 13 | 2 | 1 | 4 | 12 | 7 | 6 +INPUTS | 12 | A_FSB<22> | A_FSB<21> | iobs/Sent | nWE_FSB | nAS_FSB | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | nADoutLE1 | fsb/ASrf | A_FSB<20> | cs/nOverlay | EXP16_.EXP +INPUTMC | 7 | 2 | 0 | 0 | 6 | 7 | 2 | 5 | 13 | 3 | 2 | 2 | 3 | 7 | 6 INPUTP | 5 | 30 | 29 | 47 | 54 | 28 EXPORTS | 1 | 7 | 8 IMPORTS | 1 | 7 | 6 @@ -1950,33 +2182,36 @@ EQ | 12 | !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 # A_FSB<22> & A_FSB<20> & !iobs/Sent & !nWE_FSB & !nAS_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 + # A_FSB<22> & A_FSB<20> & !iobs/Sent & !nWE_FSB & + !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 # A_FSB<22> & !iobs/Sent & !cs/nOverlay & !nWE_FSB & !nAS_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 - # A_FSB<22> & !iobs/Sent & !cs/nOverlay & !nWE_FSB & - !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 MACROCELL | 4 | 1 | nROMCS_OBUF ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 4 | 2 -INPUTS | 12 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | cs/nOverlay | RefUrg | ram/RefDone | nAS_FSB | ram/RS_FSM_FFd8 | fsb/ASrf | RefReq | ram/BACTr -INPUTMC | 7 | 4 | 12 | 6 | 17 | 4 | 16 | 3 | 2 | 2 | 1 | 6 | 14 | 2 | 0 -INPUTP | 5 | 36 | 30 | 29 | 28 | 54 -EXPORTS | 1 | 4 | 2 -EQ | 8 | +OUTPUTMC | 1 | 4 | 0 +INPUTS | 22 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | cs/nOverlay | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<14> | A_FSB<11> | A_FSB<10> | nWE_FSB | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | A_FSB<9> | fsb/ASrf | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | A_FSB<8> +INPUTMC | 5 | 2 | 3 | 7 | 15 | 0 | 9 | 3 | 2 | 6 | 17 +INPUTP | 17 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 21 | 18 | 17 | 19 | 15 | 13 | 47 | 12 | 11 +EXPORTS | 1 | 4 | 0 +EQ | 17 | !nROMCS = !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & !cs/nOverlay; - nROMCS_OBUF.EXP = RefUrg & !ram/RefDone & nAS_FSB & - ram/RS_FSM_FFd8 & !fsb/ASrf - # A_FSB<23> & !ram/RefDone & RefReq & !nAS_FSB & - ram/RS_FSM_FFd8 & !ram/BACTr - # A_FSB<22> & !ram/RefDone & RefReq & !nAS_FSB & - ram/RS_FSM_FFd8 & !ram/BACTr - -MACROCELL | 6 | 13 | C20MEN_OBUF$BUF0 -ATTRIBUTES | 264962 | 0 -INPUTS | 0 -EQ | 1 | - C20MEN = Gnd; + nROMCS_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & + !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & + fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & + !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & + fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & + !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & + fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 MACROCELL | 6 | 11 | C25MEN_OBUF ATTRIBUTES | 264962 | 0 @@ -1984,222 +2219,371 @@ INPUTS | 0 EQ | 1 | C25MEN = Vcc; -MACROCELL | 3 | 13 | EXP10_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 3 | 14 -INPUTS | 10 | A_FSB<23> | ram/RefDone | RefReq | nAS_FSB | ram/RS_FSM_FFd4 | RAMReady | ram/BACTr | fsb/ASrf | A_FSB<22> | cs/nOverlay -INPUTMC | 7 | 4 | 16 | 6 | 14 | 4 | 15 | 3 | 14 | 2 | 0 | 2 | 1 | 4 | 12 -INPUTP | 3 | 36 | 54 | 30 -EXPORTS | 1 | 3 | 14 -EQ | 10 | - EXP10_.EXP = A_FSB<23> & !ram/RefDone & RefReq & !nAS_FSB & - !ram/RS_FSM_FFd4 & !RAMReady & !ram/BACTr - # A_FSB<23> & !ram/RefDone & RefReq & - !ram/RS_FSM_FFd4 & !RAMReady & fsb/ASrf & !ram/BACTr - # A_FSB<22> & !ram/RefDone & RefReq & - !ram/RS_FSM_FFd4 & !RAMReady & fsb/ASrf & !ram/BACTr - # !ram/RefDone & RefReq & !cs/nOverlay & !nAS_FSB & - !ram/RS_FSM_FFd4 & !RAMReady & !ram/BACTr - # !ram/RefDone & RefReq & !cs/nOverlay & - !ram/RS_FSM_FFd4 & !RAMReady & fsb/ASrf & !ram/BACTr +MACROCELL | 6 | 17 | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 +ATTRIBUTES | 133888 | 0 +OUTPUTMC | 8 | 4 | 17 | 3 | 14 | 3 | 13 | 3 | 15 | 4 | 0 | 4 | 1 | 4 | 15 | 4 | 16 +INPUTS | 37 | RefUrg | cnt/Er<0> | cnt/Er<1> | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<10> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/LTimer<9> | cnt/LTimer<11> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<14> | A_FSB<11> | A_FSB<10> | nWE_FSB | nAS_FSB | A_FSB<8> | A_FSB<9> | cnt/LTimer<9>.EXP | cnt/LTimer<10>.EXP +INPUTMC | 19 | 0 | 10 | 0 | 4 | 0 | 3 | 4 | 17 | 3 | 14 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 7 | 15 | 0 | 9 | 6 | 0 | 6 | 16 +INPUTP | 18 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 21 | 18 | 17 | 19 | 15 | 13 | 47 | 54 | 11 | 12 +IMPORTS | 2 | 6 | 0 | 6 | 16 +EQ | 47 | + cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 = RefUrg & !cnt/Er<0> & cnt/Er<1> + # !cnt/LTimer<0> & !cnt/LTimer<1> & !cnt/LTimer<10> & + !cnt/LTimer<2> & !cnt/LTimer<3> & !cnt/LTimer<4> & !cnt/LTimer<5> & + !cnt/LTimer<6> & !cnt/LTimer<7> & !cnt/LTimer<8> & !cnt/LTimer<9> & + !cnt/LTimer<11> & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & + !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & + A_FSB<9> + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & + !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & + A_FSB<8> + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & + !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & + A_FSB<8> +;Imported pterms FB7_1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & + !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & + fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & + !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & + fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & + !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & + fsb/ASrf +;Imported pterms FB7_17 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & + !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & + fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & + !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & + A_FSB<9>; -MACROCELL | 3 | 17 | EXP11_ +MACROCELL | 3 | 6 | $OpTx$$OpTx$FX_DC$354_INV$541 +ATTRIBUTES | 133888 | 0 +OUTPUTMC | 4 | 3 | 0 | 3 | 1 | 3 | 16 | 3 | 17 +INPUTS | 2 | nAS_FSB | fsb/ASrf +INPUTMC | 1 | 3 | 2 +INPUTP | 1 | 54 +EQ | 1 | + $OpTx$$OpTx$FX_DC$354_INV$541 = nAS_FSB & !fsb/ASrf; + +MACROCELL | 2 | 12 | EXP10_ ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 3 | 16 -INPUTS | 18 | A_FSB<22> | A_FSB<21> | iobs/Sent | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | fsb/ASrf | nADoutLE1 | A_FSB<23> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay | nWE_FSB | nAS_FSB | A_FSB<14> | A_FSB<13> -INPUTMC | 6 | 3 | 16 | 3 | 12 | 7 | 3 | 2 | 1 | 5 | 13 | 4 | 12 -INPUTP | 12 | 30 | 29 | 36 | 28 | 26 | 24 | 23 | 22 | 47 | 54 | 19 | 18 -EXPORTS | 1 | 3 | 16 -EQ | 14 | +OUTPUTMC | 1 | 2 | 13 +INPUTS | 11 | RefUrg | ram/RefDone | ram/RS_FSM_FFd5 | nAS_FSB | ram/RS_FSM_FFd8 | fsb/ASrf | RefReq | A_FSB<23> | A_FSB<22> | ram/RAMEN | cs/nOverlay +INPUTMC | 8 | 0 | 10 | 2 | 1 | 1 | 3 | 2 | 10 | 3 | 2 | 0 | 11 | 2 | 11 | 2 | 3 +INPUTP | 3 | 54 | 36 | 30 +EXPORTS | 1 | 2 | 13 +EQ | 9 | + EXP10_.EXP = RefUrg & !ram/RefDone & ram/RS_FSM_FFd5 + # RefUrg & !ram/RefDone & nAS_FSB & + ram/RS_FSM_FFd8 & !fsb/ASrf + # !ram/RefDone & RefReq & nAS_FSB & + ram/RS_FSM_FFd8 & !fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & ram/RAMEN & cs/nOverlay & + !nAS_FSB & ram/RS_FSM_FFd8 + # !A_FSB<23> & !A_FSB<22> & ram/RAMEN & cs/nOverlay & + ram/RS_FSM_FFd8 & fsb/ASrf + +MACROCELL | 2 | 17 | EXP11_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 0 +INPUTS | 17 | A_FSB<22> | A_FSB<21> | iobs/Sent | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | fsb/ASrf | nADoutLE1 | A_FSB<20> | cs/nOverlay | nAS_FSB | A_FSB<23> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<14> | nWE_FSB +INPUTMC | 6 | 2 | 0 | 0 | 6 | 7 | 2 | 3 | 2 | 5 | 13 | 2 | 3 +INPUTP | 11 | 30 | 29 | 28 | 54 | 36 | 26 | 24 | 23 | 22 | 19 | 47 +EXPORTS | 1 | 2 | 0 +EQ | 11 | EXP11_.EXP = A_FSB<22> & A_FSB<21> & !iobs/Sent & + !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 + # A_FSB<22> & A_FSB<20> & !iobs/Sent & + !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 + # A_FSB<22> & !iobs/Sent & !cs/nOverlay & !nAS_FSB & + !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 + # A_FSB<22> & !iobs/Sent & !cs/nOverlay & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & - cs/nOverlay & !nWE_FSB & !nAS_FSB & A_FSB<14> & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & - cs/nOverlay & !nWE_FSB & !nAS_FSB & A_FSB<13> & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & - cs/nOverlay & !nWE_FSB & A_FSB<14> & fsb/ASrf & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & - cs/nOverlay & !nWE_FSB & A_FSB<13> & fsb/ASrf & nADoutLE1 + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + !iobs/Sent & !nWE_FSB & !nAS_FSB & nADoutLE1 -MACROCELL | 4 | 0 | EXP12_ +MACROCELL | 3 | 17 | EXP12_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 3 | 0 +INPUTS | 8 | A_FSB<22> | A_FSB<21> | $OpTx$$OpTx$FX_DC$354_INV$541 | A_FSB<19> | A_FSB<18> | A_FSB<15> | A_FSB<12> | nRESout.EXP +INPUTMC | 2 | 3 | 6 | 3 | 16 +INPUTP | 6 | 30 | 29 | 26 | 24 | 21 | 17 +EXPORTS | 1 | 3 | 0 +IMPORTS | 1 | 3 | 16 +EQ | 17 | + EXP12_.EXP = A_FSB<22> & A_FSB<21> & + !$OpTx$$OpTx$FX_DC$354_INV$541 + # A_FSB<22> & A_FSB<19> & + !$OpTx$$OpTx$FX_DC$354_INV$541 + # A_FSB<22> & A_FSB<18> & + !$OpTx$$OpTx$FX_DC$354_INV$541 + # A_FSB<22> & A_FSB<15> & + !$OpTx$$OpTx$FX_DC$354_INV$541 + # A_FSB<22> & A_FSB<12> & + !$OpTx$$OpTx$FX_DC$354_INV$541 +;Imported pterms FB4_17 + # A_FSB<22> & !A_FSB<11> & + !$OpTx$$OpTx$FX_DC$354_INV$541 + # A_FSB<22> & A_FSB<9> & !A_FSB<8> & + !$OpTx$$OpTx$FX_DC$354_INV$541 + # cnt/WS<0> & cnt/WS<1> & cnt/WS<2> & cnt/WS<3> & + !$OpTx$$OpTx$FX_DC$354_INV$541 + +MACROCELL | 4 | 0 | EXP13_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 4 | 17 -INPUTS | 9 | A_FSB<23> | ram/RefDone | RefReq | nAS_FSB | ram/RS_FSM_FFd8 | ram/BACTr | fsb/ASrf | A_FSB<22> | cs/nOverlay -INPUTMC | 6 | 4 | 16 | 6 | 14 | 3 | 2 | 2 | 0 | 2 | 1 | 4 | 12 -INPUTP | 3 | 36 | 54 | 30 +INPUTS | 26 | cnt/LTimer<0> | cnt/LTimer<10> | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | cnt/LTimer<8> | cnt/LTimer<9> | cnt/LTimer<11> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<14> | A_FSB<11> | A_FSB<10> | nWE_FSB | nAS_FSB | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | A_FSB<8> | nROMCS_OBUF.EXP +INPUTMC | 9 | 4 | 17 | 6 | 16 | 6 | 17 | 6 | 2 | 6 | 0 | 6 | 15 | 7 | 15 | 0 | 9 | 4 | 1 +INPUTP | 17 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 21 | 18 | 17 | 19 | 15 | 13 | 47 | 54 | 11 EXPORTS | 1 | 4 | 17 -EQ | 10 | - EXP12_.EXP = A_FSB<23> & !ram/RefDone & RefReq & !nAS_FSB & - ram/RS_FSM_FFd8 & !ram/BACTr - # A_FSB<23> & !ram/RefDone & RefReq & - ram/RS_FSM_FFd8 & fsb/ASrf & !ram/BACTr - # A_FSB<22> & !ram/RefDone & RefReq & - ram/RS_FSM_FFd8 & fsb/ASrf & !ram/BACTr - # !ram/RefDone & RefReq & !cs/nOverlay & !nAS_FSB & - ram/RS_FSM_FFd8 & !ram/BACTr - # !ram/RefDone & RefReq & !cs/nOverlay & - ram/RS_FSM_FFd8 & fsb/ASrf & !ram/BACTr +IMPORTS | 1 | 4 | 1 +EQ | 29 | + EXP13_.EXP = !cnt/LTimer<0> & cnt/LTimer<10> & + cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + # !cnt/LTimer<0> & cnt/LTimer<8> & + cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + # !cnt/LTimer<0> & cnt/LTimer<9> & + cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + # !cnt/LTimer<0> & cnt/LTimer<11> & + cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & + !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & + A_FSB<8> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 +;Imported pterms FB5_2 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & + !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & + fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & + !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & + fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & + !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & + fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 -MACROCELL | 7 | 0 | EXP13_ +MACROCELL | 4 | 16 | EXP14_ ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 7 | 17 -INPUTS | 10 | A_FSB<23> | A_FSB<22> | A_FSB<19> | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | RA_11_OBUF$BUF0.EXP -INPUTMC | 3 | 7 | 3 | 5 | 13 | 7 | 1 -INPUTP | 7 | 36 | 30 | 26 | 24 | 23 | 22 | 47 -EXPORTS | 1 | 7 | 17 -IMPORTS | 1 | 7 | 1 -EQ | 17 | - EXP13_.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<19> & +OUTPUTMC | 1 | 4 | 17 +INPUTS | 8 | cnt/LTimer<0> | cnt/LTimer<3> | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | ALE0S.EXP +INPUTMC | 8 | 4 | 17 | 6 | 13 | 6 | 17 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 4 | 15 +EXPORTS | 1 | 4 | 17 +IMPORTS | 1 | 4 | 15 +EQ | 31 | + EXP14_.EXP = !cnt/LTimer<0> & cnt/LTimer<3> & + cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + # !cnt/LTimer<0> & cnt/LTimer<4> & + cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + # !cnt/LTimer<0> & cnt/LTimer<5> & + cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + # !cnt/LTimer<0> & cnt/LTimer<6> & + cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + # !cnt/LTimer<0> & cnt/LTimer<7> & + cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 +;Imported pterms FB5_16 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & + !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & + A_FSB<9> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & + !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & + fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & + !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & + A_FSB<9> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & + !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & + A_FSB<8> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + +MACROCELL | 7 | 3 | EXP15_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 7 | 2 +INPUTS | 11 | nAS_FSB | iobs/TS_FSM_FFd2 | fsb/ASrf | nADoutLE1 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<16> | nWE_FSB | nRAS_OBUF.EXP +INPUTMC | 4 | 7 | 2 | 3 | 2 | 5 | 13 | 7 | 4 +INPUTP | 7 | 54 | 36 | 30 | 29 | 28 | 22 | 47 +EXPORTS | 1 | 7 | 2 +IMPORTS | 1 | 7 | 4 +EQ | 21 | + EXP15_.EXP = nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & + nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<18> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<17> & + # !A_FSB<23> & !A_FSB<22> & !A_FSB<20> & !iobs/TS_FSM_FFd2 & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & !A_FSB<16> & !iobs/TS_FSM_FFd2 & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & nWE_FSB & !iobs/TS_FSM_FFd2 & nADoutLE1 -;Imported pterms FB8_2 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<20> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !iobs/TS_FSM_FFd2 & - !A_FSB<14> & !A_FSB<13> & nADoutLE1 - # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & - !iobs/TS_FSM_FFd2 & nADoutLE1 - -MACROCELL | 7 | 2 | EXP14_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 7 | 3 -INPUTS | 9 | A_FSB<23> | A_FSB<22> | A_FSB<21> | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<19> | A_FSB<18> | A_FSB<16> | nWE_FSB -INPUTMC | 2 | 7 | 3 | 5 | 13 -INPUTP | 7 | 36 | 30 | 29 | 26 | 24 | 22 | 47 -EXPORTS | 1 | 7 | 3 -EQ | 10 | - EXP14_.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & - !iobs/TS_FSM_FFd2 & nADoutLE1 +;Imported pterms FB8_5 # !A_FSB<23> & !A_FSB<22> & !A_FSB<19> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<18> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<16> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & nWE_FSB & - !iobs/TS_FSM_FFd2 & nADoutLE1 - -MACROCELL | 7 | 6 | EXP15_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 7 | 7 -INPUTS | 4 | ram/RAMEN | nWE_FSB | nUDS_FSB | nAS_FSB -INPUTMC | 1 | 4 | 2 -INPUTP | 3 | 47 | 56 | 54 -EXPORTS | 1 | 7 | 7 -EQ | 1 | - EXP15_.EXP = ram/RAMEN & !nWE_FSB & !nUDS_FSB & !nAS_FSB - -MACROCELL | 7 | 9 | EXP16_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 7 | 8 -INPUTS | 17 | A_FSB<22> | A_FSB<20> | iobs/Sent | nWE_FSB | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | fsb/ASrf | nADoutLE1 | A_FSB<21> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay | nAS_FSB | A_FSB<14> | A_FSB<13> -INPUTMC | 6 | 3 | 16 | 3 | 12 | 7 | 3 | 2 | 1 | 5 | 13 | 4 | 12 -INPUTP | 11 | 30 | 28 | 47 | 29 | 26 | 24 | 23 | 22 | 54 | 19 | 18 -EXPORTS | 1 | 7 | 8 -EQ | 18 | - EXP16_.EXP = A_FSB<22> & A_FSB<20> & !iobs/Sent & !nWE_FSB & - !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 - # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !iobs/Sent & cs/nOverlay & !nWE_FSB & - !nAS_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & A_FSB<14> & - nADoutLE1 - # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !iobs/Sent & cs/nOverlay & !nWE_FSB & - !nAS_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & A_FSB<13> & - nADoutLE1 - # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !iobs/Sent & cs/nOverlay & !nWE_FSB & - !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & A_FSB<14> & fsb/ASrf & - nADoutLE1 - # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !iobs/Sent & cs/nOverlay & !nWE_FSB & - !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & A_FSB<13> & fsb/ASrf & - nADoutLE1 - -MACROCELL | 7 | 10 | EXP17_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 7 | 11 -INPUTS | 3 | iobs/Sent | nBERR_FSB | IOBERR -INPUTMC | 3 | 3 | 16 | 7 | 11 | 0 | 16 -EXPORTS | 1 | 7 | 11 -EQ | 2 | - EXP17_.EXP = !iobs/Sent & nBERR_FSB - # !IOBERR & nBERR_FSB - -MACROCELL | 7 | 13 | EXP18_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 7 | 12 -INPUTS | 10 | A_FSB<23> | A_FSB<22> | A_FSB<19> | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | nBR_IOB_OBUF.EXP -INPUTMC | 3 | 7 | 3 | 5 | 13 | 7 | 14 -INPUTP | 7 | 36 | 30 | 26 | 24 | 23 | 22 | 47 -EXPORTS | 1 | 7 | 12 -IMPORTS | 1 | 7 | 14 -EQ | 17 | - EXP18_.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<19> & !iobs/TS_FSM_FFd2 & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & !A_FSB<18> & !iobs/TS_FSM_FFd2 & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & !A_FSB<17> & !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<16> & + # !A_FSB<23> & !A_FSB<22> & !A_FSB<13> & !A_FSB<14> & !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & nWE_FSB & - !iobs/TS_FSM_FFd2 & nADoutLE1 -;Imported pterms FB8_15 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<20> & - !iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !iobs/TS_FSM_FFd2 & - !A_FSB<14> & !A_FSB<13> & nADoutLE1 # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & !iobs/TS_FSM_FFd2 & nADoutLE1 -PIN | A_FSB<23> | 64 | 0 | N/A | 36 | 37 | 3 | 16 | 4 | 0 | 3 | 3 | 7 | 16 | 4 | 7 | 3 | 10 | 7 | 2 | 4 | 9 | 7 | 3 | 3 | 2 | 3 | 15 | 3 | 11 | 7 | 15 | 7 | 11 | 4 | 6 | 3 | 7 | 7 | 8 | 3 | 13 | 3 | 9 | 4 | 16 | 3 | 17 | 2 | 8 | 3 | 5 | 4 | 1 | 2 | 7 | 3 | 1 | 3 | 4 | 3 | 12 | 4 | 3 | 4 | 4 | 4 | 5 | 7 | 0 | 7 | 1 | 7 | 4 | 7 | 5 | 7 | 13 | 7 | 14 -PIN | A_FSB<22> | 64 | 0 | N/A | 30 | 39 | 3 | 16 | 4 | 0 | 3 | 3 | 7 | 16 | 4 | 7 | 3 | 10 | 7 | 2 | 4 | 9 | 7 | 3 | 3 | 2 | 3 | 15 | 3 | 11 | 7 | 15 | 7 | 11 | 4 | 6 | 3 | 7 | 7 | 7 | 3 | 14 | 3 | 9 | 4 | 16 | 3 | 17 | 2 | 8 | 3 | 5 | 4 | 1 | 2 | 7 | 3 | 1 | 3 | 4 | 3 | 12 | 3 | 13 | 4 | 3 | 4 | 4 | 4 | 5 | 7 | 0 | 7 | 1 | 7 | 4 | 7 | 5 | 7 | 9 | 7 | 13 | 7 | 14 -PIN | A_FSB<21> | 64 | 0 | N/A | 29 | 24 | 3 | 15 | 3 | 3 | 7 | 16 | 3 | 10 | 7 | 2 | 3 | 11 | 7 | 15 | 7 | 11 | 3 | 7 | 7 | 7 | 3 | 9 | 6 | 7 | 2 | 8 | 3 | 5 | 4 | 1 | 2 | 7 | 2 | 9 | 3 | 4 | 3 | 12 | 3 | 17 | 7 | 1 | 7 | 4 | 7 | 9 | 7 | 14 -PIN | A_FSB<20> | 64 | 0 | N/A | 28 | 23 | 3 | 16 | 3 | 3 | 7 | 16 | 3 | 10 | 4 | 10 | 3 | 17 | 3 | 11 | 7 | 15 | 7 | 9 | 3 | 7 | 7 | 7 | 3 | 9 | 2 | 8 | 7 | 1 | 3 | 5 | 4 | 1 | 2 | 7 | 2 | 9 | 3 | 4 | 3 | 12 | 3 | 15 | 7 | 4 | 7 | 14 -PIN | A_FSB<19> | 64 | 0 | N/A | 26 | 16 | 3 | 12 | 3 | 3 | 7 | 16 | 3 | 10 | 4 | 10 | 7 | 2 | 3 | 11 | 7 | 15 | 7 | 9 | 7 | 0 | 2 | 7 | 7 | 1 | 2 | 9 | 3 | 4 | 3 | 17 | 7 | 13 -PIN | A_FSB<18> | 64 | 0 | N/A | 24 | 15 | 3 | 12 | 3 | 3 | 7 | 16 | 3 | 10 | 7 | 2 | 3 | 11 | 7 | 15 | 7 | 9 | 7 | 0 | 6 | 7 | 2 | 7 | 2 | 9 | 3 | 4 | 3 | 17 | 7 | 13 -PIN | C8M | 8256 | 0 | N/A | 35 | 10 | 0 | 9 | 5 | 1 | 0 | 16 | 5 | 9 | 5 | 4 | 0 | 17 | 5 | 6 | 5 | 5 | 0 | 8 | 0 | 2 -PIN | C16M | 4096 | 0 | N/A | 33 | 18 | 5 | 2 | 5 | 3 | 0 | 9 | 0 | 5 | 0 | 4 | 5 | 0 | 5 | 17 | 0 | 6 | 0 | 15 | 0 | 7 | 5 | 15 | 5 | 7 | 5 | 12 | 0 | 3 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 16 -PIN | A_FSB<17> | 64 | 0 | N/A | 23 | 14 | 3 | 12 | 3 | 3 | 7 | 16 | 7 | 0 | 3 | 11 | 7 | 15 | 7 | 9 | 7 | 4 | 6 | 8 | 2 | 7 | 2 | 9 | 3 | 4 | 3 | 17 | 7 | 13 -PIN | A_FSB<16> | 64 | 0 | N/A | 22 | 14 | 3 | 12 | 3 | 3 | 7 | 16 | 7 | 2 | 3 | 11 | 7 | 15 | 7 | 9 | 7 | 0 | 4 | 13 | 2 | 7 | 2 | 9 | 3 | 4 | 3 | 17 | 7 | 13 -PIN | FCLK | 16384 | 0 | N/A | 42 | 70 | 6 | 17 | 3 | 16 | 4 | 2 | 6 | 0 | 6 | 9 | 6 | 15 | 6 | 16 | 4 | 16 | 6 | 14 | 2 | 15 | 2 | 14 | 2 | 12 | 2 | 11 | 2 | 10 | 2 | 9 | 2 | 7 | 2 | 6 | 2 | 5 | 2 | 4 | 2 | 3 | 4 | 12 | 2 | 13 | 6 | 6 | 3 | 3 | 7 | 16 | 2 | 17 | 2 | 2 | 0 | 14 | 0 | 13 | 4 | 7 | 7 | 11 | 3 | 10 | 7 | 14 | 4 | 9 | 3 | 12 | 7 | 3 | 3 | 2 | 6 | 2 | 6 | 12 | 3 | 15 | 0 | 1 | 3 | 11 | 7 | 17 | 7 | 12 | 1 | 14 | 4 | 15 | 1 | 13 | 4 | 6 | 3 | 8 | 7 | 8 | 3 | 14 | 0 | 11 | 0 | 10 | 7 | 15 | 1 | 15 | 0 | 12 | 6 | 3 | 3 | 9 | 0 | 0 | 3 | 0 | 2 | 0 | 4 | 17 | 4 | 3 | 1 | 16 | 2 | 8 | 5 | 13 | 3 | 1 | 2 | 1 | 1 | 17 | 4 | 4 -PIN | nAS_FSB | 64 | 0 | N/A | 54 | 39 | 3 | 16 | 4 | 2 | 4 | 12 | 3 | 3 | 7 | 15 | 2 | 17 | 4 | 7 | 7 | 11 | 3 | 10 | 4 | 16 | 4 | 9 | 7 | 3 | 3 | 2 | 3 | 15 | 3 | 11 | 7 | 16 | 7 | 9 | 4 | 6 | 3 | 7 | 7 | 8 | 3 | 14 | 3 | 9 | 2 | 1 | 2 | 0 | 4 | 17 | 4 | 1 | 4 | 5 | 2 | 16 | 2 | 8 | 3 | 5 | 7 | 5 | 7 | 6 | 3 | 1 | 3 | 13 | 3 | 17 | 4 | 0 | 4 | 3 | 4 | 4 | 7 | 7 -PIN | nWE_FSB | 64 | 0 | N/A | 47 | 21 | 3 | 12 | 3 | 3 | 7 | 16 | 7 | 2 | 3 | 11 | 7 | 15 | 7 | 9 | 3 | 8 | 7 | 8 | 4 | 5 | 2 | 16 | 2 | 7 | 3 | 5 | 7 | 5 | 7 | 6 | 2 | 9 | 3 | 4 | 3 | 17 | 7 | 0 | 7 | 7 | 7 | 13 -PIN | A_FSB<14> | 64 | 0 | N/A | 19 | 12 | 3 | 12 | 3 | 3 | 7 | 16 | 7 | 1 | 3 | 11 | 7 | 14 | 7 | 9 | 7 | 4 | 6 | 4 | 2 | 7 | 3 | 4 | 3 | 17 -PIN | A_FSB<13> | 64 | 0 | N/A | 18 | 12 | 3 | 12 | 3 | 3 | 7 | 15 | 7 | 1 | 3 | 11 | 7 | 14 | 7 | 9 | 7 | 4 | 4 | 14 | 2 | 9 | 3 | 4 | 3 | 17 -PIN | nBERR_IOB | 64 | 0 | N/A | 123 | 1 | 0 | 16 +MACROCELL | 7 | 6 | EXP16_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 7 | 7 +INPUTS | 4 | ram/RAMEN | nWE_FSB | nUDS_FSB | nAS_FSB +INPUTMC | 1 | 2 | 11 +INPUTP | 3 | 47 | 56 | 54 +EXPORTS | 1 | 7 | 7 +EQ | 1 | + EXP16_.EXP = ram/RAMEN & !nWE_FSB & !nUDS_FSB & !nAS_FSB + +MACROCELL | 7 | 9 | EXP17_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 7 | 8 +INPUTS | 17 | A_FSB<22> | iobs/Sent | cs/nOverlay | nWE_FSB | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | fsb/ASrf | nADoutLE1 | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<13> | nAS_FSB | A_FSB<14> +INPUTMC | 6 | 2 | 0 | 2 | 3 | 0 | 6 | 7 | 2 | 3 | 2 | 5 | 13 +INPUTP | 11 | 30 | 47 | 29 | 28 | 26 | 24 | 23 | 22 | 18 | 54 | 19 +EXPORTS | 1 | 7 | 8 +EQ | 14 | + EXP17_.EXP = A_FSB<22> & !iobs/Sent & !cs/nOverlay & !nWE_FSB & + !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 + # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & A_FSB<13> & !iobs/Sent & !nWE_FSB & + !nAS_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 + # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & A_FSB<13> & !iobs/Sent & !nWE_FSB & + !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 + # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & A_FSB<14> & !iobs/Sent & !nWE_FSB & + !nAS_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 + # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & A_FSB<14> & !iobs/Sent & !nWE_FSB & + !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 + +MACROCELL | 7 | 10 | EXP18_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 7 | 11 +INPUTS | 2 | IOBERR | nBERR_FSB +INPUTMC | 2 | 0 | 13 | 7 | 11 +EXPORTS | 1 | 7 | 11 +EQ | 1 | + EXP18_.EXP = !IOBERR & nBERR_FSB + +MACROCELL | 7 | 12 | EXP19_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 7 | 13 +INPUTS | 12 | iobs/IOU1 | IOU0 | nADoutLE1 | nAS_FSB | iobs/TS_FSM_FFd2 | fsb/ASrf | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | nWE_FSB | nBERR_FSB_OBUF.EXP +INPUTMC | 6 | 0 | 7 | 7 | 13 | 5 | 13 | 7 | 2 | 3 | 2 | 7 | 11 +INPUTP | 6 | 54 | 36 | 30 | 29 | 28 | 47 +EXPORTS | 1 | 7 | 13 +IMPORTS | 1 | 7 | 11 +EQ | 16 | + EXP19_.EXP = !iobs/IOU1 & !IOU0 & !nADoutLE1 + # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & + nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<20> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & nWE_FSB & + !iobs/TS_FSM_FFd2 & nADoutLE1 +;Imported pterms FB8_12 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<19> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<13> & !A_FSB<14> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & + !iobs/TS_FSM_FFd2 & nADoutLE1 + +MACROCELL | 7 | 17 | EXP20_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 7 | 0 +INPUTS | 12 | iobs/IOL1 | IOL0 | nADoutLE1 | nAS_FSB | iobs/TS_FSM_FFd2 | fsb/ASrf | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | nWE_FSB | iobs/IORW1.EXP +INPUTMC | 6 | 3 | 8 | 7 | 0 | 5 | 13 | 7 | 2 | 3 | 2 | 7 | 16 +INPUTP | 6 | 54 | 36 | 30 | 29 | 28 | 47 +EXPORTS | 1 | 7 | 0 +IMPORTS | 1 | 7 | 16 +EQ | 12 | + EXP20_.EXP = !iobs/IOL1 & !IOL0 & !nADoutLE1 + # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & + nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<20> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & nWE_FSB & + !iobs/TS_FSM_FFd2 & nADoutLE1 +;Imported pterms FB8_17 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<13> & !A_FSB<14> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + +PIN | A_FSB<23> | 64 | 0 | N/A | 36 | 39 | 4 | 15 | 3 | 14 | 2 | 0 | 7 | 16 | 3 | 13 | 2 | 1 | 3 | 10 | 7 | 3 | 2 | 6 | 7 | 1 | 2 | 10 | 2 | 2 | 2 | 14 | 6 | 16 | 7 | 12 | 2 | 13 | 7 | 8 | 3 | 0 | 3 | 9 | 2 | 12 | 2 | 5 | 2 | 8 | 3 | 5 | 4 | 1 | 6 | 17 | 2 | 7 | 2 | 9 | 2 | 16 | 2 | 17 | 3 | 12 | 3 | 15 | 4 | 0 | 6 | 0 | 7 | 4 | 7 | 5 | 7 | 11 | 7 | 14 | 7 | 15 | 7 | 17 +PIN | A_FSB<22> | 64 | 0 | N/A | 30 | 43 | 4 | 15 | 3 | 14 | 2 | 0 | 7 | 16 | 3 | 13 | 2 | 1 | 3 | 10 | 7 | 3 | 2 | 6 | 7 | 1 | 2 | 10 | 2 | 2 | 2 | 14 | 6 | 16 | 7 | 12 | 2 | 13 | 7 | 7 | 3 | 0 | 3 | 9 | 2 | 12 | 2 | 5 | 2 | 8 | 3 | 5 | 4 | 1 | 6 | 17 | 2 | 7 | 2 | 9 | 2 | 16 | 2 | 17 | 3 | 1 | 3 | 12 | 3 | 15 | 3 | 16 | 3 | 17 | 4 | 0 | 6 | 0 | 7 | 4 | 7 | 5 | 7 | 9 | 7 | 11 | 7 | 14 | 7 | 15 | 7 | 17 +PIN | A_FSB<21> | 64 | 0 | N/A | 29 | 33 | 4 | 15 | 3 | 14 | 2 | 0 | 7 | 16 | 3 | 13 | 3 | 10 | 7 | 1 | 2 | 14 | 6 | 16 | 7 | 12 | 2 | 13 | 7 | 7 | 2 | 17 | 3 | 9 | 6 | 7 | 2 | 8 | 3 | 5 | 4 | 1 | 6 | 17 | 2 | 1 | 2 | 7 | 2 | 16 | 3 | 12 | 3 | 15 | 3 | 17 | 4 | 0 | 6 | 0 | 7 | 3 | 7 | 4 | 7 | 9 | 7 | 11 | 7 | 15 | 7 | 17 +PIN | A_FSB<20> | 64 | 0 | N/A | 28 | 34 | 4 | 15 | 3 | 14 | 2 | 0 | 7 | 16 | 3 | 13 | 3 | 10 | 4 | 10 | 7 | 1 | 2 | 14 | 6 | 16 | 7 | 12 | 2 | 13 | 7 | 7 | 3 | 0 | 3 | 9 | 2 | 8 | 6 | 0 | 3 | 5 | 4 | 1 | 6 | 17 | 2 | 1 | 2 | 7 | 2 | 16 | 2 | 17 | 3 | 12 | 3 | 15 | 4 | 0 | 7 | 2 | 7 | 3 | 7 | 4 | 7 | 9 | 7 | 11 | 7 | 15 | 7 | 17 +PIN | A_FSB<19> | 64 | 0 | N/A | 26 | 25 | 4 | 15 | 3 | 14 | 6 | 17 | 7 | 16 | 3 | 13 | 3 | 10 | 4 | 10 | 7 | 1 | 2 | 14 | 6 | 16 | 7 | 11 | 7 | 4 | 2 | 17 | 2 | 7 | 6 | 0 | 2 | 1 | 2 | 13 | 3 | 12 | 3 | 15 | 3 | 17 | 4 | 0 | 4 | 1 | 7 | 2 | 7 | 9 | 7 | 15 +PIN | A_FSB<18> | 64 | 0 | N/A | 24 | 24 | 4 | 15 | 3 | 14 | 6 | 17 | 7 | 16 | 3 | 13 | 3 | 10 | 7 | 1 | 2 | 14 | 6 | 16 | 7 | 9 | 7 | 4 | 2 | 17 | 6 | 7 | 2 | 7 | 2 | 1 | 2 | 13 | 3 | 12 | 3 | 15 | 3 | 17 | 4 | 0 | 4 | 1 | 6 | 0 | 7 | 14 | 7 | 15 +PIN | A_FSB<17> | 64 | 0 | N/A | 23 | 24 | 4 | 15 | 3 | 14 | 6 | 17 | 7 | 16 | 3 | 13 | 3 | 10 | 7 | 1 | 2 | 14 | 6 | 16 | 7 | 9 | 7 | 4 | 2 | 17 | 6 | 8 | 2 | 7 | 2 | 1 | 2 | 13 | 3 | 1 | 3 | 12 | 3 | 15 | 4 | 0 | 4 | 1 | 6 | 0 | 7 | 14 | 7 | 15 +PIN | A_FSB<16> | 64 | 0 | N/A | 22 | 24 | 4 | 15 | 3 | 14 | 6 | 17 | 7 | 16 | 3 | 13 | 3 | 10 | 7 | 1 | 2 | 14 | 6 | 16 | 7 | 9 | 7 | 3 | 2 | 17 | 4 | 13 | 2 | 7 | 2 | 1 | 2 | 13 | 3 | 1 | 3 | 12 | 3 | 15 | 4 | 0 | 4 | 1 | 6 | 0 | 7 | 14 | 7 | 15 +PIN | A_FSB<15> | 64 | 0 | N/A | 21 | 11 | 4 | 15 | 3 | 14 | 6 | 17 | 6 | 10 | 3 | 13 | 3 | 15 | 3 | 17 | 4 | 0 | 4 | 1 | 6 | 0 | 6 | 16 +PIN | A_FSB<13> | 64 | 0 | N/A | 18 | 21 | 4 | 15 | 3 | 14 | 6 | 17 | 7 | 16 | 3 | 12 | 6 | 0 | 2 | 14 | 6 | 16 | 7 | 11 | 7 | 4 | 2 | 13 | 4 | 14 | 2 | 7 | 2 | 1 | 3 | 1 | 3 | 13 | 3 | 15 | 4 | 0 | 4 | 1 | 7 | 9 | 7 | 15 +PIN | A_FSB<12> | 64 | 0 | N/A | 17 | 11 | 4 | 15 | 3 | 14 | 6 | 17 | 4 | 11 | 3 | 13 | 3 | 15 | 3 | 17 | 4 | 0 | 4 | 1 | 6 | 0 | 6 | 16 +PIN | C8M | 8256 | 0 | N/A | 35 | 10 | 1 | 16 | 5 | 1 | 0 | 13 | 5 | 9 | 5 | 4 | 0 | 14 | 5 | 6 | 5 | 5 | 0 | 1 | 1 | 10 +PIN | C16M | 4096 | 0 | N/A | 33 | 18 | 5 | 2 | 5 | 3 | 1 | 16 | 1 | 13 | 1 | 12 | 5 | 0 | 5 | 17 | 1 | 14 | 1 | 17 | 1 | 15 | 5 | 15 | 5 | 7 | 5 | 12 | 1 | 11 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 16 +PIN | A_FSB<14> | 64 | 0 | N/A | 19 | 21 | 4 | 15 | 3 | 14 | 6 | 17 | 7 | 16 | 3 | 13 | 6 | 0 | 2 | 14 | 6 | 16 | 7 | 11 | 7 | 4 | 2 | 17 | 6 | 4 | 2 | 7 | 2 | 1 | 2 | 13 | 3 | 1 | 3 | 15 | 4 | 0 | 4 | 1 | 7 | 9 | 7 | 15 +PIN | A_FSB<11> | 64 | 0 | N/A | 15 | 11 | 4 | 15 | 3 | 14 | 6 | 17 | 4 | 8 | 3 | 13 | 3 | 15 | 3 | 16 | 4 | 0 | 4 | 1 | 6 | 0 | 6 | 16 +PIN | A_FSB<10> | 64 | 0 | N/A | 13 | 11 | 4 | 15 | 3 | 14 | 6 | 17 | 6 | 1 | 3 | 1 | 3 | 13 | 3 | 15 | 4 | 0 | 4 | 1 | 6 | 0 | 6 | 16 +PIN | FCLK | 16384 | 0 | N/A | 42 | 73 | 0 | 10 | 4 | 17 | 3 | 14 | 0 | 8 | 2 | 0 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 0 | 15 | 0 | 17 | 2 | 11 | 2 | 1 | 0 | 11 | 6 | 15 | 0 | 16 | 2 | 3 | 7 | 16 | 3 | 13 | 3 | 8 | 0 | 7 | 2 | 7 | 7 | 11 | 3 | 10 | 7 | 14 | 2 | 6 | 0 | 6 | 7 | 2 | 2 | 10 | 7 | 15 | 0 | 9 | 2 | 2 | 2 | 14 | 3 | 3 | 1 | 9 | 7 | 0 | 7 | 13 | 3 | 12 | 3 | 11 | 1 | 4 | 0 | 5 | 1 | 3 | 2 | 4 | 2 | 15 | 7 | 8 | 3 | 0 | 2 | 9 | 0 | 4 | 3 | 15 | 0 | 2 | 0 | 0 | 1 | 5 | 4 | 15 | 0 | 12 | 0 | 3 | 4 | 12 | 3 | 9 | 1 | 8 | 3 | 16 | 2 | 13 | 2 | 5 | 1 | 6 | 2 | 8 | 5 | 13 | 3 | 1 | 3 | 2 | 1 | 7 | 4 | 4 +PIN | nWE_FSB | 64 | 0 | N/A | 47 | 30 | 4 | 15 | 3 | 14 | 6 | 17 | 7 | 16 | 3 | 13 | 6 | 0 | 2 | 14 | 6 | 16 | 7 | 12 | 2 | 15 | 7 | 8 | 3 | 0 | 4 | 5 | 2 | 16 | 2 | 7 | 3 | 5 | 7 | 5 | 7 | 6 | 2 | 1 | 2 | 13 | 2 | 17 | 3 | 12 | 3 | 15 | 4 | 0 | 4 | 1 | 7 | 3 | 7 | 7 | 7 | 9 | 7 | 15 | 7 | 17 +PIN | nAS_FSB | 64 | 0 | N/A | 54 | 42 | 4 | 15 | 3 | 14 | 2 | 0 | 2 | 11 | 2 | 3 | 7 | 16 | 3 | 12 | 2 | 7 | 7 | 11 | 3 | 10 | 7 | 3 | 2 | 6 | 4 | 0 | 2 | 10 | 2 | 2 | 2 | 14 | 3 | 3 | 6 | 16 | 7 | 12 | 2 | 17 | 3 | 11 | 2 | 4 | 2 | 1 | 7 | 8 | 2 | 9 | 3 | 15 | 3 | 9 | 3 | 2 | 2 | 12 | 2 | 5 | 4 | 5 | 2 | 16 | 2 | 8 | 3 | 5 | 7 | 5 | 7 | 6 | 6 | 17 | 3 | 6 | 3 | 13 | 7 | 7 | 7 | 9 | 7 | 17 +PIN | A_FSB<8> | 64 | 0 | N/A | 11 | 10 | 4 | 15 | 3 | 14 | 6 | 17 | 6 | 10 | 3 | 13 | 3 | 16 | 4 | 0 | 4 | 1 | 6 | 0 | 6 | 16 +PIN | A_FSB<9> | 64 | 0 | N/A | 12 | 9 | 4 | 15 | 3 | 13 | 6 | 17 | 6 | 5 | 3 | 15 | 3 | 16 | 4 | 1 | 6 | 0 | 6 | 16 +PIN | nBERR_IOB | 64 | 0 | N/A | 123 | 1 | 0 | 13 PIN | nDTACK_IOB | 64 | 0 | N/A | 127 | 1 | 5 | 9 -PIN | nLDS_FSB | 64 | 0 | N/A | 49 | 3 | 0 | 14 | 7 | 17 | 7 | 5 -PIN | nUDS_FSB | 64 | 0 | N/A | 56 | 3 | 0 | 13 | 7 | 12 | 7 | 6 -PIN | E | 64 | 0 | N/A | 37 | 6 | 5 | 4 | 0 | 17 | 5 | 6 | 5 | 5 | 0 | 11 | 0 | 8 -PIN | nIPL2 | 64 | 0 | N/A | 146 | 1 | 0 | 10 -PIN | nVPA_IOB | 64 | 0 | N/A | 125 | 1 | 0 | 2 +PIN | nLDS_FSB | 64 | 0 | N/A | 49 | 3 | 3 | 8 | 7 | 0 | 7 | 5 +PIN | nUDS_FSB | 64 | 0 | N/A | 56 | 3 | 0 | 7 | 7 | 13 | 7 | 6 +PIN | E | 64 | 0 | N/A | 37 | 6 | 5 | 4 | 0 | 14 | 5 | 6 | 5 | 5 | 0 | 4 | 0 | 1 +PIN | nIPL2 | 64 | 0 | N/A | 146 | 1 | 0 | 2 +PIN | nVPA_IOB | 64 | 0 | N/A | 125 | 1 | 1 | 10 PIN | A_FSB<1> | 64 | 0 | N/A | 149 | 1 | 6 | 5 -PIN | A_FSB<9> | 64 | 0 | N/A | 12 | 1 | 6 | 5 PIN | A_FSB<7> | 64 | 0 | N/A | 8 | 2 | 6 | 8 | 4 | 13 PIN | A_FSB<2> | 64 | 0 | N/A | 153 | 1 | 6 | 1 -PIN | A_FSB<10> | 64 | 0 | N/A | 13 | 1 | 6 | 1 PIN | A_FSB<3> | 64 | 0 | N/A | 155 | 1 | 4 | 8 -PIN | A_FSB<11> | 64 | 0 | N/A | 15 | 1 | 4 | 8 PIN | A_FSB<4> | 64 | 0 | N/A | 157 | 1 | 4 | 11 -PIN | A_FSB<12> | 64 | 0 | N/A | 17 | 1 | 4 | 11 PIN | A_FSB<5> | 64 | 0 | N/A | 4 | 1 | 4 | 14 PIN | A_FSB<6> | 64 | 0 | N/A | 6 | 1 | 6 | 4 -PIN | A_FSB<8> | 64 | 0 | N/A | 11 | 1 | 6 | 10 -PIN | A_FSB<15> | 64 | 0 | N/A | 21 | 1 | 6 | 10 PIN | nVMA_IOB | 536871040 | 0 | N/A | 118 PIN | nAS_IOB | 536871040 | 0 | N/A | 135 PIN | nLDS_IOB | 536871040 | 0 | N/A | 130 @@ -2233,6 +2617,5 @@ PIN | nDoutOE | 536871040 | 0 | N/A | 143 PIN | nRAMLWE | 536871040 | 0 | N/A | 104 PIN | nRAMUWE | 536871040 | 0 | N/A | 105 PIN | nROMCS | 536871040 | 0 | N/A | 58 -PIN | C20MEN | 536871040 | 0 | N/A | 96 PIN | C25MEN | 536871040 | 0 | N/A | 95 -PIN | nRES | 536870976 | 0 | N/A | 145 | 2 | 5 | 9 | 4 | 12 +PIN | nRES | 536870976 | 0 | N/A | 145 | 2 | 5 | 9 | 2 | 3 diff --git a/cpld/XC95144XL/WarpSE.nga b/cpld/XC95144XL/WarpSE.nga index 9b908e2..2421aa3 100644 --- a/cpld/XC95144XL/WarpSE.nga +++ b/cpld/XC95144XL/WarpSE.nga @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6e -$72g0=#Zl|/;6&?:183-c=/83:1<$.'0;294,773!;;<=4>01295567!890$0:*2356=9>:;6<9?0(33?-7?890:4=>51923-46< ;2;<7<70181<56.991#?=>?:2234?5789#9=6&<012975672::;<$.'3423>637839><='>0:*0156=;<:;6>;?0(f8,1672=:;69>?)028,36783<;<=49012*55=/?9:;6:>?0;5345/682"2<=>59123><678 ;0<<5>2:336>76:2;9=?5>2;MVPUSS2[K7=<4?>39277=6<;1:9?5>639237=60;1:5<5=2:036>45:288=95=3;KMTPR=IVLXN1<<:0<1?7253;?97?9=;3:1?7?63:80?=<43308764<;=80?8<43708727<<;1?8?5:539627=20;1>5<592:406>03:2<>>6891:51?2653>897::=;641?2153>297:7>;908<54<08804?<48408<34<0>8044?4939:47=>9;12>?56339:07=>=;12:?56749BH@6J?2KGI=C!D99BH@6J&M;37LBJ0L,G6a=FDL:F"IGKM9G%H?Q_T^3g?DJB8D$O>R^[_3f8EIC7E'N9S]ZP3e9BH@6J&M8T\YQ;7:COA5K)Y01J@H>B.SGD1>GKM9Y<7LBJ0R,G<>GKM9Y%H<64AMG3W+B5?2KGI=]!Q89BH@6T&[OL86OCE018EKB>3HDECYO[EE;8EZ@TJ5;;2i5N_GQA8469&YKO56OPFR@?548c3HUM_O2>1?,SEA?e9B[CUE4885"]OK9:C\BVD;9:4o7LQISC>27;(WIM30MRH\B=37:a=FWOYI0<:1.QCG=>GXNZH7=80k;@]EWG:6=7$[MI74A^DPF9716m1JSK]M<04=*UGC12KTJ^L3169&YKO56OPFR@?5<8c3HUM_O2>9?,SEA>GXNZH7>>0!P@F;?DYA[K692n5N_GQA878)XHN37LQISC>0:f=FWOYI0>0!P@F;?DYA[K6?2n5N_GQA818)XHN37LQISC>6:f=FWOYI080!P@F;?DYA[K6=2n5N_GQA838)XHN37LQISC>4:f=FWOYI0:0!P@F;?DYA[K632n5N_GQA8=8)XHN37LQISC>::f=FWOYI040!P@Fg?DYA[KU:_H@VBa=FWOYIS?GXNZHT>>QFBTD`?DYA[KU9SDLZFb9B[CUEW:UBNXHl;@]EWGY3W@H^Jn5N_GQA[0YNJ\Lh7LQISC]5[LDRNj1JSK]M_6]JFP@d3HUM_OQ7_H@VBf=FWOYIS4QFBTD5?Dir|FO87O[I8:@VWZOINF>0O<8B8:A22H(WIMo0O<8B_H@VB*@DDBl0O<8B_H@VB*@DDB'=7N0:A14HCIWFH^J)LZF131?F47ELDTCO[I$CWE4+B6;2I9<@KA_N@VB!DRN9$O=<=4C32NAKYHJ\L/NXH?.E026>E58DOESBLZF%@VB5(Vm2I9<@KA_N@VB!IBl2I9<@KA_N@VB!W6=2I9<@KA_N@VB+DRNFO%CXZk;B03I@HXGK_M"Ik4C32NAKYHJ\L%H4:A14HCIWFH^J#KWP^RW[5733J8;AH@POCWE*@^WWY^T=<:4C32NAKYHJ\L%IU^PPU]151=D:9GNBRAMUG,F\UYW\V99<6M=0LGM[JDRN'OS\Rz|ilpfjdkblVdnxRj4C32NAKYHJ\L%]<>4C32NAKYHJ\L%X_][6:A11HCIi2I99@KA.QCGf>E5=DOESBLZFe9@60KBFVEIYK Ke:A11HCIWFH^J#J>e:A11HCIWFH^J#J=d:A11HCIWFH^J#_<;B:N3>E?E'ZJH55L8L]JFP@c3J2FSDLZF.D@HNcC23L$[MIo4EYR24Z(BPYl0IU^>0^,F\UYW\V:m7HV_11]-A]VXX]U:j6KWP02\*@^WWY^T>k5JXQ33[+C_XVZ_S>h4EYR24Z(BPYU[XR:>b:G[T46X&LR[Sy}fmsgmehccWgoSl5JXQ32[+C_Xo1NT]?>_/G[TZVSW9l0IU^>1^,F\UYW\V;m7HV_10]-A]VXX]U9j6KWP03\*@^WWY^T?k5JXQ32[+C_XVZ_S9?m;DZS54Y)MQZTx~gbrdlbi`bXfl~Tm6KWP00\*@^Wn2OS\<2^,F\UYW\V8m7HV_13]-A]VXX]U8j6KWP00\*@^WWY^T8Q!EYR\TQY7n2OS\<=P.DZS[URX9o1NT]?<_/G[TZVSW;l0IU^>3^,F\UYW\V9m7HV_12]-A]VXX]U?j6KWP01\*@^WWY^T94^,F\UYW\V9m7HV_15]-A]VXX]U?=o5JXQ37[+C_XV~xe`|jn`of`Zhb|Vk0IU^>5^,F\U7e3LR[=8Q!EYR\pvojzldjahjPndv\e>C_X8V$NT]Q_T^0e?@^W9?U%IU^PPU]0b>C_X8U%IU^i;DZS52Y)MQZT\YQ?f:G[T41X&LR[S]ZP10`8A]V6?V$NT]Q{shoqakgjmmUeiyQn;DZS5=Y)MQZm7HV_19]-A]VXX]U;j6KWP0:\*@^WWY^T=k5JXQ3;[+C_XVZ_S?h4EYR2X&LR[S]ZP50`8A]V60V$NT]Q{shoqakgjmmUeiyQ9;D]JFP@03Lnli[oc4:D@HN>OI9;>0EC?<4:KM512?6GA229JJ6587D@:3:KM26=NF>90EC6<;HL:=>OIA]Y_MYK:;HMBGQ1R^[_1f8MJGD\'N9S]ZP1e9JKDES&M8T\YQ=d:KLEFR)L;U[XR=k;HMBGQ(C:VZ_S9j4INC@P+B5WY^T9:5FO@AW*T?OHLFDN"Ij;HMGKKC)L;U[XR?j;HMGKKC)L;U[XR?1BCA>!Q99JKI6)ZLM:96G@L1]wwlkdzlkiiQwos]:?LIIX[ojhtl4INLSV`gcq'Nh7DAAPSgb`|(C9j1BCC^]e`fz*A4692CDB]\jae{-@7YW\V::=6G@NQPfea)L;U[XR?k;HMMTWcflp$NT]?=;HMMTWcflp$NT]Q_T^226>OHFYXnmiw!EYR\TQY69;1BCC^]e`fz*@^WWY^T><<4INLSV`gcq'OS\R^[_23f?LIIX[ojht JXQ]wwlkumgkfiiQaeu]a?LIIX[ojht ^d:KLJUTbims%^HI6;HMSSWcflph0EB^XRdcg}+Bd3@E[[_kndx,G5f=NGY]Yiljv.E025>OHX^Xnmiw!D3]SPZ6692CD\Z\jae{-@7YW\V;i7DA_WSgb`|(Vl2CD\Z\jae{-V@A03@EYH_K^9:KLVATBY'Nj7DA]DSGR*A7f3@EYH_K^.E0e?LIUL[OZ"IOHZMXN]#J=_QV\5c=NG[NYI\ K2^RW[7`OHZMXN]#\JG59JKP613@E^<#J8;HMV4+B6?2CDY= K2b9JKP6)L;U[XR>l;HMV4+B5WY^T=n5FOT2-@7YW\V8h7DAZ0/F1[URX;j1BCX>!D3]SPZ2d3@E^<#J=_QV\1f=NG\:%H?Q_T^45?LIR8'[37DAZ0/PFC436@?7:LFPRIUC:1DBH<4OS18KPR43YKO86^NRU58TLHIIDOj7]GA_CWPMA^e3YCESO[\N@OF2>Vbz`eo46^`ruRbpm32XJ0<>1a:PB8469&YKO46\N<0394;025;(WIM?0^L2>>89QE979&YKO96\N<3<:?WG;:7$[MI;4R@>0:<=UI595"]OK5:PB818>3[K783 _AE78VD:2601YM1;1.QCG1>TF4?427_O36?,SEA389QE9?9&YKO46\NMSgb`|g>0:PBIWcflp$O>R^[_033?WGJZlkou#J=_QV\646:<6\NMSgb`|(C:VZ_S8o4R@OQadb~&Xi0^LC]e`fz*WC@12XJS=Q@BTDa?WGX8VEIYK Kc:PB[5YHJ\L%HTFW9UDNXH!Q`9QEZ77WFH^Jn5]A^33[JDRN'No7_OP11]LFP@)L8n0^LQ>0^MAQC(C:880^LQ>0^MAQC(C:VZ_S=?=;SC\55YHJ\L%H?Q_T^3`?WGX99UDNXH!Qg9QEZ76WFH^J)LZF132?WGX98UDNXH+BTD3*A753[KT=6\N_03\KGSA,K_M<#J=169QEZ76WFH^J)LZF1,G6ZVSW9;<7_OP10]LFP@#J\L;"I199QEZ76WFH^J)LZF1,F\UYW\V8986\N_03\KGSA,K_M<#KWP^vpmhtbfhgnhR`jt^32?WGX98UDNXH+BTD3*TeTFW8;TCO[I.Ef8VDY69VEIYK K1e9QEZ76WFH^J#J=139QEZ76WFH^J#J=_QV\4441^MAQC(V12XJSTFW8UDNXH!Q89QEZ4XGK_Mn6\N_3]LFP@)Lj1YMRRAMUG,G647TCO[I.Ea8VDY3WFH^J#J>c:PB[1YHJ\L%H??>;SC\0ZIE]O$O>R^[_132?WGXTFW?UDNXH!D0a8VDY1WFH^J#J=109QEZ0XGK_M"ITFW1UDNXH!D332?WGX0VEIYK K2^RW[5763[KT4RAMUG,G6ZVSW8h0^LQ7_N@VB+W>3[KT5RAMUG`8VDY>WFH^J#Jl;SC\=ZIE]O$O=n5]A^;\KGSA&M8:=6\N_8]LFP@)L;U[XR>>1:PB[=9:WL,33)QW689*#_}kjd!Djh`<'^lxxeb`/3/2*0>^CEE;o7UGCIOZ.\AD'8';+_Y[M 1,2$DUDA@90TB\9;Yfa[Lbe3QncS]|fmHlug>^c`VZye`Zvpdd8\anXX{cfZh||inl;?]boW]cfii5Wdi]WmhcD`li`h6Vkh^Vji`Bbdhs:<6Vkm^ObnjtQm{ybcc??;Yfn[Hoig{\n~~g`n59YAWI>3jd#H|30?`8gkr(M{6;2#Jl;blw+@t;87$O=n5lnu-Fv969&M8i7n`{/Dp?4;(Vl2iex"K}<1<-V@A>3jd#H|31?`8gkr(M{6:2#Jl;blw+@t;97$O=n5lnu-Fv979&M8i7n`{/Dp?5;(Vl2iex"K}<0<-V@A6:2iex"GAIUQ\BVKXNOn:=95lnu-JJLRTWOYFSKHk1/F21>ei|&CEEY]PFRO\BCb6&M;:96mat.KMMQUXNZGTJKj>.E020>ei|&CEEY]PFRO\BCb6&X;=7n`{/HLJPVYA[DUMJi?!RDE17>ei|&CEEY]PFRO\BCb6W}yban|jaugg[}iuW880ocz IOKWWZ@TEVLMh??;;blw+LHN\ZUM_@QIFe0-@43R^[_13b?fhs'@DBX^QISL]EBa4)L;U[XR?>4:amp*OIA]YTJ^CPFGf1*T713jd#D@FTR]EWHYANm8%^HI=3:amp*OIA]YTJ^CPFGf1[qunejxnmykk_ymq[a=df}%GXdcjr=2=55=df}%GXdcjr=2=*FCa3jd#AZfmdp?4;(C991hby!CThofv969&M;:<6mat.NWmhcu494%H?h4cov,HQojm{6;2#_>1:amp*JSadoy0=0!RDE2a>ei|&F_e`k}<1<\pvojk{ojxhjPxnp\a>ei|&F_e`k}<02=54=df}%GXdcjr=33:+EB991hby!CThofv9776'N:=6mat.NWmhcu48:5"I?>1:amp*JSadoy0<>1.E024>ei|&F_e`k}<02=*T753jd#AZfmdp?558)ZLM:j6mat.NWmhcu48:5Sy}fmbpfeqccWqeySh5lnu-OPlkbz5;:21?,G54=df}%GXdcjr=32:+B6981hby!CThofv9766'N9==5lnu-OPlkbz5;:2#_>2:amp*JSadoy026;763jd#AZfmdp?578)KL;;7n`{/MVji`t;9;4%H3 K1038gkr(D]cfi2>2?,G64626;(V9;1hby!CThofv9756'XNK3Q{sho`v`gsmmUscQk;blw+IRnelx7=3??;blw+IRnelx7=3 LEg9`jq)K\`gn~1?1.E33?fhs'E^bah|31?,G5462:+B5n2iex"B[ilgq848)Y8;0ocz LUknaw:66'XNK4cov,HQojm{692#MJf:amp*JSadoy0?0!D028gkr(D]cfi2=>/F255=df}%GXdcjr=0=*A4a3jd#AZfmdp?6;(V981hby!CThofv949&[OL=h5lnu-OPlkbz585Sy}fmbpfeqccWqeySi5lnu-OPlkbz595==5lnu-OPlkbz595"NKi;blw+IRnelx7?3 K119`jq)K\`gn~1=1.E324>ei|&F_e`k}<2<-@7`0:+W692iex"B[ilgq868)ZLM:i6mat.NWmhcu4:4Tx~gbcsgbp`bXpfxTh6mat.NWmhcu4=4:<6mat.NWmhcu4=4%OHh4cov,HQojm{6?2#J>0:amp*JSadoy090!D033?fhs'E^bah|34?,G6477:+C_X;80ocz LUknaw:36'OS\Rz|ilpfjdkblVdnxRh4cov,HQojm{6?2#_>1:amp*JSadoy090!RDE2a>ei|&F_e`k}<5<\pvojk{ojxhjPxnp\`>ei|&F_e`k}<4<24>ei|&F_e`k}<4<-G@`6:+B682iex"B[ilgq808)L8;;7n`{/MVji`t;=7$O>2#KWP048gkr(D]cfi2:>/G[TZVSW9;=7n`{/MVji`t;=7$NT]Q_T^316>ei|&F_e`k}<4<-A]VX|zcf~h`nmdf\j`rXn2iex"B[ilgq808)Y8;0ocz LUknaw:26'XNK2Rz|ilaqadrblVrd~Rj4cov,HQojm{6=2<>4cov,HQojm{6=2#MJf:amp*JSadoy0;0!D028gkr(D]cfi29>/F255=df}%GXdcjr=4=*A4a3jd#AZfmdp?2;(V981hby!CThofv909&[OL=h5lnu-OPlkbz5<5Sy}fmbpfeqccWqeySi5lnu-OPlkbz5=5==5lnu-OPlkbz5=5"NKi;blw+IRnelx7;3 K119`jq)K\`gn~191.E324>ei|&F_e`k}<6<-@7`4:+W692iex"B[ilgq828)ZLM:i6mat.NWmhcu4>4Tx~gbcsgbp`bXpfxTh6mat.NWmhcu414:<6mat.NWmhcu414%OHh4cov,HQojm{632#J>0:amp*JSadoy050!D033?fhs'E^bah|38?,G6c=df}%GXdcjr=:=*T763jd#AZfmdp?<;(UMN;n7n`{/MVji`t;07UdclrdcwaaYg{Uo7n`{/MVji`t;17;;7n`{/MVji`t;17$HIk5lnu-OPlkbz535"I??;blw+IRnelx753 K1028gkr(D]cfi26>/F1b>ei|&F_e`k}<8<-U47::+TBO8o0ocz LUknaw:>6V~xe`m}e`vf`Z~hzVi0ocz LUknawRDn2iex"B[ilgqPF(DMl1hby!CThofvQE)Lo1hby!CThofvQE)L8l0ocz LUknawRD&M8n7n`{/MVji`tSK'[:<6mat.NWmhcu\J$YIJm4cov,Plkbz5:5j6mat.Vji`t;87$HIh5lnu-Wmhcu494%Hk5lnu-Wmhcu494%H0:amp*Rnelx7<3 ]EF3g?fhs']cfi2?>^vpmheumh~nhRv`r^a8gkr(\`gn~1?1f:amp*Rnelx7=3 LEd9`jq)Sadoy0<0!Dg9`jq)Sadoy0<0!D0d8gkr(\`gn~1?1.E020>ei|&^bah|31?,G6ZVSW9;?7n`{/Uknaw:66'N9S]ZP1068gkr(\`gn~1?1.E0\TQY5m2iex"Zfmdp?5;(V991hby![ilgq848)ZLMh7n`{/Uknaw:56o1hby![ilgq878)KLo0ocz Thofv949&Ml0ocz Thofv949&M;m7n`{/Uknaw:56'N9=95lnu-Wmhcu4;4%H?Q_T^220>ei|&^bah|32?,G6ZVSW8;?7n`{/Uknaw:56'N9S]ZP2068gkr(\`gn~1<1.E0\TQY4m2iex"Zfmdp?6;(V991hby![ilgq878)ZLMi7n`{/UknawRDm2iex"ZfmdpWG+EBl2iex"ZfmdpWG+Bb3jd#YgbesV@*A7b3jd#YgbesV@*A4c3jd#YgbesV@*T`d:amp*hNXE8y"Ib:ap+JBD[{$O><>4cr-L@FUu&M8T\YQ?119`w*ICKZx%H?Q_T^3b?fu(GMIX~#_l;bq,KAETz'XNKo5ls.lLr`tkipn0o~!aOwgqhd)Ll1h"`@vdpoe|(C9l1h"`@vdpoe|(C:890o~!aOwgqhd)L;U[XR>>3:ap+kIqm{fju#J=_QV\5a=d{&dDzh|cax,Rb>et'gE}ibny/PFC4eccol20j~l ARpee>`tj&KX~k Kb:dpf*GTzo$O=o5isc-BWw`)L;k0j~l ARpe*Teohjd%H4@|m;hmai*E?E{$Oo6g`bl-@ohjd%Ocxz@Ee9jkgk(LfCH Ke:klfh)Cg|~DI#J>e:klfh)Cg|~DI#J=129jkgk(LfCH K2^RW[5743`eia"J`uuMF*A4XX]U:=>5foco,@jssGL$O>R^[_330?liee&NdyyAJ.E0\TQY4n2cdn`!KotvLA+C_X;:0eblb/EmvpJC)MQZTx~gbrdlbi`bXfl~Th6g`bl-GkprHM'[m7damm.FlqqIB&[OL=n5foco,@jssGLUdclrdcwaaYg{Uj7damm.GP858d3`eia"K\<1<-@a=ngkg$I^2?>/F2`>ohjd%N_1>1.E026>ohjd%N_1>1.E0\TQY79;1bcoc ER>3:+B5WY^T=<<4in`n+@U;87$O>R^[_3a8mjdj'LY7<3 ^e:klfh)B[5:5"_KH1c9jkgk(MZ6;2Rz|ilaqadrblVrd~Ro4in`n+@U;97i0eblb/DQ?5;(Cl2cdn`!JS=3=*A7c3`eia"K\<0<-@7753`eia"K\<0<-@7YW\V::>6g`bl-FW979&M8T\YQ>139jkgk(MZ6:2#J=_QV\644ohjd%N_1<1.Ef8mjdj'LY7>3 K1e9jkgk(MZ692#J=139jkgk(MZ692#J=_QV\444/F2`>ohjd%N_1=1.E026>ohjd%N_1=1.E0\TQY79;1bcoc ER>0:+B5WY^T=<<4in`n+@U;;7$O>R^[_331?liee&OX0>0!D3]SPZ5d3`eia"K\<2<-U`=ngkg$I^2<>/PFC4dk5foco,MJTCZL[y"\?>;hmai*OHZMXN] ]EF;8mjdj'@EX;hmai*OH[9$O>R^[_332?liee&CD_= K2^RW[6763`eia"G@S1,G6ZVSW=h0eblb/HMP4+Wc3`eia"G@S1,QAB763`eia"G@S^DPIZ@Al8;87damm.KLWZ@TEVLMh< K159jkgk(AFYTJ^CPFGf2*A76<2cdn`!FOR]EWHYANm;%H??<;hmai*OH[VLXARHId0,R50=ngkg$EB]PFRO\BCb6&[OL=<5foco,MJUXNZGTJKj=129jkgk(AFYTJ^CPFGf1*A733`eia"G@S^DPIZ@Al;$O=<:4in`n+LITWOYFSKHk2/F15<=ngkg$EB]PFRO\BCb5&M8T\YQ?189jkgk(AFYTJ^CPFGf1*A4XX]U:=>5foco,MJUXNZGTJKj=.P36?liee&CD_RH\M^DE`7(UMN;:7damm.KLWZ@TEVLMh>?<;hmai*OH[VLXARHId2,G51=ngkg$EB]PFRO\BCb4&M;:86g`bl-JKVYA[DUMJi=!D33:?liee&CD_RH\M^DE`6(C:VZ_S=?6;hmai*OH[VLXARHId2,G6ZVSW8;27damm.KLWZ@TEVLMh> K2^RW[7743`eia"G@S^DPIZ@Al:$Z=85foco,MJUXNZGTJKj<.SGD54=ngkg$EB]PFRO\BCb39:1bcoc INQ\BVKXNOn?"I?;;hmai*OH[VLXARHId5,G5425foco,MJUXNZGTJKj;.P36?liee&CD_RH\M^DE`1(UMN;:7damm.KLWZ@TEVLMh8?<;hmai*OH[VLXARHId4,G51=ngkg$EB]PFRO\BCb2&M;:86g`bl-JKVYA[DUMJi;!D330?liee&CD_RH\M^DE`0(V9<1bcoc INQ\BVKXNOn>"_KH109jkgk(AFYTJ^CPFGf556=ngkg$EB]PFRO\BCb1&M;?7damm.KLWZ@TEVLMh; K1068mjdj'@EXSK]B_GDg2+B5901bcoc INQ\BVKXNOn="I129jkgk(AFYTJ^CPFGf5*T723`eia"G@S^DPIZ@Al?$YIJ?>;hmai*OH[VLXARHId630?liee&CD_RH\M^DE`2(C9=1bcoc INQ\BVKXNOn<"I?>4:klfh)NGZUM_@QIFe5-@77>3`eia"G@S^DPIZ@Al>$O>R^[_13:?liee&CD_RH\M^DE`2(C:VZ_S1:klfh)NG^XYI\|!RDE:?liee&\[Ml4in`n+SVFz'Nh7damm.TSEw(C9j1bcoc VQCq*A4e3`eia"X_As,R`>ohjd%]\L|!RDEa?lie{&Igil|>d:klfv)Ddlky=#Jj;hmaw*Ekmhx:"I?j;hmaw*Ekmhx:"I=5focq,Gicfz8$NT]Q{shoqakgjmmUeiyQk;hmaw*Ekmhx:"\h4in`p+Fjbi{;%^HIm;hmaw*OHIJ^yh6g`br-JKDESz'Nn7dams.KLEFRu&M;n7dams.KLEFRu&M8o7dams.KLEFRu&Xl0ebl|/HMBGQt)ZLMh7dams.KL@JHBzl1bco} INFLJ@t)Lo1bco} INFLJ@t)L8l0ebl|/HMGKKCu&M8n7dams.KL@JHBz'[:<6g`br-JKAIIM{$YIJ74in`p+LIK9j1bco} INN2*FCe3`ei"G@L0,Gg>ohjz%BCA?!D0a8mjdt'@EG=#J=b:klfv)NGE;%]i5focq,MJJ6&[OLm6g`br-JKWQ6k2cdn~!FOSU2*Abohjz%BC_Y>.E0\TQY49;1bco} INPT5+B5WY^T8h5focq,MJTP9'OS\ohjz%BCX?!Db9jkgu(AF_:"I?l;hmaw*OH]8$O>o5focq,MJS6&Xn0ebl|/HMV5+TBOh1bco} Lncg5f=ngky$@bok1/Fg?lie{&Fdmi?!D0f8mjdt'Eejh< K2008mjdt'Eejh< K2^RW[5753`ei"B`ae3-@7YW\V;:>6g`br-Okdb6&M8T\YQ=139jkgu(Dfko=#J=_QV\744159jkgu(\ZUM_@QIFe3-A]V612cdn~![S^DPIZ@Al8$NT]Q_T^22=>ohjz%__RH\M^DE`4(BPYU[XR?>9:klfv)S[VLXARHId0,F\UYW\V8996g`br-WWZ@TEVLMh< JXQ]wwlkumgkfiiQaeu]26>ohjz%__RH\M^DE`4(V9=1bco} TR]EWHYANm;%^HI>0:klfv)S[VLXARHId331?lie{&^XSK]B_GDg6+B6;2cdn~![S^DPIZ@Al;$O=<=4in`p+QUXNZGTJKj=.E02<>ohjz%__RH\M^DE`7(C:VZ_S=?7;hmaw*RTWOYFSKHk2/F1[URX9820ebl|/UQ\BVKXNOn9"I8:klfv)S[VLXARHId3,G6ZVSW=;37dams.VP[CUJWOLo>#J=_QV\14>hFLf@H?k;oCGkprKM8$[MIk4n@FlqqJB9VEIYK??;oCGkprKM8UDNXH!D038jDBh}}FN=RAMUG,G547R^[_135?kGCg|~GIhF[VCDNb{{.E0\TQY5k2dJ_RG@Bnww*Tc<>4n@mvpJCXGK_M"HV_149mEjssGLUDNXH!EYR\TQY79<1eMb{{OD]LFP@)MQZT\YQ>149mEjssGLUDNXH!EYR\TQY59<1eMb{{OD]LFP@)MQZT\YQ<149mEjssGLUDNXH!EYR\TQY3:81eMb{{OD]LFP@)MQZTx~gbrdlbi`bXfl~Ti6`NotvLAZIE]O$Z==5aAnwwK@YHJ\L%^HI6;o@FVWYA[Kn0bOK]R^DPF+VFLl1eNH\]_GQA[JDRN8:0bOK]R^DPFZIE]O$O=<5aBDPQ[CUEWFH^J#J>109mF@TUWOYISBLZF/F153=iJLXYSK]M_N@VB+B5WY^T<<84nCGQVZ@TJVEIYK K2^RW[4753gHN^_QISC]LFP@)MQZ:;6`MESP\BVDXGK_M"HV__QV\4417:lAAWTXNZHTCO[I.DZS[URX:8=0bOK]R^DPFZIE]O$NT]Q_T^117>hEM[XTJ^LPOCWE*@^WW}ybakaalgg[kcsW8:0bOK]R^DPFZIE]O$Z=?5aBDPQ[CUEWFH^J#\JG008jGCUZVLXNRAMUG,VMH?hEZVCDNRAMUG&Ra>hEZVCDNRAMUG,Gb>hEZVCDNRAMUG,G5c=iJ[UBCOQ@BTD-@7733gHYSDAM_N@VB+B5WY^T<<:4nCP\MJDXGK_M"I>5:lAVZOHJVEIYK JXQ]SPZ76=2dI^RG@B^MAQC(BPYU[XR<=1:lAVZOHJVEIYK JXQ]wwlkumgkfiiQaeu]f?kDUW@EISBLZF/S24>hEZVCDNRAMUG,QAB7c3gHYSDAM_N@VBZrtadiyilzjd^zlvZ2hDIZUDNXH!EYR\TQY59l1eOL]POCWE*@^WW}ybakaalgg[kcsWk1eOL]POCWE*Tb6`KT@AH[CUEWFH^J#J=169m@QGDCVLXNRAMUG,G6ZVSW9;<7cJ[ABI\BVDXGK_M"I1eHYOLK^DPFZIE]O$O>R^[_534?kBSIJATJ^LPOCWE*A4XX]U>=:5aDUC@OZ@TJVEIYK K2^RW[3763gN_MNEPFR@\KGSA&X;87cJ[ABI\BVDXGK_M"_KHa:lGPDELW@EIi6`KT@AH[LIE&YKOj6`KT@AH[LIEW@H^J;5aDhlOAd=iL`dGI#^NDc9m@lhKMVEIYKj4nEkmH@YHJ\L%Hh5aDhlOAZIE]O$O=h5aDhlOAZIE]O$O>k5aDhlOAZIE]O$NT]?;;oFjjICXGK_M"HV__QV\442hCagFNSBLZF/G[TZrtadxnblcjd^lfpZb5aDhlLAZIE]O$O>R^[_030?kBnfFOTCO[I.E0\TQY5n2dOecAJ_N@VB+C_X;:0bIgaOD]LFP@)MQZTx~gbrdlbi`bXfl~Th6`KioMF[JDRN'[<7cJ`uuMFf>hCg|~DI#^NDb9m@jssGLUDNXHj;oFlqqIBWFH^J#Ji;oFlqqIBWFH^J#J>f:lGkprHMVEIYK K2068jAir|FOTCO[I.E0\TQY79=1eHb{{OD]LFP@)L;U[XR?>0:lGkprHMVEIYK JXQ36?kBh}}ENSBLZF/G[TZVSW9;>7cJ`uuMF[JDRN'OS\R^[_036?kBh}}ENSBLZF/G[TZVSW;;>7cJ`uuMF[JDRN'OS\R^[_202?kBh}}ENSBLZF/G[TZrtadxnblcjd^lfpZc45aIQN1*UGCi2dB\AhKLZUM_Om4nMFP[CUE&YKOh6`CDR]EWGYNJ\L37cBKS^KLFf=iDMYTEBL!P@Fa?kJC[VCDNb{{e:lO@VYNGKe~x)AJd:lO@VYNGKe~x)_>5:lO@VYNGKe~x#LZFNG-KPRc3gFO_RG@Bnww*Ac5aLEQ\MJDh}}$O>R^[_030?kJC[VCDNb{{.E0\TQY59:1e@I]PIN@lqq(C:VZ_S>?<;oNGWZOHJf"IhKLZUBCOazt/Se?kJC[VCDNb{{.SGD55=iDMYTEBL`uu,WVVR43gEN;6`@E/RB@==iGLUDNXHn;oMF[JDRN'Ni7cAJ_N@VB+B6j2dDIRAMUG,G6f=iGLUDNXH!EYR25>hHMVEIYK JXQ]SPZ6692dDIRAMUG,F\UYW\V;:=6`@E^MAQC(BPYU[XR<>d:lLAZIE]O$NT]Q{shoqakgjmmUeiyQn;oMF[JDRN'[<7c\NMMUFf>hUIDF\I#^NDb9mVDKK_LUDNXHj;oPBIIQBWFH^J#Ji;oPBIIQBWFH^J#J>f:lQEHJPMVEIYK K2028jWGJD^OTCO[I.DZS50=iZHGG[HQ@BTD-A]VXX]U;=85aR@OOS@YHJ\L%IU^PPU]264=iZHGG[HQ@BTD-A]VX|zcf~h`nmdf\j`rXm2dYM@BXE^MAQC(V?2dYM@[XEc9mVDKR_L$[MIm4nSCNQRCXGK_Mi6`]ALWTAZIE]O$Oj6`]ALWTAZIE]O$O=k5aR@OVS@YHJ\L%H???;oPBIPQBWFH^J#KWP078jWGJ]^OTCO[I.DZS[URX88?0b_OBUVG\KGSA&LR[S]ZP1078jWGJ]^OTCO[I.DZS[URX:8?0b_OBUVG\KGSA&LR[S]ZP3078jWGJ]^OTCO[I.DZS[URX<;;0b_OBUVG\KGSA&LR[Sy}fmsgmehccWgoSh5aR@OVS@YHJ\L%]95aR@Q;?kTF['ZJH45aR@Q\KGSAj2dYM^Q@BTD-@f=iZHYTCO[I.E3`?kTF[VEIYK K2038jWGTWFH^J#J=_QV\4470b_K\8:lQAV(WIM=0b_K\otv:?kTB[f"Io4nSGPkpr)L8k0b_K\otv-@7dhUMZe~x#KWP^RW[5773gXN_b{{.DZS[URX98i0b_K\otv-A]VX|zcf~h`nmdf\j`rX12dYI^azt/Sa?kTB[f"_KH6:lQKHETi2dYC@M\.QCGf>hUGDIXSBLZFe9mVJKD[VEIYK Ke:lQKHETWFH^J#J>e:lQKHETWFH^J#J=129mVJKD[VEIYK K2^RW[5743gXDAN]POCWE*A4XX]U:j6`]OLAP[JDRN'OS\<:4nSMNGVYHJ\L%IU^PPU]351=iZFGH_RAMUG,F\UYW\V;:86`]OLAP[JDRN'OS\R^[_303?kTHEJYTCO[I.DZS[qune{oem`kk_ogw[a=iZFGH_RAMUG,R2>hUGD]Nm6`]OLUF*UGCj2dYC@YJ_N@VBa=iZFG\IRAMUG,Ga>hUGD]NSBLZF/F2a>hUGD]NSBLZF/F1`>hUGD]NSBLZF/S;?kSC[VLXNn5aUEQ\BVD)XHNo7c[KS^DPFZOE]O20bXJ\_HMAg>hRLZUBCO _AE`8jPBTW@EIcxzj;oWGWZOHJf(BKk;oWGWZOHJf(\?:;oWGWZOHJf"O[IOD,LQQbhRLZUBCOazt/VQWQ>7cXBA^KLFjss&K_MCH @UUf8jSKFW@EIcxz!Dd9mRHGXAFHdyy K1d9mRHGXAFHdyy K2018jSKFW@EIcxz!D3]SPZ66;2d]ALQFOCmvp+B5WY^T=i5aVLC\MJDh}}$Zj6`YM@]JKGir|'XNK<>4nWOB[LIEg|~%X_][1b9mRHGXAFHdyyQ{sho`v`gsmmUscQ7;oTSEZ@TJj1eZ]OPFR@-TDBc3g\[MRH\B^MAQC`<;4nWRB[CUEWFH^J#J=_QV\443;oTSEZ@TJVEIYK ]EF31?kPWIVLXNRAMUG,PAQ@?3g\[MRG@Bb9mRUGXAFH%\LJk;oTSEZOHJVCIYK94nVG\BVDe3g]NSK]M.QCGg>hPMVLXNRGMUG68jdkb?2dnkhjhe69skvccol30~lc B@AWvg=uid%IMNZ}.Ea8vdk(JHI_~#J>c:pbi*DFK]x%H?l4r`o,FDESz'[o7ob/CC@Pw(UMN=0~lc C@Q:?wgj'JKX"Io4r`o,GDU)L8k0~lc C@Q-@7`m7ob/BCP*A4XX]U>j6|nm.ABW+B5WY^T:45}al-@EV(Vj2xja"MNS/PFC==uid%Dbnkn;scn+Jhdm'Ni7ob/Nl`a+B6j2xja"Aacd,G6463{kf#B`le^vpmheumh~nhRv`r^;8vdk(ZHGNBo5}al-QEHCI&Mi0~lc R@OFJ+B6k2xja"\NMDL-@7763{kf#_OBEO,G6ZVSW9;:7ob/SCNAK(C:VZ_S;scn+WGJMG$O>R^[_332?wgj'[KFIC K2^RW[6763{kf#_OBEO,G6ZVSW=;:7ob/SCNAK(C:VZ_S8?>;scn+WGJMG$O>R^[_7`8vdk(ZHGNB#_k;scn+WGJMG$YIJ74r`o,VDUBDk1ym`!]ARGO*Aetfe&XJ_HB!D332?wgj'[KXIA K2^RW[5763{kf#_O\EM,G6ZVSW8;:7ob/SCPAI(C:VZ_S?l4r`o,VDUBD'[o7ob/SCPAI(UMN30~lc R@Qqbg=uid%YM^|i.Ea8vdk(ZHYyj#J>c:pbi*TF[{l%H?l4r`o,VDUun'[o7ob/SCPvc(UMN30~lc R@Qqvg=uid%YM^|}.Ea8vdk(ZHYy~#J>c:pbi*TF[{x%H??>;scn+WGTz{$O>R^[_132?wgj'[KX~ K2^RW[4b2:pbi*TF[{x%IU^PPU]157=uid%YM^|}.DZS[URX;8o0~lc R@Qqv+C_XV~xe`|jn`of`Zhb|Vh0~lc R@Qqv+Wc3{kf#_O\rs,QAB`6|nm.PP[CUJWOLo=#J>139qeh)U[VLXARHId0,G647;scn+WUXNZGTJKj=.P30?wgj'[YTJ^CPFGf1*WC@n2xja"\\_GQN[C@c;8;0~lc RR]EWHYANm9%H<<4r`o,VVYA[DUMJi=!D031?wgj'[YTJ^CPFGf0*A4692xja"\\_GQN[C@c;'[:?6|nm.PP[CUJWOLo?#\JGg9qeh)U[VLXARHId532?wgj'[YTJ^CPFGf7*A753{kf#_]PFRO\BCb3&M;:>6|nm.PP[CUJWOLo8#J=169qeh)U[VLXARHId5,G6ZVSW9;<7ob/SQ\BVKXNOn?"I1:pbi*TTWOYFSKHk5/F26>tfe&XXSK]B_GDg1+B69;1ym`!]S^DPIZ@Al<$O>?8;scn+WUXNZGTJKj9.E0\TQY39>1ym`!]S^DPIZ@Al?$O>R^[_434?wgj'[YTJ^CPFGf5*A4XX]U==<5}al-QWZ@TEVLMh; ^129qeh)U[VLXARHId7,QAB`6|nm.PP[CUJWOLo;#J>139qeh)U[VLXARHId6,G641>7:pbi*TTWOYFSKHk7/F1[URX9890~lc RR]EWHYANm=%IU^>8:pbi*TTWOYFSKHk7/G[TZVSW9;37ob/SQ\BVKXNOn<"HV__QV\54>6|nm.PP[CUJWOLo4#J=169qeh)U[VLXARHId9,G6ZVSW9;<7ob/SQ\BVKXNOn3"I1ym`!]S^DPIZ@Al1$O>R^[_534?wgj'[YTJ^CPFGf;*A4XX]U>=:5}al-QWZ@TEVLMh5 K2^RW[3763{kf#_]PFRO\BCb?&X;87ob/SQ\BVKXNOn3"_KHb:pbi*TbnMeeii5}al-QacBhfl$Oi6|nm.PfbAiim'N:i6|nm.PfbAiim'N9=>5}al-QacBhfl$O>R^[_130?wgj'[omHb`j.E0\TQY6n2xja"\jfEmma+C_X8>0~lc RddGkkc)MQZT\YQ?159qeh)UmoNdbh JXQ]SPZ76<2xja"\jfEmma+C_XVZ_S?i==95f5;gk42l3;0b?;j:79'600=:<>0q^jj:370>5<6;=h:<:4i48f8`737290:68:g6:`>pS>m0;6<4>:5``Vba2;?86=4>35`242=92:m44?;|&10a<6i>1/=o>52408f72a290?;7:j0;6ag~N59l525d8^g0=:r8;6<:5}h:a>5<#9k914l5a1c094>=n010;6)?m3;:b?k7e:3;07d69:18'5g5=0h1e=o<52:9j<1<72-;i?76n;o3a6?5<3`2;6=4+1c19!7e;32j7c?m2;78?lb02900eo950;9j3f<72-;i?76n;o3a6?0<3`=j6=4+1c19650;9ja1<722c?on4?::k623<722c3>7>5$0`0>=g5<#9k914l5a1c09a>=n1>0;6)?m3;:b?k7e:3;;76g7d;29 4d421k0bf3g;i>7?;;:k44?6=,8h865o4n0`1>f=b28;e>h6j;0:?65f7383>!7e;32j7c?m2;`8?l?4290/=o=58`9m5g4=9;10e4;50;&2f61:9j=<<72-;i?76n;o3a6?`<3`=36=4+1c19>1<75`1b394?"6j:0:nl5a1c09<>=h9k<1<7*>b282fd=i9k81n65`1c:94?"6j:0:nl5a1c095>=h9kn1<7*>b282fd=i9k81m65`1cd94?"6j:0:nl5a1c09=>=h9j91<7*>b282fd=i9k81;65`1b794?"6j:0:nl5a1c092>=h9j=1<7*>b282fd=i9k81965`1b;94?"6j:0:nl5a1c090>=h9jh1<7*>b282fd=i9k81?65`1bf94?"6j:0:nl5a1c096>=h=h<:?1<75`44394?=h>ic83:17o6l:182>5<7s-8>47?nf:J10f=n9hl1<7*=5982ec=#9h<1><5+1c3964=7E<;c:k262<72-8>47?=7:9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1m6*:6e8b?x{zu2wi444?:083>5}#:<21=lh4H36`?l7fn3:1(?;7:0ce?!7f>3;o7)?m1;3g?>{eih0;6?4?:1y'60>=j<1C>9m4i004>5<#:<21=?94;n3ba?6=,;?362181!31m3k0(88k:`9~yx{:183!4203;jj6F=4b9j5d`=83.9954>ag9'5d0=9k1/=o?51c98ygg>29096=4?{%062683>!4203;9;65`1`g94?"5=10:mh5Y24c95~J5=j0:w[k<:3y'5g3=9ho0Z<:i4n;|~y>{e0<0;6<4?:1y'60>=9hl0D?:l;h3bb?6=,;?36=9;=07b?ne;29 73?28kn7[<:a;3xH73d28q]i>4={%3a1?7fm2\:>=4={%75a?g<,<6290:6=4?{%065<5290;w)<:8;`6?M43k2c:>:4?:%068o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g9e>"2>m0j7psr}:a3c<7280;6=u+24:95d`<@;>h7d?nf;29 73?28km7)?n6;3;?!7e93;376sma483>7<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;c8 00c2h1vqps4}cf;>5<6290;w)<:8;3bb>N55<7s-8>47l:;I07g>o6:>0;6)<:8;313>=h9ho1<7*=5982e`=Q:7<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;48 00c2?1vqps4}cfa>5<5290;w)<:8;`6?M43k2c:>:4?:%06894V37b>4}K:47?nf:&2e3<6m2.:n<4>e:9~fg?=8381<7>t$37;>g3<@;>h7d?=7;29 73?288<76a>ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<13-?=h784}|~?xdei3:1>7>50z&11=:54o0cf>5<#:<21=lk4H374?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i784$44g>3=zutw0qo9k:182>5<7s-8>47?nf:J10f=n9hl1<7*=5982ec=#9h<1=:5+1c3952=7E<;c:k262<72-8>47?=7:9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1m6*:6e8b?x{zu2wi;o4?:083>5}#:<21=lh4H36`?l7fn3:1(?;7:0ce?!7f>3;=7)?m1;35?>{ei:0;6?4?:1y'60>=j<1C>9m4i004>5<#:<21=?94;n3ba?6=,;?362181!31m3k0(88k:`9~yx{7>51;294~"5=10:mk5G25a8m4ga290/>8651`d8 4g12;90(:318?xd6::0;6?4?:1y'60>=j<1C>9m4i004>5<#:<21=?94;n3ba?6=,;?362181!31m3<0(88k:79~yx{52;294~"5=10i96F=4b9j571=83.9954>2698k4gb290/>8651`g8L7303_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;48 00c2?1vqps4}c674?6=93:1=9hl0(7<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;c8 00c2h1vqps4}c75e?6=93:1=9hl0(7<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;c8 00c2h1vqps4}c54>5<6290;w)<:8;3bb>N5:181>5<7s-8>47l:;I07g>o6:>0;6)<:8;313>=h9ho1<7*=5982e`=Q:4<729q/>8651`d8L72d3`;jj7>5$37;>4ga3-;j:7?=;%3a5?7532wim=4?:383>5}#:<21n85G25a8m440290/>8651358?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7o4$44g>d=zutw0qo:82;295?6=8r.9954>ag9K61e13<3th?;>4?:383>5}#:<21n85G25a8m440290/>8651358?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7o4$44g>d=zutw0qo?na;296?6=8r.9954m5:J10f=n9;=1<7*=598262=5<6290;w)<:8;3bb>N510qo:n3;296?6=8r.9954m5:J10f=n9;=1<7*=598262=5<6290;w)<:8;3bb>N510qo:k1;296?6=8r.9954m5:J10f=n9;=1<7*=598262=5<6290;w)<:8;3bb>N55<7s-8>47l:;I07g>o6:>0;6)<:8;313>=h9ho1<7*=5982e`=Q:5<7s-8>47l:;I07g>o6:>0;6)<:8;313>=h9ho1<7*=5982e`=Q:21vn887:181>5<7s-8>47l:;I07g>o6:>0;6)<:8;313>=h9ho1<7*=5982e`=Q:4<729q/>8651`d8L72d3`;jj7>5$37;>4ga3-;j:7;9;%3a5?3132wim:4?:383>5}#:<21n85G25a8m440290/>8651358?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7o4$44g>d=zutw0qo7l:182>5<7s-8>47?nf:J10f=n9hl1<7*=5982ec=#9h<18<5+1c3904=7E<;c:k262<72-8>47?=7:9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1m6*:6e8b?x{zu2wi554?:083>5}#:<21=lh4H36`?l7fn3:1(?;7:0ce?!7f>38n7)?m1;0f?>{ej80;6?4?:1y'60>=j<1C>9m4i004>5<#:<21=?94;n3ba?6=,;?362181!31m3k0(88k:`9~yx{:183!4203;jj6F=4b9j5d`=83.9954>ag9'5d0==>1/=o?55698yggd29096=4?{%062683>!4203;9;65`1`g94?"5=10:mh5Y24c95~J5=j0:w[k<:3y'5g3=9ho0Z<:i4n;|~y>{e>o0;6<4?:1y'60>=9hl0D?:l;h3bb?6=,;?363-;i=7:6;:a=a<72;0;6=u+24:9f0=O:=i0e<<8:18'60>=9;=07b?ne;29 73?28kn7[<:a;3xH73d28q]i>4={%3a1?7fm2\:>=4={%75a?g<,<5<5290;w)<:8;`6?M43k2c:>:4?:%068o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g9e>"2>m0j7psr}:a34<7280;6=u+24:95d`<@;>h7d?nf;29 73?28km7)?n6;72?!7e93?:76sm9d83>7<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;c8 00c2h1vqps4}c;1>5<6290;w)<:8;3bb>N55<7s-8>47l:;I07g>o6:>0;6)<:8;313>=h9ho1<7*=5982e`=Q:4<729q/>8651`d8L72d3`;jj7>5$37;>4ga3-;j:7?>;%3a5?7632wi5k4?:383>5}#:<21n85G25a8m440290/>8651358?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7o4$44g>d=zutw0qo7;:182>5<7s-8>47?nf:J10f=n9hl1<7*=5982ec=#9h<1=n5+1c395f=7E<;c:k262<72-8>47?=7:9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1m6*:6e8b?x{zu2wi5;4?:083>5}#:<21=lh4H36`?l7fn3:1(?;7:0ce?!7f>38=7)?m1;05?>{ej90;6?4?:1y'60>=j<1C>9m4i004>5<#:<21=?94;n3ba?6=,;?362181!31m3k0(88k:`9~yx{:183!4203;jj6F=4b9j5d`=83.9954>ag9'5d0=;j1/=o?53b98ygd529096=4?{%062683>!4203;9;65`1`g94?"5=10:mh5Y24c95~J5=j0:w[k<:3y'5g3=9ho0Z<:i4n;|~y>{e?00;6<4?:1y'60>=9hl0D?:l;h3bb?6=,;?36=9;=07b?ne;29 73?28kn7[<:a;3xH73d28q]i>4={%3a1?7fm2\:>=4={%75a?g<,<50z&11=<6io1C>9m4o0ce>5<#:<21=lh4$0c5>12<,8h:69:4;|`60f<72:0;6=u+24:961g<@;>h7d?=7;29 73?288<7[<:a;3xH73d28q]i>4={%3a1?75?2\:>=4={%75a?><,<o7?tVd196~"6j<0n<6X>2181!31m3;0(88k:09~yx{5<6290;w)<:8;3bb>N5!4203o;7[<:a;3xH73d28q]i>4={%3a1?c73_;9<74=#=?n1=6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi8i=50;394?6|,;?36i6io0;6)<:8;3bb>"6i?0?46*>b087<>=zj=n96=4<:183!42038?m6F=4b9j571=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?94V003>7}#=?o146*:6e8;?x{zu2cn<7>5$37;>`6<^;?j6ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd2;j0;6<4?:1y'60>=9hl0D?:l;n3bb?6=,;?36t$37;>72f3A8?o6g>2683>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:>1]=?>52z&62`865e19U60g=9rF99n4>{Wg0>7}#9k?1i=5Y13296~"2>l0:7);9d;38yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c655?6=93:1=9hl0(7<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;:8 00c211vqps4}c76g?6=93:1=9hl0(7<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;:8 00c211vqps4}c73=?6=93:1=9hl0(7<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;:8 00c211vqps4}c641?6=93:1=9hl0(7<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;:8 00c211vqps4}c3`6?6=93:1=9hl0(c083>7<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;:8 00c211vqps4}c3a3?6=93:1=9hl0(b783>7<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;:8 00c211vqps4}c3a=?6=93:1=9hl0(b983>7<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;:8 00c211vqps4}c3aa?6=93:1=9hl0(07pl>be83>7<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;:8 00c211vqps4}c3`4?6=93:1=9hl0(bg83>7<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;:8 00c211vqps4}c3`0?6=93:1=9hl0(c283>7<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;:8 00c211vqps4}c3`2?6=93:1=9hl0(c483>7<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;:8 00c211vqps4}c3`=9hl0(c683>7<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;:8 00c211vqps4}c3`e?6=93:1=9hl0(c883>7<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;:8 00c211vqps4}c3`g?6=93:1=9hl0(cc83>7<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;:8 00c211vqps4}c3`a?6=93:1=9hl0(ce83>7<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;:8 00c211vqps4}c6f0?6=93:1=9hl0(7<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;:8 00c211vqps4}c706?6=93:1=9hl0(7<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;:8 00c211vqps4}c61b?6=93:1=9hl0(7<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;:8 00c211vqps4}c6;2?6=93:1=9hl0(7<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;:8 00c211vqps4}c6:7?6=93:1=9hl0(07pl;9383>7<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;:8 00c211vqps4}c6b1?6=93:1=9hl0(7<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;:8 00c211vqps4}c72=9hl0(6<729q/>86525c8L72d3`;9;7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;313>P6:909w);9e;:8 00c211vqps4id294?"5=10n<6X=5`82I42k3;pZh=52z&2f0=4={%75a?7<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn5<7s-8>47?nf:J10f=h9hl1<7*=5982ec=#9h<1?i5+1c397a=52;294~"5=10i96F=4b9j571=83.9954>2698k4gb290/>8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn9=9:182>5<7s-8>47?nf:J10f=h9hl1<7*=5982ec=#9h<18n5+1c390f=897>52;294~"5=10i96F=4b9j571=83.9954>2698k4gb290/>8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn9;=:182>5<7s-8>47?nf:J10f=h9hl1<7*=5982ec=#9h<18k5+1c390c=>=7>52;294~"5=10i96F=4b9j571=83.9954>2698k4gb290/>8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn9l=:182>5<7s-8>47?nf:J10f=h9hl1<7*=5982ec=#9h<19?5+1c3917=i=7>52;294~"5=10i96F=4b9j571=83.9954>2698k4gb290/>8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn9lj:182>5<7s-8>47?nf:J10f=h9hl1<7*=5982ec=#9h<19=5+1c3915=ih7>52;294~"5=10i96F=4b9j571=83.9954>2698k4gb290/>8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn9h?:182>5<7s-8>47?nf:J10f=h9hl1<7*=5982ec=#9h<1?k5+1c397c=nj7>52;294~"5=10i96F=4b9j571=83.9954>2698k4gb290/>8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn9hm:182>5<7s-8>47?nf:J10f=h9hl1<7*=5982ec=#9h<18=5+1c3905=mm7>52;294~"5=10i96F=4b9j571=83.9954>2698k4gb290/>8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn8<;:182>5<7s-8>47?nf:J10f=h9hl1<7*=5982ec=#9h<1>o5+1c396g=52;294~"5=10i96F=4b9j571=83.9954>2698k4gb290/>8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vnom50;394?6|,;?36i6io0;6)<:8;3bb>"6i?08n6*>b080f>=zjkh1<7<50;2x 73?2k?0D?:l;h313?6=,;?36<<8;:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l037);9d;:8yx{z3tho=7>51;294~"5=10:mk5G25a8k4ga290/>8651`d8 4g12:k0(:2c8?xdc83:1>7>50z&11=:54o0cf>5<#:<21=lk4V37b>4}K:7E<;c:k262<72-8>47?=7:9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi99k50;094?6|,;?36o;4H36`?l75?3:1(?;7:004?>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?77<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c765?6=:3:1N510c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f03f29086=4?{%06:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<:i4>;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4n;%75`?g4={%3a1?7fm2\:>=4={%75a?7<,<50z&11=<6:<1C>9m4$01;>c=n9;=1<7*=598262=Q:!4203nh7[<:a;3xH73d28q]=l;52z&2f0=4={%75a?7<,<o7?tV0c6>7}#9k?1hi5Y13296~"2>l087);9d;18yx{K::n4kd:&06f<2>l1v(;>l:d9~yx=n:=21<7*=59810==Q:vB=5b82S7f=38p(n4:6d9~ 36d2m1vqp5f22694?"5=109?95Y24c96~J5=j0:w[?n5;0x 4d22;9?7[?=0;0x 00b2m1/9;j5d:~yI42j3;pZ?;>:3yUa4<5s-?=o7<<4:&06f<2>l1v(;>l:e9~yx=h9ho1<7*=5982e`=51;294~"5=1099k5G25a8k4gb290/>8651`g8?xd5=9hi0D?:l;n3ba?6=,;?36t$37;>7323A8?o6g>2983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`<63-?=h7?4}|~?l7513:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i7?4$44g>4=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f034290:6=4?{%063:1N51C>894V37b>4}K:44>3_;9<7f=#=?n1o6sr}|9j566=83.9954>269K601<^;?j6:5G2458R73f28qG>8m51zTf7?4|,8h>6<=>;W314?4|,<{zut1b=><50;&11=<6:>1C>894V37b>4}K:=83:p(?;7:7a8L72d3`;947>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;a8 00c2j1vqps4i00:>5<#:<21=?94V37b>4}K:3083>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=>?4V003>7}#=?o1o6*:6e8`?x{zu2c:??4?:%06:i4l;|~y>o6;:0;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>329U576=:r.>:h4l;%75`?e4={%3a1?74<2\:>=4={%75a?e<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn8;;:180>5<7s-8>47?nd:J10f=n9;21<7*=598262=Q:2883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<63-?=h7?4}|~?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo;:9;297?6=8r.99549c:J10f=n9;21<7*=598262=O:<=0Z?;n:0yO60e=9r\n?744?3_;9<71=#=?n186sr}|9j57?=83.9954>269K601<^;?j6:i4>;|~y>{e<=<1<7<50;2x 73?2k?0D?:l;h313?6=,;?36<<8;:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th?894?:383>5}#:<21n85G25a8m440290/>8651358?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo:;5;296?6=8r.9954m5:J10f=n9;=1<7*=598262=5<5290;w)<:8;`6?M43k2c:>:4?:%068o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a01`=83<1<7>t$37;>4423A8?o6*>398e?l75?3:1(?;7:004?S42i3;p@?;l:0yU5d3=:r.:n84>269U576=:r.>:h4>;%75`?7=lj1]>8o51zN11f<6s_;j97ae<^88;6?u+57g95>"2>m0:7psr}:kg`?6=,;?36ij4V37b>7}K:a481!7e=3no7[?=0;0x 00b2:1/9;j53:~yI42j3>pZ?:6:2yUa4<5s-?=o7jk;%11g?31m2w]i?4={%75g?75?2.8>n4:6d9~ 36d201vZ?:6:2yUa4<5s-?=o7jk;%11g?31m2w]i?4={%75g?75?2.8>n4:6e9~ 36d201vZ?:6:2yUa4<5s-?=o7jk;%11g?31m2w]i?4={%75g?bd3-99o7;9e:'25e=:2w]=o:52zTf5?4|,<00b3t.=:h4k;%75`?b8l51zT114<5s_o:6?u+57a961><,:8h688j;|&54f52z&62`7}#=?i1>>:4$20`>00b3t.={e<=21<7=50;2x 73?2;?>7E<;c:k26=<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0:7);9d;38yx{z3`;957>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;38 00c281vqps4o0cf>5<#:<21=lk4V37b>4}K:26=4>:183!42038>j6F=4b9l5dc=83.9954>ad98yg23j3:1:7>50z&11=<1k2B98n5f13:94?"5=10:>:5G2458R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g9g>"2>m0h7psr}:k275<72-8>47?=7:J112=Q:3083>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=>?4V003>7}#=?o1o6*:6e8`?x{zu2c:??4?:%06:i4l;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?73<729q/>8656b9K61e4={%3a1?7502\:>=4={%75a?e<,<290/>8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;a8 00c2j1vqps4i013>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?<0:T265<5s-?=i7m4$44g>f=zutw0e<=>:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{4={%3a1?74:2\:>=4={%75a?e<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn9:k:184>5<7s-8>478l;I07g>o6:10;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g9g>"2>m0h7psr}:k26<<72-8>47?=7:J112=Q:3183>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=>>4V003>7}#=?o1o6*:6e8`?x{zu2c:?<4?:%06:i4l;|~y>o6;;0;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c79564<^88;6?u+57g9g>"2>m0h7psr}:k276<72-8>47?=7:J112=Q:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd3=9hn0D?:l;h312181!31m3;0(88k:09~yx{:5Y24c95~J5=j0:w[k<:3y'5g3=9::0Z<:i4>;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?76<729q/>8656b9K61e4={%3a1?7502\:>=4={%75a?2<,<290/>8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;68 00c2=1vqps4o0cf>5<#:<21=lk4V37b>4}K:7E<;c:k262<72-8>47?=7:9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi8i:50;094?6|,;?36o;4H36`?l75?3:1(?;7:004?>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?77<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c6g3?6=:3:1N510c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f1c6290=6=4?{%06o6:>0;6)<:8;313>P5=h0:wA<:c;3xR4g22;q/=o;51358R4472;q/9;k51:&62a<63twvq6gkc;29 73?2mi0Z?;n:0yO60e=9r\:m84={%3a1?bd3_;9<74=#=?n1=6sr}|9j`a<72-8>47jk;W06e?4|D;?h62181!31m390(88k:29~yxJ5=k0?w[<;9;1xR`7=:r.>:n4kd:&06f<2>l1vZh<52z&62f<6:>1/??m557g8y!07k330q[<;9;1xR`7=:r.>:n4kd:&06f<2>l1vZh<52z&62f<6:>1/??m557f8y!07k330q[<;9;1xR`7=:r.>:n4kd:&06f<2>l1vZh<52z&62fn4:6d9~ 36d2;1vZo5<10;6)<:8;07<>P5=h09wA<:c;3xR4g22;q/=o;525:8R4472;q/9;k5d:&62a72?3-99o7;9e:'25e=l2wvq6g=3583>!42038886X=5`81I42k3;pZ0Z<:i4k;|~H73e28q]>8?52zTf5?4|,<i6il0;6)<:8;3ba>=zj=n36=4<:183!42038>96F=4b9j57>=83.9954>269K601<^;?j6:5Y24c95~J5=j0:w[k<:3y'5g3=9;30Z<:i4>;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?74<729q/>86524d8L72d3f;ji7>5$37;>4gb32wi8il50;194?6|,;?36;m4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i7?4$44g>4=zutw0e<<6:18'60>=9;=0Z?;n:0yO60e=9r\n?744>3_;9<74=#=?n1=6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi8im50;194?6|,;?36;m4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i7m4$44g>f=zutw0e<<6:18'60>=9;=0Z?;n:0yO60e=9r\n?744>3_;9<7f=#=?n1o6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi8ij50;194?6|,;?36;m4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i7m4$44g>f=zutw0e<<6:18'60>=9;=0Z?;n:0yO60e=9r\n?744>3_;9<7f=#=?n1o6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi8ik50;194?6|,;?36;m4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i7m4$44g>f=zutw0e<<6:18'60>=9;=0Z?;n:0yO60e=9r\n?744>3_;9<7f=#=?n1o6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi8ih50;694?6|,;?36;m4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i7m4$44g>f=zutw0e<<6:18'60>=9;=0Z?;n:0yO60e=9r\n?744>3_;9<7f=#=?n1o6sr}|9j566=83.9954>269K601<^;?j6:i4>;|~y>{e47?=7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0:7);9d;38yx{z3`;957>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;38 00c281vqps4i013>5<#:<21=?94V37b>4}K:3383>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;;1]=?>52z&62`<63-?=h7?4}|~?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo:j2;297?6=8r.99549c:J10f=n9;21<7*=598262=O:<=0Z?;n:0yO60e=9r\n?744?3_;9<71=#=?n186sr}|9j57?=83.9954>269K601<^;?j6:i4>;|~y>{e=:l1<7<50;2x 73?2k?0D?:l;h313?6=,;?36<<8;:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th>?i4?:383>5}#:<21n85G25a8m440290/>8651358?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo;5<5290;w)<:8;`6?M43k2c:>:4?:%068o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a11g=83<1<7>t$37;>4423A8?o6*>398e?l75?3:1(?;7:004?S42i3;p@?;l:0yU5d3=:r.:n84>269U576=:r.>:h4>;%75`?7=lj1]>8o51zN11f<6s_;j97ae<^88;6?u+57g95>"2>m0:7psr}:kg`?6=,;?36ij4V37b>7}K:a481!7e=3no7[?=0;0x 00b2:1/9;j53:~yI42j3>pZ?:6:2yUa4<5s-?=o7jk;%11g?31m2w]i?4={%75g?75?2.8>n4:6d9~ 36d201vZ?:6:2yUa4<5s-?=o7jk;%11g?31m2w]i?4={%75g?75?2.8>n4:6e9~ 36d201vZ?:6:2yUa4<5s-?=o7jk;%11g?31m2w]i?4={%75g?bd3-99o7;9e:'25e=:2w]=o:52zTf5?4|,<00b3t.=:h4k;%75`?b8l51zT114<5s_o:6?u+57a961><,:8h688j;|&54f52z&62`7}#=?i1>>:4$20`>00b3t.={e==;1<7=50;2x 73?2;?>7E<;c:k26=<72-8>47?=7:J112=Q:2883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<63-?=h7?4}|~?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo;;2;295?6=8r.9954=5g9K61e894?:283>5}#:<21:n5G25a8m44?290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g95>"2>m0:7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th>884?:283>5}#:<21:n5G25a8m44?290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g9g>"2>m0h7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th>8;4?:283>5}#:<21:n5G25a8m44?290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g9g>"2>m0h7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th>8:4?:283>5}#:<21:n5G25a8m44?290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g9g>"2>m0h7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th>854?:583>5}#:<21:n5G25a8m44?290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g9g>"2>m0h7psr}:k275<72-8>47?=7:J112=Q:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd2<:0;6;4?:1y'60>=9hn0D?:l;h312181!31m3;0(88k:09~yx{:5Y24c95~J5=j0:w[k<:3y'5g3=9::0Z<:i4>;|~y>o6;80;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>309U576=:r.>:h4>;%75`?74={%3a1?74:2\:>=4={%75a?7<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn8:m:180>5<7s-8>478l;I07g>o6:10;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g90>"2>m0?7psr}:k26<<72-8>47?=7:J112=Q:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd5;j0;6?4?:1y'60>=j<1C>9m4i004>5<#:<21=?94;n3ba?6=,;?362181!31m3;0(88k:09~yx{53;294~"5=109985G25a8m44?290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g9e>"2>m0j7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th98;4?:783>5}#:<21=?;4H36`?!7403l0e<<8:18'60>=9;=0Z?;n:0yO60e=9r\:m84={%3a1?75?2\:>=4={%75a?7<,<o7?tV0c6>7}#9k?1hn5Y13296~"2>l0:7);9d;38yx{z3`no6=4+24:9`a=Q:vB=5b82S7f=38p(ab<,:8h688j;|Tf6?4|,<ab<,:8h688j;|Tf6?4|,<ab<,:8h688j;|Tf6?4|,<00b3t.==:=20Z?;n:3yO60e=9r\:m84={%3a1?4302\:>=4={%75a?b<,<=7j09855+33a913c8652268R73f2;qG>8m51zT2e0<5s-;i97<<4:T265<5s-?=i7j4$44g>a=zutF99o4>{W065?4|^l;1>v*:6b8171=#;;i19;k4}%43g?b=9ho07pl=3d83>6<729q/>8652478L72d3`;947>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;38 00c281vqps4i00:>5<#:<21=?94V37b>4}K::186>5<7s-8>478l;I07g>o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4l;%75`?e4={%3a1?7512\:>=4={%75a?e<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=?;W314?4|,<{zut1b=>?50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79567<^88;6?u+57g9g>"2>m0h7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th98?4?:483>5}#:<21:n5G25a8m44?290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g9g>"2>m0h7psr}:k275<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?=5Y13296~"2>l0h7);9d;a8yx{z3`;8=7>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6;81]=?>52z&62`4=zutw0qo<;3;291?6=8r.99549c:J10f=n9;21<7*=598262=Q:2883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`f=zutw0e<=>:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{5<2290;w)<:8;4`?M43k2c:>54?:%06:i4l;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4l;%75`?e4={%3a1?7482\:>=4={%75a?e<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=>;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a616=83?1<7>t$37;>4gc3A8?o6g>2983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`<63-?=h7?4}|~?l7513:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i7?4$44g>4=zutw0e<=?:18'60>=9;=0Z?;n:0yO60e=9r\n?74573_;9<74=#=?n1=6sr}|9j567=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>?4V003>7}#=?o1=6*:6e82?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`17a<72:0;6=u+24:92f=O:=i0e<<7:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{:i4>;|~y>{e<9<1<7<50;2x 73?2k?0D?:l;h313?6=,;?36<<8;:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th?=>4?:283>5}#:<21>8;4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i7?4$44g>4=zutw0e<<6:18'60>=9;=0Z?;n:0yO60e=9r\n?744>3_;9<7d=#=?n1m6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi8<<50;494?6|,;?36<<:;I07g>"6;10m7d?=7;29 73?288<7[<:a;3xH73d28q]=l;52z&2f0<6:>1]=?>52z&62`<63-?=h7?4}|~?lbd290/>865db9U60g=9rF99n4>{W3b1?4|,8h>6im4V003>7}#=?o1=6*:6e82?x{zu2coh7>5$37;>ab<^;?j6?uC24a95~P6i<09w)?m5;fg?S75838p(88j:29'13b=;2wvqA<:b;6xR72>2:q]i<4={%75g?bc3-99o7;9e:Ua7<5s-?=o7?=7:&06f<2>l1v(;>l:89~R72>2:q]i<4={%75g?bc3-99o7;9e:Ua7<5s-?=o7?=7:&06f<2>m1v(;>l:89~R72>2:q]i<4={%75g?bc3-99o7;9e:Ua7<5s-?=o7jl;%11g?31m2w/:=m52:U5g2=:r\n=7ab<,:8h688j;|&54f37[<:a;0xH73d28q]=l;52z&2f0<5<11]=?>52z&62`7}#=?i1>964$20`>00b3t.=:h4k;%75`?b8l51zT114<5s_o:6?u+57a9662<,:8h688j;|&54f54?:%06{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d82?!31l3;0qpsr;h31=?6=,;?36<<8;W06e?7|D;?h62181!31m3;0(88k:09~yx{5<6290;w)<:8;06b>N52883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<63-?=h7?4}|~?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo:?b;297?6=8r.99549c:J10f=n9;21<7*=598262=Q:2883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<63-?=h7?4}|~?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo:?c;290?6=8r.99549c:J10f=n9;21<7*=598262=Q:2883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`{M06g?7|^l91>v*>b48275=Q9;:1>v*:6d8`?!31l3i0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{;h7>57;294~"5=10=o6F=4b9j57>=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1:6*:6e85?x{zu2c:>44?:%062.>:i49;|~y>o6;90;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c79566<^88;6?u+57g9g>"2>m0h7psr}:k274<72-8>47?=7:J112=Q:3383>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=><4V003>7}#=?o1o6*:6e8`?x{zu2c:?>4?:%06{M06g?7|^l91>v*>b48276=Q9;:1>v*:6d8`?!31l3i0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{;i7>57;294~"5=10=o6F=4b9j57>=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1:6*:6e85?x{zu2c:>44?:%06:i4l;|~y>o6;90;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>319U576=:r.>:h4l;%75`?eo7?tVd196~"6j<0:?<5Y13296~"2>l0h7);9d;a8yx{z3`;8>7>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6;;1]=?>52z&62`f=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f16a29036=4?{%062983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`<13-?=h784}|~?l7513:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i784$44g>3=zutw0e<=?:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3<0(88k:79~yx{4={%3a1?7492\:>=4={%75a?e<,<8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;306>P6:909w);9e;a8 00c2j1vqps4i010>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?<3:T265<5s-?=i7m4$44g>f=zutw0e<=;:18'60>=9;=0Z?;n:0yO60e=9r\n?74533_;9<7f=#=?n1o6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi8<>50;:94?6|,;?36;m4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i784$44g>3=zutw0e<<6:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3<0(88k:79~yx{4={%3a1?7482\:>=4={%75a?e<,<8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;305>P6:909w);9e;a8 00c2j1vqps4i011>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?<2:T265<5s-?=i7m4$44g>f=zutw0e<=<:18'60>=9;=0Z?;n:0yO60e=9r\n?74543_;9<7f=#=?n1o6sr}|9j562=83.9954>269K601<^;?j6:i4>;|~y>{e<931<7650;2x 73?28ko7E<;c:k26=<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0:7);9d;38yx{z3`;957>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;38 00c281vqps4i013>5<#:<21=?94V37b>4}K:3383>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;;1]=?>52z&62`<63-?=h7?4}|~?l74;3:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?<3:T265<5s-?=i7?4$44g>4=zutw0e<=;:18'60>=9;=0Z?;n:0yO60e=9r\n?74533_;9<74=#=?n1=6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi95k50;094?6|,;?36o;4H36`?l75?3:1(?;7:004?>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?73<729q/>8651378L72d3-;847h4i004>5<#:<21=?94V37b>4}K:a481!7e=3;9;6X>2181!31m3;0(88k:09~yx{P5=h0:wA<:c;3xR4g22;q/=o;5db9U576=:r.>:h4>;%75`?7=lm1]>8o52zN11f<6s_;j97ab<^88;6?u+57g97>"2>m087psrL37a>1}Q:=31?vXj1;0x 00d2mn0(>{Q:=31?vXj1;0x 00d2mn0(>{Q:=31?vXj1;0x 00d2mn0(>:3y'13e=lm1/??m557g8y!07k3o0qps4i36;>5<#:<21>964V37b>7}K:a481!7e=38?46X>2181!31m3n0(88k:e9~yxJ5=k0:w[<:1;0xR`7=:r.>:n4=499'77e==?o0q)8?c;f8yx{P6:909w);9e;f8 00c2m1vqpB=5c82S42938pZh?52z&62f<5;=1/??m557g8y!07k3n0qps4o0cf>5<#:<21=lk4;|`6h7d?=8;29 73?288<7E<:7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0:7);9d;38yx{z3`;957>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;38 00c281vqps4o0cf>5<#:<21=lk4V37b>4}K::183!42038>j6F=4b9l5dc=83.9954>ad98yg3>:3:1?7>50z&11=<1k2B98n5f13:94?"5=10:>:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<:i4l;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4l;%75`?e4={%3a1?7fm2\:>=4={%75a?7<,<;3:1?7>50z&11=<1k2B98n5f13:94?"5=10:>:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<:i4>;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4>;%75`?74={%3a1?7fm2\:>=4={%75a?7<,<<3:1?7>50z&11=<1k2B98n5f13:94?"5=10:>:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<:i4>;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4>;%75`?74={%3a1?7fm2\:>=4={%75a?7<,<=3:187>50z&11=<1k2B98n5f13:94?"5=10:>:5G2458R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1C>894V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd21?0;694?:1y'60>=>j1C>9m4i00;>5<#:<21=?94V37b>4}K:44>3_;9<7f=#=?n1o6sr}|9j566=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>>4V003>7}#=?o1o6*:6e8`?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`6=2<72=0;6=u+24:92f=O:=i0e<<7:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{4={%3a1?7512\:>=4={%75a?e<,<8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;304>P6:909w);9e;a8 00c2j1vqps4o0cf>5<#:<21=lk4V37b>4}K:47?=7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0h7);9d;a8yx{z3`;957>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`f=zutw0e<=>:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{5N51]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g95>"2>m0:7psr}:k26<<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>45Y13296~"2>l0:7);9d;38yx{z3`;8<7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;304>P6:909w);9e;38 00c281vqps4i012>5<#:<21=?94V37b>4}K:3283>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;:1]=?>52z&62`<63-?=h7?4}|~?l74<3:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?<4:T265<5s-?=i7?4$44g>4=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f42229096=4?{%062683>!4203;9;65`1`g94?"5=10:mh5Y24c95~J5=j0:w[k<:3y'5g3=9ho0Z<:i4>;|~y>{e9=i1<7=50;2x 73?28ko7E<;c:k26=<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0:7);9d;38yx{z3`;957>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;38 00c281vqps4o0cf>5<#:<21=lk4V37b>4}K:j6=49:183!4203;996F=4b9'56>=n2c:>:4?:%06{M06g?7|^8k>6?u+1c79571<^88;6?u+57g95>"2>m0:7psr}:kgg?6=,;?36im4V37b>4}K:a481!7e=3nh7[?=0;0x 00b281/9;j51:~yx=nlm0;6)<:8;fg?S42i38p@?;l:0yU5d3=:r.:n84kd:T265<5s-?=i7=4$44g>6=zutF99o4;{W07=?5|^l;1>v*:6b8g`>"4:j0>:h5rVd096~"2>j0:>:5+33a913cv*:6b8g`>"4:j0>:h5rVd096~"2>j0:>:5+33a913bv*:6b8g`>"4:j0>:h5rVd096~"2>j0oo6*<2b862`=z,?:h6?5rV0`7>7}Qm809w);9c;fg?!55k3?=i6s+61a9a>{zu2c9854?:%066?u+1c7961><^88;6?u+57g9`>"2>m0o7psrL37a>4}Q:<;1>vXj1;0x 00d2;>37)==c;75a>{#>9i1h6sr}:k171<72-8>47<<4:T11d<5sE8>o7?tV0c6>7}#9k?1>>:4V003>7}#=?o1h6*:6e8g?x{zD;?i6{zu2e:mh4?:%065<7s-8>47<:5:J10f=n9;21<7*=598262=Q:2883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<63-?=h7?4}|~?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo?;7;295?6=8r.9954=5g9K61e5}#:<21:n5G25a8m44?290/>8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;48 00c2?1vqps4i00:>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i784$44g>3=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f42e29086=4?{%062983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`f=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f42c29096=4?{%062683>!4203;9;65`1`g94?"5=10:mh5Y24c95~J5=j0:w[k<:3y'5g3=9ho0Z<:i4>;|~y>{e9<>1<7<50;2x 73?2k?0D?:l;h313?6=,;?36<<8;:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th:944?:283>5}#:<21=lj4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i7?4$44g>4=zutw0e<<6:18'60>=9;=0Z?;n:0yO60e=9r\n?744>3_;9<74=#=?n1=6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi=8950;494?6|,;?36<<:;I07g>"6;10m7d?=7;29 73?288<7[<:a;3xH73d28q]=l;52z&2f0<6:>1]=?>52z&62`<63-?=h7?4}|~?lbd290/>865db9U60g=9rF99n4>{W3b1?4|,8h>6im4V003>7}#=?o1=6*:6e82?x{zu2coh7>5$37;>ab<^;?j6?uC24a95~P6i<09w)?m5;fg?S75838p(88j:29'13b=;2wvqA<:b;6xR72>2:q]i<4={%75g?bc3-99o7;9e:Ua7<5s-?=o7?=7:&06f<2>l1v(;>l:89~R72>2:q]i<4={%75g?bc3-99o7;9e:Ua7<5s-?=o7?=7:&06f<2>m1v(;>l:89~R72>2:q]i<4={%75g?bc3-99o7;9e:Ua7<5s-?=o7jl;%11g?31m2w/:=m52:U5g2=:r\n=7ab<,:8h688j;|&54f37[<:a;0xH73d28q]=l;52z&2f0<5<11]=?>52z&62`7}#=?i1>964$20`>00b3t.=:h4k;%75`?b8l51zT114<5s_o:6?u+57a9662<,:8h688j;|&54f54?:%06{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d82?!31l3;0qpsr;h31=?6=,;?36<<8;W06e?7|D;?h62181!31m3;0(88k:09~yx{5<6290;w)<:8;06b>N544?3_;9<73=#=?n1:6sr}|9j57?=83.9954>269K601<^;?j62wvqp5`1`g94?"5=10:mh5Y24c95~J5=j0:w[k<:3y'5g3=9ho0Z<:i4>;|~y>{e9<81<7=50;2x 73?2?i0D?:l;h31P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h49;%75`?0o7?tVd196~"6j<0:>45Y13296~"2>l0=7);9d;48yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c367?6=>3:1N51C>894V37b>4}K:44>3_;9<7f=#=?n1o6sr}|9j566=83.9954>269K601<^;?j6:5G2458R73f28qG>8m51zTf7?4|,8h>6<=>;W314?4|,<{zut1b=><50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79564<^88;6?u+57g9g>"2>m0h7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th:9=4?:583>5}#:<21=lj4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i7?4$44g>4=zutw0e<<6:18'60>=9;=0Z?;n:0yO60e=9r\n?744>3_;9<74=#=?n1=6sr}|9j566=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>>4V003>7}#=?o1=6*:6e82?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`21=<72:0;6=u+24:92f=O:=i0e<<7:18'60>=9;=0Z?;n:0yO60e=9r\n?744?3_;9<7f=#=?n1o6sr}|9j57?=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1o6*:6e8`?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`210<72<0;6=u+24:92f=O:=i0e<<7:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3n0(88k:e9~yx{:5Y24c95~J5=j0:w[k<:3y'5g3=9::0Z<:i4k;|~y>o6;80;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>309U576=:r.>:h4k;%75`?b4={%3a1?7fm2\:>=4={%75a?7<,<7>50z&11=:54o0cf>5<#:<21=lk4V37b>4}K:96F=4b9j57>=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1=6*:6e82?x{zu2c:>44?:%06{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d8b?!31l3k0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{7>56;294~"5=10:>85G25a8 45?2o1b=?950;&11=<6:>1]>8o51zN11f<6s_;j974403_;9<74=#=?n1=6sr}|9j`f<72-8>47jl;W06e?7|D;?h62181!31m3;0(88k:09~yx{P5=h09wA<:c;3xR4g22;q/=o;5de9U576=:r.>:h4<;%75`?58l54zT10<<4s_o:6?u+57a9`a=#;;i19;k4}Wg1>7}#=?i1=?94$20`>00b3t.=7}#=?i1=?94$20`>00c3t.=7}#=?i1hn5+33a913cv*:6b8g`>"4:j0>:h5r$72`>`=zut1b>9650;&11=<5<11]>8o52zN11f<6s_;j9772?3_;9<7a=#=?n1h6sr}M06f?7|^;?:6?uYe081!31k38?46*<2b862`=z,?:h6i5r}|9j662=83.9954=359U60g=:rF99n4>{W3b1?4|,8h>6?=;;W314?4|,<{zuE8>n7?tV372>7}Qm809w);9c;000>"4:j0>:h5r$72`>a=zut1d=lk50;&11=<6il10qo<8e;297?6=8r.9954=549K61e:5Y24c95~J5=j0:w[k<:3y'5g3=9;30Z<:i4>;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?74<729q/>86524d8L72d3f;ji7>5$37;>4gb32wi>5>50;394?6|,;?36i6il0;6)<:8;3ba>=zj;=o6=4;:183!420347?=7:J112=Q:2883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`f=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f7`629096=4?{%062683>!4203;9;65`1`g94?"5=10:mh5Y24c95~J5=j0:w[k<:3y'5g3=9ho0Z<:i4>;|~y>{e:o21<7=50;2x 73?2;?>7E<;c:k26=<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0:7);9d;38yx{z3`;957>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;c8 00c2h1vqps4o0cf>5<#:<21=lk4V37b>4}K:=n2c:>:4?:%06{M06g?7|^8k>6?u+1c79571<^88;6?u+57g95>"2>m0:7psr}:kgg?6=,;?36im4V37b>4}K:a481!7e=3nh7[?=0;0x 00b281/9;j51:~yx=nlm0;6)<:8;fg?S42i38p@?;l:0yU5d3=:r.:n84kd:T265<5s-?=i7=4$44g>6=zutF99o4;{W07=?5|^l;1>v*:6b8g`>"4:j0>:h5rVd096~"2>j0:>:5+33a913cv*:6b8g`>"4:j0>:h5rVd096~"2>j0:>:5+33a913bv*:6b8g`>"4:j0>:h5rVd096~"2>j0oo6*<2b862`=z,?:h645rV0`7>7}Qm809w);9c;fg?!55k3?=i6s+61a9a>{zu2c9854?:%066?u+1c7961><^88;6?u+57g9`>"2>m0o7psrL37a>4}Q:<;1>vXj1;0x 00d2;>37)==c;75a>{#>9i1h6sr}:k171<72-8>47<<4:T11d<5sE8>o7?tV0c6>7}#9k?1>>:4V003>7}#=?o1h6*:6e8g?x{zD;?i6{zu2e:mh4?:%065<7s-8>47<:5:J10f=n9;21<7*=598262=O:<=0Z?;n:0yO60e=9r\n?744?3_;9<74=#=?n1=6sr}|9j57?=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1=6*:6e82?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`1b1<7280;6=u+24:960`<@;>h7b?ne;29 73?28kn76sm2g794?3=83:p(?;7:7a8L72d3`;947>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d8`?!31l3i0qpsr;h304?6=,;?36<<8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{:i4>;|~y>{e:o81<7=50;2x 73?2?i0D?:l;h31P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4l;%75`?e4={%3a1?7512\:>=4={%75a?e<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn?h6:181>5<7s-8>47l:;I07g>o6:>0;6)<:8;313>=h9ho1<7*=5982e`=Q::5Y13296~"2>l0:7);9d;38yx{z3`nh6=4+24:9`f=Q:6=#=?n1?6sr}M06f?2|^;>26>uYe081!31k3no7)==c;75a>{Qm;09w);9c;313>"4:j0>:h5r$72`><=z^;>26>uYe081!31k3no7)==c;75a>{Qm;09w);9c;313>"4:j0>:i5r$72`><=z^;>26>uYe081!31k3no7)==c;75a>{Qm;09w);9c;f`?!55k3?=i6s+61a9=>{Q9k>1>vXj1;0x 00d2mn0(>l0o7);9d;f8yx{K:5$37;>7533_8>m74}Q9h?1>v*>b48171=Q9;:1>v*:6d8g?!31l3n0qpsC24`95~P5=809w[k>:3y'13e=::>0(>t$37;>7323A8?o6g>2983>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1=6*:6e82?x{zu2c:>44?:%06{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d82?!31l3;0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{51;294~"5=1099k5G25a8k4gb290/>8651`g8?xd5nl0;6>4?:1y'60>=>j1C>9m4i00;>5<#:<21=?94V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd5no0;6>4?:1y'60>=>j1C>9m4i00;>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i7m4$44g>f=zutw0e<<6:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{5<3290;w)<:8;4`?M43k2c:>54?:%06:i4l;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4l;%75`?e4={%3a1?7482\:>=4={%75a?e<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn?hk:187>5<7s-8>47?nd:J10f=n9;21<7*=598262=Q:2883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<63-?=h7?4}|~?l7483:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?<0:T265<5s-?=i7?4$44g>4=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f7`f29086=4?{%062983>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1o6*:6e8`?x{zu2c:>44?:%06{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d8`?!31l3i0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{52;294~"5=10i96F=4b9j571=83.9954>2698k4gb290/>8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn>>k:185>5<7s-8>47?=5:J10f=#9:21j6g>2683>!4203;9;6X=5`82I42k3;pZ:i4>;|~y>ock3:1(?;7:ea8R73f28qG>8m51zT2e0<5s-;i97jl;W314?4|,<{zut1bhi4?:%06m74}Q9h?1>v*>b48g`>P6:909w);9e;18 00c2:1vqpB=5c87S43139pZh?52z&62fn4:6d9~R`4=:r.>:n4>269'77e==?o0q)8?c;;8yS43139pZh?52z&62fn4:6d9~R`4=:r.>:n4>269'77e==?n0q)8?c;;8yS43139pZh?52z&62fn4:6d9~R`4=:r.>:n4kc:&06f<2>l1v(;>l:89~R4d32;q]i<4={%75g?bc3-99o7;9e:'25e=m2wvq6g=4983>!42038?46X=5`81I42k3;pZ:i4k;|~H73e28q]>8?52zTf5?4|,<o5;=0;6)<:8;000>P5=h09wA<:c;3xR4g22;q/=o;52268R4472;q/9;k5d:&62a7533-99o7;9e:'25e=l2wvq6a>ad83>!4203;ji65rb226>5<4290;w)<:8;061>N51C>894V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd48?0;6<4?:1y'60>=:=8391<7>t$37;>3e<@;>h7d?=8;29 73?288<7E<:7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0h7);9d;a8yx{z3`;957>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`4=zutw0qo=?9;297?6=8r.99549c:J10f=n9;21<7*=598262=O:<=0Z?;n:0yO60e=9r\n?744?3_;9<7f=#=?n1o6sr}|9j57?=83.9954>269K601<^;?j6:i4>;|~y>{e;9k1<7:50;2x 73?2?i0D?:l;h312181!31m3i0(88k:b9~yx{:5Y24c95~J5=j0:w[k<:3y'5g3=9::0Z<:i4l;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?71<729q/>8656b9K61e4={%3a1?7502\:>=4={%75a?e<,<290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1b=>>50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79566<^88;6?u+57g9g>"2>m0h7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th8<:4?:483>5}#:<21=lj4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i7?4$44g>4=zutw0e<<6:18'60>=9;=0Z?;n:0yO60e=9r\n?744>3_;9<74=#=?n1=6sr}|9j566=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>>4V003>7}#=?o1=6*:6e82?x{zu2c:?<4?:%06{M06g?7|^l91>v*>b48274=Q9;:1>v*:6d82?!31l3;0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{53;294~"5=10=o6F=4b9j57>=83.9954>269K601<^;?j6:5Y24c95~J5=j0:w[k<:3y'5g3=9;30Z<:i4l;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?77<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c431?6=:3:1N510c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f36e290=6=4?{%06o6:>0;6)<:8;313>P5=h0:wA<:c;3xR4g22;q/=o;51358R4472;q/9;k51:&62a<63twvq6gkc;29 73?2mi0Z?;n:0yO60e=9r\:m84={%3a1?bd3_;9<74=#=?n1=6sr}|9j`a<72-8>47jk;W06e?4|D;?h62181!31m390(88k:29~yxJ5=k0?w[<;9;1xR`7=:r.>:n4kd:&06f<2>l1vZh<52z&62f<6:>1/??m557g8y!07k330q[<;9;1xR`7=:r.>:n4kd:&06f<2>l1vZh<52z&62f<6:>1/??m557f8y!07k330q[<;9;1xR`7=:r.>:n4kd:&06f<2>l1vZh<52z&62fn4:6d9~ 36d2;1vZo5<10;6)<:8;07<>P5=h09wA<:c;3xR4g22;q/=o;525:8R4472;q/9;k5d:&62a72?3-99o7;9e:'25e=l2wvq6g=3583>!42038886X=5`81I42k3;pZ0Z<:i4k;|~H73e28q]>8?52zTf5?4|,<i6il0;6)<:8;3ba>=zj?:;6=4<:183!42038>96F=4b9j57>=83.9954>269K601<^;?j6:5Y24c95~J5=j0:w[k<:3y'5g3=9;30Z<:i4>;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?74<729q/>86524d8L72d3f;ji7>5$37;>4gb32wi:==50;194?6|,;?36;m4H36`?l7503:1(?;7:004?M42?2\99l4>{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d8`?!31l3i0qpsr;h31=?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4l;%75`?e4={%3a1?7fm2\:>=4={%75a?7<,<50z&11=<1k2B98n5f13:94?"5=10:>:5G2458R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1C>894V37b>4}K:4573_;9<7f=#=?n1o6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi:=<50;194?6|,;?36o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4>;%75`?74={%3a1?7512\:>=4={%75a?7<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn;>9:184>5<7s-8>478l;I07g>o6:10;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g93>"2>m0<7psr}:k26<<72-8>47?=7:J112=Q:3183>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=>>4V003>7}#=?o1;6*:6e84?x{zu2c:?<4?:%06{M06g?7|^l91>v*>b48274=Q9;:1>v*:6d8g?!31l3n0qpsr;h306?6=,;?36<<8;W06e?7|D;?h66X>2181!31m3n0(88k:e9~yx{:i4>;|~y>{e>9=1<7950;2x 73?2?i0D?:l;h31P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h48;%75`?1o7?tVd196~"6j<0:>45Y13296~"2>l0<7);9d;58yx{z3`;8<7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;304>P6:909w);9e;f8 00c2m1vqps4i012>5<#:<21=?94V37b>4}K:3283>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;:1]=?>52z&62`4=zutw0qo8?8;293?6=8r.99549c:J10f=n9;21<7*=598262=Q:2883>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1;6*:6e84?x{zu2c:?=4?:%06{M06g?7|^l91>v*>b48275=Q9;:1>v*:6d8g?!31l3n0qpsr;h305?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>309U576=:r.>:h4k;%75`?b4={%3a1?74:2\:>=4={%75a?b<,<8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;307>P6:909w);9e;f8 00c2m1vqps4o0cf>5<#:<21=lk4V37b>4}K:=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1=6*:6e82?x{zu2c:>44?:%06{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d82?!31l3;0qpsr;h304?6=,;?36<<8;W06e?7|D;?h62181!31m3;0(88k:09~yx{5<5290;w)<:8;`6?M43k2c:>:4?:%068o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a66d=83<1<7>t$37;>4423A8?o6*>398e?l75?3:1(?;7:004?S42i3;p@?;l:0yU5d3=:r.:n84>269U576=:r.>:h4>;%75`?7=lj1]>8o51zN11f<6s_;j97ae<^88;6?u+57g95>"2>m0:7psr}:kg`?6=,;?36ij4V37b>7}K:a481!7e=3no7[?=0;0x 00b2:1/9;j53:~yI42j3>pZ?:6:2yUa4<5s-?=o7jk;%11g?31m2w]i?4={%75g?75?2.8>n4:6d9~ 36d201vZ?:6:2yUa4<5s-?=o7jk;%11g?31m2w]i?4={%75g?75?2.8>n4:6e9~ 36d201vZ?:6:2yUa4<5s-?=o7jk;%11g?31m2w]i?4={%75g?bd3-99o7;9e:'25e=12w]=o:52zTf5?4|,<00b3t.=:h4k;%75`?b8l51zT114<5s_o:6?u+57a961><,:8h688j;|&54f52z&62`7}#=?i1>>:4$20`>00b3t.={e::=1<7=50;2x 73?2;?>7E<;c:k26=<72-8>47?=7:J112=Q:2883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<63-?=h7?4}|~?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo<<8;295?6=8r.9954=5g9K61e5}#:<21:n5G25a8m44?290/>8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;a8 00c2j1vqps4i00:>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i7m4$44g>f=zutw0e<=?:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{5<4290;w)<:8;4`?M43k2c:>54?:%06:i4l;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4l;%75`?e4={%3a1?7fm2\:>=4={%75a?7<,<7>50z&11=:54o0cf>5<#:<21=lk4V37b>4}K:96F=4b9j57>=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1=6*:6e82?x{zu2c:>44?:%06{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d8b?!31l3k0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{56;294~"5=10:>85G25a8 45?2o1b=?950;&11=<6:>1]>8o51zN11f<6s_;j974403_;9<74=#=?n1=6sr}|9j`f<72-8>47jl;W06e?7|D;?h62181!31m3;0(88k:09~yx{P5=h09wA<:c;3xR4g22;q/=o;5de9U576=:r.>:h4<;%75`?58l54zT10<<4s_o:6?u+57a9`a=#;;i19;k4}Wg1>7}#=?i1=?94$20`>00b3t.=7}#=?i1=?94$20`>00c3t.=7}#=?i1hn5+33a913cv*:6b8g`>"4:j0>:h5r$72`>`=zut1b>9650;&11=<5<11]>8o52zN11f<6s_;j9772?3_;9<7a=#=?n1h6sr}M06f?7|^;?:6?uYe081!31k38?46*<2b862`=z,?:h6i5r}|9j662=83.9954=359U60g=:rF99n4>{W3b1?4|,8h>6?=;;W314?4|,<{zuE8>n7?tV372>7}Qm809w);9c;000>"4:j0>:h5r$72`>a=zut1d=lk50;&11=<6il10qo<76;297?6=8r.9954=549K61e:5Y24c95~J5=j0:w[k<:3y'5g3=9;30Z<:i4>;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?74<729q/>86524d8L72d3f;ji7>5$37;>4gb32wi>5650;32>5<7s-8>478l;I07g>o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4l;%75`?e4={%3a1?7512\:>=4={%75a?e<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=?;W314?4|,<{zut1b=>?50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79567<^88;6?u+57g9g>"2>m0h7psr}:k277<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:??5Y13296~"2>l0h7);9d;a8yx{z3`;8?7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;307>P6:909w);9e;a8 00c2j1vqps4i017>5<#:<21=?94V37b>4}K:3783>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;?1]=?>52z&62`f=zutw0e<=9;=0Z?;n:0yO60e=9r\n?744f3_;9<74=#=?n1=6sr}|9j57d=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?l4V003>7}#=?o1=6*:6e82?x{zu2c:>n4?:%06{M06g?7|^l91>v*>b4826f=Q9;:1>v*:6d82?!31l3;0qpsr;h31`?6=,;?36<<8;W06e?7|D;?h62181!31m3;0(88k:09~yx{:5Y24c95~J5=j0:w[k<:3y'5g3=9;l0Z<:i4>;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?71<729q/>8656b9K61e4={%3a1?7502\:>=4={%75a?e<,<290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1b=>>50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79566<^88;6?u+57g9g>"2>m0h7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th94n4?:383>5}#:<21n85G25a8m440290/>8651358?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo<63;297?6=8r.9954=549K61e:5Y24c95~J5=j0:w[k<:3y'5g3=9;30Z<:i4n;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?73<729q/>8651378L72d3-;847h4i004>5<#:<21=?94V37b>4}K:a481!7e=3;9;6X>2181!31m3;0(88k:09~yx{P5=h0:wA<:c;3xR4g22;q/=o;5db9U576=:r.>:h4>;%75`?7=lm1]>8o52zN11f<6s_;j97ab<^88;6?u+57g97>"2>m087psrL37a>1}Q:=31?vXj1;0x 00d2mn0(>{Q:=31?vXj1;0x 00d2mn0(>{Q:=31?vXj1;0x 00d2mn0(>:3y'13e=lm1/??m557g8y!07k3o0qps4i36;>5<#:<21>964V37b>7}K:a481!7e=38?46X>2181!31m3n0(88k:e9~yxJ5=k0:w[<:1;0xR`7=:r.>:n4=499'77e==?o0q)8?c;f8yx{P6:909w);9e;f8 00c2m1vqpB=5c82S42938pZh?52z&62f<5;=1/??m557g8y!07k3n0qps4o0cf>5<#:<21=lk4;|`1<`<72:0;6=u+24:9603<@;>h7d?=8;29 73?288<7[<:a;3xH73d28q]i>4={%3a1?7502\:>=4={%75a?7<,<290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a6=`=83;1<7>t$37;>73a3A8?o6a>ad83>!4203;ji65rb3;3>5<693:1N51]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g9g>"2>m0h7psr}:k26<<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>45Y13296~"2>l0h7);9d;a8yx{z3`;8<7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;304>P6:909w);9e;a8 00c2j1vqps4i012>5<#:<21=?94V37b>4}K:3283>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;:1]=?>52z&62`f=zutw0e<=::18'60>=9;=0Z?;n:0yO60e=9r\n?74523_;9<7f=#=?n1o6sr}|9j560=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>84V003>7}#=?o1o6*:6e8`?x{zu2c:?:4?:%06{M06g?7|^l91>v*>b48272=Q9;:1>v*:6d8`?!31l3i0qpsr;h31e?6=,;?36<<8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{:5Y24c95~J5=j0:w[k<:3y'5g3=9;i0Z<:i4>;|~y>o6:m0;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>2e9U576=:r.>:h4>;%75`?74={%3a1?75m2\:>=4={%75a?7<,<8651358R73f28qG>8m51zTf7?4|,8h>6<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a6=b=83>1<7>t$37;>3e<@;>h7d?=8;29 73?288<7E<:7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0h7);9d;a8yx{z3`;957>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;a8 00c2j1vqps4i013>5<#:<21=?94V37b>4}K:5$37;>44032e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`1e6<72:0;6=u+24:9603<@;>h7d?=8;29 73?288<7[<:a;3xH73d28q]i>4={%3a1?7502\:>=4={%75a?7<,<290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a6d4=83<1<7>t$37;>4423A8?o6*>398e?l75?3:1(?;7:004?S42i3;p@?;l:0yU5d3=:r.:n84>269U576=:r.>:h4>;%75`?7=lj1]>8o51zN11f<6s_;j97ae<^88;6?u+57g95>"2>m0:7psr}:kg`?6=,;?36ij4V37b>7}K:a481!7e=3no7[?=0;0x 00b2:1/9;j53:~yI42j3>pZ?:6:2yUa4<5s-?=o7jk;%11g?31m2w]i?4={%75g?75?2.8>n4:6d9~ 36d201vZ?:6:2yUa4<5s-?=o7jk;%11g?31m2w]i?4={%75g?75?2.8>n4:6e9~ 36d201vZ?:6:2yUa4<5s-?=o7jk;%11g?31m2w]i?4={%75g?bd3-99o7;9e:'25e=12w]=o:52zTf5?4|,<00b3t.=:h4k;%75`?b8l51zT114<5s_o:6?u+57a961><,:8h688j;|&54f52z&62`7}#=?i1>>:4$20`>00b3t.={e:0o1<7=50;2x 73?2;?>7E<;c:k26=<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0:7);9d;38yx{z3`;957>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;38 00c281vqps4o0cf>5<#:<21=lk4V37b>4}K::183!42038>j6F=4b9l5dc=83.9954>ad98yg4f83:1?7>50z&11=<1k2B98n5f13:94?"5=10:>:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<:i4l;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4l;%75`?e4={%3a1?7fm2\:>=4={%75a?7<,<l3:187>50z&11=<1k2B98n5f13:94?"5=10:>:5G2458R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g9g>"2>m0h7psr}:k275<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?=5Y13296~"2>l0h7);9d;a8yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c0b0?6=:3:1N510c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f7ge29086=4?{%06:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<:i4>;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4n;%75`?g4={%3a1?7fm2\:>=4={%75a?7<,<50z&11=<6:<1C>9m4$01;>c=n9;=1<7*=598262=Q:!4203nh7[<:a;3xH73d28q]=l;52z&2f0=4={%75a?7<,<o7?tV0c6>7}#9k?1hi5Y13296~"2>l087);9d;18yx{K::n4kd:&06f<2>l1v(;>l:d9~yx=n:=21<7*=59810==Q:vB=5b82S7f=38p(n4:6d9~ 36d2m1vqp5f22694?"5=109?95Y24c96~J5=j0:w[?n5;0x 4d22;9?7[?=0;0x 00b2m1/9;j5d:~yI42j3;pZ?;>:3yUa4<5s-?=o7<<4:&06f<2>l1v(;>l:e9~yx=h9ho1<7*=5982e`=53;294~"5=109985G25a8m44?290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g95>"2>m0:7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th9m:4?:083>5}#:<21>8h4H36`?j7fm3:1(?;7:0cf?>{e:h21<7=50;2x 73?2?i0D?:l;h312181!31m3i0(88k:b9~yx{:i4>;|~y>{e:h?1<7:50;2x 73?2?i0D?:l;h31P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4l;%75`?e4={%3a1?7512\:>=4={%75a?e<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=?;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a6de=8381<7>t$37;>g3<@;>h7d?=7;29 73?288<76a>ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd5j:0;6>4?:1y'60>=:2181!31m3;0(88k:09~yx{:i4>;|~y>{e:k81<7850;2x 73?288>7E<;c:&27=5$37;>4403_8>m7?tL37`>4}Q9h?1>v*>b48262=Q9;:1>v*:6d82?!31l3;0qpsr;hf`>5<#:<21hn5Y24c95~J5=j0:w[?n5;0x 4d22mi0Z<:i4>;|~y>ocl3:1(?;7:ef8R73f2;qG>8m51zT2e0<5s-;i97jk;W314?4|,<5+57f97>{zuE8>n7:tV36:>6}Qm809w);9c;fg?!55k3?=i6sYe381!31k3;9;6*<2b862`=z,?:h645rV36:>6}Qm809w);9c;fg?!55k3?=i6sYe381!31k3;9;6*<2b862a=z,?:h645rV36:>6}Qm809w);9c;fg?!55k3?=i6sYe381!31k3nh7)==c;75a>{#>9i156sY1c696~Pb938p(88l:ef8 64d2<5$37;>72?3_8>m74}Q9h?1>v*>b4810==Q9;:1>v*:6d8g?!31l3n0qpsC24`95~P5=809w[k>:3y'13e=:=20(>l0o7);9d;f8yx{K:5$37;>4gb32wi>lk50;194?6|,;?36?;:;I07g>o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4>;%75`?74={%3a1?7512\:>=4={%75a?7<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn?oi:182>5<7s-8>47<:f:J10f=h9ho1<7*=5982e`=54;294~"5=10=o6F=4b9j57>=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1o6*:6e8`?x{zu2c:>44?:%06{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d8`?!31l3i0qpsr;h304?6=,;?36<<8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{5<3290;w)<:8;4`?M43k2c:>54?:%06:i4l;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4l;%75`?e4={%3a1?7482\:>=4={%75a?e<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn?l;:181>5<7s-8>47l:;I07g>o6:>0;6)<:8;313>=h9ho1<7*=5982e`=Q:5$37;>44032e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`1fa<72:0;6=u+24:9603<@;>h7d?=8;29 73?288<7[<:a;3xH73d28q]i>4={%3a1?7502\:>=4={%75a?7<,<290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a6ge=83<1<7>t$37;>4423A8?o6*>398e?l75?3:1(?;7:004?S42i3;p@?;l:0yU5d3=:r.:n84>269U576=:r.>:h4>;%75`?7=lj1]>8o51zN11f<6s_;j97ae<^88;6?u+57g95>"2>m0:7psr}:kg`?6=,;?36ij4V37b>7}K:a481!7e=3no7[?=0;0x 00b2:1/9;j53:~yI42j3>pZ?:6:2yUa4<5s-?=o7jk;%11g?31m2w]i?4={%75g?75?2.8>n4:6d9~ 36d201vZ?:6:2yUa4<5s-?=o7jk;%11g?31m2w]i?4={%75g?75?2.8>n4:6e9~ 36d201vZ?:6:2yUa4<5s-?=o7jk;%11g?31m2w]i?4={%75g?bd3-99o7;9e:'25e=12w]=o:52zTf5?4|,<00b3t.=:h4k;%75`?b8l51zT114<5s_o:6?u+57a961><,:8h688j;|&54f52z&62`7}#=?i1>>:4$20`>00b3t.={e:k<1<7=50;2x 73?2;?>7E<;c:k26=<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0:7);9d;38yx{z3`;957>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;38 00c281vqps4o0cf>5<#:<21=lk4V37b>4}K::183!42038>j6F=4b9l5dc=83.9954>ad98yg4e03:197>50z&11=<1k2B98n5f13:94?"5=10:>:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<:i4l;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4l;%75`?e4={%3a1?7482\:>=4={%75a?e<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=>;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a6gg=83;:6=4?{%062983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`<03-?=h794}|~?l7513:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i794$44g>2=zutw0e<=?:18'60>=9;=0Z?;n:0yO60e=9r\n?74573_;9<72=#=?n1;6sr}|9j567=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>?4V003>7}#=?o1;6*:6e84?x{zu2c:??4?:%06{M06g?7|^l91>v*>b48277=Q9;:1>v*:6d84?!31l3=0qpsr;h307?6=,;?36<<8;W06e?7|D;?h62181!31m3=0(88k:69~yx{4={%3a1?74<2\:>=4={%75a?1<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=:;W314?4|,<{zut1b=>850;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79560<^88;6?u+57g9`>"2>m0o7psr}:k272<72-8>47?=7:J112=Q:2`83>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=?o4V003>7}#=?o1h6*:6e8g?x{zu2c:>o4?:%06{M06g?7|^l91>v*>b4826g=Q9;:1>v*:6d82?!31l3;0qpsr;h31g?6=,;?36<<8;W06e?7|D;?h62181!31m3;0(88k:09~yx{:5Y24c95~J5=j0:w[k<:3y'5g3=9;o0Z<:i4>;|~y>o6:o0;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>2g9U576=:r.>:h4>;%75`?74={%3a1?7fm2\:>=4={%75a?7<,<50z&11=<1k2B98n5f13:94?"5=10:>:5G2458R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g9g>"2>m0h7psr}:k275<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?=5Y13296~"2>l0h7);9d;a8yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c0aa?6=:3:1N510c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f7e429096=4?{%062683>!4203;9;65`1`g94?"5=10:mh5Y24c95~J5=j0:w[k<:3y'5g3=9ho0Z<:i4>;|~y>{e:j31<7=50;2x 73?2;?>7E<;c:k26=<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0:7);9d;38yx{z3`;957>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;c8 00c2h1vqps4o0cf>5<#:<21=lk4V37b>4}K:=n2c:>:4?:%06{M06g?7|^8k>6?u+1c79571<^88;6?u+57g95>"2>m0:7psr}:kgg?6=,;?36im4V37b>4}K:a481!7e=3nh7[?=0;0x 00b281/9;j51:~yx=nlm0;6)<:8;fg?S42i38p@?;l:0yU5d3=:r.:n84kd:T265<5s-?=i7=4$44g>6=zutF99o4;{W07=?5|^l;1>v*:6b8g`>"4:j0>:h5rVd096~"2>j0:>:5+33a913cv*:6b8g`>"4:j0>:h5rVd096~"2>j0:>:5+33a913bv*:6b8g`>"4:j0>:h5rVd096~"2>j0oo6*<2b862`=z,?:h645rV0`7>7}Qm809w);9c;fg?!55k3?=i6s+61a9a>{zu2c9854?:%066?u+1c7961><^88;6?u+57g9`>"2>m0o7psrL37a>4}Q:<;1>vXj1;0x 00d2;>37)==c;75a>{#>9i1h6sr}:k171<72-8>47<<4:T11d<5sE8>o7?tV0c6>7}#9k?1>>:4V003>7}#=?o1h6*:6e8g?x{zD;?i6{zu2e:mh4?:%065<7s-8>47<:5:J10f=n9;21<7*=598262=Q:2883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<63-?=h7?4}|~?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo5}#:<21:n5G25a8m44?290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g9g>"2>m0h7psr}:k275<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?=5Y13296~"2>l0h7);9d;a8yx{z3`;8=7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;305>P6:909w);9e;a8 00c2j1vqps4i011>5<#:<21=?94V37b>4}K:5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;58 00c2>1vqps4i00:>5<#:<21=?94V37b>4}K:1/9;j57:~yx=n9::1<7*=598262=Q:3083>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;81]=?>52z&62`<03-?=h794}|~?l74:3:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?<2:T265<5s-?=i794$44g>2=zutw0e<=<:18'60>=9;=0Z?;n:0yO60e=9r\n?74543_;9<72=#=?n1;6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi>n;50;32>5<7s-8>478l;I07g>o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h48;%75`?14={%3a1?7512\:>=4={%75a?1<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=?;W314?4|,<{zut1b=>?50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79567<^88;6?u+57g93>"2>m0<7psr}:k277<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:??5Y13296~"2>l0<7);9d;58yx{z3`;8?7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;307>P6:909w);9e;58 00c2>1vqps4i017>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?<4:T265<5s-?=i794$44g>2=zutw0e<=::18'60>=9;=0Z?;n:0yO60e=9r\n?74523_;9<72=#=?n1;6sr}|9j560=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>84V003>7}#=?o1h6*:6e8g?x{zu2c:?:4?:%06:i4k;|~y>o6:h0;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c7957g<^88;6?u+57g9`>"2>m0o7psr}:k26g<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>o5Y13296~"2>l0:7);9d;38yx{z3`;9o7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31g>P6:909w);9e;38 00c281vqps4i00g>5<#:<21=?94V37b>4}K:2g83>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:o1]=?>52z&62`<63-?=h7?4}|~?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qoae9K61e:5Y24c95~J5=j0:w[k<:3y'5g3=9;30Z<:i4>;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?71<729q/>8656b9K61e4={%3a1?7502\:>=4={%75a?e<,<290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1b=>>50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79566<^88;6?u+57g9g>"2>m0h7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th9ol4?:383>5}#:<21n85G25a8m440290/>8651358?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo:5Y24c95~J5=j0:w[k<:3y'5g3=9;30Z<:i4n;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?73<729q/>8651378L72d3-;847h4i004>5<#:<21=?94V37b>4}K:a481!7e=3;9;6X>2181!31m3;0(88k:09~yx{P5=h0:wA<:c;3xR4g22;q/=o;5db9U576=:r.>:h4>;%75`?7=lm1]>8o52zN11f<6s_;j97ab<^88;6?u+57g97>"2>m087psrL37a>1}Q:=31?vXj1;0x 00d2mn0(>{Q:=31?vXj1;0x 00d2mn0(>{Q:=31?vXj1;0x 00d2mn0(>:3y'13e=lm1/??m557g8y!07k3o0qps4i36;>5<#:<21>964V37b>7}K:a481!7e=38?46X>2181!31m3n0(88k:e9~yxJ5=k0:w[<:1;0xR`7=:r.>:n4=499'77e==?o0q)8?c;f8yx{P6:909w);9e;f8 00c2m1vqpB=5c82S42938pZh?52z&62f<5;=1/??m557g8y!07k3n0qps4o0cf>5<#:<21=lk4;|`1gf<72:0;6=u+24:9603<@;>h7d?=8;29 73?288<7[<:a;3xH73d28q]i>4={%3a1?7502\:>=4={%75a?7<,<290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a6fb=83;1<7>t$37;>73a3A8?o6a>ad83>!4203;ji65rb3af>5<0290;w)<:8;4`?M43k2c:>54?:%06{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d8`?!31l3i0qpsr;h31=?6=,;?36<<8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{:5Y24c95~J5=j0:w[k<:3y'5g3=9:;0Z<:i4l;|~y>o6;;0;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>339U576=:r.>:h4l;%75`?e4={%3a1?74;2\:>=4={%75a?e<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn?mm:187>5<7s-8>478l;I07g>o6:10;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g9g>"2>m0h7psr}:k26<<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>45Y13296~"2>l0h7);9d;a8yx{z3`;8<7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;304>P6:909w);9e;a8 00c2j1vqps4o0cf>5<#:<21=lk4V37b>4}K:7E<;c:k262<72-8>47?=7:9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi>i750;194?6|,;?36?;:;I07g>o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4>;%75`?74={%3a1?7512\:>=4={%75a?g<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn?j7:185>5<7s-8>47?=5:J10f=#9:21j6g>2683>!4203;9;6X=5`82I42k3;pZ:i4>;|~y>ock3:1(?;7:ea8R73f28qG>8m51zT2e0<5s-;i97jl;W314?4|,<{zut1bhi4?:%06m74}Q9h?1>v*>b48g`>P6:909w);9e;18 00c2:1vqpB=5c87S43139pZh?52z&62fn4:6d9~R`4=:r.>:n4>269'77e==?o0q)8?c;;8yS43139pZh?52z&62fn4:6d9~R`4=:r.>:n4>269'77e==?n0q)8?c;;8yS43139pZh?52z&62fn4:6d9~R`4=:r.>:n4kc:&06f<2>l1v(;>l:89~R4d32;q]i<4={%75g?bc3-99o7;9e:'25e=m2wvq6g=4983>!42038?46X=5`81I42k3;pZ:i4k;|~H73e28q]>8?52zTf5?4|,<o5;=0;6)<:8;000>P5=h09wA<:c;3xR4g22;q/=o;52268R4472;q/9;k5d:&62a7533-99o7;9e:'25e=l2wvq6a>ad83>!4203;ji65rb3f7>5<4290;w)<:8;061>N51]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g95>"2>m0:7psr}:k26<<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>45Y13296~"2>l0:7);9d;38yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c0g1?6=93:1=9ho07pl=d783>=<729q/>8656b9K61e:5Y24c95~J5=j0:w[k<:3y'5g3=9;30Z<:i4l;|~y>o6;90;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>319U576=:r.>:h4l;%75`?e4={%3a1?7492\:>=4={%75a?e<,<8651358R73f28qG>8m51zTf7?4|,8h>6<==;W314?4|,<{zut1b=>=50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79565<^88;6?u+57g9g>"2>m0h7psr}:k271<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?95Y13296~"2>l0h7);9d;a8yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c0g7?6=<3:1N51C>894V37b>4}K:3183>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;91]=?>52z&62`4=zutw0qo5<4290;w)<:8;061>N51]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g95>"2>m0:7psr}:k26<<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>45Y13296~"2>l0j7);9d;c8yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c0f4?6=>3:1269U60g=9rF99n4>{W3b1?4|,8h>6<<8;W314?4|,<{zut1bhn4?:%06m7?tL37`>4}Q9h?1>v*>b48gg>P6:909w);9e;38 00c281vqps4ief94?"5=10oh6X=5`81I42k3;pZ52z&62`<43-?=h7=4}|O60d=7}#=?i1hi5+33a913c3t\9844<{Wg2>7}#=?i1hi5+33a913c3t\9844<{Wg2>7}#=?i1hi5+33a913cj0oh6*<2b862`=z,?:h6h5r}|9j61>=83.9954=499U60g=:rF99n4>{W3b1?4|,8h>6?:7;W314?4|,<{zuE8>n7?tV372>7}Qm809w);9c;07<>"4:j0>:h5r$72`>a=zut1b>>:50;&11=<5;=1]>8o52zN11f<6s_;j977533_;9<7a=#=?n1h6sr}M06f?7|^;?:6?uYe081!31k38886*<2b862`=z,?:h6i5r}|9l5dc=83.9954>ad98yg4ck3:1?7>50z&11=<5=<1C>9m4i00;>5<#:<21=?94V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd5lm0;6<4?:1y'60>=:t$37;>3e<@;>h7d?=8;29 73?288<7[<:a;3xH73d28q]i>4={%3a1?7502\:>=4={%75a?e<,<290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1b=>>50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79566<^88;6?u+57g9g>"2>m0h7psr}:k274<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?<5Y13296~"2>l0h7);9d;a8yx{z3`;8>7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;306>P6:909w);9e;a8 00c2j1vqps4i010>5<#:<21=?94V37b>4}K:1<7*=598262=Q:3483>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;<1]=?>52z&62`4=zutw0qo44?3_;9<7f=#=?n1o6sr}|9j57?=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1o6*:6e8`?x{zu2c:?=4?:%06{M06g?7|^l91>v*>b48275=Q9;:1>v*:6d8`?!31l3i0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{7>52;294~"5=10i96F=4b9j571=83.9954>2698k4gb290/>8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn?k6:180>5<7s-8>47<:5:J10f=n9;21<7*=598262=Q:2883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`4=zutw0qo249K61e<,8936k5f13594?"5=10:>:5Y24c95~J5=j0:w[?n5;0x 4d2288<7[?=0;0x 00b281/9;j51:~yx=nlj0;6)<:8;f`?S42i3;p@?;l:0yU5d3=:r.:n84kc:T265<5s-?=i7?4$44g>4=zutw0eij50;&11=6?u+1c79`a=Q9;:1>v*:6d80?!31l390qpsC24`90~P5<008w[k>:3y'13e=lm1/??m557g8ySc52;q/9;m51358 64d2<:3y'13e=lm1/??m557g8ySc52;q/9;m51358 64d2<:3y'13e=lm1/??m557g8ySc52;q/9;m5db9'77e==?o0q)8?c;;8yS7e<38pZh?52z&62fn4:6d9~ 36d2l1vqp5f25:94?"5=109855Y24c96~J5=j0:w[?n5;0x 4d22;>37[?=0;0x 00b2m1/9;j5d:~yI42j3;pZ?;>:3yUa4<5s-?=o7<;8:&06f<2>l1v(;>l:e9~yx=n::>1<7*=598171=Q:vB=5b82S7f=38p(n4:6d9~ 36d2m1vqp5`1`g94?"5=10:mh54}c0f0?6=;3:1=9;=0Z?;n:0yO60e=9r\n?744?3_;9<74=#=?n1=6sr}|9j57?=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1=6*:6e82?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`1a0<7280;6=u+24:960`<@;>h7b?ne;29 73?28kn76sm2d494?76290;w)<:8;4`?M43k2c:>54?:%06{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d8`?!31l3i0qpsr;h31=?6=,;?36<<8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{:5Y24c95~J5=j0:w[k<:3y'5g3=9:;0Z<:i4l;|~y>o6;;0;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>339U576=:r.>:h4l;%75`?e4={%3a1?74;2\:>=4={%75a?e<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=;;W314?4|,<{zut1b=>;50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79563<^88;6?u+57g9g>"2>m0h7psr}:k273<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?;5Y13296~"2>l0h7);9d;a8yx{z3`;8;7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;303>P6:909w);9e;38 00c281vqps4i00b>5<#:<21=?94V37b>4}K:2b83>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:j1]=?>52z&62`<63-?=h7?4}|~?l75l3:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=d:T265<5s-?=i7?4$44g>4=zutw0e<=9;=0Z?;n:0yO60e=9r\n?744b3_;9<74=#=?n1=6sr}|9j57`=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?h4V003>7}#=?o1=6*:6e82?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`1a6<72=0;6=u+24:92f=O:=i0e<<7:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{:5Y24c95~J5=j0:w[k<:3y'5g3=9::0Z<:i4l;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?77<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c11f?6=;3:1=9;=0Z?;n:0yO60e=9r\n?744?3_;9<74=#=?n1=6sr}|9j57?=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1m6*:6e8b?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`06d<72?0;6=u+24:9573<@;>h7)?<8;d8m440290/>8651358R73f28qG>8m51zT2e0<5s-;i97?=7:T265<5s-?=i7?4$44g>4=zutw0eim50;&11={M06g?7|^8k>6?u+1c79`f=Q9;:1>v*:6d82?!31l3;0qpsr;hfg>5<#:<21hi5Y24c96~J5=j0:w[?n5;0x 4d22mn0Z<:i4<;|~H73e2=q]>9753zTf5?4|,<00b3t\n>74403-99o7;9e:'25e=12w]>9753zTf5?4|,<00b3t\n>74403-99o7;9d:'25e=12w]>9753zTf5?4|,<00b3t\n>7ae<,:8h688j;|&54f<53t\:n94={Wg2>7}#=?i1hi5+33a913c86525:8R73f2;qG>8m51zT2e0<5s-;i97<;8:T265<5s-?=i7j4$44g>a=zutF99o4>{W065?4|^l;1>v*:6b810==#;;i19;k4}%43g?b=::>0Z?;n:3yO60e=9r\:m84={%3a1?44<2\:>=4={%75a?b<,<=7j09?95+33a913c8651`g8?xd4:=0;6>4?:1y'60>=:2181!31m3;0(88k:09~yx{:i4>;|~y>{e;;?1<7?50;2x 73?2;?m7E<;c:m2e`<72-8>47?ne:9~f640290>6=4?{%062983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`<13-?=h784}|~?l7513:1(?;7:004?M42?2\99l4>{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d8`?!31l3i0qpsr;h304?6=,;?36<<8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{4={%3a1?7492\:>=4={%75a?0<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn><7:185>5<7s-8>478l;I07g>o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h49;%75`?0o7?tVd196~"6j<0:>45Y13296~"2>l0h7);9d;a8yx{z3`;8<7>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6;91]=?>52z&62`3=zutw0e<==:18'60>=9;=0Z?;n:0yO60e=9r\n?74553_;9<7f=#=?n1o6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi??850;194?6|,;?36o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4>;%75`?74={%3a1?7512\:>=4={%75a?7<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn?7;:181>5<7s-8>47l:;I07g>o6:>0;6)<:8;313>=h9ho1<7*=5982e`=Q:54?:%06{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d82?!31l3;0qpsr;h31=?6=,;?36<<8;W06e?7|D;?h62181!31m3k0(88k:`9~yx{5<1290;w)<:8;311>N565f:k262<72-8>47?=7:T11d<6sE8>o7?tV0c6>7}#9k?1=?94V003>7}#=?o1=6*:6e82?x{zu2coo7>5$37;>ae<^;?j6!4203no7[<:a;0xH73d28q]=l;52z&2f0=4={%75a?5<,<5r}|N11g<3s_8?57=tVd396~"2>j0oh6*<2b862`=z^l81>v*:6b8262=#;;i19;k4}%43g??j0oh6*<2b862`=z^l81>v*:6b8262=#;;i19;j4}%43g??j0oh6*<2b862`=z^l81>v*:6b8gg>"4:j0>:h5r$72`><=z^8h?6?uYe081!31k3no7)==c;75a>{#>9i1i6sr}:k10=<72-8>47<;8:T11d<5sE8>o7?tV0c6>7}#9k?1>964V003>7}#=?o1h6*:6e8g?x{zD;?i6{zu2c9?94?:%066?u+1c79662<^88;6?u+57g9`>"2>m0o7psrL37a>4}Q:<;1>vXj1;0x 00d2;9?7)==c;75a>{#>9i1h6sr}:m2e`<72-8>47?ne:9~f7?129086=4?{%06:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<:i4>;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4>;%75`?74={%3a1?7fm2\:>=4={%75a?7<,<?3:1=7>50z&11=<5=o1C>9m4o0cf>5<#:<21=lk4;|`1==<728;1<7>t$37;>3e<@;>h7d?=8;29 73?288<7[<:a;3xH73d28q]i>4={%3a1?7502\:>=4={%75a?e<,<290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1b=>>50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79566<^88;6?u+57g9g>"2>m0h7psr}:k274<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?<5Y13296~"2>l0h7);9d;a8yx{z3`;8>7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;306>P6:909w);9e;a8 00c2j1vqps4i010>5<#:<21=?94V37b>4}K:1<7*=598262=Q:3483>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;<1]=?>52z&62`3:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?<6:T265<5s-?=i7m4$44g>f=zutw0e<=8:18'60>=9;=0Z?;n:0yO60e=9r\n?74503_;9<7f=#=?n1o6sr}|9j57g=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?o4V003>7}#=?o1o6*:6e8`?x{zu2c:>o4?:%06{M06g?7|^l91>v*>b4826g=Q9;:1>v*:6d8`?!31l3i0qpsr;h31g?6=,;?36<<8;W06e?7|D;?h62181!31m3;0(88k:09~yx{:5Y24c95~J5=j0:w[k<:3y'5g3=9;o0Z<:i4>;|~y>o6:o0;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>2g9U576=:r.>:h4>;%75`?74={%3a1?7fm2\:>=4={%75a?7<,<=3:187>50z&11=<1k2B98n5f13:94?"5=10:>:5G2458R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g9g>"2>m0h7psr}:k275<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?=5Y13296~"2>l0h7);9d;a8yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c13a?6=:3:1N510c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f673290=6=4?{%06o6:>0;6)<:8;313>P5=h0:wA<:c;3xR4g22;q/=o;51358R4472;q/9;k51:&62a<63twvq6gkc;29 73?2mi0Z?;n:0yO60e=9r\:m84={%3a1?bd3_;9<74=#=?n1=6sr}|9j`a<72-8>47jk;W06e?4|D;?h62181!31m390(88k:29~yxJ5=k0?w[<;9;1xR`7=:r.>:n4kd:&06f<2>l1vZh<52z&62f<6:>1/??m557g8y!07k330q[<;9;1xR`7=:r.>:n4kd:&06f<2>l1vZh<52z&62f<6:>1/??m557f8y!07k330q[<;9;1xR`7=:r.>:n4kd:&06f<2>l1vZh<52z&62fn4:6d9~ 36d201vZo5<10;6)<:8;07<>P5=h09wA<:c;3xR4g22;q/=o;525:8R4472;q/9;k5d:&62a72?3-99o7;9e:'25e=l2wvq6g=3583>!42038886X=5`81I42k3;pZ0Z<:i4k;|~H73e28q]>8?52zTf5?4|,<i6il0;6)<:8;3ba>=zj:;;6=4<:183!42038>96F=4b9j57>=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1=6*:6e82?x{zu2c:>44?:%06{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d82?!31l3;0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{51;294~"5=1099k5G25a8k4gb290/>8651`g8?xd49;0;684?:1y'60>=>j1C>9m4i00;>5<#:<21=?94V37b>4}K:44>3_;9<7f=#=?n1o6sr}|9j566=83.9954>269K601<^;?j6:5Y24c95~J5=j0:w[k<:3y'5g3=9:;0Z<:i4l;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?76<729q/>8656b9K61e4={%3a1?7502\:>=4={%75a?e<,<290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a7`3=8381<7>t$37;>g3<@;>h7d?=7;29 73?288<76a>ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd4ml0;6?4?:1y'60>=j<1C>9m4i004>5<#:<21=?94;n3ba?6=,;?362181!31m3;0(88k:09~yx{7>53;294~"5=109985G25a8m44?290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g9e>"2>m0j7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th8j<4?:783>5}#:<21=?;4H36`?!7403l0e<<8:18'60>=9;=0Z?;n:0yO60e=9r\:m84={%3a1?75?2\:>=4={%75a?7<,<o7?tV0c6>7}#9k?1hn5Y13296~"2>l0:7);9d;38yx{z3`no6=4+24:9`a=Q:vB=5b82S7f=38p(ab<,:8h688j;|Tf6?4|,<ab<,:8h688j;|Tf6?4|,<ab<,:8h688j;|Tf6?4|,<00b3t.==:=20Z?;n:3yO60e=9r\:m84={%3a1?4302\:>=4={%75a?b<,<=7j09855+33a913c8652268R73f2;qG>8m51zT2e0<5s-;i97<<4:T265<5s-?=i7j4$44g>a=zutF99o4>{W065?4|^l;1>v*:6b8171=#;;i19;k4}%43g?b=9ho07pl6<729q/>8652478L72d3`;947>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;38 00c281vqps4i00:>5<#:<21=?94V37b>4}K:k6:180>5<7s-8>478l;I07g>o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4>;%75`?74={%3a1?7512\:>=4={%75a?7<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn>kn:1825?6=8r.99549c:J10f=n9;21<7*=598262=O:<=0Z?;n:0yO60e=9r\n?744?3_;9<73=#=?n1:6sr}|9j57?=83.9954>269K601<^;?j62wvqp5f12294?"5=10:>:5Y24c95~J5=j0:w[k<:3y'5g3=9::0Z<2.>:i49;|~y>o6;80;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>309U576=:r.>:h49;%75`?04={%3a1?74:2\:>=4={%75a?0<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=<;W314?4|,<{zut1b=>:50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79562<^88;6?u+57g92>"2>m0=7psr}:k270<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?85Y13296~"2>l0=7);9d;48yx{z3`;8:7>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6;?1]=?>52z&62`<13-?=h784}|~?l74?3:1(?;7:004?M42?2\99l4>{M06g?7|^l91>v*>b48272=Q9;:1>v*:6d85?!31l3<0qpsr;h31e?6=,;?36<<8;W06e?7|D;?h62181!31m3<0(88k:79~yx{4={%3a1?75j2\:>=4={%75a?e<,<8651358R73f28qG>8m51zTf7?4|,8h>6<{zut1b=?j50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957b<^88;6?u+57g9g>"2>m0h7psr}:k26`<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>h5Y13296~"2>l0h7);9d;a8yx{z3`;9j7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31b>P6:909w);9e;a8 00c2j1vqps4o0cf>5<#:<21=lk4V37b>4}K:1;294~"5=10=o6F=4b9j57>=83.9954>269K601<^;?j62wvqp5f13;94?"5=10:>:5G2458R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1b=>>50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79566<^88;6?u+57g92>"2>m0=7psr}:k274<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?<5Y13296~"2>l0=7);9d;48yx{z3`;8>7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;306>P6:909w);9e;48 00c2?1vqps4i010>5<#:<21=?94V37b>4}K:1<7*=598262=Q:3483>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;<1]=?>52z&62`<13-?=h784}|~?l74>3:1(?;7:004?M42?2\99l4>{M06g?7|^l91>v*>b48273=Q9;:1>v*:6d85?!31l3<0qpsr;h303?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>369U576=:r.>:h49;%75`?04={%3a1?75i2\:>=4={%75a?0<,<8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31f>P6:909w);9e;a8 00c2j1vqps4i00`>5<#:<21=?94V37b>4}K:2d83>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:l1]=?>52z&62`f=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f6cd290:=7>50z&11=<1k2B98n5f13:94?"5=10:>:5G2458R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1C>894V37b>4}K:3083>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;81]=?>52z&62`<13-?=h784}|~?l74:3:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?<2:T265<5s-?=i784$44g>3=zutw0e<=<:18'60>=9;=0Z?;n:0yO60e=9r\n?74543_;9<73=#=?n1:6sr}|9j562=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>:4V003>7}#=?o1:6*:6e85?x{zu2c:?84?:%06{M06g?7|^l91>v*>b48270=Q9;:1>v*:6d85?!31l3<0qpsr;h302?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>379U576=:r.>:h49;%75`?0o7?tVd196~"6j<0:?:5Y13296~"2>l0=7);9d;48yx{z3`;9m7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31e>P6:909w);9e;48 00c2?1vqps4i00a>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?=b:T265<5s-?=i7m4$44g>f=zutw0e<=9;=0Z?;n:0yO60e=9r\n?744d3_;9<7f=#=?n1o6sr}|9j57b=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?j4V003>7}#=?o1o6*:6e8`?x{zu2c:>h4?:%06{M06g?7|^l91>v*>b4826`=Q9;:1>v*:6d8`?!31l3i0qpsr;h31b?6=,;?36<<8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{5<693:1N51C>894V37b>4}K:44>3_;9<73=#=?n1:6sr}|9j566=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>>4V003>7}#=?o1:6*:6e85?x{zu2c:?<4?:%06{M06g?7|^l91>v*>b48274=Q9;:1>v*:6d85?!31l3<0qpsr;h306?6=,;?36<<8;W06e?7|D;?h66X>2181!31m3<0(88k:79~yx{2wvqp5f12694?"5=10:>:5Y24c95~J5=j0:w[k<:3y'5g3=9:>0Z<2.>:i49;|~y>o6;<0;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>349U576=:r.>:h49;%75`?0o7?tVd196~"6j<0:?;5Y13296~"2>l0=7);9d;48yx{z3`;8;7>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6;>1]=?>52z&62`<13-?=h784}|~?l75i3:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=a:T265<5s-?=i784$44g>3=zutw0e<=9;=0D?;8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{:5Y24c95~J5=j0:w[k<:3y'5g3=9;n0Z<:i4l;|~y>o6:l0;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>2d9U576=:r.>:h4l;%75`?e4={%3a1?75n2\:>=4={%75a?e<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn>k7:185>5<7s-8>47?nd:J10f=n9;21<7*=598262=Q:2883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<63-?=h7?4}|~?l7483:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?<0:T265<5s-?=i7?4$44g>4=zutw0e<=>:18'60>=9;=0Z?;n:0yO60e=9r\n?74563_;9<74=#=?n1=6sr}|9j564=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=><4V003>7}#=?o1=6*:6e82?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`0ac<72>0;6=u+24:92f=O:=i0e<<7:18'60>=9;=0Z?;n:0yO60e=9r\n?744?3_;9<7a=#=?n1h6sr}|9j57?=83.9954>269K601<^;?j6:5G2458R73f28qG>8m51zTf7?4|,8h>6<=?;W314?4|,<{zut1b=>?50;&11=<6:>1C>894V37b>4}K:3283>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=>=4V003>7}#=?o1h6*:6e8g?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`22=<72;0;6=u+24:9f0=O:=i0e<<8:18'60>=9;=07b?ne;29 73?28kn7[<:a;3xH73d28q]i>4={%3a1?7fm2\:>=4={%75a?7<,<7>50z&11=:54o0cf>5<#:<21=lk4V37b>4}K:6=49:183!4203;996F=4b9'56>=n2c:>:4?:%06{M06g?7|^8k>6?u+1c79571<^88;6?u+57g95>"2>m0:7psr}:kgg?6=,;?36im4V37b>4}K:a481!7e=3nh7[?=0;0x 00b281/9;j51:~yx=nlm0;6)<:8;fg?S42i38p@?;l:0yU5d3=:r.:n84kd:T265<5s-?=i7=4$44g>6=zutF99o4;{W07=?5|^l;1>v*:6b8g`>"4:j0>:h5rVd096~"2>j0:>:5+33a913cv*:6b8g`>"4:j0>:h5rVd096~"2>j0:>:5+33a913bv*:6b8g`>"4:j0>:h5rVd096~"2>j0oo6*<2b862`=z,?:h6?5rV0`7>7}Qm809w);9c;fg?!55k3?=i6s+61a9a>{zu2c9854?:%066?u+1c7961><^88;6?u+57g9`>"2>m0o7psrL37a>4}Q:<;1>vXj1;0x 00d2;>37)==c;75a>{#>9i1h6sr}:k171<72-8>47<<4:T11d<5sE8>o7?tV0c6>7}#9k?1>>:4V003>7}#=?o1h6*:6e8g?x{zD;?i6{zu2e:mh4?:%065<7s-8>47<:5:J10f=n9;21<7*=598262=O:<=0Z?;n:0yO60e=9r\n?744?3_;9<74=#=?n1=6sr}|9j57?=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1=6*:6e82?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`22d<7280;6=u+24:960`<@;>h7b?ne;29 73?28kn76sm17a94?5=83:p(?;7:7a8L72d3`;947>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;38 00c281vqps4i00:>5<#:<21=?94V37b>4}K:54?:%062.>:i49;|~y>o6:00;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g92>"2>m0=7psr}:k275<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?=5Y13296~"2>l0=7);9d;48yx{z3`;8=7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;305>P6:909w);9e;48 00c2?1vqps4i011>5<#:<21=?94V37b>4}K:3583>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;=1]=?>52z&62`<13-?=h784}|~?l74=3:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?<5:T265<5s-?=i784$44g>3=zutw0e<=9:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3<0(88k:79~yx{2wvqp5f13c94?"5=10:>:5Y24c95~J5=j0:w[k<:3y'5g3=9;k0Z<:i4l;|~y>o6:k0;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c7957d<^88;6?u+57g9g>"2>m0h7psr}:k26f<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>n5Y13296~"2>l0:7);9d;38yx{z3`;9h7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31`>P6:909w);9e;38 00c281vqps4i00f>5<#:<21=?94V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd6>k0;6>4?:1y'60>=9hn0D?:l;h312181!31m3;0(88k:09~yx{:i4>;|~y>{e9?l1<7:50;2x 73?2?i0D?:l;h31P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4k;%75`?bo7?tVd196~"6j<0:>45Y13296~"2>l0o7);9d;f8yx{z3`;8<7>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6;91]=?>52z&62`4=zutw0qo?80;291?6=8r.99549c:J10f=n9;21<7*=598262=Q:2883>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1h6*:6e8g?x{zu2c:?=4?:%06:i4k;|~y>o6;80;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>309U576=:r.>:h4k;%75`?b4={%3a1?7fm2\:>=4={%75a?7<,<50z&11=<1k2B98n5f13:94?"5=10:>:5G2458R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1C>894V37b>4}K:1/9;j57:~yx=n9::1<7*=598262=O:<=0Z?;n:0yO60e=9r\n?74573_;9<72=#=?n1;6sr}|9j567=83.9954>269K601<^;?j6:5Y24c95~J5=j0:w[k<:3y'5g3=9:80Z<:i4k;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?77383>3<729q/>8656b9K61e4={%3a1?7502\:>=4={%75a?1<,<290/>8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;58 00c2>1vqps4i013>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?<0:T265<5s-?=i7j4$44g>a=zutw0e<=>:18'60>=9;=0Z?;n:0yO60e=9r\n?74563_;9<7a=#=?n1h6sr}|9j564=83.9954>269K601<^;?j6:i4>;|~y>{e9>91<7;50;2x 73?28ko7E<;c:k26=<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0:7);9d;38yx{z3`;957>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;38 00c281vqps4i013>5<#:<21=?94V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd6??0;6?4?:1y'60>=j<1C>9m4i004>5<#:<21=?94;n3ba?6=,;?362181!31m3;0(88k:09~yx{56;294~"5=10:>85G25a8 45?2o1b=?950;&11=<6:>1]>8o51zN11f<6s_;j974403_;9<74=#=?n1=6sr}|9j`f<72-8>47jl;W06e?7|D;?h62181!31m3;0(88k:09~yx{P5=h09wA<:c;3xR4g22;q/=o;5de9U576=:r.>:h4<;%75`?58l54zT10<<4s_o:6?u+57a9`a=#;;i19;k4}Wg1>7}#=?i1=?94$20`>00b3t.=7}#=?i1=?94$20`>00c3t.=7}#=?i1hn5+33a913cv*:6b8g`>"4:j0>:h5r$72`>`=zut1b>9650;&11=<5<11]>8o52zN11f<6s_;j9772?3_;9<7a=#=?n1h6sr}M06f?7|^;?:6?uYe081!31k38?46*<2b862`=z,?:h6i5r}|9j662=83.9954=359U60g=:rF99n4>{W3b1?4|,8h>6?=;;W314?4|,<{zuE8>n7?tV372>7}Qm809w);9c;000>"4:j0>:h5r$72`>a=zut1d=lk50;&11=<6il10qo?87;297?6=8r.9954=549K61e4={%3a1?7502\:>=4={%75a?7<,<290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a52>=83;1<7>t$37;>73a3A8?o6a>ad83>!4203;ji65rb05b>5<4290;w)<:8;4`?M43k2c:>54?:%06{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d85?!31l3<0qpsr;h31=?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4l;%75`?e4={%3a1?7fm2\:>=4={%75a?7<,<50z&11=<1k2B98n5f13:94?"5=10:>:5G2458R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1C>894V37b>4}K:4573_;9<7f=#=?n1o6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi=:750;194?6|,;?36o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4>;%75`?74={%3a1?7512\:>=4={%75a?7<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn?kn:181>5<7s-8>47l:;I07g>o6:>0;6)<:8;313>=h9ho1<7*=5982e`=Q::5Y13296~"2>l0:7);9d;38yx{z3`nh6=4+24:9`f=Q:6=#=?n1?6sr}M06f?2|^;>26>uYe081!31k3no7)==c;75a>{Qm;09w);9c;313>"4:j0>:h5r$72`><=z^;>26>uYe081!31k3no7)==c;75a>{Qm;09w);9c;313>"4:j0>:i5r$72`><=z^;>26>uYe081!31k3no7)==c;75a>{Qm;09w);9c;f`?!55k3?=i6s+61a9=>{Q9k>1>vXj1;0x 00d2mn0(>l0o7);9d;f8yx{K:5$37;>7533_8>m74}Q9h?1>v*>b48171=Q9;:1>v*:6d8g?!31l3n0qpsC24`95~P5=809w[k>:3y'13e=::>0(>t$37;>7323A8?o6g>2983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`<63-?=h7?4}|~?l7513:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i7?4$44g>4=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f7cc290:6=4?{%06=9;=0D?;8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{:5Y24c95~J5=j0:w[k<:3y'5g3=9::0Z<:i4l;|~y>o6;80;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>309U576=:r.>:h4l;%75`?e4={%3a1?74:2\:>=4={%75a?e<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=<;W314?4|,<{zut1b=>:50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79562<^88;6?u+57g9g>"2>m0h7psr}:k270<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?85Y13296~"2>l0h7);9d;a8yx{z3`;8:7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;302>P6:909w);9e;a8 00c2j1vqps4i014>5<#:<21=?94V37b>4}K:2c83>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:k1]=?>52z&62`f=zutw0e<=9;=0Z?;n:0yO60e=9r\n?744c3_;9<74=#=?n1=6sr}|9j57c=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?k4V003>7}#=?o1=6*:6e82?x{zu2c:>k4?:%06{M06g?7|^l91>v*>b4826c=Q9;:1>v*:6d82?!31l3;0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{54;294~"5=10=o6F=4b9j57>=83.9954>269K601<^;?j6:5Y24c95~J5=j0:w[k<:3y'5g3=9;30Z<:i4l;|~y>o6;90;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>319U576=:r.>:h4l;%75`?e4={%3a1?7fm2\:>=4={%75a?7<,<7>50z&11=:54o0cf>5<#:<21=lk4V37b>4}K:=n2c:>:4?:%06{M06g?7|^8k>6?u+1c79571<^88;6?u+57g95>"2>m0:7psr}:kgg?6=,;?36im4V37b>4}K:a481!7e=3nh7[?=0;0x 00b281/9;j51:~yx=nlm0;6)<:8;fg?S42i38p@?;l:0yU5d3=:r.:n84kd:T265<5s-?=i7=4$44g>6=zutF99o4;{W07=?5|^l;1>v*:6b8g`>"4:j0>:h5rVd096~"2>j0:>:5+33a913cv*:6b8g`>"4:j0>:h5rVd096~"2>j0:>:5+33a913bv*:6b8g`>"4:j0>:h5rVd096~"2>j0oo6*<2b862`=z,?:h645rV0`7>7}Qm809w);9c;fg?!55k3?=i6s+61a9a>{zu2c9854?:%066?u+1c7961><^88;6?u+57g9`>"2>m0o7psrL37a>4}Q:<;1>vXj1;0x 00d2;>37)==c;75a>{#>9i1h6sr}:k171<72-8>47<<4:T11d<5sE8>o7?tV0c6>7}#9k?1>>:4V003>7}#=?o1h6*:6e8g?x{zD;?i6{zu2e:mh4?:%06k?:180>5<7s-8>47<:5:J10f=n9;21<7*=598262=Q:2883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<63-?=h7?4}|~?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo=j1;295?6=8r.9954=5g9K61e5}#:<21:n5G25a8m44?290/>8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;48 00c2?1vqps4i00:>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i784$44g>3=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f6ba29086=4?{%062983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`f=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f6`429096=4?{%062683>!4203;9;65`1`g94?"5=10:mh5Y24c95~J5=j0:w[k<:3y'5g3=9ho0Z<:i4>;|~y>{e;o31<7850;2x 73?288>7E<;c:&27=5$37;>4403_8>m7?tL37`>4}Q9h?1>v*>b48262=Q9;:1>v*:6d82?!31l3;0qpsr;hf`>5<#:<21hn5Y24c95~J5=j0:w[?n5;0x 4d22mi0Z<:i4>;|~y>ocl3:1(?;7:ef8R73f2;qG>8m51zT2e0<5s-;i97jk;W314?4|,<5+57f97>{zuE8>n7:tV36:>6}Qm809w);9c;fg?!55k3?=i6sYe381!31k3;9;6*<2b862`=z,?:h645rV36:>6}Qm809w);9c;fg?!55k3?=i6sYe381!31k3;9;6*<2b862a=z,?:h645rV36:>6}Qm809w);9c;fg?!55k3?=i6sYe381!31k3nh7)==c;75a>{#>9i156sY1c696~Pb938p(88l:ef8 64d2<5$37;>72?3_8>m74}Q9h?1>v*>b4810==Q9;:1>v*:6d8g?!31l3n0qpsC24`95~P5=809w[k>:3y'13e=:=20(>l0o7);9d;f8yx{K:5$37;>4gb32wi?k;50;194?6|,;?36?;:;I07g>o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4>;%75`?74={%3a1?7512\:>=4={%75a?7<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn>h9:182>5<7s-8>47<:f:J10f=h9ho1<7*=5982e`=53;294~"5=10=o6F=4b9j57>=83.9954>269K601<^;?j62wvqp5f13;94?"5=10:>:5G2458R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a7c2=8391<7>t$37;>3e<@;>h7d?=8;29 73?288<7[<:a;3xH73d28q]i>4={%3a1?7502\:>=4={%75a?e<,<290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a1=4=8381<7>t$37;>g3<@;>h7d?=7;29 73?288<76a>ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd2000;6?4?:1y'60>=j<1C>9m4i004>5<#:<21=?94;n3ba?6=,;?362181!31m3;0(88k:09~yx{53;294~"5=109985G25a8m44?290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g9e>"2>m0j7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th>4n4?:783>5}#:<21=?;4H36`?!7403l0e<<8:18'60>=9;=0Z?;n:0yO60e=9r\:m84={%3a1?75?2\:>=4={%75a?7<,<o7?tV0c6>7}#9k?1hn5Y13296~"2>l0:7);9d;38yx{z3`no6=4+24:9`a=Q:vB=5b82S7f=38p(ab<,:8h688j;|Tf6?4|,<ab<,:8h688j;|Tf6?4|,<ab<,:8h688j;|Tf6?4|,<00b3t.==:=20Z?;n:3yO60e=9r\:m84={%3a1?4302\:>=4={%75a?b<,<=7j09855+33a913c8652268R73f2;qG>8m51zT2e0<5s-;i97<<4:T265<5s-?=i7j4$44g>a=zutF99o4>{W065?4|^l;1>v*:6b8171=#;;i19;k4}%43g?b=9ho07pl:8283>6<729q/>8652478L72d3`;947>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;38 00c281vqps4i00:>5<#:<21=?94V37b>4}K:5<7s-8>478l;I07g>o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h49;%75`?0o7?tVd196~"6j<0:>45Y13296~"2>l0h7);9d;a8yx{z3`;8<7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;304>P6:909w);9e;a8 00c2j1vqps4o0cf>5<#:<21=lk4V37b>4}K:47?=7:J112=Q:2883>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1:6*:6e85?x{zu2c:?=4?:%062.>:i49;|~y>o6;80;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>309U576=:r.>:h4l;%75`?e4={%3a1?74:2\:>=4={%75a?e<,<8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;307>P6:909w);9e;a8 00c2j1vqps4i017>5<#:<21=?94V37b>4}K:=83:p(?;7:7a8L72d3`;947>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`<13-?=h784}|~?l7513:1(?;7:004?M42?2\99l4>{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d85?!31l3<0qpsr;h304?6=,;?36<<8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{:5Y24c95~J5=j0:w[k<:3y'5g3=9:80Z<:i4l;|~y>o6;:0;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c79565<^88;6?u+57g9g>"2>m0h7psr}:k271<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?95Y13296~"2>l0h7);9d;a8yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c7;1?6=<3:1=9;=0Z?;n:0yO60e=9r\n?744?3_;9<74=#=?n1=6sr}|9j57?=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1=6*:6e82?x{zu2c:?=4?:%06{M06g?7|^l91>v*>b48275=Q9;:1>v*:6d82?!31l3;0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{57;294~"5=10=o6F=4b9j57>=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1h6*:6e8g?x{zu2c:>44?:%06:i4k;|~y>o6;90;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>319U576=:r.>:h4k;%75`?bo7?tVd196~"6j<0:?<5Y13296~"2>l0o7);9d;f8yx{z3`;8>7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;306>P6:909w);9e;f8 00c2m1vqps4i010>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?<3:T265<5s-?=i7j4$44g>a=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f10529096=4?{%062683>!4203;9;65`1`g94?"5=10:mh5Y24c95~J5=j0:w[k<:3y'5g3=9ho0Z<:i4>;|~y>{e<>:1<7<50;2x 73?2k?0D?:l;h313?6=,;?36<<8;:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th?:54?:383>5}#:<21n85G25a8m440290/>8651358?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo:9f;292?6=8r.9954>249K61e<,8936k5f13594?"5=10:>:5Y24c95~J5=j0:w[?n5;0x 4d2288<7[?=0;0x 00b281/9;j51:~yx=nlj0;6)<:8;f`?S42i3;p@?;l:0yU5d3=:r.:n84kc:T265<5s-?=i7?4$44g>4=zutw0eij50;&11=6?u+1c79`a=Q9;:1>v*:6d80?!31l390qpsC24`90~P5<008w[k>:3y'13e=lm1/??m557g8ySc52;q/9;m51358 64d2<:3y'13e=lm1/??m557g8ySc52;q/9;m51358 64d2<:3y'13e=lm1/??m557g8ySc52;q/9;m5db9'77e==?o0q)8?c;08yS7e<38pZh?52z&62fn4:6d9~ 36d2l1vqp5f25:94?"5=109855Y24c96~J5=j0:w[?n5;0x 4d22;>37[?=0;0x 00b2m1/9;j5d:~yI42j3;pZ?;>:3yUa4<5s-?=o7<;8:&06f<2>l1v(;>l:e9~yx=n::>1<7*=598171=Q:vB=5b82S7f=38p(n4:6d9~ 36d2m1vqp5`1`g94?"5=10:mh54}c657?6=;3:1=9;=0Z?;n:0yO60e=9r\n?744?3_;9<74=#=?n1=6sr}|9j57?=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1=6*:6e82?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`721<7280;6=u+24:960`<@;>h7b?ne;29 73?28kn76sm47494?5=83:p(?;7:7a8L72d3`;947>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;38 00c281vqps4i00:>5<#:<21=?94V37b>4}K:5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;48 00c2?1vqps4i00:>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i7m4$44g>f=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f10229086=4?{%06:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<:i4>;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4>;%75`?74={%3a1?7fm2\:>=4={%75a?7<,<50z&11=<1k2B98n5f13:94?"5=10:>:5G2458R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1C>894V37b>4}K:4573_;9<7a=#=?n1h6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi8;o50;794?6|,;?36;m4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i794$44g>2=zutw0e<<6:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3n0(88k:e9~yx{4={%3a1?7482\:>=4={%75a?b<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=>;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a03d=83<1<7>t$37;>3e<@;>h7d?=8;29 73?288<7E<:7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0<7);9d;58yx{z3`;957>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<03-?=h794}|~?l7483:1(?;7:004?M42?2\99l4>{M06g?7|^l91>v*>b48275=Q9;:1>v*:6d84?!31l3=0qpsr;h305?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>309U576=:r.>:h4k;%75`?b4={%3a1?74:2\:>=4={%75a?b<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn98l:185>5<7s-8>478l;I07g>o6:10;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g93>"2>m0<7psr}:k26<<72-8>47?=7:J112=Q:3183>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=>>4V003>7}#=?o1h6*:6e8g?x{zu2c:?<4?:%06{M06g?7|^l91>v*>b48274=Q9;:1>v*:6d8g?!31l3n0qpsr;h306?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>339U576=:r.>:h4k;%75`?b4={%3a1?7fm2\:>=4={%75a?7<,<50z&11=<6im1C>9m4i00;>5<#:<21=?94V37b>4}K:3183>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;91]=?>52z&62`<63-?=h7?4}|~?l7493:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?<1:T265<5s-?=i7?4$44g>4=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f03c29096=4?{%062683>!4203;9;65`1`g94?"5=10:mh5Y24c95~J5=j0:w[k<:3y'5g3=9ho0Z<:i4>;|~y>{e=?>1<7850;2x 73?288>7E<;c:&27=5$37;>4403_8>m7?tL37`>4}Q9h?1>v*>b48262=Q9;:1>v*:6d82?!31l3;0qpsr;hf`>5<#:<21hn5Y24c95~J5=j0:w[?n5;0x 4d22mi0Z<:i4>;|~y>ocl3:1(?;7:ef8R73f2;qG>8m51zT2e0<5s-;i97jk;W314?4|,<5+57f97>{zuE8>n7:tV36:>6}Qm809w);9c;fg?!55k3?=i6sYe381!31k3;9;6*<2b862`=z,?:h645rV36:>6}Qm809w);9c;fg?!55k3?=i6sYe381!31k3;9;6*<2b862a=z,?:h645rV36:>6}Qm809w);9c;fg?!55k3?=i6sYe381!31k3nh7)==c;75a>{#>9i1>6sY1c696~Pb938p(88l:ef8 64d2<5$37;>72?3_8>m74}Q9h?1>v*>b4810==Q9;:1>v*:6d8g?!31l3n0qpsC24`95~P5=809w[k>:3y'13e=:=20(>l0o7);9d;f8yx{K:5$37;>4gb32wi98k50;194?6|,;?36?;:;I07g>o6:10;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g95>"2>m0:7psr}:k26<<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>45Y13296~"2>l0:7);9d;38yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c76b?6=93:1=9ho07pl:6083><<729q/>8656b9K61e2wvqp5f13;94?"5=10:>:5Y24c95~J5=j0:w[k<:3y'5g3=9;30Z<2.>:i49;|~y>o6;90;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>319U576=:r.>:h49;%75`?04={%3a1?7492\:>=4={%75a?0<,<8651358R73f28qG>8m51zTf7?4|,8h>6<==;W314?4|,<{zut1b=>=50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79565<^88;6?u+57g92>"2>m0=7psr}:k271<72-8>47?=7:J112=Q:3483>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;<1]=?>52z&62`4=zutw0qo;92;29=?6=8r.99549c:J10f=n9;21<7*=598262=Q:2883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<13-?=h784}|~?l7483:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?<0:T265<5s-?=i784$44g>3=zutw0e<=>:18'60>=9;=0Z?;n:0yO60e=9r\n?74563_;9<73=#=?n1:6sr}|9j564=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=><4V003>7}#=?o1:6*:6e85?x{zu2c:?>4?:%06{M06g?7|^l91>v*>b48276=Q9;:1>v*:6d85?!31l3<0qpsr;h300?6=,;?36<<8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{6=4+24:9571<^;?j6:i4>;|~y>{e=?:1<7=50;2x 73?28ko7E<;c:k26=<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0:7);9d;38yx{z3`;957>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;38 00c281vqps4o0cf>5<#:<21=lk4V37b>4}K:6=4<:183!420347?=7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0=7);9d;48yx{z3`;957>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;48 00c2?1vqps4o0cf>5<#:<21=lk4V37b>4}K:7E<;c:k262<72-8>47?=7:9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi9<>50;094?6|,;?36o;4H36`?l75?3:1(?;7:004?>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?77<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;78 00c2<1vqps4}c73f?6=;3:1=9;=0D?;8;W06e?7|D;?h62181!31m3;0(88k:09~yx{:i4>;|~y>{e=9i1<7?50;2x 73?2;?m7E<;c:m2e`<72-8>47?ne:9~f06b29086=4?{%062983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`f=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f06a29086=4?{%062983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`<63-?=h7?4}|~?l7513:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i7?4$44g>4=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f06c29086=4?{%06:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<:i4>;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4>;%75`?74={%3a1?7fm2\:>=4={%75a?7<,<50z&11=<1k2B98n5f13:94?"5=10:>:5G2458R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1C>894V37b>4}K:1/9;j57:~yx=n9::1<7*=598262=O:<=0Z?;n:0yO60e=9r\n?74573_;9<72=#=?n1;6sr}|9j567=83.9954>269K601<^;?j6:5Y24c95~J5=j0:w[k<:3y'5g3=9:80Z<:i4k;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?73<729q/>8656b9K61e4={%3a1?7502\:>=4={%75a?1<,<290/>8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;58 00c2>1vqps4i013>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?<0:T265<5s-?=i794$44g>2=zutw0e<=>:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3n0(88k:e9~yx{:i4>;|~y>{e=891<7950;2x 73?2?i0D?:l;h31P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h48;%75`?1o7?tVd196~"6j<0:>45Y13296~"2>l0<7);9d;58yx{z3`;8<7>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6;91]=?>52z&62`<03-?=h794}|~?l7493:1(?;7:004?M42?2\99l4>{M06g?7|^l91>v*>b48274=Q9;:1>v*:6d84?!31l3=0qpsr;h306?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>339U576=:r.>:h4k;%75`?b4={%3a1?74;2\:>=4={%75a?b<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn8?;:184>5<7s-8>478l;I07g>o6:10;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g93>"2>m0<7psr}:k26<<72-8>47?=7:J112=Q:3183>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=>>4V003>7}#=?o1;6*:6e84?x{zu2c:?<4?:%06:i4k;|~y>o6;;0;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>339U576=:r.>:h4k;%75`?b4={%3a1?74;2\:>=4={%75a?b<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn8?::186>5<7s-8>47?nd:J10f=n9;21<7*=598262=Q:2883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<63-?=h7?4}|~?l7483:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?<0:T265<5s-?=i7?4$44g>4=zutw0e<=>:18'60>=9;=0Z?;n:0yO60e=9r\n?74563_;9<74=#=?n1=6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi8:950;094?6|,;?36o;4H36`?l75?3:1(?;7:004?>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?77<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c64`?6=:3:1N510c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f1>329086=4?{%06:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<:i4>;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4n;%75`?g4={%3a1?7fm2\:>=4={%75a?7<,<50z&11=<6:<1C>9m4$01;>c=n9;=1<7*=598262=Q:!4203nh7[<:a;3xH73d28q]=l;52z&2f0=4={%75a?7<,<o7?tV0c6>7}#9k?1hi5Y13296~"2>l087);9d;18yx{K::n4kd:&06f<2>l1v(;>l:d9~yx=n:=21<7*=59810==Q:vB=5b82S7f=38p(n4:6d9~ 36d2m1vqp5f22694?"5=109?95Y24c96~J5=j0:w[?n5;0x 4d22;9?7[?=0;0x 00b2m1/9;j5d:~yI42j3;pZ?;>:3yUa4<5s-?=o7<<4:&06f<2>l1v(;>l:e9~yx=h9ho1<7*=5982e`=<47>53;294~"5=109985G25a8m44?290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g95>"2>m0:7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th?;44?:083>5}#:<21>8h4H36`?j7fm3:1(?;7:0cf?>{e<>h1<7:50;2x 73?2?i0D?:l;h312181!31m3i0(88k:b9~yx{4={%3a1?7512\:>=4={%75a?e<,<8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;304>P6:909w);9e;a8 00c2j1vqps4o0cf>5<#:<21=lk4V37b>4}K:47?=7:J112=Q:2883>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1o6*:6e8`?x{zu2c:?=4?:%06{M06g?7|^l91>v*>b48275=Q9;:1>v*:6d8`?!31l3i0qpsr;h305?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>309U576=:r.>:h4l;%75`?e4={%3a1?7fm2\:>=4={%75a?7<,<50z&11=<6im1C>9m4i00;>5<#:<21=?94V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd3?l0;6;4?:1y'60>=>j1C>9m4i00;>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i794$44g>2=zutw0e<<6:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3=0(88k:69~yx{4={%3a1?7482\:>=4={%75a?1<,<8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;305>P6:909w);9e;f8 00c2m1vqps4i011>5<#:<21=?94V37b>4}K:5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`<03-?=h794}|~?l7513:1(?;7:004?M42?2\99l4>{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d84?!31l3=0qpsr;h304?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>319U576=:r.>:h48;%75`?1o7?tVd196~"6j<0:?<5Y13296~"2>l0<7);9d;58yx{z3`;8>7>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6;;1]=?>52z&62`a=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f1>7290<6=4?{%062983>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1;6*:6e84?x{zu2c:>44?:%06:i48;|~y>o6;90;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c79566<^88;6?u+57g93>"2>m0<7psr}:k274<72-8>47?=7:J112=Q:3383>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;;1]=?>52z&62`a=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f1>6290?6=4?{%06:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<:i4>;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4>;%75`?74={%3a1?7482\:>=4={%75a?7<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn5<7s-8>47l:;I07g>o6:>0;6)<:8;313>=h9ho1<7*=5982e`=Q:5$37;>44032e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d86?!31l3?0qpsr;|`2b3<72:0;6=u+24:9603<@;>h7d?=8;29 73?288<7[<:a;3xH73d28q]i>4={%3a1?7502\:>=4={%75a?7<,<290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a5c1=83;1<7>t$37;>73a3A8?o6a>ad83>!4203;ji65rb0d:>5<4290;w)<:8;4`?M43k2c:>54?:%06{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d85?!31l3<0qpsr;h31=?6=,;?36<<8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{5<4290;w)<:8;4`?M43k2c:>54?:%06{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d85?!31l3<0qpsr;h31=?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4l;%75`?e4={%3a1?7fm2\:>=4={%75a?7<,<50z&11=<6im1C>9m4i00;>5<#:<21=?94V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd21k0;6?4?:1y'60>=j<1C>9m4i004>5<#:<21=?94;n3ba?6=,;?362181!31m3;0(88k:09~yx{56;294~"5=10:>85G25a8 45?2o1b=?950;&11=<6:>1]>8o51zN11f<6s_;j974403_;9<74=#=?n1=6sr}|9j`f<72-8>47jl;W06e?7|D;?h62181!31m3;0(88k:09~yx{P5=h09wA<:c;3xR4g22;q/=o;5de9U576=:r.>:h4<;%75`?58l54zT10<<4s_o:6?u+57a9`a=#;;i19;k4}Wg1>7}#=?i1=?94$20`>00b3t.=7}#=?i1=?94$20`>00c3t.=7}#=?i1hn5+33a913cv*:6b8g`>"4:j0>:h5r$72`>`=zut1b>9650;&11=<5<11]>8o52zN11f<6s_;j9772?3_;9<7a=#=?n1h6sr}M06f?7|^;?:6?uYe081!31k38?46*<2b862`=z,?:h6i5r}|9j662=83.9954=359U60g=:rF99n4>{W3b1?4|,8h>6?=;;W314?4|,<{zuE8>n7?tV372>7}Qm809w);9c;000>"4:j0>:h5r$72`>a=zut1d=lk50;&11=<6il10qo;6c;297?6=8r.9954=549K61e:5Y24c95~J5=j0:w[k<:3y'5g3=9;30Z<:i4>;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?74<729q/>86524d8L72d3f;ji7>5$37;>4gb32wi94h50;194?6|,;?36;m4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i7m4$44g>f=zutw0e<<6:18'60>=9;=0Z?;n:0yO60e=9r\n?744>3_;9<7f=#=?n1o6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi9l>50;594?6|,;?36;m4H36`?l7503:1(?;7:004?M42?2\99l4>{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d85?!31l3<0qpsr;h31=?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h49;%75`?0o7?tVd196~"6j<0:?=5Y13296~"2>l0=7);9d;48yx{z3`;8=7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;305>P6:909w);9e;a8 00c2j1vqps4i011>5<#:<21=?94V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd2i80;6:4?:1y'60>=>j1C>9m4i00;>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i784$44g>3=zutw0e<<6:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3<0(88k:79~yx{:5Y24c95~J5=j0:w[k<:3y'5g3=9:;0Z<:i4l;|~y>o6;;0;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>339U576=:r.>:h4l;%75`?e4={%3a1?74;2\:>=4={%75a?e<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn87j:187>5<7s-8>47?nd:J10f=n9;21<7*=598262=Q:2883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<63-?=h7?4}|~?l7483:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?<0:T265<5s-?=i7?4$44g>4=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f17329096=4?{%062683>!4203;9;65`1`g94?"5=10:mh5Y24c95~J5=j0:w[k<:3y'5g3=9ho0Z<:i4>;|~y>{e<8k1<7<50;2x 73?2k?0D?:l;h313?6=,;?36<<8;:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th?>=4?:783>5}#:<21=?;4H36`?!7403l0e<<8:18'60>=9;=0Z?;n:0yO60e=9r\:m84={%3a1?75?2\:>=4={%75a?7<,<o7?tV0c6>7}#9k?1hn5Y13296~"2>l0:7);9d;38yx{z3`no6=4+24:9`a=Q:vB=5b82S7f=38p(ab<,:8h688j;|Tf6?4|,<ab<,:8h688j;|Tf6?4|,<ab<,:8h688j;|Tf6?4|,<00b3t.==:=20Z?;n:3yO60e=9r\:m84={%3a1?4302\:>=4={%75a?b<,<=7j09855+33a913c8652268R73f2;qG>8m51zT2e0<5s-;i97<<4:T265<5s-?=i7j4$44g>a=zutF99o4>{W065?4|^l;1>v*:6b8171=#;;i19;k4}%43g?b=9ho07pl;1483>6<729q/>8652478L72d3`;947>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;38 00c281vqps4i00:>5<#:<21=?94V37b>4}K:5<7s-8>478l;I07g>o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4l;%75`?e4={%3a1?7512\:>=4={%75a?e<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn9?6:180>5<7s-8>478l;I07g>o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4l;%75`?e4={%3a1?7512\:>=4={%75a?e<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn9?8:180>5<7s-8>47?nd:J10f=n9;21<7*=598262=Q:2883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<63-?=h7?4}|~?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo:>b;2954<729q/>8656b9K61e4={%3a1?7502\:>=4={%75a?1<,<290/>8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;58 00c2>1vqps4i013>5<#:<21=?94V37b>4}K:1/9;j57:~yx=n9:;1<7*=598262=Q:3383>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;;1]=?>52z&62`<03-?=h794}|~?l74;3:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?<3:T265<5s-?=i794$44g>2=zutw0e<=;:18'60>=9;=0Z?;n:0yO60e=9r\n?74533_;9<72=#=?n1;6sr}|9j563=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>;4V003>7}#=?o1;6*:6e84?x{zu2c:?;4?:%06:i48;|~y>o6;>0;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>369U576=:r.>:h48;%75`?1o7?tVd196~"6j<0:>l5Y13296~"2>l0o7);9d;f8yx{z3`;9n7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31f>P6:909w);9e;f8 00c2m1vqps4i00`>5<#:<21=?94V37b>4}K:2d83>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:l1]=?>52z&62`4=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f17d290:=7>50z&11=<1k2B98n5f13:94?"5=10:>:5G2458R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1C>894V37b>4}K:1/9;j57:~yx=n9::1<7*=598262=Q:3083>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;81]=?>52z&62`<03-?=h794}|~?l74:3:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?<2:T265<5s-?=i794$44g>2=zutw0e<=<:18'60>=9;=0Z?;n:0yO60e=9r\n?74543_;9<72=#=?n1;6sr}|9j562=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>:4V003>7}#=?o1;6*:6e84?x{zu2c:?84?:%06{M06g?7|^l91>v*>b48270=Q9;:1>v*:6d84?!31l3=0qpsr;h302?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>379U576=:r.>:h48;%75`?14={%3a1?74?2\:>=4={%75a?1<,<8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31e>P6:909w);9e;f8 00c2m1vqps4i00a>5<#:<21=?94V37b>4}K:2e83>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:m1]=?>52z&62`a=zutw0e<=9;=0Z?;n:0yO60e=9r\n?744a3_;9<74=#=?n1=6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi85<7s-8>478l;I07g>o6:10;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g93>"2>m0<7psr}:k26<<72-8>47?=7:J112=Q:3183>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;91]=?>52z&62`<03-?=h794}|~?l7493:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?<1:T265<5s-?=i794$44g>2=zutw0e<==:18'60>=9;=0Z?;n:0yO60e=9r\n?74553_;9<72=#=?n1;6sr}|9j565=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>=4V003>7}#=?o1;6*:6e84?x{zu2c:?94?:%06{M06g?7|^l91>v*>b48271=Q9;:1>v*:6d84?!31l3=0qpsr;h301?6=,;?36<<8;W06e?7|D;?h62181!31m3=0(88k:69~yx{4={%3a1?74>2\:>=4={%75a?1<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=8;W314?4|,<{zut1b=?o50;&11=<6:>1C>894V37b>4}K:2b83>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:j1]=?>52z&62`a=zutw0e<=9;=0Z?;n:0yO60e=9r\n?744b3_;9<7a=#=?n1h6sr}|9j57`=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?h4V003>7}#=?o1=6*:6e82?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`75`<72=0;6=u+24:95db<@;>h7d?=8;29 73?288<7[<:a;3xH73d28q]i>4={%3a1?7502\:>=4={%75a?7<,<290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1b=>>50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79566<^88;6?u+57g95>"2>m0:7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th?><4?:383>5}#:<21n85G25a8m440290/>8651358?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo:=d;292?6=8r.9954>249K61e<,8936k5f13594?"5=10:>:5Y24c95~J5=j0:w[?n5;0x 4d2288<7[?=0;0x 00b281/9;j51:~yx=nlj0;6)<:8;f`?S42i3;p@?;l:0yU5d3=:r.:n84kc:T265<5s-?=i7?4$44g>4=zutw0eij50;&11=6?u+1c79`a=Q9;:1>v*:6d80?!31l390qpsC24`90~P5<008w[k>:3y'13e=lm1/??m557g8ySc52;q/9;m51358 64d2<:3y'13e=lm1/??m557g8ySc52;q/9;m51358 64d2<:3y'13e=lm1/??m557g8ySc52;q/9;m5db9'77e==?o0q)8?c;08yS7e<38pZh?52z&62fn4:6d9~ 36d2l1vqp5f25:94?"5=109855Y24c96~J5=j0:w[?n5;0x 4d22;>37[?=0;0x 00b2m1/9;j5d:~yI42j3;pZ?;>:3yUa4<5s-?=o7<;8:&06f<2>l1v(;>l:e9~yx=n::>1<7*=598171=Q:vB=5b82S7f=38p(n4:6d9~ 36d2m1vqp5`1`g94?"5=10:mh54}c616?6=;3:1=9;=0D?;8;W06e?7|D;?h62181!31m3;0(88k:09~yx{:i4>;|~y>{e<;91<7?50;2x 73?2;?m7E<;c:m2e`<72-8>47?ne:9~f14229086=4?{%062983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`<63-?=h7?4}|~?l7513:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i7?4$44g>4=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f14129086=4?{%062983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`<63-?=h7?4}|~?l7513:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i7?4$44g>4=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f14029086=4?{%062983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d8`?!31l3i0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{947>53;294~"5=10=o6F=4b9j57>=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1o6*:6e8`?x{zu2c:>44?:%06{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d8`?!31l3i0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{957>54;294~"5=10=o6F=4b9j57>=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1o6*:6e8`?x{zu2c:>44?:%06:i4l;|~y>o6;90;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>319U576=:r.>:h4l;%75`?e4={%3a1?7fm2\:>=4={%75a?7<,<50z&11=<1k2B98n5f13:94?"5=10:>:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<2.>:i49;|~y>o6:00;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g9g>"2>m0h7psr}:k275<72-8>47?=7:J112=Q:3083>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;81]=?>52z&62`4=zutw0qo:=b;292?6=8r.99549c:J10f=n9;21<7*=598262=O:<=0Z?;n:0yO60e=9r\n?744?3_;9<73=#=?n1:6sr}|9j57?=83.9954>269K601<^;?j62wvqp5f12294?"5=10:>:5G2458R73f28qG>8m51zTf7?4|,8h>6<=?;W314?4|,<{zut1b=>?50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79567<^88;6?u+57g9g>"2>m0h7psr}:k277<72-8>47?=7:J112=Q:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd3:=0;654?:1y'60>=9hn0D?:l;h312181!31m3;0(88k:09~yx{:5Y24c95~J5=j0:w[k<:3y'5g3=9::0Z<:i4>;|~y>o6;80;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>309U576=:r.>:h4>;%75`?74={%3a1?74:2\:>=4={%75a?7<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=<;W314?4|,<{zut1b=>:50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79562<^88;6?u+57g95>"2>m0:7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th8n<4?:383>5}#:<21n85G25a8m440290/>8651358?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo=m9;292?6=8r.9954>249K61e<,8936<>4i004>5<#:<21=?94V37b>4}K:a481!7e=3;9;6X>2181!31m3;0(88k:09~yx{P5=h0:wA<:c;3xR4g22;q/=o;5db9U576=:r.>:h4>;%75`?7=lm1]>8o52zN11f<6s_;j97ab<^88;6?u+57g97>"2>m087psrL37a>1}Q:=31?vXj1;0x 00d2mn0(>{Q:=31?vXj1;0x 00d2mn0(>{Q:=31?vXj1;0x 00d2mn0(>:3y'13e=lm1/??m557g8y!07k3o0qps4i36;>5<#:<21>964V37b>7}K:a481!7e=38?46X>2181!31m3n0(88k:e9~yxJ5=k0:w[<:1;0xR`7=:r.>:n4=499'77e==?o0q)8?c;f8yx{P6:909w);9e;f8 00c2m1vqpB=5c82S42938pZh?52z&62f<5;=1/??m557g8y!07k3n0qps4o0cf>5<#:<21=lk4;|`0f7<72:0;6=u+24:9603<@;>h7d?=8;29 73?288<7E<:7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0:7);9d;38yx{z3`;957>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;38 00c281vqps4o0cf>5<#:<21=lk4V37b>4}K::183!42038>j6F=4b9l5dc=83.9954>ad98yg5e=3:1?7>50z&11=<1k2B98n5f13:94?"5=10:>:5G2458R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1C>894V37b>4}K:5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d8`?!31l3i0qpsr;h304?6=,;?36<<8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{4={%3a1?7492\:>=4={%75a?e<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn>l8:186>5<7s-8>478l;I07g>o6:10;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g9g>"2>m0h7psr}:k26<<72-8>47?=7:J112=Q:3183>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;91]=?>52z&62`{M06g?7|^l91>v*>b48274=Q9;:1>v*:6d8`?!31l3i0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{54;294~"5=10:mi5G25a8m44?290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g95>"2>m0:7psr}:k275<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?=5Y13296~"2>l0:7);9d;38yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c1:0?6=:3:1N510c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f6?d290=6=4?{%06o6:>0;6)<:8;313>P5=h0:wA<:c;3xR4g22;q/=o;51358R4472;q/9;k51:&62a<63twvq6gkc;29 73?2mi0Z?;n:0yO60e=9r\:m84={%3a1?bd3_;9<74=#=?n1=6sr}|9j`a<72-8>47jk;W06e?4|D;?h62181!31m390(88k:29~yxJ5=k0?w[<;9;1xR`7=:r.>:n4kd:&06f<2>l1vZh<52z&62f<6:>1/??m557g8y!07k330q[<;9;1xR`7=:r.>:n4kd:&06f<2>l1vZh<52z&62f<6:>1/??m557f8y!07k330q[<;9;1xR`7=:r.>:n4kd:&06f<2>l1vZh<52z&62fn4:6d9~ 36d2;1vZo5<10;6)<:8;07<>P5=h09wA<:c;3xR4g22;q/=o;525:8R4472;q/9;k5d:&62a72?3-99o7;9e:'25e=l2wvq6g=3583>!42038886X=5`81I42k3;pZ0Z<:i4k;|~H73e28q]>8?52zTf5?4|,<i6il0;6)<:8;3ba>=zj:3>6=4<:183!42038>96F=4b9j57>=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1=6*:6e82?x{zu2c:>44?:%06{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d82?!31l3;0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{51;294~"5=1099k5G25a8k4gb290/>8651`g8?xd4110;6>4?:1y'60>=>j1C>9m4i00;>5<#:<21=?94V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd4100;6>4?:1y'60>=>j1C>9m4i00;>5<#:<21=?94V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd41h0;694?:1y'60>=>j1C>9m4i00;>5<#:<21=?94V37b>4}K:44>3_;9<7f=#=?n1o6sr}|9j566=83.9954>269K601<^;?j6:i4>;|~y>{e;0=1<7:50;2x 73?28ko7E<;c:k26=<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0:7);9d;38yx{z3`;957>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;38 00c281vqps4i013>5<#:<21=?94V37b>4}K:5$37;>44032e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`07=<72?0;6=u+24:9573<@;>h7)?<8;d8m440290/>8651358R73f28qG>8m51zT2e0<5s-;i97?=7:T265<5s-?=i7?4$44g>4=zutw0eim50;&11={M06g?7|^8k>6?u+1c79`f=Q9;:1>v*:6d82?!31l3;0qpsr;hfg>5<#:<21hi5Y24c96~J5=j0:w[?n5;0x 4d22mn0Z<:i4<;|~H73e2=q]>9753zTf5?4|,<00b3t\n>74403-99o7;9e:'25e=12w]>9753zTf5?4|,<00b3t\n>74403-99o7;9d:'25e=12w]>9753zTf5?4|,<00b3t\n>7ae<,:8h688j;|&54f<53t\:n94={Wg2>7}#=?i1hi5+33a913c86525:8R73f2;qG>8m51zT2e0<5s-;i97<;8:T265<5s-?=i7j4$44g>a=zutF99o4>{W065?4|^l;1>v*:6b810==#;;i19;k4}%43g?b=::>0Z?;n:3yO60e=9r\:m84={%3a1?44<2\:>=4={%75a?b<,<=7j09?95+33a913c8651`g8?xd4;=0;6>4?:1y'60>=:2181!31m3;0(88k:09~yx{:i4>;|~y>{e;:?1<7?50;2x 73?2;?m7E<;c:m2e`<72-8>47?ne:9~f65129086=4?{%062983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`<13-?=h784}|~?l7513:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i784$44g>3=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f0`529096=4?{%062683>!4203;9;65`1`g94?"5=10:mh5Y24c95~J5=j0:w[k<:3y'5g3=9ho0Z<:i4>;|~y>{e=oo1<7850;2x 73?288>7E<;c:&27=<682c:>:4?:%06{M06g?7|^8k>6?u+1c79571<^88;6?u+57g95>"2>m0:7psr}:kgg?6=,;?36im4V37b>4}K:a481!7e=3nh7[?=0;0x 00b281/9;j51:~yx=nlm0;6)<:8;fg?S42i38p@?;l:0yU5d3=:r.:n84kd:T265<5s-?=i7=4$44g>6=zutF99o4;{W07=?5|^l;1>v*:6b8g`>"4:j0>:h5rVd096~"2>j0:>:5+33a913cv*:6b8g`>"4:j0>:h5rVd096~"2>j0:>:5+33a913bv*:6b8g`>"4:j0>:h5rVd096~"2>j0oo6*<2b862`=z,?:h6?5rV0`7>7}Qm809w);9c;fg?!55k3?=i6s+61a9a>{zu2c9854?:%066?u+1c7961><^88;6?u+57g9`>"2>m0o7psrL37a>4}Q:<;1>vXj1;0x 00d2;>37)==c;75a>{#>9i1h6sr}:k171<72-8>47<<4:T11d<5sE8>o7?tV0c6>7}#9k?1>>:4V003>7}#=?o1h6*:6e8g?x{zD;?i6{zu2e:mh4?:%065<7s-8>47<:5:J10f=n9;21<7*=598262=O:<=0Z?;n:0yO60e=9r\n?744?3_;9<74=#=?n1=6sr}|9j57?=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1=6*:6e82?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`6b1<7280;6=u+24:960`<@;>h7b?ne;29 73?28kn76sm5g494?5=83:p(?;7:7a8L72d3`;947>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;38 00c281vqps4i00:>5<#:<21=?94V37b>4}K:5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;38 00c281vqps4i00:>5<#:<21=?94V37b>4}K:5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d8`?!31l3i0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{55;294~"5=10=o6F=4b9j57>=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1o6*:6e8`?x{zu2c:>44?:%06:i4l;|~y>o6;90;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c79566<^88;6?u+57g9g>"2>m0h7psr}:k274<72-8>47?=7:J112=Q:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd2nh0;6;4?:1y'60>=>j1C>9m4i00;>5<#:<21=?94V37b>4}K:3183>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=>>4V003>7}#=?o1o6*:6e8`?x{zu2c:?<4?:%06:i4l;|~y>o6;;0;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c79564<^88;6?u+57g9g>"2>m0h7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th>jo4?:683>5}#:<21:n5G25a8m44?290/>8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;48 00c2?1vqps4i00:>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i784$44g>3=zutw0e<=?:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3<0(88k:79~yx{:5Y24c95~J5=j0:w[k<:3y'5g3=9:80Z<:i4l;|~y>o6;:0;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c79565<^88;6?u+57g9g>"2>m0h7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th>jn4?:683>5}#:<21:n5G25a8m44?290/>8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;48 00c2?1vqps4i00:>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i784$44g>3=zutw0e<=?:18'60>=9;=0Z?;n:0yO60e=9r\n?74573_;9<7f=#=?n1o6sr}|9j567=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>?4V003>7}#=?o1o6*:6e8`?x{zu2c:??4?:%06{M06g?7|^l91>v*>b48277=Q9;:1>v*:6d8`?!31l3i0qpsr;h307?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>329U576=:r.>:h4l;%75`?e4={%3a1?7fm2\:>=4={%75a?7<,<50z&11=<6im1C>9m4i00;>5<#:<21=?94V37b>4}K:3183>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;91]=?>52z&62`<63-?=h7?4}|~?l7493:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?<1:T265<5s-?=i7?4$44g>4=zutw0e<==:18'60>=9;=0Z?;n:0yO60e=9r\n?74553_;9<74=#=?n1=6sr}|9j565=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>=4V003>7}#=?o1=6*:6e82?x{zu2c:?94?:%06{M06g?7|^l91>v*>b48271=Q9;:1>v*:6d82?!31l3;0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{52;294~"5=10i96F=4b9j571=83.9954>2698k4gb290/>8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn>:i:180>5<7s-8>47<:5:J10f=n9;21<7*=598262=Q:2883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`4=zutw0qo=;e;292?6=8r.9954>249K61e<,8936k5f13594?"5=10:>:5Y24c95~J5=j0:w[?n5;0x 4d2288<7[?=0;0x 00b281/9;j51:~yx=nlj0;6)<:8;f`?S42i3;p@?;l:0yU5d3=:r.:n84kc:T265<5s-?=i7?4$44g>4=zutw0eij50;&11=6?u+1c79`a=Q9;:1>v*:6d80?!31l390qpsC24`90~P5<008w[k>:3y'13e=lm1/??m557g8ySc52;q/9;m51358 64d2<:3y'13e=lm1/??m557g8ySc52;q/9;m51358 64d2<:3y'13e=lm1/??m557g8ySc52;q/9;m5db9'77e==?o0q)8?c;08yS7e<38pZh?52z&62fn4:6d9~ 36d2l1vqp5f25:94?"5=109855Y24c96~J5=j0:w[?n5;0x 4d22;>37[?=0;0x 00b2m1/9;j5d:~yI42j3;pZ?;>:3yUa4<5s-?=o7<;8:&06f<2>l1v(;>l:e9~yx=n::>1<7*=598171=Q:vB=5b82S7f=38p(n4:6d9~ 36d2m1vqp5`1`g94?"5=10:mh54}c173?6=;3:1=9;=0D?;8;W06e?7|D;?h62181!31m3;0(88k:09~yx{:i4>;|~y>{e;=21<7?50;2x 73?2;?m7E<;c:m2e`<72-8>47?ne:9~f62f290?6=4?{%062983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d85?!31l3<0qpsr;h304?6=,;?36<<8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{5<1290;w)<:8;4`?M43k2c:>54?:%06:i4l;|~y>o6:00;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g9g>"2>m0h7psr}:k275<72-8>47?=7:J112=Q:3083>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=>?4V003>7}#=?o1o6*:6e8`?x{zu2c:??4?:%06{M06g?7|^l91>v*>b48277=Q9;:1>v*:6d85?!31l3<0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{56;294~"5=10=o6F=4b9j57>=83.9954>269K601<^;?j6:5G2458R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1b=>>50;&11=<6:>1C>894V37b>4}K:4563_;9<7f=#=?n1o6sr}|9j564=83.9954>269K601<^;?j6:i4>;|~y>{e;=31<7:50;2x 73?28ko7E<;c:k26=<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0:7);9d;38yx{z3`;957>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;38 00c281vqps4i013>5<#:<21=?94V37b>4}K:5$37;>44032e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`0e7<72?0;6=u+24:9573<@;>h7)?<8;d8m440290/>8651358R73f28qG>8m51zT2e0<5s-;i97?=7:T265<5s-?=i7?4$44g>4=zutw0eim50;&11={M06g?7|^8k>6?u+1c79`f=Q9;:1>v*:6d82?!31l3;0qpsr;hfg>5<#:<21hi5Y24c96~J5=j0:w[?n5;0x 4d22mn0Z<:i4<;|~H73e2=q]>9753zTf5?4|,<00b3t\n>74403-99o7;9e:'25e=12w]>9753zTf5?4|,<00b3t\n>74403-99o7;9d:'25e=12w]>9753zTf5?4|,<00b3t\n>7ae<,:8h688j;|&54f<53t\:n94={Wg2>7}#=?i1hi5+33a913c86525:8R73f2;qG>8m51zT2e0<5s-;i97<;8:T265<5s-?=i7j4$44g>a=zutF99o4>{W065?4|^l;1>v*:6b810==#;;i19;k4}%43g?b=::>0Z?;n:3yO60e=9r\:m84={%3a1?44<2\:>=4={%75a?b<,<=7j09?95+33a913c8651`g8?xd41l0;6>4?:1y'60>=:2181!31m3;0(88k:09~yx{:i4>;|~y>{e;0l1<7?50;2x 73?2;?m7E<;c:m2e`<72-8>47?ne:9~f6g729086=4?{%062983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`f=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f63f29096=4?{%062683>!4203;9;65`1`g94?"5=10:mh5Y24c95~J5=j0:w[k<:3y'5g3=9ho0Z<:i4>;|~y>{e;?91<7=50;2x 73?2;?>7E<;c:k26=<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0:7);9d;38yx{z3`;957>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;c8 00c2h1vqps4o0cf>5<#:<21=lk4V37b>4}K:=n2c:>:4?:%06{M06g?7|^8k>6?u+1c79571<^88;6?u+57g95>"2>m0:7psr}:kgg?6=,;?36im4V37b>4}K:a481!7e=3nh7[?=0;0x 00b281/9;j51:~yx=nlm0;6)<:8;fg?S42i38p@?;l:0yU5d3=:r.:n84kd:T265<5s-?=i7=4$44g>6=zutF99o4;{W07=?5|^l;1>v*:6b8g`>"4:j0>:h5rVd096~"2>j0:>:5+33a913cv*:6b8g`>"4:j0>:h5rVd096~"2>j0:>:5+33a913bv*:6b8g`>"4:j0>:h5rVd096~"2>j0oo6*<2b862`=z,?:h6?5rV0`7>7}Qm809w);9c;fg?!55k3?=i6s+61a9a>{zu2c9854?:%066?u+1c7961><^88;6?u+57g9`>"2>m0o7psrL37a>4}Q:<;1>vXj1;0x 00d2;>37)==c;75a>{#>9i1h6sr}:k171<72-8>47<<4:T11d<5sE8>o7?tV0c6>7}#9k?1>>:4V003>7}#=?o1h6*:6e8g?x{zD;?i6{zu2e:mh4?:%06;m:180>5<7s-8>47<:5:J10f=n9;21<7*=598262=Q:2883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<63-?=h7?4}|~?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo=:c;295?6=8r.9954=5g9K61e5}#:<21:n5G25a8m44?290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g9g>"2>m0h7psr}:k275<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?=5Y13296~"2>l0=7);9d;48yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c16b?6=<3:1N51]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g9g>"2>m0h7psr}:k26<<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>45Y13296~"2>l0h7);9d;a8yx{z3`;8<7>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6;91]=?>52z&62`4=zutw0qo=90;290?6=8r.99549c:J10f=n9;21<7*=598262=Q:2883>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1:6*:6e85?x{zu2c:?=4?:%06{M06g?7|^l91>v*>b48275=Q9;:1>v*:6d8`?!31l3i0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{h7>54;294~"5=10:mi5G25a8m44?290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g95>"2>m0:7psr}:k275<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?=5Y13296~"2>l0:7);9d;38yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c1b7?6=:3:1N510c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f6g?290=6=4?{%06o6:>0;6)<:8;313>P5=h0:wA<:c;3xR4g22;q/=o;51358R4472;q/9;k51:&62a<63twvq6gkc;29 73?2mi0Z?;n:0yO60e=9r\:m84={%3a1?bd3_;9<74=#=?n1=6sr}|9j`a<72-8>47jk;W06e?4|D;?h62181!31m390(88k:29~yxJ5=k0?w[<;9;1xR`7=:r.>:n4kd:&06f<2>l1vZh<52z&62f<6:>1/??m557g8y!07k330q[<;9;1xR`7=:r.>:n4kd:&06f<2>l1vZh<52z&62f<6:>1/??m557f8y!07k330q[<;9;1xR`7=:r.>:n4kd:&06f<2>l1vZh<52z&62fn4:6d9~ 36d2;1vZo5<10;6)<:8;07<>P5=h09wA<:c;3xR4g22;q/=o;525:8R4472;q/9;k5d:&62a72?3-99o7;9e:'25e=l2wvq6g=3583>!42038886X=5`81I42k3;pZ0Z<:i4k;|~H73e28q]>8?52zTf5?4|,<i6il0;6)<:8;3ba>=zj:k?6=4<:183!42038>96F=4b9j57>=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1=6*:6e82?x{zu2c:>44?:%06{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d82?!31l3;0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{51;294~"5=1099k5G25a8k4gb290/>8651`g8?xd4i?0;6>4?:1y'60>=>j1C>9m4i00;>5<#:<21=?94V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd4i00;6?4?:1y'60>=j<1C>9m4i004>5<#:<21=?94;n3ba?6=,;?362181!31m3;0(88k:09~yx{56;294~"5=10:>85G25a8 45?2o1b=?950;&11=<6:>1]>8o51zN11f<6s_;j974403_;9<74=#=?n1=6sr}|9j`f<72-8>47jl;W06e?7|D;?h62181!31m3;0(88k:09~yx{P5=h09wA<:c;3xR4g22;q/=o;5de9U576=:r.>:h4<;%75`?58l54zT10<<4s_o:6?u+57a9`a=#;;i19;k4}Wg1>7}#=?i1=?94$20`>00b3t.=7}#=?i1=?94$20`>00c3t.=7}#=?i1hn5+33a913cv*:6b8g`>"4:j0>:h5r$72`>`=zut1b>9650;&11=<5<11]>8o52zN11f<6s_;j9772?3_;9<7a=#=?n1h6sr}M06f?7|^;?:6?uYe081!31k38?46*<2b862`=z,?:h6i5r}|9j662=83.9954=359U60g=:rF99n4>{W3b1?4|,8h>6?=;;W314?4|,<{zuE8>n7?tV372>7}Qm809w);9c;000>"4:j0>:h5r$72`>a=zut1d=lk50;&11=<6il10qo=na;297?6=8r.9954=549K61e:5Y24c95~J5=j0:w[k<:3y'5g3=9;30Z<:i4>;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?74<729q/>86524d8L72d3f;ji7>5$37;>4gb32wi?lj50;794?6|,;?36;m4H36`?l7503:1(?;7:004?M42?2\99l4>{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d8`?!31l3i0qpsr;h31=?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4l;%75`?e4={%3a1?7482\:>=4={%75a?e<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=>;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a7dc=83?1<7>t$37;>3e<@;>h7d?=8;29 73?288<7E<:7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0h7);9d;a8yx{z3`;957>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`f=zutw0e<=>:18'60>=9;=0Z?;n:0yO60e=9r\n?74563_;9<7f=#=?n1o6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi?lm50;194?6|,;?36o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4>;%75`?74={%3a1?7512\:>=4={%75a?7<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn>;?:181>5<7s-8>47l:;I07g>o6:>0;6)<:8;313>=h9ho1<7*=5982e`=Q::5Y13296~"2>l0:7);9d;38yx{z3`nh6=4+24:9`f=Q:6=#=?n1?6sr}M06f?2|^;>26>uYe081!31k3no7)==c;75a>{Qm;09w);9c;313>"4:j0>:h5r$72`><=z^;>26>uYe081!31k3no7)==c;75a>{Qm;09w);9c;313>"4:j0>:i5r$72`><=z^;>26>uYe081!31k3no7)==c;75a>{Qm;09w);9c;f`?!55k3?=i6s+61a96>{Q9k>1>vXj1;0x 00d2mn0(>l0o7);9d;f8yx{K:5$37;>7533_8>m74}Q9h?1>v*>b48171=Q9;:1>v*:6d8g?!31l3n0qpsC24`95~P5=809w[k>:3y'13e=::>0(>t$37;>7323A8?o6g>2983>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1=6*:6e82?x{zu2c:>44?:%06{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d82?!31l3;0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{>7>51;294~"5=1099k5G25a8k4gb290/>8651`g8?xd4==0;6>4?:1y'60>=>j1C>9m4i00;>5<#:<21=?94V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd4=<0;6>4?:1y'60>=>j1C>9m4i00;>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i7m4$44g>f=zutw0e<<6:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{5<4290;w)<:8;4`?M43k2c:>54?:%062.>:i49;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4l;%75`?e4={%3a1?7fm2\:>=4={%75a?7<,<50z&11=<1k2B98n5f13:94?"5=10:>:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<:i4l;|~y>o6:00;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g9g>"2>m0h7psr}:k275<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?=5Y13296~"2>l0h7);9d;a8yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c167?6==3:1=9;=0Z?;n:0yO60e=9r\n?744?3_;9<74=#=?n1=6sr}|9j57?=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1=6*:6e82?x{zu2c:?=4?:%06{M06g?7|^l91>v*>b48275=Q9;:1>v*:6d82?!31l3;0qpsr;h305?6=,;?36<<8;W06e?7|D;?h62181!31m3;0(88k:09~yx{5<5290;w)<:8;`6?M43k2c:>:4?:%068o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a73c=8391<7>t$37;>7323A8?o6g>2983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`<63-?=h7?4}|~?l7513:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i7o4$44g>d=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f60c290=6=4?{%06o6:>0;6)<:8;313>P5=h0:wA<:c;3xR4g22;q/=o;51358R4472;q/9;k51:&62a<63twvq6gkc;29 73?2mi0Z?;n:0yO60e=9r\:m84={%3a1?bd3_;9<74=#=?n1=6sr}|9j`a<72-8>47jk;W06e?4|D;?h62181!31m390(88k:29~yxJ5=k0?w[<;9;1xR`7=:r.>:n4kd:&06f<2>l1vZh<52z&62f<6:>1/??m557g8y!07k330q[<;9;1xR`7=:r.>:n4kd:&06f<2>l1vZh<52z&62f<6:>1/??m557f8y!07k330q[<;9;1xR`7=:r.>:n4kd:&06f<2>l1vZh<52z&62fn4:6d9~ 36d2;1vZo5<10;6)<:8;07<>P5=h09wA<:c;3xR4g22;q/=o;525:8R4472;q/9;k5d:&62a72?3-99o7;9e:'25e=l2wvq6g=3583>!42038886X=5`81I42k3;pZ0Z<:i4k;|~H73e28q]>8?52zTf5?4|,<i6il0;6)<:8;3ba>=zj:<>6=4<:183!42038>96F=4b9j57>=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1=6*:6e82?x{zu2c:>44?:%06{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d82?!31l3;0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{51;294~"5=1099k5G25a8k4gb290/>8651`g8?xd4>10;694?:1y'60>=>j1C>9m4i00;>5<#:<21=?94V37b>4}K:44>3_;9<73=#=?n1:6sr}|9j566=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>>4V003>7}#=?o1o6*:6e8`?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`02<<72<0;6=u+24:92f=O:=i0e<<7:18'60>=9;=0Z?;n:0yO60e=9r\n?744?3_;9<7f=#=?n1o6sr}|9j57?=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1o6*:6e8`?x{zu2c:?=4?:%06{M06g?7|^l91>v*>b48275=Q9;:1>v*:6d8`?!31l3i0qpsr;h305?6=,;?36<<8;W06e?7|D;?h62181!31m3<0(88k:79~yx{5<2290;w)<:8;4`?M43k2c:>54?:%06{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d8`?!31l3i0qpsr;h31=?6=,;?36<<8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{:5G2458R73f28qG>8m51zTf7?4|,8h>6<=>;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a73d=83?1<7>t$37;>3e<@;>h7d?=8;29 73?288<7[<:a;3xH73d28q]i>4={%3a1?7502\:>=4={%75a?e<,<290/>8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;a8 00c2j1vqps4i013>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?<0:T265<5s-?=i7m4$44g>f=zutw0e<=>:18'60>=9;=0Z?;n:0yO60e=9r\n?74563_;9<7f=#=?n1o6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi?;950;794?6|,;?36o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4>;%75`?74={%3a1?7512\:>=4={%75a?7<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=?;W314?4|,<{zut1b=>?50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79567<^88;6?u+57g95>"2>m0:7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th9:n4?:383>5}#:<21n85G25a8m440290/>8651358?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo<82;297?6=8r.9954=549K61e:5Y24c95~J5=j0:w[k<:3y'5g3=9;30Z<:i4n;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?73<729q/>8651378L72d3-;847h4i004>5<#:<21=?94V37b>4}K:a481!7e=3;9;6X>2181!31m3;0(88k:09~yx{P5=h0:wA<:c;3xR4g22;q/=o;5db9U576=:r.>:h4>;%75`?7=lm1]>8o52zN11f<6s_;j97ab<^88;6?u+57g97>"2>m087psrL37a>1}Q:=31?vXj1;0x 00d2mn0(>{Q:=31?vXj1;0x 00d2mn0(>{Q:=31?vXj1;0x 00d2mn0(>:3y'13e=lm1/??m557g8y!07k3o0qps4i36;>5<#:<21>964V37b>7}K:a481!7e=38?46X>2181!31m3n0(88k:e9~yxJ5=k0:w[<:1;0xR`7=:r.>:n4=499'77e==?o0q)8?c;f8yx{P6:909w);9e;f8 00c2m1vqpB=5c82S42938pZh?52z&62f<5;=1/??m557g8y!07k3n0qps4o0cf>5<#:<21=lk4;|`12a<72:0;6=u+24:9603<@;>h7d?=8;29 73?288<7[<:a;3xH73d28q]i>4={%3a1?7502\:>=4={%75a?7<,<290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a63c=83;1<7>t$37;>73a3A8?o6a>ad83>!4203;ji65rb34e>554?:%06:i4l;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4l;%75`?e4={%3a1?7482\:>=4={%75a?e<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=>;W314?4|,<{zut1b=><50;&11=<6:>1C>894V37b>4}K:3583>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;=1]=?>52z&62`4=zutw0qo<83;296?6=8r.9954m5:J10f=n9;=1<7*=598262=5<4290;w)<:8;061>N51]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g95>"2>m0:7psr}:k26<<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>45Y13296~"2>l0j7);9d;c8yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c04e?6=>3:1269U60g=9rF99n4>{W3b1?4|,8h>6<<8;W314?4|,<{zut1bhn4?:%06m7?tL37`>4}Q9h?1>v*>b48gg>P6:909w);9e;38 00c281vqps4ief94?"5=10oh6X=5`81I42k3;pZ52z&62`<43-?=h7=4}|O60d=7}#=?i1hi5+33a913c3t\9844<{Wg2>7}#=?i1hi5+33a913c3t\9844<{Wg2>7}#=?i1hi5+33a913cj0oh6*<2b862`=z,?:h6h5r}|9j61>=83.9954=499U60g=:rF99n4>{W3b1?4|,8h>6?:7;W314?4|,<{zuE8>n7?tV372>7}Qm809w);9c;07<>"4:j0>:h5r$72`>a=zut1b>>:50;&11=<5;=1]>8o52zN11f<6s_;j977533_;9<7a=#=?n1h6sr}M06f?7|^;?:6?uYe081!31k38886*<2b862`=z,?:h6i5r}|9l5dc=83.9954>ad98yg40<3:1?7>50z&11=<5=<1C>9m4i00;>5<#:<21=?94V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd5?<0;6<4?:1y'60>=:t$37;>3e<@;>h7d?=8;29 73?288<7E<:7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0h7);9d;a8yx{z3`;957>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;a8 00c2j1vqps4i013>5<#:<21=?94V37b>4}K:3383>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;;1]=?>52z&62`f=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f71?290<6=4?{%062983>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1o6*:6e8`?x{zu2c:>44?:%06{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d8`?!31l3i0qpsr;h304?6=,;?36<<8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{:5G2458R73f28qG>8m51zTf7?4|,8h>6<==;W314?4|,<{zut1b=>=50;&11=<6:>1C>894V37b>4}K:54?:%06{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d82?!31l3;0qpsr;h31=?6=,;?36<<8;W06e?7|D;?h62181!31m3;0(88k:09~yx{5<5290;w)<:8;`6?M43k2c:>:4?:%068o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a1`d=8381<7>t$37;>g3<@;>h7d?=7;29 73?288<76a>ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd2n80;6;4?:1y'60>=9;?0D?:l;%30P6:909w);9e;38 00c281vqps4iea94?"5=10oo6X=5`82I42k3;pZ52z&62`<63-?=h7?4}|~?lbc290/>865de9U60g=:rF99n4>{W3b1?4|,8h>6ij4V003>7}#=?o1?6*:6e80?x{zD;?i69uY25;97~Pb938p(88l:ef8 64d2<{#>9i156sY25;97~Pb938p(88l:ef8 64d2<{#>9i156sY25;97~Pb938p(88l:ef8 64d2<b581Sc62;q/9;m5de9'77e==?o0q)8?c;g8yx{36=4+24:961><^;?j6?uC24a95~P6i<09w)?m5;07<>P6:909w);9e;f8 00c2m1vqpB=5c82S42938pZh?52z&62f<5<11/??m557g8y!07k3n0qps4i317>5<#:<21>>:4V37b>7}K:a481!7e=38886X>2181!31m3n0(88k:e9~yxJ5=k0:w[<:1;0xR`7=:r.>:n4=359'77e==?o0q)8?c;f8yx{i;4?:283>5}#:<21>8;4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i7?4$44g>4=zutw0e<<6:18'60>=9;=0Z?;n:0yO60e=9r\n?744>3_;9<74=#=?n1=6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi9h950;394?6|,;?36?;i;I07g>i6il0;6)<:8;3ba>=zj47?=7:J112=Q:2883>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1:6*:6e85?x{zu2c:?=4?:%062.>:i49;|~y>o6;80;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>309U576=:r.>:h4l;%75`?e4={%3a1?74:2\:>=4={%75a?e<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=<;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a1`g=83=1<7>t$37;>3e<@;>h7d?=8;29 73?288<7E<:7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0=7);9d;48yx{z3`;957>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<13-?=h784}|~?l7483:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?<0:T265<5s-?=i7m4$44g>f=zutw0e<=>:18'60>=9;=0Z?;n:0yO60e=9r\n?74563_;9<7f=#=?n1o6sr}|9j564=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=><4V003>7}#=?o1o6*:6e8`?x{zu2c:?>4?:%06{M06g?7|^l91>v*>b48276=Q9;:1>v*:6d8`?!31l3i0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{53;294~"5=10:mi5G25a8m44?290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g95>"2>m0:7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th>in4?:983>5}#:<21:n5G25a8m44?290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g93>"2>m0<7psr}:k275<72-8>47?=7:J112=Q:3083>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=>?4V003>7}#=?o1h6*:6e8g?x{zu2c:??4?:%06:i4k;|~y>o6;:0;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c79565<^88;6?u+57g9`>"2>m0o7psr}:k271<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?95Y13296~"2>l0o7);9d;f8yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c7f`?6=03:1N51]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g93>"2>m0<7psr}:k26<<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>45Y13296~"2>l0<7);9d;58yx{z3`;8<7>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6;91]=?>52z&62`a=zutw0e<==:18'60>=9;=0D?;8;W06e?7|D;?h66X>2181!31m3n0(88k:e9~yx{4={%3a1?74;2\:>=4={%75a?b<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=;;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a1`c=8321<7>t$37;>3e<@;>h7d?=8;29 73?288<7[<:a;3xH73d28q]i>4={%3a1?7502\:>=4={%75a?1<,<290/>8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;f8 00c2m1vqps4i013>5<#:<21=?94V37b>4}K:4563_;9<7a=#=?n1h6sr}|9j564=83.9954>269K601<^;?j6:5Y24c95~J5=j0:w[k<:3y'5g3=9:90Z<:i4k;|~y>o6;=0;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c79562<^88;6?u+57g9`>"2>m0o7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th>ik4?:583>5}#:<21=lj4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i7?4$44g>4=zutw0e<<6:18'60>=9;=0Z?;n:0yO60e=9r\n?744>3_;9<74=#=?n1=6sr}|9j566=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>>4V003>7}#=?o1=6*:6e82?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`27<<72;0;6=u+24:9f0=O:=i0e<<8:18'60>=9;=07b?ne;29 73?28kn7[<:a;3xH73d28q]i>4={%3a1?7fm2\:>=4={%75a?7<,<50z&11=<6:<1C>9m4$01;>c=n9;=1<7*=598262=Q:!4203nh7[<:a;3xH73d28q]=l;52z&2f0=4={%75a?7<,<o7?tV0c6>7}#9k?1hi5Y13296~"2>l087);9d;18yx{K::n4kd:&06f<2>l1v(;>l:d9~yx=n:=21<7*=59810==Q:vB=5b82S7f=38p(n4:6d9~ 36d2m1vqp5f22694?"5=109?95Y24c96~J5=j0:w[?n5;0x 4d22;9?7[?=0;0x 00b2m1/9;j5d:~yI42j3;pZ?;>:3yUa4<5s-?=o7<<4:&06f<2>l1v(;>l:e9~yx=h9ho1<7*=5982e`=53;294~"5=109985G25a8m44?290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g95>"2>m0:7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th:?o4?:083>5}#:<21>8h4H36`?j7fm3:1(?;7:0cf?>{e9:n1<7=50;2x 73?2?i0D?:l;h312181!31m3i0(88k:b9~yx{:i4>;|~y>{e9:o1<7=50;2x 73?2?i0D?:l;h312181!31m3i0(88k:b9~yx{:i4>;|~y>{e9:l1<7=50;2x 73?2?i0D?:l;h312181!31m3i0(88k:b9~yx{:i4>;|~y>{e9=:1<7=50;2x 73?2?i0D?:l;h312181!31m3;0(88k:09~yx{:i4>;|~y>{e9=;1<7=50;2x 73?2?i0D?:l;h312181!31m3i0(88k:b9~yx{:i4>;|~y>{e9=81<7:50;2x 73?2?i0D?:l;h31P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4l;%75`?e4={%3a1?7512\:>=4={%75a?e<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=?;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a56e=83=1<7>t$37;>4gc3A8?o6g>2983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`<63-?=h7?4}|~?l7513:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i7?4$44g>4=zutw0e<=?:18'60>=9;=0Z?;n:0yO60e=9r\n?74573_;9<74=#=?n1=6sr}|9j567=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>?4V003>7}#=?o1=6*:6e82?x{zu2c:??4?:%06{M06g?7|^l91>v*>b48277=Q9;:1>v*:6d82?!31l3;0qpsr;h307?6=,;?36<<8;W06e?7|D;?h62181!31m3;0(88k:09~yx{5<5290;w)<:8;`6?M43k2c:>:4?:%068o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a7=d=83<1<7>t$37;>4423A8?o6*>398e?l75?3:1(?;7:004?S42i3;p@?;l:0yU5d3=:r.:n84>269U576=:r.>:h4>;%75`?7=lj1]>8o51zN11f<6s_;j97ae<^88;6?u+57g95>"2>m0:7psr}:kg`?6=,;?36ij4V37b>7}K:a481!7e=3no7[?=0;0x 00b2:1/9;j53:~yI42j3>pZ?:6:2yUa4<5s-?=o7jk;%11g?31m2w]i?4={%75g?75?2.8>n4:6d9~ 36d201vZ?:6:2yUa4<5s-?=o7jk;%11g?31m2w]i?4={%75g?75?2.8>n4:6e9~ 36d201vZ?:6:2yUa4<5s-?=o7jk;%11g?31m2w]i?4={%75g?bd3-99o7;9e:'25e=:2w]=o:52zTf5?4|,<00b3t.=:h4k;%75`?b8l51zT114<5s_o:6?u+57a961><,:8h688j;|&54f52z&62`7}#=?i1>>:4$20`>00b3t.={e;1=1<7=50;2x 73?2;?>7E<;c:k26=<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0:7);9d;38yx{z3`;957>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;38 00c281vqps4o0cf>5<#:<21=lk4V37b>4}K::183!42038>j6F=4b9l5dc=83.9954>ad98yg5?13:1?7>50z&11=<1k2B98n5f13:94?"5=10:>:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<:i4l;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4l;%75`?e4={%3a1?7fm2\:>=4={%75a?7<,<7>50z&11=:54o0cf>5<#:<21=lk4V37b>4}K:=n2c:>:4?:%06{M06g?7|^8k>6?u+1c79571<^88;6?u+57g95>"2>m0:7psr}:kgg?6=,;?36im4V37b>4}K:a481!7e=3nh7[?=0;0x 00b281/9;j51:~yx=nlm0;6)<:8;fg?S42i38p@?;l:0yU5d3=:r.:n84kd:T265<5s-?=i7=4$44g>6=zutF99o4;{W07=?5|^l;1>v*:6b8g`>"4:j0>:h5rVd096~"2>j0:>:5+33a913cv*:6b8g`>"4:j0>:h5rVd096~"2>j0:>:5+33a913bv*:6b8g`>"4:j0>:h5rVd096~"2>j0oo6*<2b862`=z,?:h6?5rV0`7>7}Qm809w);9c;fg?!55k3?=i6s+61a9a>{zu2c9854?:%066?u+1c7961><^88;6?u+57g9`>"2>m0o7psrL37a>4}Q:<;1>vXj1;0x 00d2;>37)==c;75a>{#>9i1h6sr}:k171<72-8>47<<4:T11d<5sE8>o7?tV0c6>7}#9k?1>>:4V003>7}#=?o1h6*:6e8g?x{zD;?i6{zu2e:mh4?:%066k:180>5<7s-8>47<:5:J10f=n9;21<7*=598262=Q:2883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<63-?=h7?4}|~?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo=7e;295?6=8r.9954=5g9K61e5}#:<21:n5G25a8m44?290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1C>894V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd4180;694?:1y'60>=>j1C>9m4i00;>5<#:<21=?94V37b>4}K:44>3_;9<7f=#=?n1o6sr}|9j566=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>>4V003>7}#=?o1o6*:6e8`?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`0h7d?=8;29 73?288<7[<:a;3xH73d28q]i>4={%3a1?7502\:>=4={%75a?7<,<290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a7a4=8381<7>t$37;>g3<@;>h7d?=7;29 73?288<76a>ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd4l>0;6;4?:1y'60>=9;?0D?:l;%30P6:909w);9e;38 00c281vqps4iea94?"5=10oo6X=5`82I42k3;pZ52z&62`<63-?=h7?4}|~?lbc290/>865de9U60g=:rF99n4>{W3b1?4|,8h>6ij4V003>7}#=?o1?6*:6e80?x{zD;?i69uY25;97~Pb938p(88l:ef8 64d2<{#>9i156sY25;97~Pb938p(88l:ef8 64d2<{#>9i156sY25;97~Pb938p(88l:ef8 64d2<b581Sc62;q/9;m5de9'77e==?o0q)8?c;g8yx{36=4+24:961><^;?j6?uC24a95~P6i<09w)?m5;07<>P6:909w);9e;f8 00c2m1vqpB=5c82S42938pZh?52z&62f<5<11/??m557g8y!07k3n0qps4i317>5<#:<21>>:4V37b>7}K:a481!7e=38886X>2181!31m3n0(88k:e9~yxJ5=k0:w[<:1;0xR`7=:r.>:n4=359'77e==?o0q)8?c;f8yx{4?:283>5}#:<21>8;4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i7?4$44g>4=zutw0e<<6:18'60>=9;=0Z?;n:0yO60e=9r\n?744>3_;9<74=#=?n1=6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi?i:50;394?6|,;?36?;i;I07g>i6il0;6)<:8;3ba>=zj:n>6=4<:183!420347?=7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0h7);9d;a8yx{z3`;957>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;a8 00c2j1vqps4o0cf>5<#:<21=lk4V37b>4}K:7E<;c:k262<72-8>47?=7:9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi8=;50;494?6|,;?36<<:;I07g>"6;10m7d?=7;29 73?288<7[<:a;3xH73d28q]=l;52z&2f0<6:>1]=?>52z&62`<63-?=h7?4}|~?lbd290/>865db9U60g=9rF99n4>{W3b1?4|,8h>6im4V003>7}#=?o1=6*:6e82?x{zu2coh7>5$37;>ab<^;?j6?uC24a95~P6i<09w)?m5;fg?S75838p(88j:29'13b=;2wvqA<:b;6xR72>2:q]i<4={%75g?bc3-99o7;9e:Ua7<5s-?=o7?=7:&06f<2>l1v(;>l:89~R72>2:q]i<4={%75g?bc3-99o7;9e:Ua7<5s-?=o7?=7:&06f<2>m1v(;>l:89~R72>2:q]i<4={%75g?bc3-99o7;9e:Ua7<5s-?=o7jl;%11g?31m2w/:=m52:U5g2=:r\n=7ab<,:8h688j;|&54f37[<:a;0xH73d28q]=l;52z&2f0<5<11]=?>52z&62`7}#=?i1>964$20`>00b3t.=:h4k;%75`?b8l51zT114<5s_o:6?u+57a9662<,:8h688j;|&54f54?:%06{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d82?!31l3;0qpsr;h31=?6=,;?36<<8;W06e?7|D;?h62181!31m3;0(88k:09~yx{5<6290;w)<:8;06b>N52883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<63-?=h7?4}|~?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo=if;2954<729q/>8656b9K61e4={%3a1?7502\:>=4={%75a?0<,<290/>8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;48 00c2?1vqps4i013>5<#:<21=?94V37b>4}K:3383>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;;1]=?>52z&62`<13-?=h784}|~?l74;3:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?<3:T265<5s-?=i784$44g>3=zutw0e<=;:18'60>=9;=0Z?;n:0yO60e=9r\n?74533_;9<73=#=?n1:6sr}|9j563=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>;4V003>7}#=?o1:6*:6e85?x{zu2c:?;4?:%062.>:i49;|~y>o6;>0;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c79561<^88;6?u+57g92>"2>m0=7psr}:k26d<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>l5Y13296~"2>l0=7);9d;48yx{z3`;9n7>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6:k1]=?>52z&62`f=zutw0e<=9;=0Z?;n:0yO60e=9r\n?744c3_;9<7f=#=?n1o6sr}|9j57c=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?k4V003>7}#=?o1o6*:6e8`?x{zu2c:>k4?:%06{M06g?7|^l91>v*>b4826c=Q9;:1>v*:6d82?!31l3;0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{;<7>51083>5}#:<21:n5G25a8m44?290/>8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;48 00c2?1vqps4i00:>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i784$44g>3=zutw0e<=?:18'60>=9;=0Z?;n:0yO60e=9r\n?74573_;9<73=#=?n1:6sr}|9j567=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>?4V003>7}#=?o1:6*:6e85?x{zu2c:??4?:%06{M06g?7|^l91>v*>b48277=Q9;:1>v*:6d85?!31l3<0qpsr;h307?6=,;?36<<8;W06e?7|D;?h62181!31m3<0(88k:79~yx{2wvqp5f12794?"5=10:>:5Y24c95~J5=j0:w[k<:3y'5g3=9:?0Z<2.>:i49;|~y>o6;?0;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c79560<^88;6?u+57g92>"2>m0=7psr}:k272<72-8>47?=7:J112=Q:2`83>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:h1]=?>52z&62`<13-?=h784}|~?l75j3:1(?;7:004?M42?2\99l4>{M06g?7|^l91>v*>b4826g=Q9;:1>v*:6d8`?!31l3i0qpsr;h31g?6=,;?36<<8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{:5Y24c95~J5=j0:w[k<:3y'5g3=9;o0Z<:i4l;|~y>o6:o0;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>2g9U576=:r.>:h4>;%75`?74={%3a1?7fm2\:>=4={%75a?7<,<=>j1C>9m4i00;>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i784$44g>3=zutw0e<<6:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3<0(88k:79~yx{2wvqp5f12394?"5=10:>:5Y24c95~J5=j0:w[k<:3y'5g3=9:;0Z<2.>:i49;|~y>o6;;0;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>339U576=:r.>:h49;%75`?04={%3a1?74;2\:>=4={%75a?0<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=;;W314?4|,<{zut1b=>;50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79563<^88;6?u+57g92>"2>m0=7psr}:k273<72-8>47?=7:J112=Q:3683>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=>94V003>7}#=?o1:6*:6e85?x{zu2c:>l4?:%06{M06g?7|^l91>v*>b4826d=Q9;:1>v*:6d85?!31l3<0qpsr;h31f?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>2c9U576=:r.>:h4l;%75`?e4={%3a1?75k2\:>=4={%75a?e<,<8651358R73f28qG>8m51zTf7?4|,8h>6<{zut1b=?k50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957c<^88;6?u+57g9g>"2>m0h7psr}:k26c<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>k5Y13296~"2>l0:7);9d;38yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c636?6=980;6=u+24:92f=O:=i0e<<7:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3<0(88k:79~yx{4={%3a1?7512\:>=4={%75a?0<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=?;W314?4|,<{zut1b=>?50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79567<^88;6?u+57g92>"2>m0=7psr}:k277<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:??5Y13296~"2>l0=7);9d;48yx{z3`;8?7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;307>P6:909w);9e;48 00c2?1vqps4i017>5<#:<21=?94V37b>4}K:3783>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=>84V003>7}#=?o1:6*:6e85?x{zu2c:?:4?:%062.>:i49;|~y>o6:h0;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>2`9U576=:r.>:h49;%75`?0o7?tVd196~"6j<0:>o5Y13296~"2>l0h7);9d;a8yx{z3`;9o7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31g>P6:909w);9e;a8 00c2j1vqps4i00g>5<#:<21=?94V37b>4}K:2g83>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:o1]=?>52z&62`<63-?=h7?4}|~?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo:?3;2954<729q/>8656b9K61e4={%3a1?7502\:>=4={%75a?0<,<290/>8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;48 00c2?1vqps4i013>5<#:<21=?94V37b>4}K:3383>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;;1]=?>52z&62`<13-?=h784}|~?l74;3:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?<3:T265<5s-?=i784$44g>3=zutw0e<=;:18'60>=9;=0Z?;n:0yO60e=9r\n?74533_;9<73=#=?n1:6sr}|9j563=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>;4V003>7}#=?o1:6*:6e85?x{zu2c:?;4?:%062.>:i49;|~y>o6;>0;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>369U576=:r.>:h49;%75`?0o7?tVd196~"6j<0:>l5Y13296~"2>l0h7);9d;a8yx{z3`;9n7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31f>P6:909w);9e;a8 00c2j1vqps4i00`>5<#:<21=?94V37b>4}K:2d83>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:l1]=?>52z&62`4=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f6`c290<6=4?{%06:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<:i4>;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4>;%75`?74={%3a1?7482\:>=4={%75a?7<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=>;W314?4|,<{zut1b=><50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79564<^88;6?u+57g95>"2>m0:7psr}:k276<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?>5Y13296~"2>l0:7);9d;38yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c36e?6=:3:1N510c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f40029086=4?{%06:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<:i4>;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4n;%75`?g4={%3a1?7fm2\:>=4={%75a?7<,<3:1:7>50z&11=<6:<1C>9m4$01;>c=n9;=1<7*=598262=Q:!4203nh7[<:a;3xH73d28q]=l;52z&2f0=4={%75a?7<,<o7?tV0c6>7}#9k?1hi5Y13296~"2>l087);9d;18yx{K::n4kd:&06f<2>l1v(;>l:d9~yx=n:=21<7*=59810==Q:vB=5b82S7f=38p(n4:6d9~ 36d2m1vqp5f22694?"5=109?95Y24c96~J5=j0:w[?n5;0x 4d22;9?7[?=0;0x 00b2m1/9;j5d:~yI42j3;pZ?;>:3yUa4<5s-?=o7<<4:&06f<2>l1v(;>l:e9~yx=h9ho1<7*=5982e`=n7>53;294~"5=109985G25a8m44?290/>8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;38 00c281vqps4i00:>5<#:<21=?94V37b>4}K:5<7s-8>478l;I07g>o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4l;%75`?e4={%3a1?7512\:>=4={%75a?e<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn<;i:180>5<7s-8>478l;I07g>o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4>;%75`?74={%3a1?7512\:>=4={%75a?7<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn<8?:180>5<7s-8>478l;I07g>o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4>;%75`?74={%3a1?7512\:>=4={%75a?7<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn<8>:187>5<7s-8>478l;I07g>o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4l;%75`?e4={%3a1?7512\:>=4={%75a?e<,<8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;304>P6:909w);9e;48 00c2?1vqps4o0cf>5<#:<21=lk4V37b>4}K:47?=7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0h7);9d;a8yx{z3`;957>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`f=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f404290?6=4?{%062983>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1o6*:6e8`?x{zu2c:>44?:%06{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d8`?!31l3i0qpsr;h304?6=,;?36<<8;W06e?7|D;?h62181!31m3<0(88k:79~yx{5<3290;w)<:8;4`?M43k2c:>54?:%06{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d8`?!31l3i0qpsr;h31=?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4l;%75`?e4={%3a1?7482\:>=4={%75a?e<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn<;k:18;>5<7s-8>47?nd:J10f=n9;21<7*=598262=Q:2883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<63-?=h7?4}|~?l7483:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?<0:T265<5s-?=i7?4$44g>4=zutw0e<=>:18'60>=9;=0Z?;n:0yO60e=9r\n?74563_;9<74=#=?n1=6sr}|9j564=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=><4V003>7}#=?o1=6*:6e82?x{zu2c:?>4?:%06{M06g?7|^l91>v*>b48276=Q9;:1>v*:6d82?!31l3;0qpsr;h300?6=,;?36<<8;W06e?7|D;?h62181!31m3;0(88k:09~yx{5<5290;w)<:8;`6?M43k2c:>:4?:%068o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a5<1=8391<7>t$37;>7323A8?o6g>2983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`<63-?=h7?4}|~?l7513:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i7o4$44g>d=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f4?1290=6=4?{%06o6:>0;6)<:8;313>P5=h0:wA<:c;3xR4g22;q/=o;51358R4472;q/9;k51:&62a<63twvq6gkc;29 73?2mi0Z?;n:0yO60e=9r\:m84={%3a1?bd3_;9<74=#=?n1=6sr}|9j`a<72-8>47jk;W06e?4|D;?h62181!31m390(88k:29~yxJ5=k0?w[<;9;1xR`7=:r.>:n4kd:&06f<2>l1vZh<52z&62f<6:>1/??m557g8y!07k330q[<;9;1xR`7=:r.>:n4kd:&06f<2>l1vZh<52z&62f<6:>1/??m557f8y!07k330q[<;9;1xR`7=:r.>:n4kd:&06f<2>l1vZh<52z&62fn4:6d9~ 36d2;1vZo5<10;6)<:8;07<>P5=h09wA<:c;3xR4g22;q/=o;525:8R4472;q/9;k5d:&62a72?3-99o7;9e:'25e=l2wvq6g=3583>!42038886X=5`81I42k3;pZ0Z<:i4k;|~H73e28q]>8?52zTf5?4|,<i6il0;6)<:8;3ba>=zj82i6=4<:183!42038>96F=4b9j57>=83.9954>269K601<^;?j6:5Y24c95~J5=j0:w[k<:3y'5g3=9;30Z<:i4>;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?78b83>4<729q/>86524d8L72d3f;ji7>5$37;>4gb32wi=5k50;194?6|,;?36;m4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i7m4$44g>f=zutw0e<<6:18'60>=9;=0Z?;n:0yO60e=9r\n?744>3_;9<7f=#=?n1o6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi=5h50;194?6|,;?36;m4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i7?4$44g>4=zutw0e<<6:18'60>=9;=0Z?;n:0yO60e=9r\n?744>3_;9<74=#=?n1=6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi=4>50;194?6|,;?36;m4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i7?4$44g>4=zutw0e<<6:18'60>=9;=0Z?;n:0yO60e=9r\n?744>3_;9<74=#=?n1=6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi=4?50;694?6|,;?36;m4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i7m4$44g>f=zutw0e<<6:18'60>=9;=0Z?;n:0yO60e=9r\n?744>3_;9<7f=#=?n1o6sr}|9j566=83.9954>269K601<^;?j62wvqp5`1`g94?"5=10:mh5Y24c95~J5=j0:w[k<:3y'5g3=9ho0Z<:i4>;|~y>{e9081<7:50;2x 73?2?i0D?:l;h312181!31m3i0(88k:b9~yx{4={%3a1?7512\:>=4={%75a?e<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=?;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a5<5=83>1<7>t$37;>3e<@;>h7d?=8;29 73?288<7E<:7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0h7);9d;a8yx{z3`;957>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;a8 00c2j1vqps4i013>5<#:<21=?94V37b>4}K:5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;a8 00c2j1vqps4i00:>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i7m4$44g>f=zutw0e<=?:18'60>=9;=0Z?;n:0yO60e=9r\n?74573_;9<7f=#=?n1o6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi=5j50;:94?6|,;?36o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4>;%75`?74={%3a1?7512\:>=4={%75a?7<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=?;W314?4|,<{zut1b=>?50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79567<^88;6?u+57g95>"2>m0:7psr}:k277<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:??5Y13296~"2>l0:7);9d;38yx{z3`;8?7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;307>P6:909w);9e;38 00c281vqps4i017>5<#:<21=?94V37b>4}K:5$37;>44032e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`03d<72?0;6=u+24:9573<@;>h7)?<8;d8m440290/>8651358R73f28qG>8m51zT2e0<5s-;i97?=7:T265<5s-?=i7?4$44g>4=zutw0eim50;&11={M06g?7|^8k>6?u+1c79`f=Q9;:1>v*:6d82?!31l3;0qpsr;hfg>5<#:<21hi5Y24c96~J5=j0:w[?n5;0x 4d22mn0Z<:i4<;|~H73e2=q]>9753zTf5?4|,<00b3t\n>74403-99o7;9e:'25e=12w]>9753zTf5?4|,<00b3t\n>74403-99o7;9d:'25e=12w]>9753zTf5?4|,<00b3t\n>7ae<,:8h688j;|&54f<53t\:n94={Wg2>7}#=?i1hi5+33a913c86525:8R73f2;qG>8m51zT2e0<5s-;i97<;8:T265<5s-?=i7j4$44g>a=zutF99o4>{W065?4|^l;1>v*:6b810==#;;i19;k4}%43g?b=::>0Z?;n:3yO60e=9r\:m84={%3a1?44<2\:>=4={%75a?b<,<=7j09?95+33a913c8651`g8?xd4??0;6>4?:1y'60>=:2181!31m3;0(88k:09~yx{:i4>;|~y>{e;>=1<7?50;2x 73?2;?m7E<;c:m2e`<72-8>47?ne:9~f61?29086=4?{%062983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`f=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f0e329096=4?{%062683>!4203;9;65`1`g94?"5=10:mh5Y24c95~J5=j0:w[k<:3y'5g3=9ho0Z<:i4>;|~y>{e=j31<7850;2x 73?288>7E<;c:&27=5$37;>4403_8>m7?tL37`>4}Q9h?1>v*>b48262=Q9;:1>v*:6d82?!31l3;0qpsr;hf`>5<#:<21hn5Y24c95~J5=j0:w[?n5;0x 4d22mi0Z<:i4>;|~y>ocl3:1(?;7:ef8R73f2;qG>8m51zT2e0<5s-;i97jk;W314?4|,<5+57f97>{zuE8>n7:tV36:>6}Qm809w);9c;fg?!55k3?=i6sYe381!31k3;9;6*<2b862`=z,?:h645rV36:>6}Qm809w);9c;fg?!55k3?=i6sYe381!31k3;9;6*<2b862a=z,?:h645rV36:>6}Qm809w);9c;fg?!55k3?=i6sYe381!31k3nh7)==c;75a>{#>9i1>6sY1c696~Pb938p(88l:ef8 64d2<5$37;>72?3_8>m74}Q9h?1>v*>b4810==Q9;:1>v*:6d8g?!31l3n0qpsC24`95~P5=809w[k>:3y'13e=:=20(>l0o7);9d;f8yx{K:5$37;>4gb32wi9n;50;194?6|,;?36?;:;I07g>o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4>;%75`?74={%3a1?7512\:>=4={%75a?7<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn8m9:182>5<7s-8>47<:f:J10f=h9ho1<7*=5982e`=53;294~"5=10=o6F=4b9j57>=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1o6*:6e8`?x{zu2c:>44?:%06{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d8`?!31l3i0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{52;294~"5=10i96F=4b9j571=83.9954>2698k4gb290/>8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn8j>:185>5<7s-8>47?=5:J10f=#9:21j6g>2683>!4203;9;6X=5`82I42k3;pZ:i4>;|~y>ock3:1(?;7:ea8R73f28qG>8m51zT2e0<5s-;i97jl;W314?4|,<{zut1bhi4?:%06m74}Q9h?1>v*>b48g`>P6:909w);9e;18 00c2:1vqpB=5c87S43139pZh?52z&62fn4:6d9~R`4=:r.>:n4>269'77e==?o0q)8?c;;8yS43139pZh?52z&62fn4:6d9~R`4=:r.>:n4>269'77e==?n0q)8?c;;8yS43139pZh?52z&62fn4:6d9~R`4=:r.>:n4kc:&06f<2>l1v(;>l:39~R4d32;q]i<4={%75g?bc3-99o7;9e:'25e=m2wvq6g=4983>!42038?46X=5`81I42k3;pZ:i4k;|~H73e28q]>8?52zTf5?4|,<o5;=0;6)<:8;000>P5=h09wA<:c;3xR4g22;q/=o;52268R4472;q/9;k5d:&62a7533-99o7;9e:'25e=l2wvq6a>ad83>!4203;ji65rb4aa>5<4290;w)<:8;061>N51C>894V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd2kj0;6<4?:1y'60>=:t$37;>3e<@;>h7d?=8;29 73?288<7E<:7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0h7);9d;a8yx{z3`;957>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`4=zutw0qo;lf;290?6=8r.99549c:J10f=n9;21<7*=598262=Q:2883>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1o6*:6e8`?x{zu2c:?=4?:%06:i4l;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?76<729q/>8651`f8L72d3`;947>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;38 00c281vqps4i00:>5<#:<21=?94V37b>4}K:5$37;>44032e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`6`2<72?0;6=u+24:9573<@;>h7)?<8;d8m440290/>8651358R73f28qG>8m51zT2e0<5s-;i97?=7:T265<5s-?=i7?4$44g>4=zutw0eim50;&11={M06g?7|^8k>6?u+1c79`f=Q9;:1>v*:6d82?!31l3;0qpsr;hfg>5<#:<21hi5Y24c96~J5=j0:w[?n5;0x 4d22mn0Z<:i4<;|~H73e2=q]>9753zTf5?4|,<00b3t\n>74403-99o7;9e:'25e=12w]>9753zTf5?4|,<00b3t\n>74403-99o7;9d:'25e=12w]>9753zTf5?4|,<00b3t\n>7ae<,:8h688j;|&54f<53t\:n94={Wg2>7}#=?i1hi5+33a913c86525:8R73f2;qG>8m51zT2e0<5s-;i97<;8:T265<5s-?=i7j4$44g>a=zutF99o4>{W065?4|^l;1>v*:6b810==#;;i19;k4}%43g?b=::>0Z?;n:3yO60e=9r\:m84={%3a1?44<2\:>=4={%75a?b<,<=7j09?95+33a913c8651`g8?xd2l:0;6>4?:1y'60>=:2181!31m3;0(88k:09~yx{:i4>;|~y>{e=m>1<7?50;2x 73?2;?m7E<;c:m2e`<72-8>47?ne:9~f0b229086=4?{%062983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`f=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f0b?29096=4?{%062683>!4203;9;65`1`g94?"5=10:mh5Y24c95~J5=j0:w[k<:3y'5g3=9ho0Z<:i4>;|~y>{e=l>1<7850;2x 73?288>7E<;c:&27=5$37;>4403_8>m7?tL37`>4}Q9h?1>v*>b48262=Q9;:1>v*:6d82?!31l3;0qpsr;hf`>5<#:<21hn5Y24c95~J5=j0:w[?n5;0x 4d22mi0Z<:i4>;|~y>ocl3:1(?;7:ef8R73f2;qG>8m51zT2e0<5s-;i97jk;W314?4|,<5+57f97>{zuE8>n7:tV36:>6}Qm809w);9c;fg?!55k3?=i6sYe381!31k3;9;6*<2b862`=z,?:h645rV36:>6}Qm809w);9c;fg?!55k3?=i6sYe381!31k3;9;6*<2b862a=z,?:h645rV36:>6}Qm809w);9c;fg?!55k3?=i6sYe381!31k3nh7)==c;75a>{#>9i1>6sY1c696~Pb938p(88l:ef8 64d2<5$37;>72?3_8>m74}Q9h?1>v*>b4810==Q9;:1>v*:6d8g?!31l3n0qpsC24`95~P5=809w[k>:3y'13e=:=20(>l0o7);9d;f8yx{K:5$37;>4gb32wi9i750;194?6|,;?36?;:;I07g>o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4>;%75`?74={%3a1?7512\:>=4={%75a?7<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn8jn:182>5<7s-8>47<:f:J10f=h9ho1<7*=5982e`=53;294~"5=10=o6F=4b9j57>=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1=6*:6e82?x{zu2c:>44?:%06{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d82?!31l3;0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{53;294~"5=10=o6F=4b9j57>=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1=6*:6e82?x{zu2c:>44?:%06{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d82?!31l3;0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{54;294~"5=10=o6F=4b9j57>=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1o6*:6e8`?x{zu2c:>44?:%06:i4l;|~y>o6;90;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>319U576=:r.>:h4l;%75`?e4={%3a1?7fm2\:>=4={%75a?7<,<50z&11=<1k2B98n5f13:94?"5=10:>:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<:i4l;|~y>o6:00;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g9g>"2>m0h7psr}:k275<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?=5Y13296~"2>l0h7);9d;a8yx{z3`;8=7>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6;81]=?>52z&62`4=zutw0qo;j0;292?6=8r.99549c:J10f=n9;21<7*=598262=Q:2883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<13-?=h784}|~?l7483:1(?;7:004?M42?2\99l4>{M06g?7|^l91>v*>b48275=Q9;:1>v*:6d8`?!31l3i0qpsr;h305?6=,;?36<<8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{4={%3a1?74:2\:>=4={%75a?e<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn8k>:184>5<7s-8>478l;I07g>o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h49;%75`?0o7?tVd196~"6j<0:>45Y13296~"2>l0=7);9d;48yx{z3`;8<7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;304>P6:909w);9e;a8 00c2j1vqps4i012>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?<1:T265<5s-?=i7m4$44g>f=zutw0e<==:18'60>=9;=0Z?;n:0yO60e=9r\n?74553_;9<7f=#=?n1o6sr}|9j565=83.9954>269K601<^;?j6:i4>;|~y>{e=l81<7950;2x 73?2?i0D?:l;h312181!31m3<0(88k:79~yx{4={%3a1?7512\:>=4={%75a?0<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=?;W314?4|,<{zut1b=>?50;&11=<6:>1C>894V37b>4}K:3283>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=>=4V003>7}#=?o1o6*:6e8`?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`6`g<7210;6=u+24:95db<@;>h7d?=8;29 73?288<7[<:a;3xH73d28q]i>4={%3a1?7502\:>=4={%75a?7<,<290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1b=>>50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79566<^88;6?u+57g95>"2>m0:7psr}:k274<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?<5Y13296~"2>l0:7);9d;38yx{z3`;8>7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;306>P6:909w);9e;38 00c281vqps4i010>5<#:<21=?94V37b>4}K:1<7*=598262=Q:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd2i3:1>7>50z&11=:54o0cf>5<#:<21=lk4V37b>4}K:1<7850;2x 73?288>7E<;c:&27=5$37;>4403_8>m7?tL37`>4}Q9h?1>v*>b48262=Q9;:1>v*:6d82?!31l3;0qpsr;hf`>5<#:<21hn5Y24c95~J5=j0:w[?n5;0x 4d22mi0Z<:i4>;|~y>ocl3:1(?;7:ef8R73f2;qG>8m51zT2e0<5s-;i97jk;W314?4|,<5+57f97>{zuE8>n7:tV36:>6}Qm809w);9c;fg?!55k3?=i6sYe381!31k3;9;6*<2b862`=z,?:h645rV36:>6}Qm809w);9c;fg?!55k3?=i6sYe381!31k3;9;6*<2b862a=z,?:h645rV36:>6}Qm809w);9c;fg?!55k3?=i6sYe381!31k3nh7)==c;75a>{#>9i1>6sY1c696~Pb938p(88l:ef8 64d2<5$37;>72?3_8>m74}Q9h?1>v*>b4810==Q9;:1>v*:6d8g?!31l3n0qpsC24`95~P5=809w[k>:3y'13e=:=20(>l0o7);9d;f8yx{K:5$37;>4gb32wi9o4?:283>5}#:<21>8;4H36`?l7503:1(?;7:004?M42?2\99l4>{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d82?!31l3;0qpsr;h31=?6=,;?36<<8;W06e?7|D;?h62181!31m3;0(88k:09~yx{f=zutw0e<<6:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{4={%3a1?7482\:>=4={%75a?e<,<8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;305>P6:909w);9e;a8 00c2j1vqps4i011>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?<2:T265<5s-?=i7m4$44g>f=zutw0e<=<:18'60>=9;=0Z?;n:0yO60e=9r\n?74543_;9<7f=#=?n1o6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi9k4?:683>5}#:<21:n5G25a8m44?290/>8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;a8 00c2j1vqps4i00:>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i7m4$44g>f=zutw0e<=?:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{4={%3a1?7492\:>=4={%75a?e<,<8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;306>P6:909w);9e;a8 00c2j1vqps4i010>5<#:<21=?94V37b>4}K:2<729q/>8656b9K61e4={%3a1?7502\:>=4={%75a?e<,<290/>8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;a8 00c2j1vqps4i013>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?<0:T265<5s-?=i7m4$44g>f=zutw0e<=>:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{4={%3a1?74:2\:>=4={%75a?e<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=<;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a24<72>0;6=u+24:92f=O:=i0e<<7:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{4={%3a1?7512\:>=4={%75a?e<,<8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;304>P6:909w);9e;a8 00c2j1vqps4i012>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?<1:T265<5s-?=i7m4$44g>f=zutw0e<==:18'60>=9;=0D?;8;W06e?7|D;?h66X>2181!31m3i0(88k:b9~yx{4={%3a1?74;2\:>=4={%75a?e<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn;<50;:94?6|,;?36;m4H36`?l7503:1(?;7:004?M42?2\99l4>{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d8`?!31l3i0qpsr;h31=?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4l;%75`?eo7?tVd196~"6j<0:?=5Y13296~"2>l0h7);9d;a8yx{z3`;8=7>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6;81]=?>52z&62`f=zutw0e<=<:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{4={%3a1?74<2\:>=4={%75a?e<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn8j50;494?6|,;?36o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4>;%75`?74={%3a1?7512\:>=4={%75a?7<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=?;W314?4|,<{zut1b=>?50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79567<^88;6?u+57g95>"2>m0:7psr}:k277<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:??5Y13296~"2>l0:7);9d;38yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c34a?6=:3:1N510c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f4>>290=6=4?{%06o6:>0;6)<:8;313>P5=h0:wA<:c;3xR4g22;q/=o;51358R4472;q/9;k51:&62a<63twvq6gkc;29 73?2mi0Z?;n:0yO60e=9r\:m84={%3a1?bd3_;9<74=#=?n1=6sr}|9j`a<72-8>47jk;W06e?4|D;?h62181!31m390(88k:29~yxJ5=k0?w[<;9;1xR`7=:r.>:n4kd:&06f<2>l1vZh<52z&62f<6:>1/??m557g8y!07k330q[<;9;1xR`7=:r.>:n4kd:&06f<2>l1vZh<52z&62f<6:>1/??m557f8y!07k330q[<;9;1xR`7=:r.>:n4kd:&06f<2>l1vZh<52z&62fn4:6d9~ 36d2;1vZo5<10;6)<:8;07<>P5=h09wA<:c;3xR4g22;q/=o;525:8R4472;q/9;k5d:&62a72?3-99o7;9e:'25e=l2wvq6g=3583>!42038886X=5`81I42k3;pZ0Z<:i4k;|~H73e28q]>8?52zTf5?4|,<i6il0;6)<:8;3ba>=zj8=m6=4<:183!42038>96F=4b9j57>=83.9954>269K601<^;?j6:5Y24c95~J5=j0:w[k<:3y'5g3=9;30Z<:i4>;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?78183>4<729q/>86524d8L72d3f;ji7>5$37;>4gb32wi=5<50;194?6|,;?36;m4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i7?4$44g>4=zutw0e<<6:18'60>=9;=0Z?;n:0yO60e=9r\n?744>3_;9<74=#=?n1=6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi=5=50;194?6|,;?36;m4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i7m4$44g>f=zutw0e<<6:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{5<4290;w)<:8;4`?M43k2c:>54?:%06{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d8`?!31l3i0qpsr;h31=?6=,;?36<<8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{5<4290;w)<:8;4`?M43k2c:>54?:%06{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d8`?!31l3i0qpsr;h31=?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4l;%75`?e4={%3a1?7fm2\:>=4={%75a?7<,<3:187>50z&11=<1k2B98n5f13:94?"5=10:>:5G2458R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1C>894V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd60>0;694?:1y'60>=>j1C>9m4i00;>5<#:<21=?94V37b>4}K:44>3_;9<7f=#=?n1o6sr}|9j566=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>>4V003>7}#=?o1o6*:6e8`?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`2<4<72>0;6=u+24:95db<@;>h7d?=8;29 73?288<7[<:a;3xH73d28q]i>4={%3a1?7502\:>=4={%75a?7<,<290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1b=>>50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79566<^88;6?u+57g95>"2>m0:7psr}:k274<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?<5Y13296~"2>l0:7);9d;38yx{z3`;8>7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;306>P6:909w);9e;38 00c281vqps4i010>5<#:<21=?94V37b>4}K:5$37;>44032e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`2e1<72?0;6=u+24:9573<@;>h7)?<8;d8m440290/>8651358R73f28qG>8m51zT2e0<5s-;i97?=7:T265<5s-?=i7?4$44g>4=zutw0eim50;&11={M06g?7|^8k>6?u+1c79`f=Q9;:1>v*:6d82?!31l3;0qpsr;hfg>5<#:<21hi5Y24c96~J5=j0:w[?n5;0x 4d22mn0Z<:i4<;|~H73e2=q]>9753zTf5?4|,<00b3t\n>74403-99o7;9e:'25e=12w]>9753zTf5?4|,<00b3t\n>74403-99o7;9d:'25e=12w]>9753zTf5?4|,<00b3t\n>7ae<,:8h688j;|&54f<53t\:n94={Wg2>7}#=?i1hi5+33a913c86525:8R73f2;qG>8m51zT2e0<5s-;i97<;8:T265<5s-?=i7j4$44g>a=zutF99o4>{W065?4|^l;1>v*:6b810==#;;i19;k4}%43g?b=::>0Z?;n:3yO60e=9r\:m84={%3a1?44<2\:>=4={%75a?b<,<=7j09?95+33a913c8651`g8?xd6100;6>4?:1y'60>=:2181!31m3;0(88k:09~yx{:i4>;|~y>{e90k1<7?50;2x 73?2;?m7E<;c:m2e`<72-8>47?ne:9~f4?d29086=4?{%062983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`<63-?=h7?4}|~?l7513:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i7?4$44g>4=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f4?c29086=4?{%062983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`<63-?=h7?4}|~?l7513:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i7?4$44g>4=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f4?b290?6=4?{%062983>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1o6*:6e8`?x{zu2c:>44?:%06{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d8`?!31l3i0qpsr;h304?6=,;?36<<8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{5<3290;w)<:8;4`?M43k2c:>54?:%06{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d8`?!31l3i0qpsr;h31=?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4l;%75`?e4={%3a1?7482\:>=4={%75a?e<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn5<7s-8>478l;I07g>o6:10;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g9g>"2>m0h7psr}:k26<<72-8>47?=7:J112=Q:3183>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=>>4V003>7}#=?o1o6*:6e8`?x{zu2c:?<4?:%06:i4l;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?7a083>=<729q/>8656b9K61e2wvqp5f13;94?"5=10:>:5G2458R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1b=>>50;&11=<6:>1C>894V37b>4}K:4563_;9<7f=#=?n1o6sr}|9j564=83.9954>269K601<^;?j6:5G2458R73f28qG>8m51zTf7?4|,8h>6<=<;W314?4|,<{zut1b=>:50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79562<^88;6?u+57g9g>"2>m0h7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th:m?4?:983>5}#:<21:n5G25a8m44?290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1C>894V37b>4}K:4573_;9<7f=#=?n1o6sr}|9j567=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>?4V003>7}#=?o1o6*:6e8`?x{zu2c:??4?:%06:i4l;|~y>o6;:0;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c79565<^88;6?u+57g9g>"2>m0h7psr}:k271<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?95Y13296~"2>l0h7);9d;a8yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c3:f?6=03:1=9;=0Z?;n:0yO60e=9r\n?744?3_;9<74=#=?n1=6sr}|9j57?=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1=6*:6e82?x{zu2c:?=4?:%06{M06g?7|^l91>v*>b48275=Q9;:1>v*:6d82?!31l3;0qpsr;h305?6=,;?36<<8;W06e?7|D;?h62181!31m3;0(88k:09~yx{:5Y24c95~J5=j0:w[k<:3y'5g3=9:90Z<:i4>;|~y>o6;=0;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>359U576=:r.>:h4>;%75`?74={%3a1?7fm2\:>=4={%75a?7<,<7>50z&11=:54o0cf>5<#:<21=lk4V37b>4}K:=n2c:>:4?:%06{M06g?7|^8k>6?u+1c79571<^88;6?u+57g95>"2>m0:7psr}:kgg?6=,;?36im4V37b>4}K:a481!7e=3nh7[?=0;0x 00b281/9;j51:~yx=nlm0;6)<:8;fg?S42i38p@?;l:0yU5d3=:r.:n84kd:T265<5s-?=i7=4$44g>6=zutF99o4;{W07=?5|^l;1>v*:6b8g`>"4:j0>:h5rVd096~"2>j0:>:5+33a913cv*:6b8g`>"4:j0>:h5rVd096~"2>j0:>:5+33a913bv*:6b8g`>"4:j0>:h5rVd096~"2>j0oo6*<2b862`=z,?:h6?5rV0`7>7}Qm809w);9c;fg?!55k3?=i6s+61a9a>{zu2c9854?:%066?u+1c7961><^88;6?u+57g9`>"2>m0o7psrL37a>4}Q:<;1>vXj1;0x 00d2;>37)==c;75a>{#>9i1h6sr}:k171<72-8>47<<4:T11d<5sE8>o7?tV0c6>7}#9k?1>>:4V003>7}#=?o1h6*:6e8g?x{zD;?i6{zu2e:mh4?:%065<7s-8>47<:5:J10f=n9;21<7*=598262=O:<=0Z?;n:0yO60e=9r\n?744?3_;9<74=#=?n1=6sr}|9j57?=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1=6*:6e82?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`2`4<7280;6=u+24:960`<@;>h7b?ne;29 73?28kn76sm1e194?5=83:p(?;7:7a8L72d3`;947>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;38 00c281vqps4i00:>5<#:<21=?94V37b>4}K:5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d8`?!31l3i0qpsr;h304?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>319U576=:r.>:h4l;%75`?e4={%3a1?7fm2\:>=4={%75a?7<,<50z&11=<1k2B98n5f13:94?"5=10:>:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<2.>:i49;|~y>o6:00;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g9g>"2>m0h7psr}:k275<72-8>47?=7:J112=Q:3083>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=>?4V003>7}#=?o1o6*:6e8`?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`2`3<72?0;6=u+24:92f=O:=i0e<<7:18'60>=9;=0Z?;n:0yO60e=9r\n?744?3_;9<7f=#=?n1o6sr}|9j57?=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1:6*:6e85?x{zu2c:?=4?:%06:i4l;|~y>o6;80;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c79567<^88;6?u+57g9g>"2>m0h7psr}:k277<72-8>47?=7:J112=Q:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd6l>0;6;4?:1y'60>=>j1C>9m4i00;>5<#:<21=?94V37b>4}K:44>3_;9<7f=#=?n1o6sr}|9j566=83.9954>269K601<^;?j6:5G2458R73f28qG>8m51zTf7?4|,8h>6<=>;W314?4|,<{zut1b=><50;&11=<6:>1C>894V37b>4}K:=83:p(?;7:7a8L72d3`;947>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;48 00c2?1vqps4i00:>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i784$44g>3=zutw0e<=?:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{4={%3a1?7492\:>=4={%75a?e<,<8651358R73f28qG>8m51zTf7?4|,8h>6<==;W314?4|,<{zut1b=>=50;&11=<6:>1C>894V37b>4}K:1<7*=598262=O:<=0Z?;n:0yO60e=9r\n?74533_;9<7f=#=?n1o6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi=i<50;594?6|,;?36o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4>;%75`?74={%3a1?7512\:>=4={%75a?7<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=?;W314?4|,<{zut1b=>?50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79567<^88;6?u+57g95>"2>m0:7psr}:k277<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:??5Y13296~"2>l0:7);9d;38yx{z3`;8?7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;307>P6:909w);9e;38 00c281vqps4o0cf>5<#:<21=lk4V37b>4}K:7E<;c:k262<72-8>47?=7:9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi>;;50;494?6|,;?36<<:;I07g>"6;10m7d?=7;29 73?288<7[<:a;3xH73d28q]=l;52z&2f0<6:>1]=?>52z&62`<63-?=h7?4}|~?lbd290/>865db9U60g=9rF99n4>{W3b1?4|,8h>6im4V003>7}#=?o1=6*:6e82?x{zu2coh7>5$37;>ab<^;?j6?uC24a95~P6i<09w)?m5;fg?S75838p(88j:29'13b=;2wvqA<:b;6xR72>2:q]i<4={%75g?bc3-99o7;9e:Ua7<5s-?=o7?=7:&06f<2>l1v(;>l:89~R72>2:q]i<4={%75g?bc3-99o7;9e:Ua7<5s-?=o7?=7:&06f<2>m1v(;>l:89~R72>2:q]i<4={%75g?bc3-99o7;9e:Ua7<5s-?=o7jl;%11g?31m2w/:=m52:U5g2=:r\n=7ab<,:8h688j;|&54f37[<:a;0xH73d28q]=l;52z&2f0<5<11]=?>52z&62`7}#=?i1>964$20`>00b3t.=:h4k;%75`?b8l51zT114<5s_o:6?u+57a9662<,:8h688j;|&54f54?:%06{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d82?!31l3;0qpsr;h31=?6=,;?36<<8;W06e?7|D;?h62181!31m3;0(88k:09~yx{5<6290;w)<:8;06b>N52883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<13-?=h784}|~?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo=>5;296?6=8r.9954m5:J10f=n9;=1<7*=598262=5<1290;w)<:8;311>N565f:k262<72-8>47?=7:T11d<6sE8>o7?tV0c6>7}#9k?1=?94V003>7}#=?o1=6*:6e82?x{zu2coo7>5$37;>ae<^;?j6!4203no7[<:a;0xH73d28q]=l;52z&2f0=4={%75a?5<,<5r}|N11g<3s_8?57=tVd396~"2>j0oh6*<2b862`=z^l81>v*:6b8262=#;;i19;k4}%43g??j0oh6*<2b862`=z^l81>v*:6b8262=#;;i19;j4}%43g??j0oh6*<2b862`=z^l81>v*:6b8gg>"4:j0>:h5r$72`>7=z^8h?6?uYe081!31k3no7)==c;75a>{#>9i1i6sr}:k10=<72-8>47<;8:T11d<5sE8>o7?tV0c6>7}#9k?1>964V003>7}#=?o1h6*:6e8g?x{zD;?i6{zu2c9?94?:%066?u+1c79662<^88;6?u+57g9`>"2>m0o7psrL37a>4}Q:<;1>vXj1;0x 00d2;9?7)==c;75a>{#>9i1h6sr}:m2e`<72-8>47?ne:9~f67129086=4?{%06:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<:i4>;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4>;%75`?74={%3a1?7fm2\:>=4={%75a?7<,<50z&11=<5=o1C>9m4o0cf>5<#:<21=lk4;|`05=<72:0;6=u+24:92f=O:=i0e<<7:18'60>=9;=0Z?;n:0yO60e=9r\n?744?3_;9<73=#=?n1:6sr}|9j57?=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1:6*:6e85?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`07<<72;0;6=u+24:9f0=O:=i0e<<8:18'60>=9;=07b?ne;29 73?28kn7[<:a;3xH73d28q]i>4={%3a1?7fm2\:>=4={%75a?7<,<7>50z&11=:54o0cf>5<#:<21=lk4V37b>4}K:>6=4<:183!42038>96F=4b9j57>=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1=6*:6e82?x{zu2c:>44?:%06{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d8b?!31l3k0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{56;294~"5=10:>85G25a8 45?2o1b=?950;&11=<6:>1]>8o51zN11f<6s_;j974403_;9<74=#=?n1=6sr}|9j`f<72-8>47jl;W06e?7|D;?h62181!31m3;0(88k:09~yx{P5=h09wA<:c;3xR4g22;q/=o;5de9U576=:r.>:h4<;%75`?58l54zT10<<4s_o:6?u+57a9`a=#;;i19;k4}Wg1>7}#=?i1=?94$20`>00b3t.=7}#=?i1=?94$20`>00c3t.=7}#=?i1hn5+33a913cv*:6b8g`>"4:j0>:h5r$72`>`=zut1b>9650;&11=<5<11]>8o52zN11f<6s_;j9772?3_;9<7a=#=?n1h6sr}M06f?7|^;?:6?uYe081!31k38?46*<2b862`=z,?:h6i5r}|9j662=83.9954=359U60g=:rF99n4>{W3b1?4|,8h>6?=;;W314?4|,<{zuE8>n7?tV372>7}Qm809w);9c;000>"4:j0>:h5r$72`>a=zut1d=lk50;&11=<6il10qo=:5Y24c95~J5=j0:w[k<:3y'5g3=9;30Z<:i4>;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?74<729q/>86524d8L72d3f;ji7>5$37;>4gb32wi?>j50;794?6|,;?36;m4H36`?l7503:1(?;7:004?M42?2\99l4>{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d8`?!31l3i0qpsr;h31=?6=,;?36<<8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{:5G2458R73f28qG>8m51zTf7?4|,8h>6<=>;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a76c=83=1<7>t$37;>3e<@;>h7d?=8;29 73?288<7E<:7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0h7);9d;a8yx{z3`;957>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;a8 00c2j1vqps4i013>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?<0:T265<5s-?=i7m4$44g>f=zutw0e<=>:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{4={%3a1?74:2\:>=4={%75a?e<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=<;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a76`=83=1<7>t$37;>3e<@;>h7d?=8;29 73?288<7E<:7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0h7);9d;a8yx{z3`;957>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`{M06g?7|^l91>v*>b48275=Q9;:1>v*:6d8`?!31l3i0qpsr;h305?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>309U576=:r.>:h4l;%75`?eo7?tVd196~"6j<0:??5Y13296~"2>l0h7);9d;a8yx{z3`;8?7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;307>P6:909w);9e;a8 00c2j1vqps4o0cf>5<#:<21=lk4V37b>4}K:;6=48:183!420347?=7:J112=Q:2883>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1o6*:6e8`?x{zu2c:?=4?:%06:i4l;|~y>o6;80;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c79567<^88;6?u+57g9g>"2>m0h7psr}:k277<72-8>47?=7:J112=Q:3283>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;:1]=?>52z&62`4=zutw0qo=ae9K61e:5Y24c95~J5=j0:w[k<:3y'5g3=9;30Z<:i4>;|~y>o6;90;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>319U576=:r.>:h4>;%75`?74={%3a1?7492\:>=4={%75a?7<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn>:=:186>5<7s-8>478l;I07g>o6:10;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g9`>"2>m0o7psr}:k26<<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>45Y13296~"2>l0o7);9d;f8yx{z3`;8<7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;304>P6:909w);9e;f8 00c2m1vqps4i012>5<#:<21=?94V37b>4}K:5$37;>44032e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`031<72?0;6=u+24:9573<@;>h7)?<8;d8m440290/>8651358R73f28qG>8m51zT2e0<5s-;i97?=7:T265<5s-?=i7?4$44g>4=zutw0eim50;&11={M06g?7|^8k>6?u+1c79`f=Q9;:1>v*:6d82?!31l3;0qpsr;hfg>5<#:<21hi5Y24c96~J5=j0:w[?n5;0x 4d22mn0Z<:i4<;|~H73e2=q]>9753zTf5?4|,<00b3t\n>74403-99o7;9e:'25e=12w]>9753zTf5?4|,<00b3t\n>74403-99o7;9d:'25e=12w]>9753zTf5?4|,<00b3t\n>7ae<,:8h688j;|&54f<53t\:n94={Wg2>7}#=?i1hi5+33a913c86525:8R73f2;qG>8m51zT2e0<5s-;i97<;8:T265<5s-?=i7j4$44g>a=zutF99o4>{W065?4|^l;1>v*:6b810==#;;i19;k4}%43g?b=::>0Z?;n:3yO60e=9r\:m84={%3a1?44<2\:>=4={%75a?b<,<=7j09?95+33a913c8651`g8?xd4?90;6>4?:1y'60>=:2181!31m3;0(88k:09~yx{:i4>;|~y>{e;>;1<7?50;2x 73?2;?m7E<;c:m2e`<72-8>47?ne:9~f61529086=4?{%062983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`<13-?=h784}|~?l7513:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i784$44g>3=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f61e29096=4?{%062683>!4203;9;65`1`g94?"5=10:mh5Y24c95~J5=j0:w[k<:3y'5g3=9ho0Z<:i4>;|~y>{e;1?1<7850;2x 73?288>7E<;c:&27=5$37;>4403_8>m7?tL37`>4}Q9h?1>v*>b48262=Q9;:1>v*:6d82?!31l3;0qpsr;hf`>5<#:<21hn5Y24c95~J5=j0:w[?n5;0x 4d22mi0Z<:i4>;|~y>ocl3:1(?;7:ef8R73f2;qG>8m51zT2e0<5s-;i97jk;W314?4|,<5+57f97>{zuE8>n7:tV36:>6}Qm809w);9c;fg?!55k3?=i6sYe381!31k3;9;6*<2b862`=z,?:h645rV36:>6}Qm809w);9c;fg?!55k3?=i6sYe381!31k3;9;6*<2b862a=z,?:h645rV36:>6}Qm809w);9c;fg?!55k3?=i6sYe381!31k3nh7)==c;75a>{#>9i1>6sY1c696~Pb938p(88l:ef8 64d2<5$37;>72?3_8>m74}Q9h?1>v*>b4810==Q9;:1>v*:6d8g?!31l3n0qpsC24`95~P5=809w[k>:3y'13e=:=20(>l0o7);9d;f8yx{K:5$37;>4gb32wi?:m50;194?6|,;?36?;:;I07g>o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4>;%75`?74={%3a1?7512\:>=4={%75a?7<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn>9k:182>5<7s-8>47<:f:J10f=h9ho1<7*=5982e`=53;294~"5=10=o6F=4b9j57>=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1o6*:6e8`?x{zu2c:>44?:%06{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d8`?!31l3i0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{53;294~"5=10=o6F=4b9j57>=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1o6*:6e8`?x{zu2c:>44?:%06{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d8`?!31l3i0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{53;294~"5=10=o6F=4b9j57>=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1o6*:6e8`?x{zu2c:>44?:%06{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d8`?!31l3i0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{7>54;294~"5=10=o6F=4b9j57>=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1o6*:6e8`?x{zu2c:>44?:%06:i4l;|~y>o6;90;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c79566<^88;6?u+57g9g>"2>m0h7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th84>4?:983>5}#:<21:n5G25a8m44?290/>8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;a8 00c2j1vqps4i00:>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i7m4$44g>f=zutw0e<=?:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{4={%3a1?7492\:>=4={%75a?e<,<8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;306>P6:909w);9e;a8 00c2j1vqps4i010>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?<3:T265<5s-?=i7m4$44g>f=zutw0e<=;:18'60>=9;=0Z?;n:0yO60e=9r\n?74533_;9<7f=#=?n1o6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi?:k50;494?6|,;?36o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4>;%75`?74={%3a1?7512\:>=4={%75a?7<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=?;W314?4|,<{zut1b=>?50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79567<^88;6?u+57g95>"2>m0:7psr}:k277<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:??5Y13296~"2>l0:7);9d;38yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c1ae?6=:3:1N510c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f6da290=6=4?{%06o6:>0;6)<:8;313>P5=h0:wA<:c;3xR4g22;q/=o;51358R4472;q/9;k51:&62a<63twvq6gkc;29 73?2mi0Z?;n:0yO60e=9r\:m84={%3a1?bd3_;9<74=#=?n1=6sr}|9j`a<72-8>47jk;W06e?4|D;?h62181!31m390(88k:29~yxJ5=k0?w[<;9;1xR`7=:r.>:n4kd:&06f<2>l1vZh<52z&62f<6:>1/??m557g8y!07k330q[<;9;1xR`7=:r.>:n4kd:&06f<2>l1vZh<52z&62f<6:>1/??m557f8y!07k330q[<;9;1xR`7=:r.>:n4kd:&06f<2>l1vZh<52z&62fn4:6d9~ 36d2;1vZo5<10;6)<:8;07<>P5=h09wA<:c;3xR4g22;q/=o;525:8R4472;q/9;k5d:&62a72?3-99o7;9e:'25e=l2wvq6g=3583>!42038886X=5`81I42k3;pZ0Z<:i4k;|~H73e28q]>8?52zTf5?4|,<i6il0;6)<:8;3ba>=zj:hi6=4<:183!42038>96F=4b9j57>=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1=6*:6e82?x{zu2c:>44?:%06{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d82?!31l3;0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{51;294~"5=1099k5G25a8k4gb290/>8651`g8?xd4jm0;6>4?:1y'60>=>j1C>9m4i00;>5<#:<21=?94V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd4k90;6?4?:1y'60>=j<1C>9m4i004>5<#:<21=?94;n3ba?6=,;?362181!31m3;0(88k:09~yx{56;294~"5=10:>85G25a8 45?2o1b=?950;&11=<6:>1]>8o51zN11f<6s_;j974403_;9<74=#=?n1=6sr}|9j`f<72-8>47jl;W06e?7|D;?h62181!31m3;0(88k:09~yx{P5=h09wA<:c;3xR4g22;q/=o;5de9U576=:r.>:h4<;%75`?58l54zT10<<4s_o:6?u+57a9`a=#;;i19;k4}Wg1>7}#=?i1=?94$20`>00b3t.=7}#=?i1=?94$20`>00c3t.=7}#=?i1hn5+33a913cv*:6b8g`>"4:j0>:h5r$72`>`=zut1b>9650;&11=<5<11]>8o52zN11f<6s_;j9772?3_;9<7a=#=?n1h6sr}M06f?7|^;?:6?uYe081!31k38?46*<2b862`=z,?:h6i5r}|9j662=83.9954=359U60g=:rF99n4>{W3b1?4|,8h>6?=;;W314?4|,<{zuE8>n7?tV372>7}Qm809w);9c;000>"4:j0>:h5r$72`>a=zut1d=lk50;&11=<6il10qo=l1;297?6=8r.9954=549K61e:5Y24c95~J5=j0:w[k<:3y'5g3=9;30Z<:i4>;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?74<729q/>86524d8L72d3f;ji7>5$37;>4gb32wi?n=50;194?6|,;?36;m4H36`?l7503:1(?;7:004?M42?2\99l4>{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d85?!31l3<0qpsr;h31=?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h49;%75`?04={%3a1?7fm2\:>=4={%75a?7<,<3:1>7>50z&11=:54o0cf>5<#:<21=lk4V37b>4}K:7E<;c:k262<72-8>47?=7:9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi?i?50;494?6|,;?36<<:;I07g>"6;10m7d?=7;29 73?288<7[<:a;3xH73d28q]=l;52z&2f0<6:>1]=?>52z&62`<63-?=h7?4}|~?lbd290/>865db9U60g=9rF99n4>{W3b1?4|,8h>6im4V003>7}#=?o1=6*:6e82?x{zu2coh7>5$37;>ab<^;?j6?uC24a95~P6i<09w)?m5;fg?S75838p(88j:29'13b=;2wvqA<:b;6xR72>2:q]i<4={%75g?bc3-99o7;9e:Ua7<5s-?=o7?=7:&06f<2>l1v(;>l:89~R72>2:q]i<4={%75g?bc3-99o7;9e:Ua7<5s-?=o7?=7:&06f<2>m1v(;>l:89~R72>2:q]i<4={%75g?bc3-99o7;9e:Ua7<5s-?=o7jl;%11g?31m2w/:=m52:U5g2=:r\n=7ab<,:8h688j;|&54f37[<:a;0xH73d28q]=l;52z&2f0<5<11]=?>52z&62`7}#=?i1>964$20`>00b3t.=:h4k;%75`?b8l51zT114<5s_o:6?u+57a9662<,:8h688j;|&54f54?:%06{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d82?!31l3;0qpsr;h31=?6=,;?36<<8;W06e?7|D;?h62181!31m3;0(88k:09~yx{5<6290;w)<:8;06b>N544?3_;9<7f=#=?n1o6sr}|9j57?=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1o6*:6e8`?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`0gg<72:0;6=u+24:92f=O:=i0e<<7:18'60>=9;=0Z?;n:0yO60e=9r\n?744?3_;9<72=#=?n1;6sr}|9j57?=83.9954>269K601<^;?j6:i4>;|~y>{e;ji1<7=50;2x 73?2?i0D?:l;h31P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4k;%75`?bo7?tVd196~"6j<0:>45Y13296~"2>l0o7);9d;f8yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c1``?6=;3:1N51C>894V37b>4}K:44>3_;9<7a=#=?n1h6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi?nk50;32>5<7s-8>478l;I07g>o6:10;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g93>"2>m0<7psr}:k26<<72-8>47?=7:J112=Q:3183>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;91]=?>52z&62`<03-?=h794}|~?l7493:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?<1:T265<5s-?=i794$44g>2=zutw0e<==:18'60>=9;=0Z?;n:0yO60e=9r\n?74553_;9<72=#=?n1;6sr}|9j565=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>=4V003>7}#=?o1;6*:6e84?x{zu2c:?94?:%06{M06g?7|^l91>v*>b48271=Q9;:1>v*:6d84?!31l3=0qpsr;h301?6=,;?36<<8;W06e?7|D;?h62181!31m3=0(88k:69~yx{4={%3a1?74>2\:>=4={%75a?1<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=8;W314?4|,<{zut1b=?o50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957g<^88;6?u+57g9`>"2>m0o7psr}:k26g<72-8>47?=7:J112=Q:2b83>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:j1]=?>52z&62`<63-?=h7?4}|~?l75l3:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=d:T265<5s-?=i7?4$44g>4=zutw0e<=9;=0Z?;n:0yO60e=9r\n?744b3_;9<74=#=?n1=6sr}|9j57`=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?h4V003>7}#=?o1=6*:6e82?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`0gc<72<0;6=u+24:95db<@;>h7d?=8;29 73?288<7[<:a;3xH73d28q]i>4={%3a1?7502\:>=4={%75a?7<,<290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1b=>>50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79566<^88;6?u+57g95>"2>m0:7psr}:k274<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?<5Y13296~"2>l0:7);9d;38yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c7aa?6=:3:1N510c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f0e4290=6=4?{%06o6:>0;6)<:8;313>P5=h0:wA<:c;3xR4g22;q/=o;51358R4472;q/9;k51:&62a<63twvq6gkc;29 73?2mi0Z?;n:0yO60e=9r\:m84={%3a1?bd3_;9<74=#=?n1=6sr}|9j`a<72-8>47jk;W06e?4|D;?h62181!31m390(88k:29~yxJ5=k0?w[<;9;1xR`7=:r.>:n4kd:&06f<2>l1vZh<52z&62f<6:>1/??m557g8y!07k330q[<;9;1xR`7=:r.>:n4kd:&06f<2>l1vZh<52z&62f<6:>1/??m557f8y!07k330q[<;9;1xR`7=:r.>:n4kd:&06f<2>l1vZh<52z&62fn4:6d9~ 36d2;1vZo5<10;6)<:8;07<>P5=h09wA<:c;3xR4g22;q/=o;525:8R4472;q/9;k5d:&62a72?3-99o7;9e:'25e=l2wvq6g=3583>!42038886X=5`81I42k3;pZ0Z<:i4k;|~H73e28q]>8?52zTf5?4|,<i6il0;6)<:8;3ba>=zj96F=4b9j57>=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1=6*:6e82?x{zu2c:>44?:%06{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d82?!31l3;0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{51;294~"5=1099k5G25a8k4gb290/>8651`g8?xd2k80;6>4?:1y'60>=>j1C>9m4i00;>5<#:<21=?94V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd1=3:1>7>50z&11=:54o0cf>5<#:<21=lk4V37b>4}K:7E<;c:&27=5$37;>4403_8>m7?tL37`>4}Q9h?1>v*>b48262=Q9;:1>v*:6d82?!31l3;0qpsr;hf`>5<#:<21hn5Y24c95~J5=j0:w[?n5;0x 4d22mi0Z<:i4>;|~y>ocl3:1(?;7:ef8R73f2;qG>8m51zT2e0<5s-;i97jk;W314?4|,<5+57f97>{zuE8>n7:tV36:>6}Qm809w);9c;fg?!55k3?=i6sYe381!31k3;9;6*<2b862`=z,?:h645rV36:>6}Qm809w);9c;fg?!55k3?=i6sYe381!31k3;9;6*<2b862a=z,?:h645rV36:>6}Qm809w);9c;fg?!55k3?=i6sYe381!31k3nh7)==c;75a>{#>9i1>6sY1c696~Pb938p(88l:ef8 64d2<5$37;>72?3_8>m74}Q9h?1>v*>b4810==Q9;:1>v*:6d8g?!31l3n0qpsC24`95~P5=809w[k>:3y'13e=:=20(>l0o7);9d;f8yx{K:5$37;>4gb32wi:;4?:283>5}#:<21>8;4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i7?4$44g>4=zutw0e<<6:18'60>=9;=0Z?;n:0yO60e=9r\n?744>3_;9<74=#=?n1=6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi::4?:083>5}#:<21>8h4H36`?j7fm3:1(?;7:0cf?>{e>10;6>4?:1y'60>=>j1C>9m4i00;>5<#:<21=?94V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd5>?0;6?4?:1y'60>=j<1C>9m4i004>5<#:<21=?94;n3ba?6=,;?362181!31m3;0(88k:09~yx{56;294~"5=10:>85G25a8 45?2o1b=?950;&11=<6:>1]>8o51zN11f<6s_;j974403_;9<74=#=?n1=6sr}|9j`f<72-8>47jl;W06e?7|D;?h62181!31m3;0(88k:09~yx{P5=h09wA<:c;3xR4g22;q/=o;5de9U576=:r.>:h4<;%75`?58l54zT10<<4s_o:6?u+57a9`a=#;;i19;k4}Wg1>7}#=?i1=?94$20`>00b3t.=7}#=?i1=?94$20`>00c3t.=7}#=?i1hn5+33a913cv*:6b8g`>"4:j0>:h5r$72`>`=zut1b>9650;&11=<5<11]>8o52zN11f<6s_;j9772?3_;9<7a=#=?n1h6sr}M06f?7|^;?:6?uYe081!31k38?46*<2b862`=z,?:h6i5r}|9j662=83.9954=359U60g=:rF99n4>{W3b1?4|,8h>6?=;;W314?4|,<{zuE8>n7?tV372>7}Qm809w);9c;000>"4:j0>:h5r$72`>a=zut1d=lk50;&11=<6il10qo<97;297?6=8r.9954=549K61e:5Y24c95~J5=j0:w[k<:3y'5g3=9;30Z<:i4>;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?74<729q/>86524d8L72d3f;ji7>5$37;>4gb32wi>;750;194?6|,;?36;m4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i7m4$44g>f=zutw0e<<6:18'60>=9;=0Z?;n:0yO60e=9r\n?744>3_;9<7f=#=?n1o6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi?i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?73<729q/>8651378L72d3-;847h4i004>5<#:<21=?94V37b>4}K:a481!7e=3;9;6X>2181!31m3;0(88k:09~yx{P5=h0:wA<:c;3xR4g22;q/=o;5db9U576=:r.>:h4>;%75`?7=lm1]>8o52zN11f<6s_;j97ab<^88;6?u+57g97>"2>m087psrL37a>1}Q:=31?vXj1;0x 00d2mn0(>{Q:=31?vXj1;0x 00d2mn0(>{Q:=31?vXj1;0x 00d2mn0(>:3y'13e=lm1/??m557g8y!07k3o0qps4i36;>5<#:<21>964V37b>7}K:a481!7e=38?46X>2181!31m3n0(88k:e9~yxJ5=k0:w[<:1;0xR`7=:r.>:n4=499'77e==?o0q)8?c;f8yx{P6:909w);9e;f8 00c2m1vqpB=5c82S42938pZh?52z&62f<5;=1/??m557g8y!07k3n0qps4o0cf>5<#:<21=lk4;|`05f<72:0;6=u+24:9603<@;>h7d?=8;29 73?288<7[<:a;3xH73d28q]i>4={%3a1?7502\:>=4={%75a?7<,<290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a74b=83;1<7>t$37;>73a3A8?o6a>ad83>!4203;ji65rb23e>5<1290;w)<:8;4`?M43k2c:>54?:%062.>:i49;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h49;%75`?0o7?tVd196~"6j<0:?=5Y13296~"2>l0=7);9d;48yx{z3`;8=7>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6;81]=?>52z&62`<13-?=h784}|~?l74:3:1(?;7:004?M42?2\99l4>{M06g?7|^l91>v*>b48277=Q9;:1>v*:6d85?!31l3<0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{56;294~"5=10=o6F=4b9j57>=83.9954>269K601<^;?j62wvqp5f13;94?"5=10:>:5Y24c95~J5=j0:w[k<:3y'5g3=9;30Z<2.>:i49;|~y>o6;90;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c79566<^88;6?u+57g92>"2>m0=7psr}:k274<72-8>47?=7:J112=Q:3383>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;;1]=?>52z&62`4=zutw0qo=>e;297?6=8r.9954>ae9K61e:5Y24c95~J5=j0:w[k<:3y'5g3=9;30Z<:i4>;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?77<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c106?6=>3:1269U60g=9rF99n4>{W3b1?4|,8h>6<<8;W314?4|,<{zut1bhn4?:%06m7?tL37`>4}Q9h?1>v*>b48gg>P6:909w);9e;38 00c281vqps4ief94?"5=10oh6X=5`81I42k3;pZ52z&62`<43-?=h7=4}|O60d=7}#=?i1hi5+33a913c3t\9844<{Wg2>7}#=?i1hi5+33a913c3t\9844<{Wg2>7}#=?i1hi5+33a913cj0oh6*<2b862`=z,?:h6h5r}|9j61>=83.9954=499U60g=:rF99n4>{W3b1?4|,8h>6?:7;W314?4|,<{zuE8>n7?tV372>7}Qm809w);9c;07<>"4:j0>:h5r$72`>a=zut1b>>:50;&11=<5;=1]>8o52zN11f<6s_;j977533_;9<7a=#=?n1h6sr}M06f?7|^;?:6?uYe081!31k38886*<2b862`=z,?:h6i5r}|9l5dc=83.9954>ad98yg55m3:1?7>50z&11=<5=<1C>9m4i00;>5<#:<21=?94V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd4:o0;6<4?:1y'60>=:t$37;>3e<@;>h7d?=8;29 73?288<7E<:7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0=7);9d;48yx{z3`;957>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<13-?=h784}|~?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo=k8;296?6=8r.9954m5:J10f=n9;=1<7*=598262=5<1290;w)<:8;311>N565f:k262<72-8>47?=7:T11d<6sE8>o7?tV0c6>7}#9k?1=?94V003>7}#=?o1=6*:6e82?x{zu2coo7>5$37;>ae<^;?j6!4203no7[<:a;0xH73d28q]=l;52z&2f0=4={%75a?5<,<5r}|N11g<3s_8?57=tVd396~"2>j0oh6*<2b862`=z^l81>v*:6b8262=#;;i19;k4}%43g??j0oh6*<2b862`=z^l81>v*:6b8262=#;;i19;j4}%43g??j0oh6*<2b862`=z^l81>v*:6b8gg>"4:j0>:h5r$72`>7=z^8h?6?uYe081!31k3no7)==c;75a>{#>9i1i6sr}:k10=<72-8>47<;8:T11d<5sE8>o7?tV0c6>7}#9k?1>964V003>7}#=?o1h6*:6e8g?x{zD;?i6{zu2c9?94?:%066?u+1c79662<^88;6?u+57g9`>"2>m0o7psrL37a>4}Q:<;1>vXj1;0x 00d2;9?7)==c;75a>{#>9i1h6sr}:m2e`<72-8>47?ne:9~f6b>29086=4?{%06:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<:i4>;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4>;%75`?74={%3a1?7fm2\:>=4={%75a?7<,<50z&11=<5=o1C>9m4o0cf>5<#:<21=lk4;|`0`g<72:0;6=u+24:92f=O:=i0e<<7:18'60>=9;=0Z?;n:0yO60e=9r\n?744?3_;9<7f=#=?n1o6sr}|9j57?=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1o6*:6e8`?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`65<<72;0;6=u+24:9f0=O:=i0e<<8:18'60>=9;=07b?ne;29 73?28kn7[<:a;3xH73d28q]i>4={%3a1?7fm2\:>=4={%75a?7<,<7>50z&11=:54o0cf>5<#:<21=lk4V37b>4}K:=n2c:>:4?:%06{M06g?7|^8k>6?u+1c79571<^88;6?u+57g95>"2>m0:7psr}:kgg?6=,;?36im4V37b>4}K:a481!7e=3nh7[?=0;0x 00b281/9;j51:~yx=nlm0;6)<:8;fg?S42i38p@?;l:0yU5d3=:r.:n84kd:T265<5s-?=i7=4$44g>6=zutF99o4;{W07=?5|^l;1>v*:6b8g`>"4:j0>:h5rVd096~"2>j0:>:5+33a913cv*:6b8g`>"4:j0>:h5rVd096~"2>j0:>:5+33a913bv*:6b8g`>"4:j0>:h5rVd096~"2>j0oo6*<2b862`=z,?:h6?5rV0`7>7}Qm809w);9c;fg?!55k3?=i6s+61a9a>{zu2c9854?:%066?u+1c7961><^88;6?u+57g9`>"2>m0o7psrL37a>4}Q:<;1>vXj1;0x 00d2;>37)==c;75a>{#>9i1h6sr}:k171<72-8>47<<4:T11d<5sE8>o7?tV0c6>7}#9k?1>>:4V003>7}#=?o1h6*:6e8g?x{zD;?i6{zu2e:mh4?:%065<7s-8>47<:5:J10f=n9;21<7*=598262=Q:2883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<63-?=h7?4}|~?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo;>b;295?6=8r.9954=5g9K61e=n4?:283>5}#:<21:n5G25a8m44?290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1C>894V37b>4}K:5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`a=zutw0e<=?:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3n0(88k:e9~yx{5<3290;w)<:8;4`?M43k2c:>54?:%06:i4k;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4k;%75`?b4={%3a1?7482\:>=4={%75a?b<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn85<7s-8>47?nd:J10f=n9;21<7*=598262=Q:2883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<63-?=h7?4}|~?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo;9f;296?6=8r.9954m5:J10f=n9;=1<7*=598262=5<1290;w)<:8;311>N565f:k262<72-8>47?=7:T11d<6sE8>o7?tV0c6>7}#9k?1=?94V003>7}#=?o1=6*:6e82?x{zu2coo7>5$37;>ae<^;?j6!4203no7[<:a;0xH73d28q]=l;52z&2f0=4={%75a?5<,<5r}|N11g<3s_8?57=tVd396~"2>j0oh6*<2b862`=z^l81>v*:6b8262=#;;i19;k4}%43g??j0oh6*<2b862`=z^l81>v*:6b8262=#;;i19;j4}%43g??j0oh6*<2b862`=z^l81>v*:6b8gg>"4:j0>:h5r$72`>7=z^8h?6?uYe081!31k3no7)==c;75a>{#>9i1i6sr}:k10=<72-8>47<;8:T11d<5sE8>o7?tV0c6>7}#9k?1>964V003>7}#=?o1h6*:6e8g?x{zD;?i6{zu2c9?94?:%066?u+1c79662<^88;6?u+57g9`>"2>m0o7psrL37a>4}Q:<;1>vXj1;0x 00d2;9?7)==c;75a>{#>9i1h6sr}:m2e`<72-8>47?ne:9~f01729086=4?{%06:5G2458R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g95>"2>m0:7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th>;<4?:083>5}#:<21>8h4H36`?j7fm3:1(?;7:0cf?>{e=>81<7=50;2x 73?2?i0D?:l;h312181!31m3<0(88k:79~yx{4={%3a1?7512\:>=4={%75a?e<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn89::181>5<7s-8>47l:;I07g>o6:>0;6)<:8;313>=h9ho1<7*=5982e`=Q::5Y13296~"2>l0:7);9d;38yx{z3`nh6=4+24:9`f=Q:6=#=?n1?6sr}M06f?2|^;>26>uYe081!31k3no7)==c;75a>{Qm;09w);9c;313>"4:j0>:h5r$72`><=z^;>26>uYe081!31k3no7)==c;75a>{Qm;09w);9c;313>"4:j0>:i5r$72`><=z^;>26>uYe081!31k3no7)==c;75a>{Qm;09w);9c;f`?!55k3?=i6s+61a96>{Q9k>1>vXj1;0x 00d2mn0(>l0o7);9d;f8yx{K:5$37;>7533_8>m74}Q9h?1>v*>b48171=Q9;:1>v*:6d8g?!31l3n0qpsC24`95~P5=809w[k>:3y'13e=::>0(>t$37;>7323A8?o6g>2983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`<63-?=h7?4}|~?l7513:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i7?4$44g>4=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f010290:6=4?{%06N51]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g9g>"2>m0h7psr}:k26<<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>45Y13296~"2>l0h7);9d;a8yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c74e?6=;3:1N51]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g95>"2>m0:7psr}:k26<<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>45Y13296~"2>l0:7);9d;38yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c74f?6=;3:1N51]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g9g>"2>m0h7psr}:k26<<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>45Y13296~"2>l0h7);9d;a8yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c74g?6=;3:1N51]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g95>"2>m0:7psr}:k26<<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>45Y13296~"2>l0:7);9d;38yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c74`?6=<3:1N51]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g9g>"2>m0h7psr}:k26<<72-8>47?=7:J112=Q:3183>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;91]=?>52z&62`4=zutw0qo;8e;291?6=8r.99549c:J10f=n9;21<7*=598262=Q:2883>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1o6*:6e8`?x{zu2c:?=4?:%06{M06g?7|^l91>v*>b48275=Q9;:1>v*:6d8`?!31l3i0qpsr;h305?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>309U576=:r.>:h4l;%75`?e4={%3a1?7fm2\:>=4={%75a?7<,<50z&11=<1k2B98n5f13:94?"5=10:>:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<:i4l;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h49;%75`?0o7?tVd196~"6j<0:?=5Y13296~"2>l0h7);9d;a8yx{z3`;8=7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;305>P6:909w);9e;a8 00c2j1vqps4i011>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?<2:T265<5s-?=i7m4$44g>f=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f01?29036=4?{%06:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<:i4>;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4>;%75`?74={%3a1?7482\:>=4={%75a?7<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=>;W314?4|,<{zut1b=><50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79564<^88;6?u+57g95>"2>m0:7psr}:k276<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?>5Y13296~"2>l0:7);9d;38yx{z3`;887>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;300>P6:909w);9e;38 00c281vqps4o0cf>5<#:<21=lk4V37b>4}K:7E<;c:k262<72-8>47?=7:9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi9l750;494?6|,;?36<<:;I07g>"6;10m7d?=7;29 73?288<7[<:a;3xH73d28q]=l;52z&2f0<6:>1]=?>52z&62`<63-?=h7?4}|~?lbd290/>865db9U60g=9rF99n4>{W3b1?4|,8h>6im4V003>7}#=?o1=6*:6e82?x{zu2coh7>5$37;>ab<^;?j6?uC24a95~P6i<09w)?m5;fg?S75838p(88j:29'13b=;2wvqA<:b;6xR72>2:q]i<4={%75g?bc3-99o7;9e:Ua7<5s-?=o7?=7:&06f<2>l1v(;>l:89~R72>2:q]i<4={%75g?bc3-99o7;9e:Ua7<5s-?=o7?=7:&06f<2>m1v(;>l:89~R72>2:q]i<4={%75g?bc3-99o7;9e:Ua7<5s-?=o7jl;%11g?31m2w/:=m52:U5g2=:r\n=7ab<,:8h688j;|&54f37[<:a;0xH73d28q]=l;52z&2f0<5<11]=?>52z&62`7}#=?i1>964$20`>00b3t.=:h4k;%75`?b8l51zT114<5s_o:6?u+57a9662<,:8h688j;|&54f54?:%06{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d82?!31l3;0qpsr;h31=?6=,;?36<<8;W06e?7|D;?h62181!31m3;0(88k:09~yx{5<6290;w)<:8;06b>N52883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`4=zutw0qo;na;296?6=8r.9954m5:J10f=n9;=1<7*=598262=5<5290;w)<:8;`6?M43k2c:>:4?:%068o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a1g1=83<1<7>t$37;>4423A8?o6*>398e?l75?3:1(?;7:004?S42i3;p@?;l:0yU5d3=:r.:n84>269U576=:r.>:h4>;%75`?7=lj1]>8o51zN11f<6s_;j97ae<^88;6?u+57g95>"2>m0:7psr}:kg`?6=,;?36ij4V37b>7}K:a481!7e=3no7[?=0;0x 00b2:1/9;j53:~yI42j3>pZ?:6:2yUa4<5s-?=o7jk;%11g?31m2w]i?4={%75g?75?2.8>n4:6d9~ 36d201vZ?:6:2yUa4<5s-?=o7jk;%11g?31m2w]i?4={%75g?75?2.8>n4:6e9~ 36d201vZ?:6:2yUa4<5s-?=o7jk;%11g?31m2w]i?4={%75g?bd3-99o7;9e:'25e=:2w]=o:52zTf5?4|,<00b3t.=:h4k;%75`?b8l51zT114<5s_o:6?u+57a961><,:8h688j;|&54f52z&62`7}#=?i1>>:4$20`>00b3t.={e=hh1<7=50;2x 73?2;?>7E<;c:k26=<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0:7);9d;38yx{z3`;957>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;38 00c281vqps4o0cf>5<#:<21=lk4V37b>4}K::183!42038>j6F=4b9l5dc=83.9954>ad98yg3fm3:1?7>50z&11=<1k2B98n5f13:94?"5=10:>:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<:i4l;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4l;%75`?e4={%3a1?7fm2\:>=4={%75a?7<,<50z&11=<1k2B98n5f13:94?"5=10:>:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<:i4>;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4>;%75`?74={%3a1?7fm2\:>=4={%75a?7<,<50z&11=<6im1C>9m4i00;>5<#:<21=?94V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd2j80;6:4?:1y'60>=>j1C>9m4i00;>5<#:<21=?94V37b>4}K:1/9;j57:~yx=n9;31<7*=598262=Q:3183>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;91]=?>52z&62`{M06g?7|^l91>v*>b48274=Q9;:1>v*:6d8g?!31l3n0qpsr;h306?6=,;?36<<8;W06e?7|D;?h66X>2181!31m3n0(88k:e9~yx{4={%3a1?74;2\:>=4={%75a?b<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn8l=:184>5<7s-8>478l;I07g>o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h48;%75`?14={%3a1?7512\:>=4={%75a?b<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=?;W314?4|,<{zut1b=>?50;&11=<6:>1C>894V37b>4}K:3283>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=>=4V003>7}#=?o1h6*:6e8g?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`6f6<72>0;6=u+24:92f=O:=i0e<<7:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3=0(88k:69~yx{4={%3a1?7512\:>=4={%75a?b<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=?;W314?4|,<{zut1b=>?50;&11=<6:>1C>894V37b>4}K:3283>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=>=4V003>7}#=?o1h6*:6e8g?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`6f1<72>0;6=u+24:92f=O:=i0e<<7:18'60>=9;=0Z?;n:0yO60e=9r\n?744?3_;9<7a=#=?n1h6sr}|9j57?=83.9954>269K601<^;?j6:5Y24c95~J5=j0:w[k<:3y'5g3=9::0Z<:i4k;|~y>o6;80;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c79567<^88;6?u+57g9`>"2>m0o7psr}:k277<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:??5Y13296~"2>l0o7);9d;f8yx{z3`;8?7>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6;:1]=?>52z&62`4=zutw0qo;m5;291?6=8r.9954>ae9K61e:5Y24c95~J5=j0:w[k<:3y'5g3=9;30Z<:i4>;|~y>o6;90;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>319U576=:r.>:h4>;%75`?74={%3a1?7492\:>=4={%75a?7<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn8l7:181>5<7s-8>47l:;I07g>o6:>0;6)<:8;313>=h9ho1<7*=5982e`=Q::5Y13296~"2>l0:7);9d;38yx{z3`nh6=4+24:9`f=Q:6=#=?n1?6sr}M06f?2|^;>26>uYe081!31k3no7)==c;75a>{Qm;09w);9c;313>"4:j0>:h5r$72`><=z^;>26>uYe081!31k3no7)==c;75a>{Qm;09w);9c;313>"4:j0>:i5r$72`><=z^;>26>uYe081!31k3no7)==c;75a>{Qm;09w);9c;f`?!55k3?=i6s+61a96>{Q9k>1>vXj1;0x 00d2mn0(>l0o7);9d;f8yx{K:5$37;>7533_8>m74}Q9h?1>v*>b48171=Q9;:1>v*:6d8g?!31l3n0qpsC24`95~P5=809w[k>:3y'13e=::>0(>t$37;>7323A8?o6g>2983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`<63-?=h7?4}|~?l7513:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i7?4$44g>4=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f0df290:6=4?{%06N51]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g9g>"2>m0h7psr}:k26<<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>45Y13296~"2>l0h7);9d;a8yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c3gf?6=:3:1N510c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f4c629096=4?{%062683>!4203;9;65`1`g94?"5=10:mh5Y24c95~J5=j0:w[k<:3y'5g3=9ho0Z<:i4:;|~y>{e9mi1<7=50;2x 73?2;?>7E<;c:k26=<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0:7);9d;38yx{z3`;957>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;38 00c281vqps4o0cf>5<#:<21=lk4V37b>4}K::183!42038>j6F=4b9l5dc=83.9954>ad98yg7cn3:1?7>50z&11=<1k2B98n5f13:94?"5=10:>:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<:i4l;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h49;%75`?04={%3a1?7fm2\:>=4={%75a?7<,<50z&11=<1k2B98n5f13:94?"5=10:>:5G2458R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g92>"2>m0=7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th:hh4?:283>5}#:<21=lj4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i7?4$44g>4=zutw0e<<6:18'60>=9;=0Z?;n:0yO60e=9r\n?744>3_;9<74=#=?n1=6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi=h<50;094?6|,;?36o;4H36`?l75?3:1(?;7:004?>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?7e983>7<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;78 00c2<1vqps4}c3f7?6=;3:1=9;=0Z?;n:0yO60e=9r\n?744?3_;9<74=#=?n1=6sr}|9j57?=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1=6*:6e82?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`2a1<7280;6=u+24:960`<@;>h7b?ne;29 73?28kn76sm1d494?5=83:p(?;7:7a8L72d3`;947>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;48 00c2?1vqps4i00:>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i7m4$44g>f=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f4c029086=4?{%062983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`3=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f4c229086=4?{%06:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<:i4>;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4>;%75`?74={%3a1?7fm2\:>=4={%75a?7<,<7>50z&11=:54o0cf>5<#:<21=lk4V37b>4}K:7E<;c:k262<72-8>47?=7:9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o196*:6e86?x{zu2wi=kj50;194?6|,;?36?;:;I07g>o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4>;%75`?74={%3a1?7512\:>=4={%75a?7<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn5<7s-8>47<:f:J10f=h9ho1<7*=5982e`=53;294~"5=10=o6F=4b9j57>=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1o6*:6e8`?x{zu2c:>44?:%06{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d85?!31l3<0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{53;294~"5=10=o6F=4b9j57>=83.9954>269K601<^;?j6:5Y24c95~J5=j0:w[k<:3y'5g3=9;30Z<2.>:i49;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?7fg83>6<729q/>8651`f8L72d3`;947>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;38 00c281vqps4i00:>5<#:<21=?94V37b>4}K:5$37;>44032e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`14<<72;0;6=u+24:9f0=O:=i0e<<8:18'60>=9;=07b?ne;29 73?28kn7[<:a;3xH73d28q]i>4={%3a1?7fm2\:>=4={%75a?3<,<50z&11=<5=<1C>9m4i00;>5<#:<21=?94V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd58<0;6<4?:1y'60>=:t$37;>3e<@;>h7d?=8;29 73?288<7[<:a;3xH73d28q]i>4={%3a1?7502\:>=4={%75a?0<,<290/>8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;a8 00c2j1vqps4o0cf>5<#:<21=lk4V37b>4}K:47?=7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0h7);9d;a8yx{z3`;957>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;48 00c2?1vqps4o0cf>5<#:<21=lk4V37b>4}K:=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1=6*:6e82?x{zu2c:>44?:%06{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d82?!31l3;0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{52;294~"5=10i96F=4b9j571=83.9954>2698k4gb290/>8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn???:181>5<7s-8>47l:;I07g>o6:>0;6)<:8;313>=h9ho1<7*=5982e`=Q:54?:%06{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d82?!31l3;0qpsr;h31=?6=,;?36<<8;W06e?7|D;?h62181!31m3;0(88k:09~yx{5<6290;w)<:8;06b>N52883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<13-?=h784}|~?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo44?3_;9<7f=#=?n1o6sr}|9j57?=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1:6*:6e85?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`14a<72:0;6=u+24:95db<@;>h7d?=8;29 73?288<7[<:a;3xH73d28q]i>4={%3a1?7502\:>=4={%75a?7<,<290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a647=8381<7>t$37;>g3<@;>h7d?=7;29 73?288<76a>ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd59>0;6?4?:1y'60>=j<1C>9m4i004>5<#:<21=?94;n3ba?6=,;?362181!31m3?0(88k:49~yx{7>53;294~"5=109985G25a8m44?290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g95>"2>m0:7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th9=>4?:083>5}#:<21>8h4H36`?j7fm3:1(?;7:0cf?>{e:8?1<7=50;2x 73?2?i0D?:l;h312181!31m3i0(88k:b9~yx{2wvqp5`1`g94?"5=10:mh5Y24c95~J5=j0:w[k<:3y'5g3=9ho0Z<:i4>;|~y>{e:8<1<7=50;2x 73?2?i0D?:l;h31P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4l;%75`?e4={%3a1?7512\:>=4={%75a?0<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn??;:180>5<7s-8>47?nd:J10f=n9;21<7*=598262=Q:2883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<63-?=h7?4}|~?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo<>8;296?6=8r.9954m5:J10f=n9;=1<7*=598262=5<5290;w)<:8;`6?M43k2c:>:4?:%068o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g91>"2>m0>7psr}:a64?=8391<7>t$37;>7323A8?o6g>2983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`<63-?=h7?4}|~?l7513:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i7?4$44g>4=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f77f290:6=4?{%06N51]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g92>"2>m0=7psr}:k26<<72-8>47?=7:J112=Q:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd59m0;6>4?:1y'60>=>j1C>9m4i00;>5<#:<21=?94V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd59k0;6>4?:1y'60>=9hn0D?:l;h312181!31m3;0(88k:09~yx{:i4>;|~y>{e:8l1<7<50;2x 73?2k?0D?:l;h313?6=,;?36<<8;:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th9>84?:383>5}#:<21n85G25a8m440290/>8651358?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7;4$44g>0=zutw0qo<=0;297?6=8r.9954=549K61e:5Y24c95~J5=j0:w[k<:3y'5g3=9;30Z<:i4>;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?74<729q/>86524d8L72d3f;ji7>5$37;>4gb32wi>?=50;194?6|,;?36;m4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i784$44g>3=zutw0e<<6:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{5<4290;w)<:8;4`?M43k2c:>54?:%06{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d8`?!31l3i0qpsr;h31=?6=,;?36<<8;W06e?7|D;?h62181!31m3<0(88k:79~yx{5<4290;w)<:8;3b`>N51]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g95>"2>m0:7psr}:k26<<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>45Y13296~"2>l0:7);9d;38yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c012?6=:3:1N510c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f74d29096=4?{%062683>!4203;9;65`1`g94?"5=10:mh5Y24c95~J5=j0:w[k<:3y'5g3=9ho0Z<:i4:;|~y>{e:;=1<7=50;2x 73?2;?>7E<;c:k26=<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0:7);9d;38yx{z3`;957>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;38 00c281vqps4o0cf>5<#:<21=lk4V37b>4}K::183!42038>j6F=4b9l5dc=83.9954>ad98yg45i3:1?7>50z&11=<1k2B98n5f13:94?"5=10:>:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<2.>:i49;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4l;%75`?e4={%3a1?7fm2\:>=4={%75a?7<,<50z&11=<1k2B98n5f13:94?"5=10:>:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<2.>:i49;|~y>o6:00;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g9g>"2>m0h7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th9>44?:283>5}#:<21=lj4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i7?4$44g>4=zutw0e<<6:18'60>=9;=0Z?;n:0yO60e=9r\n?744>3_;9<74=#=?n1=6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi>?j50;094?6|,;?36o;4H36`?l75?3:1(?;7:004?>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?77<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;78 00c2<1vqps4}c01a?6=;3:1=9;=0Z?;n:0yO60e=9r\n?744?3_;9<74=#=?n1=6sr}|9j57?=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1=6*:6e82?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`16c<7280;6=u+24:960`<@;>h7b?ne;29 73?28kn76sm22394?5=83:p(?;7:7a8L72d3`;947>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;a8 00c2j1vqps4i00:>5<#:<21=?94V37b>4}K:5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`3=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f75729086=4?{%06:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<:i4>;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4>;%75`?74={%3a1?7fm2\:>=4={%75a?7<,<7>50z&11=:54o0cf>5<#:<21=lk4V37b>4}K:7E<;c:k262<72-8>47?=7:9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi8hk50;094?6|,;?36o;4H36`?l75?3:1(?;7:004?>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4:;%75`?36<729q/>8652478L72d3`;947>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`<63-?=h7?4}|~?l7513:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i7?4$44g>4=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f1c0290:6=4?{%06N51C>894V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd3mh0;6:4?:1y'60>=>j1C>9m4i00;>5<#:<21=?94V37b>4}K:1/9;j57:~yx=n9;31<7*=598262=Q:3183>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;91]=?>52z&62`{M06g?7|^l91>v*>b48274=Q9;:1>v*:6d8g?!31l3n0qpsr;h306?6=,;?36<<8;W06e?7|D;?h66X>2181!31m3n0(88k:e9~yx{4={%3a1?74;2\:>=4={%75a?b<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn9km:184>5<7s-8>478l;I07g>o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h48;%75`?14={%3a1?7512\:>=4={%75a?b<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=?;W314?4|,<{zut1b=>?50;&11=<6:>1C>894V37b>4}K:3283>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=>=4V003>7}#=?o1h6*:6e8g?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`7af<72>0;6=u+24:92f=O:=i0e<<7:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3=0(88k:69~yx{4={%3a1?7512\:>=4={%75a?b<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=?;W314?4|,<{zut1b=>?50;&11=<6:>1C>894V37b>4}K:3283>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=>=4V003>7}#=?o1h6*:6e8g?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`7aa<72=0;6=u+24:95db<@;>h7d?=8;29 73?288<7[<:a;3xH73d28q]i>4={%3a1?7502\:>=4={%75a?7<,<290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1b=>>50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79566<^88;6?u+57g95>"2>m0:7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th>?>4?:383>5}#:<21n85G25a8m440290/>8651358?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo;<7;296?6=8r.9954m5:J10f=n9;=1<7*=598262=5<4290;w)<:8;061>N51C>894V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd2;<0;6<4?:1y'60>=:t$37;>3e<@;>h7d?=8;29 73?288<7E<:7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0=7);9d;48yx{z3`;957>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<13-?=h784}|~?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo:<0;296?6=8r.9954m5:J10f=n9;=1<7*=598262=5<5290;w)<:8;`6?M43k2c:>:4?:%068o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g91>"2>m0>7psr}:a067=8391<7>t$37;>7323A8?o6g>2983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`<63-?=h7?4}|~?l7513:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i7?4$44g>4=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f155290:6=4?{%06N51C>894V37b>4}K:44>3_;9<7f=#=?n1o6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi85950;094?6|,;?36o;4H36`?l75?3:1(?;7:004?>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?77<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c6:5?6=>3:1269U60g=9rF99n4>{W3b1?4|,8h>6<<8;W314?4|,<{zut1bhn4?:%06m7?tL37`>4}Q9h?1>v*>b48gg>P6:909w);9e;38 00c281vqps4ief94?"5=10oh6X=5`81I42k3;pZ52z&62`<43-?=h7=4}|O60d=7}#=?i1hi5+33a913c3t\9844<{Wg2>7}#=?i1hi5+33a913c3t\9844<{Wg2>7}#=?i1hi5+33a913cj0oh6*<2b862`=z,?:h6h5r}|9j61>=83.9954=499U60g=:rF99n4>{W3b1?4|,8h>6?:7;W314?4|,<{zuE8>n7?tV372>7}Qm809w);9c;07<>"4:j0>:h5r$72`>a=zut1b>>:50;&11=<5;=1]>8o52zN11f<6s_;j977533_;9<7a=#=?n1h6sr}M06f?7|^;?:6?uYe081!31k38886*<2b862`=z,?:h6i5r}|9l5dc=83.9954>ad98yg2?03:1?7>50z&11=<5=<1C>9m4i00;>5<#:<21=?94V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd3000;6<4?:1y'60>=:t$37;>3e<@;>h7d?=8;29 73?288<7E<:7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0h7);9d;a8yx{z3`;957>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`4=zutw0qo:7c;297?6=8r.99549c:J10f=n9;21<7*=598262=Q:2883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`4=zutw0qo:7d;293?6=8r.99549c:J10f=n9;21<7*=598262=O:<=0Z?;n:0yO60e=9r\n?744?3_;9<72=#=?n1;6sr}|9j57?=83.9954>269K601<^;?j6:5G2458R73f28qG>8m51zTf7?4|,8h>6<=?;W314?4|,<{zut1b=>?50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79567<^88;6?u+57g9`>"2>m0o7psr}:k277<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:??5Y13296~"2>l0o7);9d;f8yx{z3`;8?7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;307>P6:909w);9e;f8 00c2m1vqps4o0cf>5<#:<21=lk4V37b>4}K:47?=7:J112=Q:2883>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1;6*:6e84?x{zu2c:?=4?:%06{M06g?7|^l91>v*>b48275=Q9;:1>v*:6d8g?!31l3n0qpsr;h305?6=,;?36<<8;W06e?7|D;?h62181!31m3n0(88k:e9~yx{:5Y24c95~J5=j0:w[k<:3y'5g3=9:90Z<:i4k;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?71<729q/>8651`f8L72d3`;947>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;38 00c281vqps4i00:>5<#:<21=?94V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd31=0;6?4?:1y'60>=j<1C>9m4i004>5<#:<21=?94;n3ba?6=,;?362181!31m3;0(88k:09~yx{j<7>56;294~"5=10:>85G25a8 45?2o1b=?950;&11=<6:>1]>8o51zN11f<6s_;j974403_;9<74=#=?n1=6sr}|9j`f<72-8>47jl;W06e?7|D;?h62181!31m3;0(88k:09~yx{P5=h09wA<:c;3xR4g22;q/=o;5de9U576=:r.>:h4<;%75`?58l54zT10<<4s_o:6?u+57a9`a=#;;i19;k4}Wg1>7}#=?i1=?94$20`>00b3t.=7}#=?i1=?94$20`>00c3t.=7}#=?i1hn5+33a913cv*:6b8g`>"4:j0>:h5r$72`>`=zut1b>9650;&11=<5<11]>8o52zN11f<6s_;j9772?3_;9<7a=#=?n1h6sr}M06f?7|^;?:6?uYe081!31k38?46*<2b862`=z,?:h6i5r}|9j662=83.9954=359U60g=:rF99n4>{W3b1?4|,8h>6?=;;W314?4|,<{zuE8>n7?tV372>7}Qm809w);9c;000>"4:j0>:h5r$72`>a=zut1d=lk50;&11=<6il10qo:65;297?6=8r.9954=549K61e:5Y24c95~J5=j0:w[k<:3y'5g3=9;30Z<:i4>;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?74<729q/>86524d8L72d3f;ji7>5$37;>4gb32wi84650;194?6|,;?36;m4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i7?4$44g>4=zutw0e<<6:18'60>=9;=0Z?;n:0yO60e=9r\n?744>3_;9<74=#=?n1=6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi84750;194?6|,;?36;m4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i7?4$44g>4=zutw0e<<6:18'60>=9;=0Z?;n:0yO60e=9r\n?744>3_;9<74=#=?n1=6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi84o50;194?6|,;?36;m4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i784$44g>3=zutw0e<<6:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{5<4290;w)<:8;4`?M43k2c:>54?:%06{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d85?!31l3<0qpsr;h31=?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4l;%75`?e4={%3a1?7fm2\:>=4={%75a?7<,<k3:187>50z&11=<1k2B98n5f13:94?"5=10:>:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<2.>:i49;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h49;%75`?0o7?tVd196~"6j<0:?=5Y13296~"2>l0h7);9d;a8yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c6:`?6=<3:1N51]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g92>"2>m0=7psr}:k26<<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>45Y13296~"2>l0=7);9d;48yx{z3`;8<7>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6;91]=?>52z&62`4=zutw0qo:6e;290?6=8r.99549c:J10f=n9;21<7*=598262=O:<=0Z?;n:0yO60e=9r\n?744?3_;9<73=#=?n1:6sr}|9j57?=83.9954>269K601<^;?j6:5G2458R73f28qG>8m51zTf7?4|,8h>6<=?;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a0<1=8321<7>t$37;>4gc3A8?o6g>2983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`<63-?=h7?4}|~?l7513:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i7?4$44g>4=zutw0e<=?:18'60>=9;=0Z?;n:0yO60e=9r\n?74573_;9<74=#=?n1=6sr}|9j567=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>?4V003>7}#=?o1=6*:6e82?x{zu2c:??4?:%06{M06g?7|^l91>v*>b48277=Q9;:1>v*:6d82?!31l3;0qpsr;h307?6=,;?36<<8;W06e?7|D;?h62181!31m3;0(88k:09~yx{:i4>;|~y>{e47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th?ml4?:383>5}#:<21n85G25a8m440290/>8651358?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo:m0;292?6=8r.9954>249K61e<,8936k5f13594?"5=10:>:5Y24c95~J5=j0:w[?n5;0x 4d2288<7[?=0;0x 00b281/9;j51:~yx=nlj0;6)<:8;f`?S42i3;p@?;l:0yU5d3=:r.:n84kc:T265<5s-?=i7?4$44g>4=zutw0eij50;&11=6?u+1c79`a=Q9;:1>v*:6d80?!31l390qpsC24`90~P5<008w[k>:3y'13e=lm1/??m557g8ySc52;q/9;m51358 64d2<:3y'13e=lm1/??m557g8ySc52;q/9;m51358 64d2<:3y'13e=lm1/??m557g8ySc52;q/9;m5db9'77e==?o0q)8?c;08yS7e<38pZh?52z&62fn4:6d9~ 36d2l1vqp5f25:94?"5=109855Y24c96~J5=j0:w[?n5;0x 4d22;>37[?=0;0x 00b2m1/9;j5d:~yI42j3;pZ?;>:3yUa4<5s-?=o7<;8:&06f<2>l1v(;>l:e9~yx=n::>1<7*=598171=Q:vB=5b82S7f=38p(n4:6d9~ 36d2m1vqp5`1`g94?"5=10:mh54}c6b3?6=;3:1=9;=0D?;8;W06e?7|D;?h62181!31m3;0(88k:09~yx{:i4>;|~y>{e47?ne:9~f1g>29086=4?{%062983>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1o6*:6e8`?x{zu2c:>44?:%06:i4l;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?71<729q/>8656b9K61e4={%3a1?7502\:>=4={%75a?b<,<290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1b=>>50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79566<^88;6?u+57g9`>"2>m0o7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th?mn4?:583>5}#:<21:n5G25a8m44?290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1C>894V37b>4}K:4573_;9<7a=#=?n1h6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi8lj50;494?6|,;?36;m4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i7j4$44g>a=zutw0e<<6:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3n0(88k:e9~yx{4={%3a1?7482\:>=4={%75a?b<,<8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;305>P6:909w);9e;f8 00c2m1vqps4i011>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?<2:T265<5s-?=i7j4$44g>a=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f1gb290?6=4?{%06:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<:i4>;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4>;%75`?74={%3a1?7482\:>=4={%75a?7<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vnn=50;094?6|,;?36o;4H36`?l75?3:1(?;7:004?>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?75$37;>44032e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|``0?6=:3:1N510c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~ffc=8381<7>t$37;>g3<@;>h7d?=7;29 73?288<76a>ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<23-?=h7;4}|~?xdd=3:1?7>50z&11=<5=<1C>9m4i00;>5<#:<21=?94V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xdd>3:1=7>50z&11=<5=o1C>9m4o0cf>5<#:<21=lk4;|``3?6=93:1=9ho07pllf;297?6=8r.99549c:J10f=n9;21<7*=598262=O:<=0Z?;n:0yO60e=9r\n?744?3_;9<71=#=?n186sr}|9j57?=83.9954>269K601<^;?j6:i4>;|~y>{ek00;694?:1y'60>=>j1C>9m4i00;>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i7j4$44g>a=zutw0e<<6:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3n0(88k:e9~yx{4={%3a1?7482\:>=4={%75a?b<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vnno50;794?6|,;?36;m4H36`?l7503:1(?;7:004?M42?2\99l4>{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d84?!31l3=0qpsr;h31=?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h48;%75`?1o7?tVd196~"6j<0:?=5Y13296~"2>l0o7);9d;f8yx{z3`;8=7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;305>P6:909w);9e;f8 00c2m1vqps4o0cf>5<#:<21=lk4V37b>4}K:2181!31m3=0(88k:69~yx{4={%3a1?7512\:>=4={%75a?b<,<8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;304>P6:909w);9e;f8 00c2m1vqps4i012>5<#:<21=?94V37b>4}K:2<729q/>8656b9K61e4={%3a1?7502\:>=4={%75a?1<,<290/>8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;58 00c2>1vqps4i013>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?<0:T265<5s-?=i794$44g>2=zutw0e<=>:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3n0(88k:e9~yx{:5Y24c95~J5=j0:w[k<:3y'5g3=9:90Z<:i4k;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?7ae9K61e:5Y24c95~J5=j0:w[k<:3y'5g3=9;30Z<:i4>;|~y>o6;90;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>319U576=:r.>:h4>;%75`?74={%3a1?7492\:>=4={%75a?7<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn5<7s-8>47l:;I07g>o6:>0;6)<:8;313>=h9ho1<7*=5982e`=Q:5$37;>44032e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`2b1<72;0;6=u+24:9f0=O:=i0e<<8:18'60>=9;=07b?ne;29 73?28kn7[<:a;3xH73d28q]i>4={%3a1?7fm2\:>=4={%75a?3<,<50z&11=<5=<1C>9m4i00;>5<#:<21=?94V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd6mk0;6<4?:1y'60>=:t$37;>3e<@;>h7d?=8;29 73?288<7[<:a;3xH73d28q]i>4={%3a1?7502\:>=4={%75a?0<,<290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a5`c=8391<7>t$37;>3e<@;>h7d?=8;29 73?288<7[<:a;3xH73d28q]i>4={%3a1?7502\:>=4={%75a?0<,<290/>8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;a8 00c2j1vqps4o0cf>5<#:<21=lk4V37b>4}K:=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1=6*:6e82?x{zu2c:>44?:%06{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d82?!31l3;0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{56;294~"5=10=o6F=4b9j57>=83.9954>269K601<^;?j6:5G2458R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1b=>>50;&11=<6:>1C>894V37b>4}K:1/9;j57:~yx=n9:;1<7*=598262=O:<=0Z?;n:0yO60e=9r\n?74563_;9<7a=#=?n1h6sr}|9j564=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=><4V003>7}#=?o1h6*:6e8g?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`2b4<72>0;6=u+24:92f=O:=i0e<<7:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3=0(88k:69~yx{4={%3a1?7512\:>=4={%75a?1<,<8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;304>P6:909w);9e;58 00c2>1vqps4i012>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?<1:T265<5s-?=i794$44g>2=zutw0e<==:18'60>=9;=0D?;8;W06e?7|D;?h66X>2181!31m3n0(88k:e9~yx{:i4>;|~y>{e9o81<7950;2x 73?2?i0D?:l;h31P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h48;%75`?1o7?tVd196~"6j<0:>45Y13296~"2>l0<7);9d;58yx{z3`;8<7>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6;91]=?>52z&62`<03-?=h794}|~?l7493:1(?;7:004?M42?2\99l4>{M06g?7|^l91>v*>b48274=Q9;:1>v*:6d8g?!31l3n0qpsr;h306?6=,;?36<<8;W06e?7|D;?h66X>2181!31m3n0(88k:e9~yx{:i4>;|~y>{e9o91<7:50;2x 73?28ko7E<;c:k26=<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0:7);9d;38yx{z3`;957>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;38 00c281vqps4i013>5<#:<21=?94V37b>4}K:5$37;>44032e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`5f?6=:3:1N510c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f15b290=6=4?{%06!4203nh7[<:a;3xH73d28q]=l;52z&2f0=4={%75a?7<,<o7?tV0c6>7}#9k?1hi5Y13296~"2>l087);9d;18yx{K::n4kd:&06f<2>l1v(;>l:d9~yx=n:=21<7*=59810==Q:vB=5b82S7f=38p(n4:6d9~ 36d2m1vqp5f22694?"5=109?95Y24c96~J5=j0:w[?n5;0x 4d22;9?7[?=0;0x 00b2m1/9;j5d:~yI42j3;pZ?;>:3yUa4<5s-?=o7<<4:&06f<2>l1v(;>l:e9~yx=h9ho1<7*=5982e`=847>53;294~"5=109985G25a8m44?290/>8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;38 00c281vqps4i00:>5<#:<21=?94V37b>4}K:5<7s-8>478l;I07g>o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4l;%75`?e4={%3a1?7512\:>=4={%75a?e<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn9=l:180>5<7s-8>478l;I07g>o6:10;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g9g>"2>m0h7psr}:k26<<72-8>47?=7:J112=Q:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd3;h0;6>4?:1y'60>=9hn0D?:l;h312181!31m3;0(88k:09~yx{:i4>;|~y>{e<<91<7<50;2x 73?2k?0D?:l;h313?6=,;?36<<8;:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3thi87>52;294~"5=10i96F=4b9j571=83.9954>2698k4gb290/>8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn9;8:181>5<7s-8>47l:;I07g>o6:>0;6)<:8;313>=h9ho1<7*=5982e`=Q:0:k262<72-8>47?=7:T11d<6sE8>o7?tV0c6>7}#9k?1=?94V003>7}#=?o1=6*:6e82?x{zu2coo7>5$37;>ae<^;?j6!4203no7[<:a;0xH73d28q]=l;52z&2f0=4={%75a?5<,<5r}|N11g<3s_8?57=tVd396~"2>j0oh6*<2b862`=z^l81>v*:6b8262=#;;i19;k4}%43g??j0oh6*<2b862`=z^l81>v*:6b8262=#;;i19;j4}%43g??j0oh6*<2b862`=z^l81>v*:6b8gg>"4:j0>:h5r$72`>7=z^8h?6?uYe081!31k3no7)==c;75a>{#>9i1i6sr}:k10=<72-8>47<;8:T11d<5sE8>o7?tV0c6>7}#9k?1>964V003>7}#=?o1h6*:6e8g?x{zD;?i6{zu2c9?94?:%066?u+1c79662<^88;6?u+57g9`>"2>m0o7psrL37a>4}Q:<;1>vXj1;0x 00d2;9?7)==c;75a>{#>9i1h6sr}:m2e`<72-8>47?ne:9~f13329086=4?{%06:5G2458R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g95>"2>m0:7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th?984?:083>5}#:<21>8h4H36`?j7fm3:1(?;7:0cf?>{e<<<1<7=50;2x 73?2?i0D?:l;h312181!31m3;0(88k:09~yx{:i4>;|~y>{e<<21<7950;2x 73?2?i0D?:l;h312181!31m3=0(88k:69~yx{4={%3a1?7512\:>=4={%75a?1<,<8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;304>P6:909w);9e;f8 00c2m1vqps4i012>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?<1:T265<5s-?=i7j4$44g>a=zutw0e<==:18'60>=9;=0Z?;n:0yO60e=9r\n?74553_;9<7a=#=?n1h6sr}|9j565=83.9954>269K601<^;?j6:i4>;|~y>{e<<31<7950;2x 73?2?i0D?:l;h312181!31m3=0(88k:69~yx{:5G2458R73f28qG>8m51zTf7?4|,8h>6<=?;W314?4|,<{zut1b=>?50;&11=<6:>1C>894V37b>4}K:3283>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=>=4V003>7}#=?o1h6*:6e8g?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`71d<72>0;6=u+24:92f=O:=i0e<<7:18'60>=9;=0Z?;n:0yO60e=9r\n?744?3_;9<72=#=?n1;6sr}|9j57?=83.9954>269K601<^;?j6:5G2458R73f28qG>8m51zTf7?4|,8h>6<=?;W314?4|,<{zut1b=>?50;&11=<6:>1C>894V37b>4}K:3283>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=>=4V003>7}#=?o1h6*:6e8g?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`71g<72>0;6=u+24:92f=O:=i0e<<7:18'60>=9;=0Z?;n:0yO60e=9r\n?744?3_;9<72=#=?n1;6sr}|9j57?=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1h6*:6e8g?x{zu2c:?=4?:%06:i4k;|~y>o6;80;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c79567<^88;6?u+57g9`>"2>m0o7psr}:k277<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:??5Y13296~"2>l0o7);9d;f8yx{z3`;8?7>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6;:1]=?>52z&62`4=zutw0qo::c;293?6=8r.99549c:J10f=n9;21<7*=598262=O:<=0Z?;n:0yO60e=9r\n?744?3_;9<72=#=?n1;6sr}|9j57?=83.9954>269K601<^;?j6:5G2458R73f28qG>8m51zTf7?4|,8h>6<=?;W314?4|,<{zut1b=>?50;&11=<6:>1C>894V37b>4}K:3283>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=>=4V003>7}#=?o1h6*:6e8g?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`71a<72?0;6=u+24:95db<@;>h7d?=8;29 73?288<7[<:a;3xH73d28q]i>4={%3a1?7502\:>=4={%75a?7<,<290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1b=>>50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79566<^88;6?u+57g95>"2>m0:7psr}:k274<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?<5Y13296~"2>l0:7);9d;38yx{z3`;8>7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;306>P6:909w);9e;38 00c281vqps4o0cf>5<#:<21=lk4V37b>4}K:7E<;c:k262<72-8>47?=7:9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi8oo50;094?6|,;?36o;4H36`?l75?3:1(?;7:004?>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?77<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;78 00c2<1vqps4}c6a0?6=;3:1=9;=0D?;8;W06e?7|D;?h62181!31m3;0(88k:09~yx{:i4>;|~y>{e47?ne:9~f1d0290?6=4?{%062983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`<13-?=h784}|~?l7513:1(?;7:004?M42?2\99l4>{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d85?!31l3<0qpsr;h304?6=,;?36<<8;W06e?7|D;?h62181!31m3<0(88k:79~yx{5<2290;w)<:8;4`?M43k2c:>54?:%06{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d85?!31l3<0qpsr;h31=?6=,;?36<<8;W06e?7|D;?h62181!31m3<0(88k:79~yx{4={%3a1?7482\:>=4={%75a?0<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=>;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a0g?=83?1<7>t$37;>3e<@;>h7d?=8;29 73?288<7[<:a;3xH73d28q]i>4={%3a1?7502\:>=4={%75a?0<,<290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1b=>>50;&11=<6:>1C>894V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd3j?0;694?:1y'60>=9hn0D?:l;h312181!31m3;0(88k:09~yx{:5Y24c95~J5=j0:w[k<:3y'5g3=9::0Z<:i4>;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?70<729q/>8656b9K61e4={%3a1?7502\:>=4={%75a?b<,<290/>8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;f8 00c2m1vqps4i013>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?<0:T265<5s-?=i7j4$44g>a=zutw0e<=>:18'60>=9;=0Z?;n:0yO60e=9r\n?74563_;9<7a=#=?n1h6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi8oh50;094?6|,;?36o;4H36`?l75?3:1(?;7:004?>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?77<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c6`f?6=:3:1N510c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<70=#=?n196sr}|9~f1e729086=4?{%06:5G2458R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g95>"2>m0:7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th?o<4?:083>5}#:<21>8h4H36`?j7fm3:1(?;7:0cf?>{e2181!31m3;0(88k:09~yx{:i4>;|~y>{e1<7=50;2x 73?2?i0D?:l;h31P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4l;%75`?e4={%3a1?7512\:>=4={%75a?e<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn9m=:180>5<7s-8>47?nd:J10f=n9;21<7*=598262=Q:2883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<63-?=h7?4}|~?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo:l6;2954<729q/>8656b9K61e4={%3a1?7502\:>=4={%75a?1<,<290/>8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;58 00c2>1vqps4i013>5<#:<21=?94V37b>4}K:1/9;j57:~yx=n9:;1<7*=598262=Q:3383>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;;1]=?>52z&62`<03-?=h794}|~?l74;3:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?<3:T265<5s-?=i794$44g>2=zutw0e<=;:18'60>=9;=0Z?;n:0yO60e=9r\n?74533_;9<72=#=?n1;6sr}|9j563=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>;4V003>7}#=?o1;6*:6e84?x{zu2c:?;4?:%06:i48;|~y>o6;>0;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>369U576=:r.>:h48;%75`?1o7?tVd196~"6j<0:>l5Y13296~"2>l0o7);9d;f8yx{z3`;9n7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31f>P6:909w);9e;f8 00c2m1vqps4i00`>5<#:<21=?94V37b>4}K:2d83>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:l1]=?>52z&62`a=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f1e0290:=7>50z&11=<1k2B98n5f13:94?"5=10:>:5G2458R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1C>894V37b>4}K:1/9;j57:~yx=n9::1<7*=598262=Q:3083>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;81]=?>52z&62`<03-?=h794}|~?l74:3:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?<2:T265<5s-?=i794$44g>2=zutw0e<=<:18'60>=9;=0Z?;n:0yO60e=9r\n?74543_;9<72=#=?n1;6sr}|9j562=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>:4V003>7}#=?o1;6*:6e84?x{zu2c:?84?:%06{M06g?7|^l91>v*>b48270=Q9;:1>v*:6d84?!31l3=0qpsr;h302?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>379U576=:r.>:h48;%75`?14={%3a1?74?2\:>=4={%75a?1<,<8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31e>P6:909w);9e;f8 00c2m1vqps4i00a>5<#:<21=?94V37b>4}K:2e83>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:m1]=?>52z&62`a=zutw0e<=9;=0Z?;n:0yO60e=9r\n?744a3_;9<7a=#=?n1h6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi8n650;32>5<7s-8>478l;I07g>o6:10;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g93>"2>m0<7psr}:k26<<72-8>47?=7:J112=Q:3183>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;91]=?>52z&62`<03-?=h794}|~?l7493:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?<1:T265<5s-?=i794$44g>2=zutw0e<==:18'60>=9;=0Z?;n:0yO60e=9r\n?74553_;9<72=#=?n1;6sr}|9j565=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>=4V003>7}#=?o1;6*:6e84?x{zu2c:?94?:%06{M06g?7|^l91>v*>b48271=Q9;:1>v*:6d84?!31l3=0qpsr;h301?6=,;?36<<8;W06e?7|D;?h62181!31m3=0(88k:69~yx{4={%3a1?74>2\:>=4={%75a?1<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=8;W314?4|,<{zut1b=?o50;&11=<6:>1C>894V37b>4}K:2b83>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:j1]=?>52z&62`a=zutw0e<=9;=0Z?;n:0yO60e=9r\n?744b3_;9<7a=#=?n1h6sr}|9j57`=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?h4V003>7}#=?o1h6*:6e8g?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`7g<<728;1<7>t$37;>3e<@;>h7d?=8;29 73?288<7E<:7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0<7);9d;58yx{z3`;957>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<03-?=h794}|~?l7483:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?<0:T265<5s-?=i794$44g>2=zutw0e<=>:18'60>=9;=0Z?;n:0yO60e=9r\n?74563_;9<72=#=?n1;6sr}|9j564=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=><4V003>7}#=?o1;6*:6e84?x{zu2c:?>4?:%06{M06g?7|^l91>v*>b48276=Q9;:1>v*:6d84?!31l3=0qpsr;h300?6=,;?36<<8;W06e?7|D;?h62181!31m3=0(88k:69~yx{6=4+24:9571<^;?j6:5G2458R73f28qG>8m51zTf7?4|,8h>6<=9;W314?4|,<{zut1b=>950;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79561<^88;6?u+57g93>"2>m0<7psr}:k26d<72-8>47?=7:J112=Q:2c83>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:k1]=?>52z&62`a=zutw0e<=9;=0Z?;n:0yO60e=9r\n?744c3_;9<7a=#=?n1h6sr}|9j57c=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?k4V003>7}#=?o1h6*:6e8g?x{zu2c:>k4?:%06{M06g?7|^l91>v*>b4826c=Q9;:1>v*:6d8g?!31l3n0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{hm7>55;294~"5=10:mi5G25a8m44?290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g95>"2>m0:7psr}:k275<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?=5Y13296~"2>l0:7);9d;38yx{z3`;8=7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;305>P6:909w);9e;38 00c281vqps4o0cf>5<#:<21=lk4V37b>4}K:7E<;c:k262<72-8>47?=7:9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi8k;50;094?6|,;?36o;4H36`?l75?3:1(?;7:004?>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?77<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;78 00c2<1vqps4}c6e6?6=;3:1=9;=0D?;8;W06e?7|D;?h62181!31m3;0(88k:09~yx{:i4>;|~y>{e47?ne:9~f1`3290>6=4?{%062983>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1:6*:6e85?x{zu2c:>44?:%062.>:i49;|~y>o6;90;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>319U576=:r.>:h4l;%75`?eo7?tVd196~"6j<0:?<5Y13296~"2>l0=7);9d;48yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c6e2?6=;3:1N51]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g9`>"2>m0o7psr}:k26<<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>45Y13296~"2>l0o7);9d;f8yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c6e3?6=>3:1N51C>894V37b>4}K:1/9;j57:~yx=n9;31<7*=598262=O:<=0Z?;n:0yO60e=9r\n?744>3_;9<72=#=?n1;6sr}|9j566=83.9954>269K601<^;?j6:5Y24c95~J5=j0:w[k<:3y'5g3=9:;0Z<:i4k;|~y>o6;;0;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>339U576=:r.>:h4k;%75`?b4={%3a1?7fm2\:>=4={%75a?7<,<50z&11=<6im1C>9m4i00;>5<#:<21=?94V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd3nj0;6?4?:1y'60>=j<1C>9m4i004>5<#:<21=?94;n3ba?6=,;?362181!31m3;0(88k:09~yx{52;294~"5=10i96F=4b9j571=83.9954>2698k4gb290/>8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn8>8:181>5<7s-8>47l:;I07g>o6:>0;6)<:8;313>=h9ho1<7*=5982e`=Q:54?:%06:i4>;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4>;%75`?74={%3a1?7fm2\:>=4={%75a?7<,<50z&11=<5=o1C>9m4o0cf>5<#:<21=lk4;|`7bc<72:0;6=u+24:92f=O:=i0e<<7:18'60>=9;=0Z?;n:0yO60e=9r\n?744?3_;9<74=#=?n1=6sr}|9j57?=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1=6*:6e82?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`644<7200;6=u+24:92f=O:=i0e<<7:18'60>=9;=0Z?;n:0yO60e=9r\n?744?3_;9<72=#=?n1;6sr}|9j57?=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1;6*:6e84?x{zu2c:?=4?:%06:i48;|~y>o6;80;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c79567<^88;6?u+57g93>"2>m0<7psr}:k277<72-8>47?=7:J112=Q:3283>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=>=4V003>7}#=?o1h6*:6e8g?x{zu2c:?94?:%060Z<:i4k;|~y>o6;<0;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>349U576=:r.>:h4k;%75`?b4={%3a1?7fm2\:>=4={%75a?7<,<50z&11=<1k2B98n5f13:94?"5=10:>:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<:i48;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h48;%75`?1o7?tVd196~"6j<0:?=5Y13296~"2>l0<7);9d;58yx{z3`;8=7>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6;81]=?>52z&62`a=zutw0e<=<:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3n0(88k:e9~yx{4={%3a1?74<2\:>=4={%75a?b<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=:;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a155=8331<7>t$37;>3e<@;>h7d?=8;29 73?288<7[<:a;3xH73d28q]i>4={%3a1?7502\:>=4={%75a?1<,<290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1b=>>50;&11=<6:>1C>894V37b>4}K:1/9;j57:~yx=n9:;1<7*=598262=O:<=0Z?;n:0yO60e=9r\n?74563_;9<72=#=?n1;6sr}|9j564=83.9954>269K601<^;?j6:5G2458R73f28qG>8m51zTf7?4|,8h>6<=<;W314?4|,<{zut1b=>:50;&11=<6:>1C>894V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd28=0;644?:1y'60>=>j1C>9m4i00;>5<#:<21=?94V37b>4}K:1/9;j57:~yx=n9;31<7*=598262=O:<=0Z?;n:0yO60e=9r\n?744>3_;9<72=#=?n1;6sr}|9j566=83.9954>269K601<^;?j6:5G2458R73f28qG>8m51zTf7?4|,8h>6<=>;W314?4|,<{zut1b=><50;&11=<6:>1C>894V37b>4}K:4543_;9<7a=#=?n1h6sr}|9j562=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>:4V003>7}#=?o1h6*:6e8g?x{zu2c:?84?:%06:i4k;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?7<<729q/>8656b9K61e:5G2458R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1b=>>50;&11=<6:>1C>894V37b>4}K:3383>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=><4V003>7}#=?o1h6*:6e8g?x{zu2c:?>4?:%06:i4k;|~y>o6;=0;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>359U576=:r.>:h4k;%75`?bo7?tVd196~"6j<0:?85Y13296~"2>l0o7);9d;f8yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c732?6=>3:1=9;=0Z?;n:0yO60e=9r\n?744?3_;9<74=#=?n1=6sr}|9j57?=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1=6*:6e82?x{zu2c:?=4?:%06{M06g?7|^l91>v*>b48275=Q9;:1>v*:6d82?!31l3;0qpsr;h305?6=,;?36<<8;W06e?7|D;?h62181!31m3;0(88k:09~yx{:i4>;|~y>{e=;?1<7<50;2x 73?2k?0D?:l;h313?6=,;?36<<8;:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th>>o4?:383>5}#:<21n85G25a8m440290/>8651358?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo;<0;296?6=8r.9954m5:J10f=n9;=1<7*=598262=5<4290;w)<:8;061>N51C>894V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd2:>0;6<4?:1y'60>=:t$37;>3e<@;>h7d?=8;29 73?288<7E<:7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0=7);9d;48yx{z3`;957>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;48 00c2?1vqps4i013>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?<0:T265<5s-?=i784$44g>3=zutw0e<=>:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3<0(88k:79~yx{5<2290;w)<:8;4`?M43k2c:>54?:%062.>:i49;|~y>o6:00;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g92>"2>m0=7psr}:k275<72-8>47?=7:J112=Q:3083>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=>?4V003>7}#=?o1o6*:6e8`?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`66=<72:0;6=u+24:95db<@;>h7d?=8;29 73?288<7[<:a;3xH73d28q]i>4={%3a1?7502\:>=4={%75a?7<,<290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a17e=83<1<7>t$37;>3e<@;>h7d?=8;29 73?288<7[<:a;3xH73d28q]i>4={%3a1?7502\:>=4={%75a?b<,<290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1b=>>50;&11=<6:>1C>894V37b>4}K:3383>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=><4V003>7}#=?o1h6*:6e8g?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`66a<72>0;6=u+24:92f=O:=i0e<<7:18'60>=9;=0Z?;n:0yO60e=9r\n?744?3_;9<72=#=?n1;6sr}|9j57?=83.9954>269K601<^;?j6:5Y24c95~J5=j0:w[k<:3y'5g3=9::0Z<:i4k;|~y>o6;80;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c79567<^88;6?u+57g9`>"2>m0o7psr}:k277<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:??5Y13296~"2>l0o7);9d;f8yx{z3`;8?7>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6;:1]=?>52z&62`4=zutw0qo;=e;293?6=8r.99549c:J10f=n9;21<7*=598262=Q:2883>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1;6*:6e84?x{zu2c:?=4?:%06{M06g?7|^l91>v*>b48275=Q9;:1>v*:6d8g?!31l3n0qpsr;h305?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>309U576=:r.>:h4k;%75`?b4={%3a1?74:2\:>=4={%75a?b<,<8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;307>P6:909w);9e;f8 00c2m1vqps4o0cf>5<#:<21=lk4V37b>4}K:=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1=6*:6e82?x{zu2c:>44?:%06{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d82?!31l3;0qpsr;h304?6=,;?36<<8;W06e?7|D;?h62181!31m3;0(88k:09~yx{5$37;>44032e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|``5?6=:3:1N510c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<70=#=?n196sr}|9~fgc=8391<7>t$37;>7323A8?o6g>2983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`<63-?=h7?4}|~?l7513:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i7?4$44g>4=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~fg`=83;1<7>t$37;>73a3A8?o6a>ad83>!4203;ji65rbb294?7=83:p(?;7:37e?M43k2e:mh4?:%06i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?754?:%06{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d82?!31l3;0qpsr;h31=?6=,;?36<<8;W06e?7|D;?h62181!31m3;0(88k:09~yx{i6il0;6)<:8;3ba>=zjl<1<7<50;2x 73?2k?0D?:l;h313?6=,;?36<<8;:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3thn;7>58;294~"5=10=o6F=4b9j57>=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1;6*:6e84?x{zu2c:>44?:%06:i48;|~y>o6;90;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c79566<^88;6?u+57g9`>"2>m0o7psr}:k274<72-8>47?=7:J112=Q:3383>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;;1]=?>52z&62`{M06g?7|^l91>v*>b48276=Q9;:1>v*:6d8g?!31l3n0qpsr;h300?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>359U576=:r.>:h4k;%75`?b4={%3a1?7fm2\:>=4={%75a?7<,<2983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`<03-?=h794}|~?l7513:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i7j4$44g>a=zutw0e<=?:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3n0(88k:e9~yx{4={%3a1?7492\:>=4={%75a?b<,<8651358R73f28qG>8m51zTf7?4|,8h>6<==;W314?4|,<{zut1b=>=50;&11=<6:>1C>894V37b>4}K:1<7*=598262=O:<=0Z?;n:0yO60e=9r\n?74533_;9<7a=#=?n1h6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wii44?:983>5}#:<21:n5G25a8m44?290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g9`>"2>m0o7psr}:k275<72-8>47?=7:J112=Q:3083>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=>?4V003>7}#=?o1h6*:6e8g?x{zu2c:??4?:%06{M06g?7|^l91>v*>b48277=Q9;:1>v*:6d8g?!31l3n0qpsr;h307?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>329U576=:r.>:h4k;%75`?bo7?tVd196~"6j<0:?95Y13296~"2>l0o7);9d;f8yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}cgb>554?:%06:i48;|~y>o6:00;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g9`>"2>m0o7psr}:k275<72-8>47?=7:J112=Q:3083>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=>?4V003>7}#=?o1h6*:6e8g?x{zu2c:??4?:%06{M06g?7|^l91>v*>b48277=Q9;:1>v*:6d8g?!31l3n0qpsr;h307?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>329U576=:r.>:h4k;%75`?bo7?tVd196~"6j<0:?95Y13296~"2>l0o7);9d;f8yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}cga>554?:%06{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d8g?!31l3n0qpsr;h31=?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4k;%75`?bo7?tVd196~"6j<0:?=5Y13296~"2>l0o7);9d;f8yx{z3`;8=7>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6;81]=?>52z&62`a=zutw0e<=<:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3n0(88k:e9~yx{4={%3a1?74<2\:>=4={%75a?b<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vnhm50;494?6|,;?36o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4>;%75`?74={%3a1?7512\:>=4={%75a?7<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=?;W314?4|,<{zut1b=>?50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79567<^88;6?u+57g95>"2>m0:7psr}:k277<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:??5Y13296~"2>l0:7);9d;38yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}cgg>5<5290;w)<:8;`6?M43k2c:>:4?:%068o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:aa`<7210;6=u+24:92f=O:=i0e<<7:18'60>=9;=0Z?;n:0yO60e=9r\n?744?3_;9<72=#=?n1;6sr}|9j57?=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1;6*:6e84?x{zu2c:?=4?:%06:i4k;|~y>o6;80;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>309U576=:r.>:h4k;%75`?bo7?tVd196~"6j<0:??5Y13296~"2>l0o7);9d;f8yx{z3`;8?7>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6;:1]=?>52z&62`a=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f``=83;:6=4?{%062983>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1;6*:6e84?x{zu2c:>44?:%06:i48;|~y>o6;90;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>319U576=:r.>:h48;%75`?14={%3a1?7492\:>=4={%75a?1<,<8651358R73f28qG>8m51zTf7?4|,8h>6<==;W314?4|,<{zut1b=>=50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79565<^88;6?u+57g93>"2>m0<7psr}:k271<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?95Y13296~"2>l0<7);9d;58yx{z3`;897>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;301>P6:909w);9e;58 00c2>1vqps4i015>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?<6:T265<5s-?=i794$44g>2=zutw0e<=8:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3=0(88k:69~yx{:5G2458R73f28qG>8m51zTf7?4|,8h>6<{zut1b=?m50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957e<^88;6?u+57g9`>"2>m0o7psr}:k26a<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>i5Y13296~"2>l0o7);9d;f8yx{z3`;9i7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31a>P6:909w);9e;38 00c281vqps4i00e>5<#:<21=?94V37b>4}K:47=83:p(?;7:7a8L72d3`;947>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`<03-?=h794}|~?l7513:1(?;7:004?M42?2\99l4>{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d84?!31l3=0qpsr;h304?6=,;?36<<8;W06e?7|D;?h62181!31m3=0(88k:69~yx{:5Y24c95~J5=j0:w[k<:3y'5g3=9:80Z<:i48;|~y>o6;:0;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>329U576=:r.>:h48;%75`?14={%3a1?74<2\:>=4={%75a?1<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=:;W314?4|,<{zut1b=>850;&11=<6:>1C>894V37b>4}K:1/9;j57:~yx=n9:=1<7*=598262=O:<=0Z?;n:0yO60e=9r\n?74503_;9<72=#=?n1;6sr}|9j57g=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?o4V003>7}#=?o1;6*:6e84?x{zu2c:>o4?:%06:i4k;|~y>o6:j0;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>2b9U576=:r.>:h4k;%75`?b4={%3a1?75l2\:>=4={%75a?b<,<8651358R73f28qG>8m51zTf7?4|,8h>6<{zut1b=?h50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957`<^88;6?u+57g95>"2>m0:7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3thm=7>51083>5}#:<21:n5G25a8m44?290/>8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;58 00c2>1vqps4i00:>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i794$44g>2=zutw0e<=?:18'60>=9;=0Z?;n:0yO60e=9r\n?74573_;9<72=#=?n1;6sr}|9j567=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>?4V003>7}#=?o1;6*:6e84?x{zu2c:??4?:%06{M06g?7|^l91>v*>b48277=Q9;:1>v*:6d84?!31l3=0qpsr;h307?6=,;?36<<8;W06e?7|D;?h62181!31m3=0(88k:69~yx{:5Y24c95~J5=j0:w[k<:3y'5g3=9:?0Z<:i48;|~y>o6;?0;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c79560<^88;6?u+57g93>"2>m0<7psr}:k272<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?:5Y13296~"2>l0<7);9d;58yx{z3`;9m7>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6:h1]=?>52z&62`a=zutw0e<=9;=0Z?;n:0yO60e=9r\n?744d3_;9<7a=#=?n1h6sr}|9j57b=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?j4V003>7}#=?o1h6*:6e8g?x{zu2c:>h4?:%06{M06g?7|^l91>v*>b4826`=Q9;:1>v*:6d82?!31l3;0qpsr;h31b?6=,;?36<<8;W06e?7|D;?h62181!31m3;0(88k:09~yx{54?:%06:i48;|~y>o6:00;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g93>"2>m0<7psr}:k275<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?=5Y13296~"2>l0<7);9d;58yx{z3`;8=7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;305>P6:909w);9e;58 00c2>1vqps4i011>5<#:<21=?94V37b>4}K:1/9;j57:~yx=n9:91<7*=598262=Q:3583>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;=1]=?>52z&62`<03-?=h794}|~?l74=3:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?<5:T265<5s-?=i794$44g>2=zutw0e<=9:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3=0(88k:69~yx{:5G2458R73f28qG>8m51zTf7?4|,8h>6<{zut1b=?l50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957d<^88;6?u+57g9`>"2>m0o7psr}:k26f<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>n5Y13296~"2>l0o7);9d;f8yx{z3`;9h7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31`>P6:909w);9e;f8 00c2m1vqps4i00f>5<#:<21=?94V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xda;3:1:7>50z&11=<6im1C>9m4i00;>5<#:<21=?94V37b>4}K:3183>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;91]=?>52z&62`<63-?=h7?4}|~?l7493:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?<1:T265<5s-?=i7?4$44g>4=zutw0e<==:18'60>=9;=0Z?;n:0yO60e=9r\n?74553_;9<74=#=?n1=6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wij94?:383>5}#:<21n85G25a8m440290/>8651358?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qoh::184>5<7s-8>478l;I07g>o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h48;%75`?1o7?tVd196~"6j<0:>45Y13296~"2>l0<7);9d;58yx{z3`;8<7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;304>P6:909w);9e;f8 00c2m1vqps4i012>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?<1:T265<5s-?=i7j4$44g>a=zutw0e<==:18'60>=9;=0Z?;n:0yO60e=9r\n?74553_;9<7a=#=?n1h6sr}|9j565=83.9954>269K601<^;?j6:i4>;|~y>{en?0;6:4?:1y'60>=>j1C>9m4i00;>5<#:<21=?94V37b>4}K:1/9;j57:~yx=n9;31<7*=598262=Q:3183>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;91]=?>52z&62`{M06g?7|^l91>v*>b48274=Q9;:1>v*:6d8g?!31l3n0qpsr;h306?6=,;?36<<8;W06e?7|D;?h66X>2181!31m3n0(88k:e9~yx{4={%3a1?74;2\:>=4={%75a?b<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vnk950;594?6|,;?36;m4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i794$44g>2=zutw0e<<6:18'60>=9;=0Z?;n:0yO60e=9r\n?744>3_;9<7a=#=?n1h6sr}|9j566=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>>4V003>7}#=?o1h6*:6e8g?x{zu2c:?<4?:%06:i4k;|~y>o6;;0;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>339U576=:r.>:h4k;%75`?bo7?tVd196~"6j<0:?>5Y13296~"2>l0o7);9d;f8yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}cd;>5<0290;w)<:8;4`?M43k2c:>54?:%06:i48;|~y>o6:00;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g9`>"2>m0o7psr}:k275<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?=5Y13296~"2>l0o7);9d;f8yx{z3`;8=7>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6;81]=?>52z&62`a=zutw0e<=<:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3n0(88k:e9~yx{5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;f8 00c2m1vqps4i00:>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i7j4$44g>a=zutw0e<=?:18'60>=9;=0Z?;n:0yO60e=9r\n?74573_;9<7a=#=?n1h6sr}|9j567=83.9954>269K601<^;?j6:5Y24c95~J5=j0:w[k<:3y'5g3=9:80Z<:i4k;|~y>o6;:0;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c79565<^88;6?u+57g9`>"2>m0o7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3thmm7>56;294~"5=10:mi5G25a8m44?290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g95>"2>m0:7psr}:k275<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?=5Y13296~"2>l0:7);9d;38yx{z3`;8=7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;305>P6:909w);9e;38 00c281vqps4i011>5<#:<21=?94V37b>4}K:7<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}cd`>5<4290;w)<:8;4`?M43k2c:>54?:%06{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d8a?!31l3h0qpsr;h31=?6=,;?36<<8;W06e?7|D;?h62181!31m3h0(88k:c9~yx{5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`<03-?=h794}|~?l7513:1(?;7:004?M42?2\99l4>{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d84?!31l3=0qpsr;h304?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>319U576=:r.>:h48;%75`?1o7?tVd196~"6j<0:?<5Y13296~"2>l0o7);9d;f8yx{z3`;8>7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;306>P6:909w);9e;f8 00c2m1vqps4o0cf>5<#:<21=lk4V37b>4}K:P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h48;%75`?1o7?tVd196~"6j<0:>45Y13296~"2>l0<7);9d;58yx{z3`;8<7>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6;91]=?>52z&62`<03-?=h794}|~?l7493:1(?;7:004?M42?2\99l4>{M06g?7|^l91>v*>b48274=Q9;:1>v*:6d8g?!31l3n0qpsr;h306?6=,;?36<<8;W06e?7|D;?h66X>2181!31m3n0(88k:e9~yx{5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`<03-?=h794}|~?l7513:1(?;7:004?M42?2\99l4>{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d84?!31l3=0qpsr;h304?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>319U576=:r.>:h48;%75`?1o7?tVd196~"6j<0:?<5Y13296~"2>l0o7);9d;f8yx{z3`;8>7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;306>P6:909w);9e;f8 00c2m1vqps4o0cf>5<#:<21=lk4V37b>4}K:47?=7:J112=Q:2883>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1;6*:6e84?x{zu2c:?=4?:%06:i48;|~y>o6;80;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c79567<^88;6?u+57g9`>"2>m0o7psr}:k277<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:??5Y13296~"2>l0o7);9d;f8yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c335?6=>3:1N51C>894V37b>4}K:1/9;j57:~yx=n9;31<7*=598262=O:<=0Z?;n:0yO60e=9r\n?744>3_;9<72=#=?n1;6sr}|9j566=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>>4V003>7}#=?o1;6*:6e84?x{zu2c:?<4?:%06:i4k;|~y>o6;;0;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>339U576=:r.>:h4k;%75`?b4={%3a1?7fm2\:>=4={%75a?7<,<50z&11=<6im1C>9m4i00;>5<#:<21=?94V37b>4}K:3183>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;91]=?>52z&62`<63-?=h7?4}|~?l7493:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?<1:T265<5s-?=i7?4$44g>4=zutw0e<==:18'60>=9;=0Z?;n:0yO60e=9r\n?74553_;9<74=#=?n1=6sr}|9j565=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>=4V003>7}#=?o1=6*:6e82?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`246<72;0;6=u+24:9f0=O:=i0e<<8:18'60>=9;=07b?ne;29 73?28kn7[<:a;3xH73d28q]i>4={%3a1?7fm2\:>=4={%75a?7<,<50z&11=<1k2B98n5f13:94?"5=10:>:5G2458R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1C>894V37b>4}K:1/9;j57:~yx=n9::1<7*=598262=O:<=0Z?;n:0yO60e=9r\n?74573_;9<72=#=?n1;6sr}|9j567=83.9954>269K601<^;?j6:5Y24c95~J5=j0:w[k<:3y'5g3=9:80Z<:i4k;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?70483>3<729q/>8656b9K61e4={%3a1?7502\:>=4={%75a?1<,<290/>8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;58 00c2>1vqps4i013>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?<0:T265<5s-?=i794$44g>2=zutw0e<=>:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3n0(88k:e9~yx{:i4>;|~y>{e99<1<7850;2x 73?2?i0D?:l;h31P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h48;%75`?1o7?tVd196~"6j<0:>45Y13296~"2>l0<7);9d;58yx{z3`;8<7>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6;91]=?>52z&62`<03-?=h794}|~?l7493:1(?;7:004?M42?2\99l4>{M06g?7|^l91>v*>b48274=Q9;:1>v*:6d8g?!31l3n0qpsr;h306?6=,;?36<<8;W06e?7|D;?h66X>2181!31m3n0(88k:e9~yx{5<1290;w)<:8;4`?M43k2c:>54?:%06:i48;|~y>o6:00;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g93>"2>m0<7psr}:k275<72-8>47?=7:J112=Q:3083>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=>?4V003>7}#=?o1h6*:6e8g?x{zu2c:??4?:%06{M06g?7|^l91>v*>b48277=Q9;:1>v*:6d8g?!31l3n0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{56;294~"5=10=o6F=4b9j57>=83.9954>269K601<^;?j6:5G2458R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1b=>>50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79566<^88;6?u+57g93>"2>m0<7psr}:k274<72-8>47?=7:J112=Q:3383>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;;1]=?>52z&62`4=zutw0qo??9;292?6=8r.9954>ae9K61e:5Y24c95~J5=j0:w[k<:3y'5g3=9;30Z<:i4>;|~y>o6;90;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>319U576=:r.>:h4>;%75`?74={%3a1?7492\:>=4={%75a?7<,<8651358R73f28qG>8m51zTf7?4|,8h>6<==;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a55g=8381<7>t$37;>g3<@;>h7d?=7;29 73?288<76a>ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd68k0;684?:1y'60>=>j1C>9m4i00;>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i794$44g>2=zutw0e<<6:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3=0(88k:69~yx{:5G2458R73f28qG>8m51zTf7?4|,8h>6<=>;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a55e=8381<7>t$37;>g3<@;>h7d?=7;29 73?288<76a>ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd68m0;644?:1y'60>=>j1C>9m4i00;>5<#:<21=?94V37b>4}K:1/9;j57:~yx=n9;31<7*=598262=Q:3183>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=>>4V003>7}#=?o1;6*:6e84?x{zu2c:?<4?:%06:i4k;|~y>o6;;0;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>339U576=:r.>:h4k;%75`?bo7?tVd196~"6j<0:?>5Y13296~"2>l0o7);9d;f8yx{z3`;887>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6;=1]=?>52z&62`a=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f46b290:=7>50z&11=<1k2B98n5f13:94?"5=10:>:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<:i48;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h48;%75`?14={%3a1?7482\:>=4={%75a?1<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=>;W314?4|,<{zut1b=><50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79564<^88;6?u+57g93>"2>m0<7psr}:k276<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?>5Y13296~"2>l0<7);9d;58yx{z3`;887>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6;=1]=?>52z&62`<03-?=h794}|~?l74=3:1(?;7:004?M42?2\99l4>{M06g?7|^l91>v*>b48270=Q9;:1>v*:6d84?!31l3=0qpsr;h302?6=,;?36<<8;W06e?7|D;?h62181!31m3=0(88k:69~yx{4={%3a1?74?2\:>=4={%75a?b<,<8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31e>P6:909w);9e;f8 00c2m1vqps4i00a>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?=b:T265<5s-?=i7j4$44g>a=zutw0e<=9;=0Z?;n:0yO60e=9r\n?744d3_;9<7a=#=?n1h6sr}|9j57b=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?j4V003>7}#=?o1h6*:6e8g?x{zu2c:>h4?:%06{M06g?7|^l91>v*>b4826`=Q9;:1>v*:6d82?!31l3;0qpsr;h31b?6=,;?36<<8;W06e?7|D;?h62181!31m3;0(88k:09~yx{5<693:1N51]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g93>"2>m0<7psr}:k26<<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>45Y13296~"2>l0<7);9d;58yx{z3`;8<7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;304>P6:909w);9e;58 00c2>1vqps4i012>5<#:<21=?94V37b>4}K:1/9;j57:~yx=n9:81<7*=598262=Q:3283>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;:1]=?>52z&62`<03-?=h794}|~?l74<3:1(?;7:004?M42?2\99l4>{M06g?7|^l91>v*>b48271=Q9;:1>v*:6d84?!31l3=0qpsr;h301?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>349U576=:r.>:h48;%75`?14={%3a1?74>2\:>=4={%75a?1<,<8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;303>P6:909w);9e;f8 00c2m1vqps4i00b>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?=a:T265<5s-?=i7j4$44g>a=zutw0e<=9;=0D?;8;W06e?7|D;?h62181!31m3n0(88k:e9~yx{:5Y24c95~J5=j0:w[k<:3y'5g3=9;n0Z<:i4k;|~y>o6:l0;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>2d9U576=:r.>:h4>;%75`?74={%3a1?75n2\:>=4={%75a?7<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn2883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<03-?=h794}|~?l7483:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?<0:T265<5s-?=i794$44g>2=zutw0e<=>:18'60>=9;=0Z?;n:0yO60e=9r\n?74563_;9<72=#=?n1;6sr}|9j564=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=><4V003>7}#=?o1;6*:6e84?x{zu2c:?>4?:%06{M06g?7|^l91>v*>b48276=Q9;:1>v*:6d84?!31l3=0qpsr;h300?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>359U576=:r.>:h48;%75`?14={%3a1?74=2\:>=4={%75a?1<,<8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;302>P6:909w);9e;f8 00c2m1vqps4i014>5<#:<21=?94V37b>4}K:44f3_;9<7a=#=?n1h6sr}|9j57d=83.9954>269K601<^;?j6:5Y24c95~J5=j0:w[k<:3y'5g3=9;i0Z<:i4k;|~y>o6:m0;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>2e9U576=:r.>:h4k;%75`?b4={%3a1?75m2\:>=4={%75a?7<,<8651358R73f28qG>8m51zTf7?4|,8h>6<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a547=83;:6=4?{%062983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`<03-?=h794}|~?l7513:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i794$44g>2=zutw0e<=?:18'60>=9;=0Z?;n:0yO60e=9r\n?74573_;9<72=#=?n1;6sr}|9j567=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>?4V003>7}#=?o1;6*:6e84?x{zu2c:??4?:%06{M06g?7|^l91>v*>b48277=Q9;:1>v*:6d84?!31l3=0qpsr;h307?6=,;?36<<8;W06e?7|D;?h62181!31m3=0(88k:69~yx{4={%3a1?74<2\:>=4={%75a?1<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=:;W314?4|,<{zut1b=>850;&11=<6:>1C>894V37b>4}K:2`83>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=?o4V003>7}#=?o1h6*:6e8g?x{zu2c:>o4?:%06:i4k;|~y>o6:j0;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>2b9U576=:r.>:h4k;%75`?b4={%3a1?75l2\:>=4={%75a?b<,<8651358R73f28qG>8m51zTf7?4|,8h>6<{zut1b=?h50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957`<^88;6?u+57g95>"2>m0:7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th:=?4?:783>5}#:<21=lj4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i7?4$44g>4=zutw0e<<6:18'60>=9;=0Z?;n:0yO60e=9r\n?744>3_;9<74=#=?n1=6sr}|9j566=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>>4V003>7}#=?o1=6*:6e82?x{zu2c:?<4?:%06{M06g?7|^l91>v*>b48274=Q9;:1>v*:6d82?!31l3;0qpsr;h306?6=,;?36<<8;W06e?7|D;?h66X>2181!31m3;0(88k:09~yx{5<5290;w)<:8;`6?M43k2c:>:4?:%068o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a542=8391<7>t$37;>3e<@;>h7d?=8;29 73?288<7E<:7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0o7);9d;f8yx{z3`;957>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;f8 00c2m1vqps4o0cf>5<#:<21=lk4V37b>4}K:6=4<:183!420347?=7:J112=Q:2883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`4=zutw0qo?>6;297?6=8r.9954>ae9K61e:5Y24c95~J5=j0:w[k<:3y'5g3=9;30Z<:i4>;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?71683>7<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c32N51]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g9f>"2>m0i7psr}:k26<<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>45Y13296~"2>l0i7);9d;`8yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c32=?6=>3:1N51C>894V37b>4}K:1/9;j57:~yx=n9;31<7*=598262=O:<=0Z?;n:0yO60e=9r\n?744>3_;9<72=#=?n1;6sr}|9j566=83.9954>269K601<^;?j6:5G2458R73f28qG>8m51zTf7?4|,8h>6<=>;W314?4|,<{zut1b=><50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79564<^88;6?u+57g9`>"2>m0o7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th:=l4?:783>5}#:<21:n5G25a8m44?290/>8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;58 00c2>1vqps4i00:>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i794$44g>2=zutw0e<=?:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3=0(88k:69~yx{4={%3a1?7492\:>=4={%75a?b<,<8651358R73f28qG>8m51zTf7?4|,8h>6<==;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a54d=83<1<7>t$37;>3e<@;>h7d?=8;29 73?288<7E<:7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0<7);9d;58yx{z3`;957>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<03-?=h794}|~?l7483:1(?;7:004?M42?2\99l4>{M06g?7|^l91>v*>b48275=Q9;:1>v*:6d84?!31l3=0qpsr;h305?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>309U576=:r.>:h4k;%75`?b4={%3a1?74:2\:>=4={%75a?b<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn5<7s-8>478l;I07g>o6:10;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g93>"2>m0<7psr}:k26<<72-8>47?=7:J112=Q:3183>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=>>4V003>7}#=?o1;6*:6e84?x{zu2c:?<4?:%06:i4k;|~y>o6;;0;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>339U576=:r.>:h4k;%75`?b4={%3a1?7fm2\:>=4={%75a?7<,<50z&11=<1k2B98n5f13:94?"5=10:>:5G2458R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1C>894V37b>4}K:1/9;j57:~yx=n9::1<7*=598262=Q:3083>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=>?4V003>7}#=?o1h6*:6e8g?x{zu2c:??4?:%06{M06g?7|^l91>v*>b48277=Q9;:1>v*:6d8g?!31l3n0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{57;294~"5=10:mi5G25a8m44?290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g95>"2>m0:7psr}:k275<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?=5Y13296~"2>l0:7);9d;38yx{z3`;8=7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;305>P6:909w);9e;38 00c281vqps4i011>5<#:<21=?94V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xu?j3:1?v37c;3bb>X?j27jn7?=7:peg<72;18?0:>552615957><5;i?6<<7;<1fe?750278io4>299>7`e=9;201>kk:00;?871l3;9463>70826==:9>81=?64=4:4>44?34?347?=8:?72g<6:1168;m513:89006288370;92;31<>;2980:>552500957><5<;86<<7;<720?75027?;h4>299>02`=9;20196?:00;?83f83;9463:a0826==:<8h1=?64=53`>44?34>:h7?=8:?76g<6:1169kl513:890`d288370;j9;31<>;2mh0:>5523gd957><5=:;6<<7;<635?75027?299>055=9;2018k>:00;?87f93;9463>a3826==:;jo1=?64=23e>44?3499<7?=8:?6f4<6:1168ho513:891>c288370:7e;31<>;31h0:>552c`826==:kj0:>5521g2957><58l:6<<7;<3e6?75027?954>299>00?=9;2019l8:00;?82d>3;9463;c6826==:44?34>m;7?=8:?66<<6:1169?o513:8904c288370k8:00;?8c?288370ki:00;?8`7288370h>:00;?8`5288370h::00;?8`1288370hk:00;?8`b288370hi:00;?87783;9463>00826==:99>1=?64=026>44?34;;:7?=8:?242<6:116==6513:8947>288370?>a;31<>;69k0:>55210a957><58;o6<<7;|q;;fi3;9;6s|a`83>37|5hk1=lk4=52e>44?34>:<7?=8:?543<6:016:=9513;8936?288370;4mh0:>4523d`957?<5:oh6<<6;<1f`?75127::i4>289>527=9;301<9=:00:?83??3;9563:89826<=:44>34?==7?=9:?627<6:01693;31=>;3?l0:>45246d957?<5289>04e=9;3019?k:00:?825j3;9563:fc826<=:=oi1=?74=4g:>44>34?nm7?=9:?6af<6:1169hj513:890cb288370=if;31=>;3890:>452413957?<5=:96<<6;<637?75127>i?4>299>5a>=9;201>mj:00:?856n3;9563<21826<=:=k81=?64=5ga>44?34>3h7?=9:?7<`<6:01684m513:891?c288370:6e;31<>;di3;9563>f1826<=:9o;1=?74=57b>44?34>>n7?=8:?7f=<6:1168o7513:891e1288270:l7;31=>;3k10:>4524b;957?<5=l<6<<6;<735?75027>299>155=9;2018>;:00;?837=3;9463:28826<=:=;o1=?64=d;957><5lo1=?64=dd957?<5o:1=?74=g3957?<5o81=?74=g5957><5on1=?74=gg957?<5ol1=?74=023>44>34;;=7?=9:?241<6:016==;513;89461288270??7;31=>;6810:>45211f957><58;26<<6;<32e?75127:=o4>289>54e=9;3013:1?v377;3bb>X?>27j57?=7:pe<<72:8p1l751`g897df288370;5k<0:>5523dc9566<5:oi6<=?;<1fg?748278ii4>319>53b=9::01<9>:013?821j3;8<63:608275=:=?81=>>4=437>44>34>3<7?=9:?75g<6;9168;4no0:?=524129566<5=::6<=?;<636?74827?<>4>319>7fc=9::01>?i:013?85583;8<63=2`826==:<0i1=?74=ba957?<58l96<<6;<6a319>0f1=9::019m7:013?82d13;8<63:00826<=:=981=?74=40:>45734?9m7?=9:?fa?75127nj7?<0:?e4?74827m=7?<0:?e6?74827:<94>319>55c=9;201<>i:00;?87683;9463>10826==z{1>1<7=t=9795d`01l651358yvg?2908?v3n8;3ba>;38o0:>4522cc957?<5;i?6<=>;<0`1?751278il4>309>7`d=9:;01>kl:012?85bl3;8=63>6e8274=:=?;1=>?4=441>45634?:=7?<0:?651<6;9168:k5122891>7289;70?i9;31<>;39k0:?<5240a9567<5=;o6<=>;<7f`?751278jk4>309>056=9:;019>>:012?827:3;8=63;028274=:;jo1=>?4=23e>4563499<7?<1:?7=a<6:016on4>319>5`b=9;201?4=5a4>45634>h47?<1:?7g<<6;8169==513;8904>289:70;=a;304>;bn3;8=63i0;305>;a93;8=63i2;305>;68m0:>45211g957?<58:m6<<6;<324?75127:=<4>289~w=6=839p15?51`d8Z=6<5h<1=?94}rc5>5<5=r7j:7?ne:?1fd<6;916>n:5120897e2289;70=ja;306>;4mk0:??523da9564<5:oo6<==;<35`?74:27>:<4>339>134=9:80163;1b8277=:<8n1=><4=2de>45534>;<7?<2:?744<6;;168=<512089164289970=le;306>;6ml0:>5524b49564<5=i<6<==;<6`339>ac<6;;16j=4>339>b4<6;;16j?4>339>ba<6;916==;51228946b289;70??f;304>;6990:?=521039566<58;26<=?;|q4a?6=;r7;f=3;9;6s|a483>72|5h?1=lk4=3`b>456348h87?<3:?1g0<6;816?ho5121896ce289870=jc;307>;4mm0:?>5217f9565<5<<:6<=<;<756?74;27?=o4>329>04e=9:9019?k:010?85an3;8?63;018276=:<9;1=>=4=521>45434>;?7?<3:?0g`<6;:16>?l513:891e1289870:l7;307>;3k10:?>524b;9565<5ll1=>=4=g29565<5o;1=>=4=g09565<5oo1=>>4=025>45734;;i7?<1:?24c<6;816=<>512389476289:70?>a;304>{tl>0;68u2d982ec=Yl>16h44>269>`d<6:>16ho4>269~wa?=839p1i751`g89651288370=<6;31=>{tlh0;6?u2d`82e`=:=<21hi5rse`94??|5mh1=lk4=06b>ab<58?<6ij4=26f>ab<5:<96ij4=27:>ab<5:ab<5:i>6ij4}r`4>5<3s4h36288<70ln:004?xue13:1jv3m9;3ba>;4j00oh63<9b8g`>;4;10oh63;4i10oh63;6<=0oh63<8c8g`>;41:0oh63<7`8g`>;1<3no70=;4;fg?85?=3no70=mf;fg?xuei3:19v3ma;3ba>;3;2{t?j0;6>u27e82ec=Y?j16m94>269~wd2=838:w0o;:0cf?84ei3;8>63=c48277=:;lk1=>:4=2ga>453349no7?<4:?0aa<6;=16=;j512689075289;70:>b;300>;39j0:?95240f9562<5:lm6<=;;<634?74<27?<<4>359>054=9:>019><:017?85dm3;8863>e7826==::4=5a4>45334>h47?<4:?7g<<6;=16ik4>359>b5<6;=16j<4>359>b7<6;=16jk4>319>55c=9:801<>i:011?87683;8>63>108277=:98h1=>>4}r5b>5<4s4=i66<=<;<1fe?74=278io4>349>7`e=9:?01>kk:016?871l3;8963;1c8270=:<8i1=>;4=53g>452349mj7?<5:?745<6;<168=?512789165289>70:?3;301>;4kl0:?852215957><5=i=6<=:;<6`3?74=27?o54>349>0f?=9:?01hh512789c6=9:?01k?512789c4=9:?01<>?:013?877?3;8<63>0d8276=:99l1=>=4=033>45434;:=7?<3:?25f<6;91v<<>:187875:3;jj6P>209>575=9;=01<<;:004?xu6::0;69:t=000>4gb348?:7jk;<626?bc34?2m7jk;<0;6?bc348m;7jk;<136?bc349;h7jk;<43f?bc3488n7jk;<0;e?bc3482>7jk;<0b6?bc348jm7jk;<0a6?bc348io7jk;<0`=j7jk;<750?bc34>3?7jk;<7b7?bc34>9<7jk;<61`?bc34?mi7jk;<045?bc348ab<5;ab<5:no6ij4=401>ab<5<=?6ij4=4:2>ab<5ab<5=k;6ij4=51f>ab<5=?m6ij4}r310?6=94>ad9>764=lm169l75de9>0<7=lm1v9=i:18082383;jj6P;3g9>017=9;=0q~:;1;290f}:<=;1=lk4=52`>44?34>;h7?=9:?74c<6;9168<>513;890?22883708?6;304>;1810:>452335957><5:836<<7;<1fe?74>278io4>379>7`e=9:<01>kk:015?87083;9463>7`826==:=1<1=?64=4:4>45734>=;7?=8:?72d<6:1169;?512689002288370;95;31=>;2i90:?=5243c957><5i44>319>1`e=9::01>hi:015?82783;8:63;008273=:<981=>84=4g3>44>34?n=7?=9:?6a7<6:016=l?513;894b2288370?k6;31=>;6l10:>4523b`957><5:;m6<==;<104?750278?=4>289>124=9;20189i:00:?83e;3;9463;e9826==:44?34>3h7?<0:?7=g<6:116oo4>299>00>=9;3019;n:00:?822k3;9463;b6826<=:>4=5`:>45734>m87?=8:?7b2<6;9169=?512289064289;70;?4;31=>;2:j0:>45253f957?<5<8n6<<6;44>34oj6<<7;45134l;6<=9;44>34l36<<7;<33f?75027:

359>55`=9:>0q~;99;297~;2>h0:mk5Q57;8900e288<7p}:6c83>66|5<359>7`g=9:=01>km:014?85bk3;8;6384=53a>45134>:o7?<6:?75a<6;?16?kh512589167289<70:?1;303>;38;0:?:524119560<582=6<<7;<3b5?74827:m?4>289>7fc=9:<019k7:00:?834>3;9563;b68275=:?4=5`:>45634>h:7?<6:?7g2<6;?168n65124891e>289=70:i4;31=>;2880:?<525109566<5<:86<=>;<730?74827><84>289>ac<6;>16j=4>369>b4<6;?16j?4>379>557=9::01<>7:013?877j3;9563>0e8275=:99o1=>;4=02e>45234;:<7?<4:?254<6;=16=ad9>6f3=9:?01>kn:00b?85bj3;9m63>6e8272=:=891=>>4=55e>45734>:n7?<7:?0bc<6:h168=>513c89164289<70<=3;31<>;6n80:?=524b49561<5=i<6<=8;44f34l:6<=8;<33a?74>27:==4>349~w22=839p1:;51`d8Z22<5h:1=?94}rc3>5<6;r7j<7?ne:?1fd<6;<16?hm513c896cc288j70;>3;305>;3?o0:?<5240a9561<5=;o6<=8;<635?75i27?2`9>7fc=9:=01??l:00;?87a93;8=63;c98272=:94=g2957g<5o81=>94=02e>45134;:=7?<5:p027=839p199=:0ce?[20927?;>4>269~w1142908w0:83;3ba>;6<10:>55215:957?54z?2ed<6:>169<651`d8Z07034?:;7?ne:p5dg=83?p150826<=:;;=1=>?4=20;>4563ty?m<4?:2y>0d4=9hl0R9o>;<6b7?75?2wx8l=50;1x91g428kn70?:2;31<>;6=;0:>45rs5ae>5<4s4>o<7?nf:\7gc=:r7?h<4>ad9>7`4=9;201>k=:00:?87193;8<63>628275=:1=>?4}r70?44>ag9]16><5<9j6<<8;|q67d<72?q69>o51`g896`0288370=i7;31=>;6180:?=521819566<58:i6<=>;|qf0?6=;r7n97?nf:\f0>;69o0:>:5rs03e>5;4=?0:>55237:957?<5:<26<=>;<057?750279:>4>289>724=9;201>9=:00:?xu3kj0;6>u24bf95d`{t4gb349:47?=8:?05=<6:01v889:180831?3;jj6P:679>13>=9;=0q~;98;297~;2>10:mh523b1957><5:i86<<6;|q;6?6=;r73?7?nf:\;6>;f?3;9;6s|a683>7}:i>0:mh521ed957?4ga3W3i70l<:004?xue;3:1>v3m3;3ba>;6m90:>45rs8594?5|5021=lh4^8589g7=9;=0q~l>:1808d628kn70?j7;31=>;5810:>45rs9f94?5|51o1=lh4^9f89de=9;=0q~ol:1818gd28kn70{t>l0;6>u26g82ec=Y>l165i4>269~w83;jj6P7f:?b`?75?2wxmi4?:3y>ea<6il16>=k513;8yv172908w09>:0ce?[17343n6<<8;|q:a?6=:r72i7?ne:?14c<6:01v4?50;1x9<4=9hl0R4?4=`g95714gb348:97?=9:p37<72:q6;>4>ag9]37=:1o0:>:5rs8d94?4|50l1=lk4=335>44>3ty2?7>53z?:0?7fn2T2?63nf;313>{tio0;6?u2ag82e`=::8n1=?74}r;6>5<4s43=66}:?00:mk5Q799>e7<6:>1vl<50;0x9d4=9ho01?==:00:?xu2u255f95d`h70;;c;3ba>{t=<:1<744034?><7?ne:p11`=838p18:l:d28902a28kn7p};4383>6}:<=91=lh4^561?823:3;ji6s|45494?4|5=>96<<8;<672?7fm2wx89;50;0x91252l:019:::0cf?xu3l;0;6>u24e195d`{t44034>o:7?ne:p0a3=838p19j=:d2891b228kn7p}:3c83>6}:=:i1=lh4^41a?834j3;ji6s|52d94?4|5<9i6<<8;<70b?7fm2wx9>k50;0x905e2l:018=j:0cf?xu3>90;6>u247395d`{t44034>=>7?ne:p10d=839p18;l:0ce?[32j27>9o4>ad9~w03c2909w0;:b;313>;2=m0:mh5rs42;>5<4s4?;57?nf:\64==:=921=lk4}r73e?6=:r7><54>269>15g=9ho0q~:84;297~;3?<0:mk5Q4668911328kn7p};7683>7}:<>>1=?94=554>4gb3ty:o<4?:2y>5f4=9hl0R;<3`5?7fm2wx=k;50;0x94e6288<70?i5;3ba>{t9k<1<7=t=0`4>4ga3W;i:63>b782e`=z{8ni6=4={<3a2?75?27:ho4>ad9~w4d?2908w0?m9;3bb>X6j116=o651`g8yv7b:3:1>v3>b98262=:9l81=lk4}r3a`?6=;r7:nh4>ag9]5gb<58ho6bg83>6}:9j:1=lh4^0`e?87en3;ji6s|21194?4|58hm6<<8;<037?7fm2wx=n=50;1x94e328km7S?l3:?2g6<6il1v?>n:18187d;3;9;63=0`82e`=z{8i>6=4<{<3`2?7fn2T:o8521b795dc52z?2g0<6:>16>c982ec=Y9j=0134;h57?ne:p64`=838p1;6kk0:mh5rs305>5<5s4;hn7?=7:?163<6il1vce9>5fb=9ho0q~<=d;296~;6km0:>:5223f95dcn?7>53z?7a1<6io1U8h=4=5g0>4gb3ty?i84?:3y>0`5=9;=019k::0cf?xu2;80;6>u252095d`{t=:91<744034?8?7?ne:p07c=839p19h4>ad9~w1572909w0:=e;313>;3;90:mh5rs5:6>5<4s4>3:7?nf:\7<0=:<1?1=lk4}r6;3?6=:r7?484>269>0=1=9ho0q~:62;297~;31:0:mk5Q480891?528kn7p};9583>7}:<081=?94=5;7>4gb3ty?m94?:2y>0d3=9hl0R9o;;<6b0?7fm2wx8l850;0x91g3288<70:n6;3ba>{tk:0;6?u25059571<5j91=lk4}ra1>5<5s4?:;7k?;4gb3ty:no4?:2y>5ge=9hl0R{t<:?1<7=t=515>4ga3W>8963;3482e`=z{=9<6=4={<601?75?27??:4>ad9~w1362908w0::2;3bb>X3=81688?51`g8yv22;3:1>v3;508262=:<<91=lk4}r6a5?6=;r7?n?4>ag9]0g7<5=h:66}::18182bn3;9;63;f082e`=z{=lj6=4<{<6ef?7fn2T?jl524gc95dcmo7>52z?7bd<6:>168km51`g8yv35;3:1?v3:2582ec=Y=;9018<<:0cf?xu2:<0;6?u25319571<5<8>6;ej3;ji6s|be83>7}:jk0:>:52be82e`=z{m:1<7=t=e395d`51`g8yvb52909w0j?:004?8b528kn7p}:5683>1}:=<:1=?94=46f>44034?>m7?=9:?61=<6il1v8:j:187833m3;ji63:54826==:=<<1=?64=070>44?3ty>9<4?:3y>11`=9;=018;>:0cf?xu2=00;6?u25439571<57}:=4403ty:>;4?:b0x944128kn70;:8;07<>;2=109?95245d961><5=>m6?=;;<6f5?43027?i<4=359>11g=:=2018:n:317?843>38?463=478171=:<881>964=531>75334?2m7<;8:?6=d<5;=16=9m513;8942f2;>370?:9;31=>;6=>098552290961><5;296?=;;<0e3?430279j:4=359>754=:=201>>=:317?857l38?463<0e8171=:>9h1>964=72a>7533488n7<;8:?17g<5;=16>5o525:897>f2;9?70<62;07<>;51;09?9522`0961><5;k96?=;;<0be?430279ml4=359>6g4=:=201?l=:317?84ek38?463=bb8171=::j21>964=3a;>753348o<7<;8:?1`5<5;=16>i6525:897b?2;9?70;5m909?9522d:961><5;o36?=;;<11e?430278>l4=359>6964=2d2>75334;<97<;8:?230<5;=16=:j525:8941c2;9?70;5n909?9523d6961><5:o?6?=;;<1e=?430278j44=359>1=e=:=20186l:317?821n38?463;6g8171=:=?>1>>:4=5:0>72?34>3?7<<4:?6e6<5<1169l=5226891472;>370:=0;000>;3:m09855243f9662<5:h26?:7;<1a=?44<2785n4=499>701>=7:36;?8540388863:fd810==:=oo1>>:4=26f>72?349?i7<<4:?0e7<5<116?l<5226896052;>370=92;000>;4i10985523`:9662<5:h;6?:7;<1a4?44<278944=499>70?=::>01>8k:36;?851l388863=70810==::>;1>>:4=35b>72?348370?;4;000>;40k09855239`9662<5:386?:7;<1:7?44<278h:4=499>7a1=::>019>::36;?827=388863>67810==:9?<1>>:4=0;5>72?34;2:7<<4:?03d<5<116?:o5226890e>2;>370;l9;000>;2l80985525e39662<5i94=499>1`2=::>01;:525:8932=::>01<66:36;?87?1388863>a5810==:9h>1>>:4=0fb>72?34;om7<<4:?120<5<116>;;52268967f2;>370=>a;000>;4<=0985523569662<5:=?6?:7;<140?44<278484=499>7=3=::>01>li:36;?85en388863>:4=2f2>72?349o=7<<4:?6g6<5<1169n=5226893g=:=201;o52268970e2;>370<9b;000>;4:;0985523309662<5:996?:7;<106?44<278hi4=499>7ab=::>018<=:36;?835:388863:75810==:=>>1>>:4=4:2>72?34?3=7<<4:?6e<<5<1169l75226890d02;>370;m7;000>;2jm0985525cf9662<5=3:6?:7;<6:5?44<27?m=4=499>0d6=::>019l?:36;?82e8388863;3d810==:<:o1>>:4=57e>72?34>>j7<<4:p61c=832mw0<;e;3ba>;2=10oo63;4g8gg>;3m80oo63:4`8gg>;39;0oo63:9`8gg>;6568gg>;18k0oo63=89826d=::121=?l4=3:;>44d348347?=d:?1<=<6:l16>56513d897?7288i70<60;31g>;5190:>i52282957c<5;3;6<2b9>6gg=9;n01?ln:00f?84ei3;9j63=c4826g=::j?1=?m4=3a6>44c348h97?=e:?1g0<6:o16>h85125897c1288j70;5m?0:>n522d4957b<5;o=6<l4kc:?1==<6:j16>46513f897??288n70<68;31b>;4n80oo63>748gg>;6>m0:>n5217f957b<58hk513g897cb288m70;7c;f`?821n3nh70;94;f`?82?;3nh70;n3;f`?82583nh70:>b;31b>;39j0:>k5240f957`<5=8o6im4=2`:>ae<5:3h6im4=21;>ae<5ae<5:k96im4=241>ae<5:k36im4=2`3>ae<5:?26im4=24g>ae<5;=:6im4=35b>ae<5ae<5:2i6im4=2;0>ae<5:n<6im4=526>ae<5:lm6<2g9>054=9;l019><:00e?871>3nh70?66;f`?850i3nh70;l9;f`?83c93nh70;k7;f`?83b<3nh708;:ea894>>2mi01:;:ea896132mi01>6::ea896da2mi01>m::ea896b62mi01>mj:00`?85dm3;9h63ae<5?k1hn5227`9`f=:;;81hn523209`f=:;mn1hn525309`f=:=>>1hn525939`f=:=h31hn525c59`f=:=kn1hn524839`f=:h52eg826c=:n90:>h52f1826c=:n80:>h52f0826c=:n;0:>h52f3826c=:99o1=?k4=02f>44a34;;j7?=e:?24c<6:o16=<>513g89477288m70?>1;31a>;6980:>k5rs470>5<5s4?>>7?=8:?616<6il1v8;;:181832:3;9563:5582e`=z{:>=6=4i{<761?75127>9;4>289>505=9;301>:9:0cf?853i3;9463<4c826==:;=i1=?64=27f>44?349>j7?=8:?011<6:116?8;513:89630288370=99;31<>;4>h0:>55237`957>m7>5bz?610<6;916988512289434289;70=;b;31=>;445234c95dc<5:<;6<<7;<163?751278:44>289>73g=9;301>8m:00:?xu4=90;6iu25479567<5;<367?7492788o4>319>71e=9::01>;j:00:?852n3;9563<5182e`=:;<>1=?74=276>44>349=57?<0:?02d<6;916?;l51228yv51<3:15v3:548277=:=<<1=><4=070>455349?n7?<1:?00f<6;816?8951228960328kn70=98;31<>;4>k0:?<5rs476>5<5s4?>97?ne:?611<6:11v<=6:186832>3;8?63>3882e`=:;m?1=?64=2f6>44>34>jh7?=8:p7f6=838p18;9:017?85d83;ji6s|54494?4|5288370;:9;31=>;3=90:>552442957?<5=o96<<7;<6f6?75127>8o4>299>11d=9;301>l9:00;?85e?3;946344?34?n6<<7;<1;4?75027>=h4>299>0dd=9;201o:51`g891de288370:l4;31<>{t<=o1<7=t=565>44034>?87?=7:?70c<6il1v9:;:186823<3;ji63>4c826==:9=h1=?74=07;>44?34;>47?=9:p011=838p19:::004?823?3;ji6s|44294?4|5=><6<<8;<664?7fm2wx89650;0x912a288<70:;8;3ba>{t<=31<744?34>?57?ne:p01g=838p19:7:00:?823i3;ji6s|38694?70s4>?n7?=8:?70f<6:11689j513:891bd288370;;5;31<>;41=0:mh5238;957><5:3j6<<7;<375?7502785=4>299>7<7=9;2018k513;890`=9;201;>513:8937=9;201;<513:8965b288370=;4<90:>552391957><5=k26<<7;<6bg?75027?mi4>289~w654290mw0:;b;31=>;6=<0:>5523c4957?<5:h<6<<6;<1:=?751278?>4>ad9>7db=9;301>oj:00:?87393;9563<91826<=:;0;1=?74=21g>44?3498i7?=9:?007<6:116?5?513:8yv5>l3:1=9u245`9566<5=>h6<<6;<67`?75127?hi4>299>110=9;201>77:00;?85>03;9563<9e82e`=:9:n1=?64=01g>44>34?n6<=?;<7e>44>34<;6<<6;<42>44>34<96<<6;<10a?748278?k4>289>716=9;301>6<:00:?82f13;956s|3`194?74s4>?n7?<1:?70f<6;91689j5122891bb288370;;7;31<>;4i90:>5523`2957?<5:k86289>1`<6;8169k4>319>25<6;916:<4>319>27<6;916?>k51238965a289;70=;0;304>;40:0:?=5rs2c:>5<6;r7?8o4>339>01e=9:;019:k:012?82cn3;9463:49826==:;h<1=?64=2c5>44>349j57?ne:?27c<6:116=>h513;890c=9:8018h51238936=9:;01;?51238934=9:;01>=j:011?854n3;8=63<418274=:;191=>?4}r67f?6=:r7?8o4>ad9>01g=9;20q~=m1;2950}:<=i1=><4=076>44>349i=7?ne:?0f0<6:116?lj5122896gb289;70?;2;31=>;2m3;8?63:f;306>;183;8>6391;306>;1:3;8>63<3e826<=:;:l1=><4=261>44>3493<7?=9:?0<4<6:016?5<513:896>4289970:nb;31=>;3im0:?=5rs56`>5<5s4>?o7?ne:?70d<6:01v>9::18b823l3;8>63>548275=:;k<1=>>4=2cg>45634;?>7?<0:?030<6il16:?4>329>714=9::01>6=:00:?82ej3;956s|3cc94?g|5=>o6<=<;<1a3?748278mh4>309>27<6;=16?>j512289627289970=72;304>;4jh0:mh524``9566<5=hi6<=?;|q70a<72;q689j51`g8912f289;7p};e183>6}:44034>n=7?ne:p0a2=838p19j;:0cf?82cn3;8<6s|4e594?4|5=n>6<<8;<6g3?7fm2wx8h<50;0x91b0288<70:j2;3ba>{t44034>o47?ne:p0a?=838p19j7:00;?82c13;ji6s|4ec94?4|5=n36<<6;<6ge?7fm2wx?9?50;1x91be288370:kb;31=>;4<80:mh5rs5fa>5<5s4>on7?ne:?7`d<6:11v<;n:18b82ck3;9563;de826<=:44>34;=j7?=8:?21d<6il16=;?513:89405288370?93;31<>;4<;0:?<5rs5f`>5<5s4>oo7?ne:?7`d<6:01v9jk:18182cl3;ji63;d`8275=z{=nn6=4={<6ga?7fm27?hl4>309~w1ba2909w0:kf;3ba>;3lh0:??5rs46:>5<4s4?8j7?=7:?67a<6:>1699o51`g8yv34l3:1>v3:3e82e`=:==21=>>4}r774?6=:r7>?h4>269>116=9ho0q~;;b;296~;2<90:>:5255`95dc52z?60d<6:>1699?51`g8yv33:3:1>v3:40826==:==81=lk4}r777?6=:r7>8<4>289>115=9ho0q~?:4;297~;2<=0:>552556957?<58??68`83>d}:==?1=?74=465>44>34??;7?=9:?60=<6:016=8;51238910>288370?7a;3ba>;6180:>552180957><58386<<7;|q600<72;q699;51`g8902428827p}:4783>7}:==<1=lk4=460>4573ty>8:4?:3y>111=9ho018:<:012?xu2<10;6?u255:95dc<5<>86<==;|q100<72:q6>>m513589720288270<;6;3ba>{t::i1<7?={<00g?7fm279894>319>1<>=9;201;><:00;?84413;8<63<138274=:=o31=?64=4db>44?34?hj7?=8:?6``<6:1169ih513:890c7288370?k6;31<>;6l>0:>55256f957><5<=n6<<7;<74b?75027>>n4>299~w75b2909w0<;7;31<>;5;l0:mh5rs364>5<5s48?;7?ne:?103<6:>1v?=k:181843>3nh70<{t::l1<744?3488j7?ne:p616=838p1?=j:00:?84383;ji6s|2g394?g|5;>:6<<7;<076?7502798>4>299>6c7=9ho01?h::00;?84am3;9463=fg826==:;921=?64=22b>44?349:>7?=8:p6c?=83kp1?:>:00:?843:3;9563=42826<=::o31=lk4=3df>44>348mj7?=9:?04<<6:116?=o513;8975>288370=>2;31=>{t;991<77t=362>457348?>7?<0:?106<6;916?==51`g8966?288270=?9;31=>;48h0:?=5222;957?<5:;96<=?;|q125<728lp1?:>:012?843<3;9463=3e826==::>n1=?64=3d6>44>348m>7?=8:?045<6:116>ko513:8966e288370=?4;31<>;5;?0:>552297957><5;2o6<<7;<0:`?750279m84>299>6db=9;201?l::00;?84en3;9463=cc826==::m91=?64=3fa>44?348n?7?=8:?1=0<6:116?=h513:897ce288370<9f;31<>;5?>0:>55226:957><5;<;6289~w7262909w0<;1;3ba>;5<90:>55rs345>5<6lr798?4>309>612=9;301?=k:00:?840l3;9563=f48275=::o81=?74=223>44>348mm7?=9:?04g<6:016?=:513;89751288270<75;31=>;50m0:>45228f957?<5;k>6<<6;<0b`?751279n84>289>6g`=9;301?mm:00:?84c;3;9563=dc826<=::l91=?74=3;6>44>349;j7?=9:?1ag<6:016>;h513;89710288270<88;31=>;5>?0:mh5rs361>5<5s48?>7?ne:?105<6:01v>>j:1823~;5<:0:?<522569567<5;=o6<=?;<0e1?749278<=4>319>75d=9::01?6::013?84?l3;8<63=9e8275=::h?1=>>4=3cg>457348i97?<0:?1fc<6;916>nl5122897b4289;70;5m:0:?=522879566<5::n6319>621=9::01?97:013?xu5<:0;6?u225195dc<5;>;6<=?;|q101<72;q6>9:51`g89727289:7p};1083>6}:<9<1=?94=530>44>34>:>7?ne:p050=839;w0:?6;3ba>;38j0:>45241f9566<5=:n6<<6;<63b?74927?==4>319>7`g=9;h01>km:00a?85bk3;9n6344f34>:h7?=a:?76<<6:1169hm5123890cc289;70;je;31=>;4no0:>o52412957d<5=::6<4>2`9>532=9;201<7;:00;?87??3;9463>a08274=:9h81=>>4=2a`>44?34>h:7?=a:?7g2<6:h168n6513c891e>288j70;?1;306>;28;0:?<525119564<5<:?6<=>;<731?74827ni7?<0:?fb?75j27m<7?=b:?e5?75i27m>7?=a:?24a<6;816==k51258946a289<70?>0;302>;6980:?;52106957>;;7>52z?756<6:1168=951`g8yv26;3:1>v3;1282e`=:<881=?94}r63299>05>=9ho0q~:?9;296~;38>0:>45241;95dc53z?74d<6:1168=o513;890ce28kn7p};0`83>7}:<9k1=lk4=52:>44?3tynh7>53z?74g<6:1168=l513;89`b=9ho0q~:?b;296~;38k0:mh5241;957?541y>05e=9::019>j:013?83>>3;94639068275=:;;=1=?74=20;>44>349nj7?=8:?235<6:016=:o513;890>1288270;78;304>;20h0:>552475957?<5=m<4>319>04d=9;h019?l:00a?826l3;9n63;2`826<=:=ok1=>>4=4d`>45734?nm7?<0:?6aa<6;8169hk512289164288i70;j0;304>;6i;0:?<521e7957?<58n=6<=?;<1`f?751278>=4>339>77b=9ho0189=:00:?830n3;8<63:b0826<=:=k81=?74=4`7>44?34>nm7?=9:?7ag<6:01685k5122891?e288270mm:00:?82213;9563;5c826<=:44e34>h47?=b:?7g<<6:k169=<512089062289:70;=c;304>;b03;9563j9;31=>;bj3;9463je;305>;a93;9n63i2;31f>;a>3;9563i7;31=>;a13;9463>0e8277=:98:1=>94=032>4503ty?05e=9ho019>6:013?xu39=0;6?7t=52g>45634>;i7?<1:?74c<6;;168<>5123896cf288h70=jc;31g>;39=0:mh5240;957><5=;i6<:4>299>07>=9;2018kl:011?83bl3;8>63:ed8274=:;ol1=?m4=522>44d34;>i7?=8:?21`<6:016=5k513:894>b288270?73;31<>;60=0:>55218g957><58k;6<<7;<3b5?74:27:m?4>339>7f?=9;2019m9:00`?82d03;9o63:008276=:=981=>=4=420>45434?;87?<2:?640<6;;16ih4>339>55b=9:901<>j:00b?877n3;9m63>11826d=:98;1=?o4}r615?6==;q68=j51208916b289970:?f;307>;3990:??523d`957e<5:oo6<309>524=9::0198n:013?821j3;8=63;6b8275=:=8;1=>?4=431>45634?:?7?<2:?651<6;8168:k51238911a289970:70;305>;3910:>55240:957?<5=;o6<:4>289>07?=9;3019=4=4gf>45534>;<7?=c:?747<6:j168==513a89403288270?64;31=>;60:0:>452197957><582=6<<6;<3;3?75127:5h4>289>5<`=9;201a38276=:;j31=?74=7:957><5?21=?74=b;957><5jk1=>>4=b`9566<5ji1=>?4=0d3>45634;m=7?<2:?2b7<6;8168n9513a891e>288h70;?1;300>;28;0:?9525119562<5<:?6<=<;<731?74;27ni7?<3:?e`?74927mi7?<1:?eb?74927:<=4>309>557=9:;01<>;:012?877=3;8=63>078274=:99=1=>?4=02;>45634;;h7?<4:?24`<6:k16==h513`89477288i70?>1;31f>;6900:?<5210c9567<58;i6<=>;<32g?74927:=i4>309~w3d=83?nw0:?d;307>;38l0:?>5241d9562<5=;;6<=<;<1fe?75l278io4>2e9>7`e=9;n01>kk:00g?871n3;9563>718274=:9>;1=><4=051>45634;;2980:??525009564<5<;86<=<;<720?74:27?;h4>339>02`=9:90196?:011?826j3;9h63;1b826a=:<8n1=?j4=50:>45734>9m7?<1:?76g<6;8169hm5126890cc289?70;je;307>;4no0:>i52412957b<5=::6<4>2e9>537=9;301<8=:00:?871;3;9563>658275=:90;1=?74=0;1>44>34;2?7?=9:?2=1<6;916=585122894>0289;70?n0;304>;6i80:?9521`09562<5j31=?74=bc9567<5jh1=>?4=ba9564<58l;6<==;<3e5?74;27:j?4>339>2g<6il168>m513:891e1288o70:l7;31`>;3k10:>i524b;957b<5<::6<=:;<736?74=27><>4>349>152=9:>018>::017?8cb289?70ki:00`?8`7288h70h>:00`?8`5288h70hk:011?8`b289970hi:011?87783;8>63>008277=:99>1=><4=026>45534;;:7?<2:?242<6;;16==651208946c289>70??e;31g>;68o0:>n52102957e<58;:6<339>54d=9:8016s|41f94?4|5=:o6{t<9l1<74gb34>;57?<3:p775=83>9w0:>0;300>;18?0:?<526159567<5;hj6<=9;<0`1?74>278>>4>ad9>771=9::01><7:013?85bi3;9i6344b349nj7?=9:?22a<6:h16=:<5120890>0289:70;78;305>;20h0:>45247a9564<5<;?6<=<;<6;4?74;27>m=4>309>1d7=9:;019?m:00f?826k3;9i63;1e826`=:<;h1=><4=4da>45634?mo7?<1:?6a<<6;8169ho5123890cb289?70=if;31a>;3890:>h52413957c<5=:96<2`9>1g5=9;3018l;:00:?82bk3;9563;8e8274=:<1o1=>?4=ba9565<58l96<=<;<66g?75127?o;4>2d9>0f1=9;o019m7:00f?82d13;9i63;f68274=:=9>1=>;4=426>45234?9m7?<1:?fe?75127nn7?=9:?fb?75l27m<7?=d:?e5?75l27m>7?=d:?e1;31`>{t<8:1<74gb34>;57?<4:p1i3;ji6s|59g94?7?s4?3i7?ne:?6=0<6:016948513;890?0288370;68;31=>;18?0:??526159564<5<2<6<==;<7;m=4>339>1d7=9:8018h6:00:?83aj3;8>63:fb8277=:=l31=><4=4gb>45534?oj7?=9:?2`2<6:0169:k513;891>c289970:7e;306>;3n=0:?=524g59564<58:i6<=?;|q6a28kn7p}:9183>7}:=1l1=?64=4;3>4gb3ty>5<4?:3y>1=`=9;30187>:0cf?xu2m<0;6ou2580957><5<396<<6;<7:b?75027>5k4>289>1`3=9ho018j::00;?83c=3;9563:78826==:=>31=?74=4c4>44?34?j;7?=9:p1<4=838p187=:0cf?83>93;946s|53`94?5|5<386<<7;<7:7?75127>>o4>ad9~w0?42909w0;63;3ba>;2180:>45rs4`3>5<4s4?287?=8:?6=1<6:0169o>51`g8yv3><3:1>v3:9582e`=:=0;1=>>4}r7;6?6=>r7>584>319>1<0=9::0186=:0cf?83?>3;8<63:868276=:=121=>=4}r7:1?6=:r7>584>ad9>1<7=9:;0q~;66;296~;21?0:mh5258395647>526y>1<1=9;301877:013?807>3;8?639068276=:>921=>>4=4:4>45334?347?<4:?65121890g6289870;i2;3ba>;2n10:>5525d;9565<5i=4>309>1`7=9::018k=:013?87c<3;9463>d48275=:=>o1=>>4=45e>45634?i=7?<0:?6f7<6;9169o=5122890d3289;70:ja;304>;3mk0:?=524da9566<5=2o6<=<;<6;a?74;27>>n4>309>17b=9::018289;7p}:c`83>41|5<3<6<=?;<1fb?74827>j54>289>1c?=9::018hn:012?83aj3;8?63:fb8276=:=jk1=lk4=0f7>44>34;o97?<1:?2`3<6;816=i95122894b?289;70::8;304>;3=00:?=5244c9566<5=?i6<=?;<66g?74827n;7?<0:?f93;8?6s|5gd94?4cs4?247?<1:?6bc<6il16:=:513:8936?289:70=jf;305>;20h0:?<525g;9567<5hh4>289>1a`=9:;018k?:011?83b93;8=63:e38274=:9m<1=><4=0f4>45634;o47?<1:?63a<6:0169:k51238901a289970;m1;305>;2j;0:?<525c19567<5;<6fe?74927?io4>309>0`e=9:;019;7:012?82213;8=63;5`8274=:<?4=57`>45634?9o7?<2:?66a<6;8169?k512389`1=9:;01h6512389`?=9:;01ho512389`d=9:;01k;512389c0=9:;01k9512389c>=9:;01k751238yv3>03:1>v3:9982e`=:=0;1=>:4}r37=?6=:r7:884>269>51g=9ho0q~?;5;291~;6<<0:mh5238c957?<5:3;6<=?;<6bg?75127:=84>299~w42e2909w0?;c;31<>;65<5s4;?o7?ne:?20d<5;=1v<:9:181873i3;9;63>4782e`=z{8><6=4={<372?75027:8:4>ad9~w42?2909w0?;6;31=>;6<10:mh5rs075>5<5s4;?h7?=7:?212<6il1v<:k:185873l3;ji63<9`8275=:;0;1=>>4=2fa>44?349on7?=9:?7ef<6;91v<;::181872<3;9;63>5482e`=z{8?36=4={<36=?75027:954>ad9~w43>2909w0?:9;3ba>;6=>09?95rs06f>5<5s4;>;7?=7:?20`<6il1v<:i:181873m3;9463>4g82e`=z{8?;6=4={<37a?75127:9=4>ad9~w4362909w0?:1;3ba>;6=90:>55rs071>5<5s4;>>7?ne:?215<6:01v<;<:181872;3;ji63>518275=z{;2:6=4<{<04g?75?2794>4>289>6=4=9ho0q~<8c;29b~;5?j0:mh5229:957><5;3;6<<7;<0b4?750279m=4>289>6d>=9;201?l?:00;?84e03;9463=c3826==::jo1=?64=3f5>44?348oi7?=8:?1a3<6:116>46513:897cb28837p}=7d83>7}::191=?64=35f>4gb3ty94>4?:3y>6=5=9ho01?6=:004?xu5?m0;6?u22909`f=::>n1=lk4}r04b?6=:r79;h4>299>62`=9ho0q~<70;296~;5?l0:>45229295dc53z?1b4<6:>16>k6513;897`028kn7p}=f283>7}::o21=?64=3d0>4gb3ty9j54?:3y>6c>=9ho01?h8:004?xu5n;0;6?u22g59`f=::o81=lk4}r0e0?6=:r79j>4>299>6c2=9ho0q~4522g795dc52z?1b<<6:>16?=<51`g8yv4aj3:1>v3<038262=::oh1=lk4}r0ee?6=:r78ad9~w7`b2909w0;5nm0:>55rs3de>5<5s48mj7?ne:?1ba<6:01v>>?:18185783;ji63=fe8275=z{::h6=4={<137?75?278ad9~w6622909w0=?d;313>;48<0:mh5rs227>5<5s49;h7jl;<130?7fm2wx?=850;0x9662288370=?6;3ba>{t;9=1<744>349;;7?ne:p75>=838p1>>7:0cf?857?3;946s|31;94?4|5::26{t;9h1<74gb349;;7?<1:p25g=838p18hi:004?807j3;ji6s|61;94?4|5?:>6<<8;<43=?7fm2wx:=;50;1x936228kn70;8a;31<>;2?h0:>45rs723>5<5s4<;n7?=7:?545<6il1v;>>:18180783;946390082e`=z{?:96=4={<434?75127=ad9~w7522909921=><4=316>4gb349nj7?<2:?6;2j80:??525c09564<5339>0`d=9:8019kl:011?82203;8>63;588277=:<<4=57a>45534>>o7?<2:?66a<6;;169?k512089`1=9:801h6512089`?=9:801ho512089`d=9:801k;512089c0=9:801k9512089c>=9:801k751208yv07;3:1>v390282e`=:>981=?64}r7`0?6=>r7=<94>289>1f2=9ho018m>:00;?83d93;9563:ad826==:=ho1=?74}r7aa?6=319>1gc=9ho018lm:00;?83ej3;956s|61694?4|5?:?6{t>9=1<74gb34<;57?=9:p13`=83;mw08?8;307>;4mo0:?>5259c9565<5329>13`=9ho018l>:010?83e:3;8?63:b28276=:=k>1=>=4=5gb>45434>nn7?<3:?7af<6;:1688651218913>289870::a;307>;3=k0:?>5244a9565<5<8o6<=<;<71a?74;27n;7?<3:?f{t::k1<74403488n7?ne:p661=838p1?=m:004?844?3;ji6s|22494?4|5;9i6im4=315>4gb3ty9?54?:3y>661=9;201?=7:0cf?xu5;00;6?u2225957?<5;9265:5135897>e288270<7a;3ba>{t:1>1<7:t=3:7>4gb3482<7?=a:?1==<6:h16>hk513c8yv4?>3:1>v3=8c826==::1<1=lk4}r0;f?6=:r794o4>ad9>6=g=9;=0q~<75;296~;50h0oo63=8482e`=z{;2<6=4={<0;2?7502794:4>ad9~w7>?2909w0<76;31=>;5010:mh5rs3;`>54m51`g897g?288270;5j10:>4522b0957?<5;in6<<6;<0g2?751279hh4>289>6`0=9;301?77:00:?84bm3;956s|2`694?e|5;236<=?;<0:4?748279m94>ad9>6g6=9::01?l7:013?84d:3;8<63=cd8275=::m<1=>>4=3ff>457348n:7?<0:?1==<6;916>hk51228yv4fk3:1nv3=898274=::0:1=>?4=3c`>4gb348i47?<1:?1g7<6;816>nk5123897b1289:70;5m?0:?<5228:9567<5;on6<=>;|q1f1<72hq6>565120897?7289970;5k;0:??522bg9564<5;n=6<==;<0ga?74:279i;4>339>6<>=9:801?kj:011?xu5jl0;64u229:9565<5;3;6<=<;<0aa?7fm279oh4>329>6a0=9:901?jj:010?84b>3;8?63=998276=::lo1=>=4}r0`e?6=0r79454>359>6<6=9:>01?mn:0cf?84c>3;8863=dd8271=::l<1=>:4=3;;>453348ni7?<4:p6a4=83=p1?67:016?84>83;8963=d382e`=::mo1=>;4=3g5>452348247?<5:?1a`<6;<1v?jn:18584?03;8:63=918273=::mk1=lk4=3g5>451348247?<6:?1a`<6;?1v?k=:18684?03;8;63=918272=::l81=lk4=3;;>450348ni7?<7:p6<7=839p1?6l:004?84>;3;9563=9382e`=z{;2h6=4<{<0;g?7fm279554>2c9>6`c=9;h0q~<7e;296~;51:0:>55229g95dc52z?1=6<6il16>4<51358yv4?l3:1>v3=938gg>;50m0:mh5rs3:e>5<5s483i7?=8:?14>289>6d4=9ho0q~<6e;296~;5i:0:>55228g95dc52z?1e6<6il16>l<51358yv4>l3:1>v3=a38gg>;51m0:mh5rs3;e>5<5s482i7?=8:?1=c<6il1v?o?:18184>m3;9563=a182e`=z{;k26=4<{<0b0?75?279mo4>289>6dg=9ho0q~5522`495dc52z?1eg<6il16>lo51358yv4f=3:1>v3=a`8gg>;5i<0:mh5rs3c4>5<5s48j:7?=8:?1e2<6il1v?o7:18184f>3;9563=a982e`=z{;h:6=4<{<0bg?75?279n>4>289>6g4=9ho0q~5522`g95dc52z?1f6<6il16>o<51358yv4fl3:1>v3=b38gg>;5im0:mh5rs3ce>5<5s48ji7?=8:?1ec<6il1v?l?:18184fm3;9563=b182e`=z{;hi6=4<{<0a0?75?279ni4>289>6ge=9ho0q~:522cc95dc53z?1f<<6il16846513:891??28827p}=b783>7}::kn1=?64=3`5>4gb3ty9ni4?:3y>6gb=9ho01?ll:004?xu5j<0;6?u22ca9`f=::k?1=lk4}r0a3?6=:r79n;4>299>6g1=9ho0q~4522c:95dc5dz?1fd<6;>16>n;51258940?28kn70?9d;31f>;2>80:?8525709563<5:ih6<<6;<1``?750278oh4>2c9>0l3;8<63;9d826<=z{8==6=4;{<0ae?75i279o84>2`9>520=9ho01<9m:00:?xu5k>0;6>u22cg9571<5;i26<<6;<0`n850;0x97e4288<70{t:j91<7=t=3a0>4gb34>257?=8:?7=<<6:01v?m?:18184d13;9463=c182e`=z{;i26=4={<0`=?7fm279o54>269~w7da2909w0n<50;0x97e7288270{t:j>1<74gb348h:7?=8:p6f3=838p1?m::0cf?84d>3;956s|2bd94?5|5;ij6<<8;<0g5?751279h=4>ad9~w7ed2909w0;5kj0:mh5rs3f2>5<5s48o=7?ne:?1`5<6:>1v?mm:18184c83nh70{t:jn1<744?348hh7?ne:p6fc=838p1?ml:00:?84dm3;ji6s|2e594?5|5;n96<<8;<0g=?751279h54>ad9~w7b32909w0;5l=0:mh5rs3f:>5<5s48o57?ne:?1`=<6:>1v?j<:18184c03nh70{t:m?1<744?348o97?ne:p6a0=838p1?j;:00:?84c>3;ji6s|2ed94?5|5;nj6<<8;<0f5?751279i=4>ad9~w7bd2909w0;5lj0:mh5rs3g2>5<5s48n=7?ne:?1a5<6:>1v?jm:18184b83nh70{t:mn1<744?348oh7?ne:p6ac=838p1?jl:00:?84cm3;ji6s|2d594?5|5;o96<<8;<0f=?751279i54>ad9~w7c32909w0;5m=0:mh5rs3g:>5<5s48n57?ne:?1a=<6:>1v?k<:18184b03nh70{t:l?1<744?348n97?ne:p6`0=838p1?k;:00:?84b>3;ji6s|33;94?5|5:886<<8;<11f?751278>l4>ad9~w6432909w0==b;31<>;4:=0:mh5rs20a>5<5s499n7?ne:?06d<6:>1v><::181855<3;9463<2482e`=z{:8=6=4={<110?751278>;4>ad9~w6402909w0==7;3ba>;4:?0:>55rs23a>5<5s49947?<2:?05g<6il1v><7:18185503;ji63<27826<=z{;326=4<{<0:0?75?2795o4>289>652z?1=g<6:116>4851`g8yv4>j3:1>v3=9c82e`=::0k1=?94}r0:1?6=:r795l4kc:?1=0<6il1v?78:18184>>3;9463=9682e`=z{;336=4={<0:2?751279554>ad9~w6742909w0=?e;313>;49=0:mh5rs233>5<5s49:87?=7:?055<6il1v>>i:181856<3nh70=?f;3ba>{t;8;1<744?349:=7?ne:p744=838p1>??:00:?856:3;ji6s|3g294?5|5:o>6<<8;<1e6?751278j<4>ad9~w6c2290iw0=j5;3ba>;4mh0:>k523d`957`<5:oh6<309>g<<6;9168n8513d891e0288m70:l8;31b>;3k00:>k5rs2ge>5<5s49ni7?=7:?0ac<6il1v>kj:18085bm3;ji63:f7826==:=o<1=?74}r1f2?6=:r78j?4>299>7`0=9ho0q~=i2;296~;4n;0:mh523g3957152z?0a3<6:116?h951`g8yv5b03:1>v3299>7`?=9;3019m::0cf?xu4m00;6?u23d;95dc<5:o36<<7;|q0ad<72;q6?ho51`g896c?28827p}7}:;lh1=lk4=2g;>4573ty8in4?:3y>7`e=9ho01>k7:012?xu4mm0;6?u23df95dc<5:o36<==;|q231<72;q6=;651358941228kn7p}>7283>7}:9?o1=?94=050>4gb3ty::h4?:2y>53c=9ho01<;i:00;?872n3;956s|17;94?4|58=>6<<8;<35=?7fm2wx=;o50;0x940>288370?9a;3ba>{t9?h1<744>34;=n7?ne:p7fg=839p1<8l:00;?871k3;9563299~w40c2909w0?9d;3ba>;6>k0:>45rs2ff>5<4s4;=j7?<0:?0``<6il16=;<51228yv71n3:1>v3>6g82e`=:9>91=?64}r344?6=:r7:;=4>ad9>525=9;30q~?81;296~;6?80:mh5216195667>52z?237<6il16=:=51238yv70k3:1>v3>778262=:9>n1=lk4}r343?6=:r7:;i4>269>521=9ho0q~?88;296~;6?>0:>55216:95dc52z?232<6:016=:751`g8yv70i3:1>v3>7`82e`=:9>31=?64}r1`2?6=;r7:;o4>319>7f0=9ho019=l:00:?xu6?k0;6?u216`95dc<58=26<<6;|q1ac<72;q6>ho5135897`728kn7p}=e`83>1}::lk1=lk4=34e>456348<;7?<1:?13=<6;81v?kl:18184a83;9;63=eb82e`=z{;oi6=4={<0e4?bd348nn7?ne:p6`b=838p1?kl:00;?84bl3;ji6s|2dg94?4|5;oh6<<6;<0fa?7fm2wx?h=50;0x96bb288<70=j4;3ba>{t;l:1<7440349n<7?ne:p7a`=838p1>k;:ea896ba28kn7p}7}:;l:1=?64=2g2>4gb3ty8i?4?:3y>7`6=9;301>k=:0cf?xu4nh0;6:u23ed957><5:nm6<<6;<1e0?750278j94>289>7cg=9ho019=m:00;?824j3;956s|3g:94?4|5:l86<<8;<1e=?7fm2wx?k=50;1x96`428kn70:99;304>;61;0:?=5rs2d6>5<5s49m57?=7:?0b0<6il1v>h;:18185a13nh70=i4;3ba>{t;o<1<744?349m:7?ne:p7c1=838p1>h::00:?85a?3;ji6s|59`94?5|5<296<<8;<7;`?75127>4n4>ad9~w0>f2909w0;79;313>;20h0:mh5rs4::>5<4s4?357?ne:?6`f<6:1169im513;8yv3?;3:1>v3:8e826==:=191=lk4}r7;`?6=:r7>4i4>ad9>1=e=9;=0q~;74;296~;20:0:>55259695dc52z?6<6<6:01695;51`g8yv3?>3:1>v3:8782e`=:=1?1=?64}r7;3?6=:r7>4:4>ad9>1=3=9;30q~;78;296~;2010:mh525979566=i7>53z?727<6:>168:>51358910a28kn7p};7183>6}:<>:1=lk4=037>44>34;:97?=9:p03b=838p1987:004?821l3;ji6s|47:94?5|5=<36289~w1042909w0:9f;313>;3>:0:mh5rs547>5<5s4>=?7?=8:?721<6il1v98::181821;3;9563;6482e`=z{8;86=4<{<652?75027?:;4>289>545=9ho0q~:96;296~;3>?0:mh52477957>=;7>52z?722<6il168;;513;8yv2113:1>v3;6882e`=:ad9>03b=9;30q~:9b;296~;3>k0:mh5247f9566=o7>52z?72f<6il168;j51238yv31;3:1>v3:5e8262=:=?>1=lk4}r76a?6=:r7>:94>269>10c=9ho0q~;95;296~;2>=09855257795dcj7>52z?61`<6:11698h51`g8yv3183:1>v3:5d826<=:=?:1=lk4}r755?6=:r7>:<4>ad9>136=9;20q~;92;296~;2>;0:mh52572957?52z?64d<6:>169<851`g8yv36=3:1>v3:118262=:=8?1=lk4}r724?6=;r7>==4>ad9>073=9;2019<::00:?xu28k0;6?u25049571<5<:i67}:=9h1=?74=42g>4gb3ty>m94?:2y>15c=9;2018>j:00:?83f<3;ji6s|51g94?4|5<:n6;3n<0:mh5rs42e>5<5s4?;j7?ne:?64a<6:01v8?>:18183693;ji63:14826==z{<;96=4={<726?7fm27>=84>289~w0742909w0;>3;3ba>;29<0:?=5rs437>5<5s4?:87?ne:?650<6;81v96=:187820?3;9;63;778262=:<1>1=?74=5:0>4gb3ty?;;4?:5y>020=9ho0199m:00;?820k3;9463:1g826==z{=2:6=4={<64`?75?27?4<4>ad9~w11c2908w0:8d;3ba>;6910:>55210:957?<47>52z?7<1<6:1168:651`g8yv2?<3:1>v3;8582e`=:<191=?94}r64=?6=:r7?;54>299>02?=9ho0q~:8a;296~;3?10:>45246c95dc59z?73g<6:0168:m513;8970d28kn70<9f;306>;5?>0:??5226:9564<5<;h6<<7;<72a?75127>=k4>289~w7142902w0:8b;304>;3?j0:?=5227d9565<5;=86329>14e=9;3018?j:013?836n3;8<6s|46`94?4|5==i6;49<0:mh5rs55`>5<5s4>289~w1>72909w0:70;3ba>;3080:?=5rs0da>5<5s4;m97?=7:?2bg<6il1vf782e`=z{8l<6=4={<3e2?75027:j:4>ad9~w4`?2909w0?i6;31=>;6n10:mh5rs4;a>5<61r7:j44>289>5cg=9;30187m:0cf?87cn3;9463>e1826==:9l<1=?74=0g4>44?348;<7?=8:?144<6:116>=9513;8976?288370;58o0:>552207957><5;;=6<<7;<02g?751279=i4>299>675=9;301?<;:00;?845i3;9563=2c826<=:::;1=?64=311>44?34;nh7?=9:?2a`<6:01vf9826==z{8lj6=4={<3ee?7fm27:j54>289~w0g52909w0;6b;313>;2i:0:mh5rs4;`>5<5s4?j?7?=7:?6=f<6il1v87k:18183>k3;9463:9e82e`=z{<3n6=4={<7:g?75127>5h4>ad9~w0?a2909w0;6f;3ba>;21l0:>55rs4c3>5<5s4?j<7?ne:?6=`<6:01v8o>:18183f93;ji63:9d8275=z{=;m6=4={<620?75?27?>=4>ad9~w17b2909w0:>a;313>;39l0:mh5rs53b>5<4s4>:m7?ne:?0b`<6:116?kk513;8yv26=3:1>v3;218262=:<8?1=lk4}r622?6=:r7?=84>299>040=9ho0q~:>7;296~;39<0:>45240595dc:47>52z?75=<6il168<9513:8yv5c:3:19v3;18826<=:<;21=?74=2f1>4gb34;387?=9:?2=c<6:01v9?6:18182613;ji63;16826<=z{=;i6=4={<62f?7fm27?=h4>299~w17d2909w0:>c;3ba>;39l0:>45rs53g>5<5s4>:h7?ne:?75`<6;91v9?4>ad9~w1442909w0:=2;31<>;3::0:mh5rs507>5<5s4>9>7?=9:?761<6il1v9<::181825=3;ji63;25826==z{8:86=4<{<612?75027?>;4>289>555=9ho0q~:=6;296~;3:?0:mh52436957?9;7>52z?762<6il168?:51228yv2503:1>v3;2982e`=:<;>1=>?4}r61=?6=:r7?>44>ad9>072=9:80q~:=a;296~;3:h0:mh5243695659n7>52z?76g<6il168?:51268yv5e03:1>v3269>7g4=9ho0q~=m3;296~;4j;0:>5523c195dc52z?0f7<6:016?o:51`g8yv5?>3:14v3?4=2`4>4563493:7?ne:?6b?74;278;k4>299>72`=9;3019ok:012?xu4j<0;6?u23c795dc<5:h?6<<7;|q0f3<72;q6?o851`g896d328827p}7}:;k=1=lk4=2`7>4573ty85o4?:3y>7<2=9;=01>7l:0cf?xu41<0;6?u238a9571<5:3>67}:;0?1=?74=2;4>4gb3ty8554?:3y>7<>=9ho01>78:00;?xu4100;6?u238;95dc<5:3<6<<6;|q0=d<72;q6?4o51`g896?0289;7p}<3683>7}:;:91=?94=21;>4gb3ty8?94?:3y>76>=9;=01>=;:0cf?xu4;<0;6?u2326957><5:9>6:513;8965128kn7p}:fe83>7}:=o81=?94=4df>4gb3ty>j>4?:3y>1cc=9;=018h<:0cf?xu2n=0;6?u25g1957><57}:=o<1=lk4=4d6>44?3ty?9:4?:2y>1c1=9;2018h8:00:?822?3;ji6s|5g594?4|5{t=o31<74gb34?m97?<1:p1cg=838p18hn:0cf?83a=3;8>6s|5g`94?4|5{t;=n1<7=t=265>440349?j7?=9:?00`<6il1v>:8:181853n3;9463<4682e`=z{:>m6=4={<17b?7fm2788h4>269~w62?2909w0=;7;31<>;4<10:mh5rs26:>5<5s49?;7?=9:?00<<6il1v>8i:18;853i3;8<63<4b8277=:;>4=243>457349>:7?=9:?02=<6;916?;o51238960a28kn7p}<4`83>7}:;=k1=lk4=26:>44?3ty88o4?:3y>71d=9ho01>:6:00:?xu426<=?;|q0e4<72;q6?4j5135896g528kn7p}<9d83>7}:;h81=?94=2;f>4gb3ty85k4?:3y>77i:0cf?xu4i90;6?u238g957?<5:k;6{t;44?349>n7?ne:p735=838p1>8<:0cf?851:3;9;6s|34a94?4|5:?i6<<7;<16g?7fm2wx?8j50;0x963e288270=:d;3ba>{t;4gb349>h7?=8:p70`=838p1>;i:0cf?852l3;956s|37294?4|5:<;6{t;h>1<7440349j87?ne:p7d3=838p1>o;:00;?85f=3;ji6s|3`494?4|5:k?6<<6;<1b2?7fm2wx?lh50;0x96g>288<70=m0;3ba>{t;hk1<7440349jm7?ne:p7dd=838p1>on:00;?85fj3;ji6s|3`a94?4|5:kj6<<6;<1bg?7fm2wx?lj50;0x96gc28kn70=nc;31<>{t;ho1<74gb349jo7?=9:p70>=838p1>;?:004?85213;ji6s|34394?4|5:?26<<8;<165?7fm2wx?8<50;0x9636288370=:2;3ba>{t;<91<744>349>?7?ne:p702=838p1>;;:0cf?852;3;946s|34794?4|5:?>6{t;<=1<74gb349>?7?<1:p73e=839p1>8;:004?851m3;9563<6e82e`=z{:<>6=4={<15a?750278:84>ad9~w60b2909w0=9e;3ba>;4>m0:>:5rs245>5<5s49=97?=8:?023<6il1v>88:181851=3;9563<6682e`=z{:<36=4={<15299~w60>2909w0=99;3ba>;4>>0:>45rs24b>5<5s49=m7?ne:?022<6;91v>8m:181851j3;ji63<668274=z{;=;6=4<{<05g?75?279;?4>289>627=9ho0q~<9d;296~;5?;0:>55227f95dc7>52z?137<6il16>:?51358yv41m3:1>v3=6e826==::?o1=lk4}r05b?6=:r79:i4>289>63`=9ho0q~<89;297~;5?:0:>:5226`957?<5;=j6:l513:8971328kn7p}=7c83>7}::>h1=lk4=35b>4403ty9;84?:3y>622=9;201?9::0cf?xu5??0;6?u2266957?<5;==6:951`g8971128837p}=7983>7}::>21=lk4=355>44>3ty>j=4?:3y>1`3=9;=018h>:0cf?xu2mo0;6?u25d`9571<57}:=l<1=?64=4g4>4gb3ty>i54?:3y>1`0=9;3018k7:0cf?xu2m00;6?u25d;95dc<57}:=li1=lk4=4ge>44?3ty>ii4?:3y>1`b=9ho018ki:00:?xu2ml0;6?u25dg95dc<5751358942328kn7p}>3`83>7}:9=>1=?94=01b>4gb3ty:?o4?:3y>56g=9;201<=m:0cf?xu6;j0;6?u212c957?<589h6j51`g8945d28837p}>3d83>7}:9:o1=lk4=01`>44>3ty:?k4?:3y>56`=9ho01<=l:013?xu3ih0;6>u2152957><58>;6<<6;<6be?7fm2wx=9>50;0x942728kn70?{t9=;1<74gb34;8o7?<2:p514=838p1<:=:0cf?874k3;8?6s|39c94?4|5:2=6<<8;<1;f?7fm2wx?5950;0x96>e288<70=77;3ba>{t;121<744?349347?ne:p7=?=838p1>68:00:?85?13;ji6s|39a94?0|5:226<<7;<1;=?7512784n4>ad9>25<6;:16?5=5121891gc28997p}<9383>7}:;1i1=?94=2;0>4gb3ty84i4?:3y>7<5=9;=01>6k:0cf?xu40l0;6?u239f957><5:2n6a28kn7p}<9183>7}:;0:1=lk4=2:e>44?3ty85<4?:3y>7<7=9ho01>6i:00:?xu4l?0;6?u23e09571<5:n<67}:;m91=?64=2f7>4gb3ty8h84?:3y>7a5=9;301>j::0cf?xu38=0;6?u23gc9571<5=:>67}:;oh1=?64=2d`>4gb3ty8ji4?:3y>7cd=9;301>hk:0cf?xu4nl0;6?u23gg95dc<5:lo6<<7;|q0bc<72;q6?kh51`g896`c28827p};0183>7}:<9:1=lk4=2dg>4573ty?<<4?:3y>057=9ho01>hk:012?xu38;0;6?u241095dc<5:lo6<==;|q746<72;q68==51`g896`c28987p}>6483>6}:944>34;=:7?ne:p50d=838p1<88:00;?872j3;ji6s|17594?4|58<<6{t944>34;>h7?ne:p50c=838p1<;j:0cf?872l3;946s|14d94?4|58?m6536=9;201<8?:00:?8`e28kn7p}>6183>7}:9?:1=lk4=07g>4573ty::<4?:3y>537=9ho01<;k:012?xu6>;0;6?u217095dc<58?o6<==;|q226<72;q6=;=51`g8943c28987p}>6583>7}:9?>1=lk4=07g>4533ty:584?:2y>5=g=9;=01<78:00:?87>>3;ji6s|19`94?4|583<6<<7;<3;f?7fm2wx=4950;0x94?028kn70?66;313>{t91i1<744?34;3o7?ne:p5=b=838p1<6m:00:?87?l3;ji6s|19g94?4|582n6a28kn70?7d;31=>{t98=1<7=t=0;3>44?34;2<7?=9:?252<6il1v<7?:18187>83;ji63>8e8275=z{83:6=4={<3:5?7fm27:4i4>309~w4?52909w0?62;3ba>;60m0:??5rs0;0>5<5s4;2?7?ne:?2<3;ji63>8e8271=z{:=26=4={<141?75?278;l4>ad9~w6112909w0=8a;313>;4??0:mh5rs254>5<5s49<:7?=8:?032<6il1v>97:181850>3;9563<7982e`=z{8=n6=4;{<14289>52c=9ho01<6::00:?xu2k10;6?u25b69571<57}:=j?1=?64=4a5>4gb3ty>o:4?:3y>1f3=9;3018m8:0cf?xu2l10;6:u25b5957><5;o4>299>12d=9;30196l:00;?82?k3;956s|5e294?4|5{t=ji1<744?34?ho7?ne:p1fb=838p18mm:00:?83dl3;ji6s|5e094?2|5hh4>319>12b=9::0q~;m8;297~;2kl0:>4525bd9566<57}:=jl1=lk4=4ag>44>3ty>h;4?:3y>1a4=9;=018j8:0cf?xu2l:0;6?u25e59571<57}:=m91=?74=4f6>4gb3ty>i>4?:3y>1a>=9;=018k;:0cf?xu2l00;6?u25d69571<57}:=m31=?74=4fa>4gb3ty>hn4?:3y>1ae=9ho018jm:00;?xu3m00;6>u25ef957><5{t=mo1<74gb34?on7?<0:p1a`=838p18ji:0cf?83cj3;8=6s|5d294?4|5{t=l81<74gb34?on7?<4:p26<72;q69l4>269>21<6il1v8o50;1x90g=9ho01;?51218915428837p}:b;296~;1<3;9;63:b;3ba>{t=j0;6?u25c826==:=j0:mh5rs4f94?4|54gb34?o6<<7;|q6b?6=:r7>j7?ne:?6`?7512wx:=4?:3y>25<6il169i4>319~w37=838p1;?51`g890b=9:;0q~8=:18180528kn70;k:011?xu6010;6?u216g9571<582268183>7}:9>l1=?64=0:3>4gb3ty:4<4?:3y>52`=9;301<6>:0cf?xud03:1?v3>83826==:9181=?74=b:95dc7>52z?2<7<6il16=5?513:8yv7?;3:1>v3>8282e`=:91;1=?74}r3;0?6=:r7:494>ad9>5=7=9::0q~?75;296~;60<0:mh52193956752z?2<3<6il16=5?51208yv7??3:1>v3>8682e`=:91;1=>=4}r3b7?6=:r7:554>269>5d2=9ho0q~?68;291~;6110:mh5218g9566<583m6<=?;<1a`?750278ni4>289~w4?>2909w0?n4;313>;6100:mh5rs0;b>5<5s4;257?=8:?2=d<6il1v<7m:18187>13;9563>9c82e`=z{<:;6=4<{<3:g?75027:5n4>289>156=9ho0q~?6c;296~;61j0:mh5218`957>53z?2=a<6:116=4j513;8946d28kn7p}>9e83>7}:90n1=lk4=0;a>44>3ty:5h4?:3y>5;|q2e5<72;q6=l>51`g894?e28997p}>a083>7}:9h;1=lk4=0;a>4543ty:m?4?:3y>5d4=9ho01<7m:017?xu6l00;6?u21bd9571<58nj6;6l10:?95248g9566<5l=1=>:4=d:9562<5l31=>:4=dc9562<5lh1=>:4}r3g4?6=:r7:hl4>269>5a6=9ho0q~?k1;296~;6l90:>5521e395dc7>52z?2`5<6:016=i<51`g8yvc12908w0?k3;31<>;6l:0:>452e782e`=z{8n86=4={<3g7?7fm27:h?4>299~w4b32909w0?k4;3ba>;6l;0:>45rs0f6>5<5s4;o97?ne:?2`7<6;91v3;ji63>d38274=z{8n<6=4={<3g3?7fm27:h?4>339~w4b?2909w0?k8;3ba>;6l;0:?>5rs347>5<5s48=<7?=7:?120<6il1v?8>:181841=3;9;63=6082e`=z{;<96=4={<055?750279:?4>ad9~w7042909w0<91;31=>;5>:0:mh5rs23:>5<5s49:97?=7:?05d<6il1v>?9:181856i3;9;63<1782e`=z{:;<6=4={<122?750278=:4>ad9~w67?2909w0=>6;31=>;4910:mh5rs260>5<4s49857?=7:?000<6:016?9:51`g8yv5413:1:v3<3882e`=:;:n1=>?4=21f>4543498j7?<3:?005<6;:168n:513;8yv53:3:1>v3<408262=:;=81=lk4}r10e?6=:r78884>299>76g=9ho0q~=;5;296~;4<<0:mh52356957152z?07d<6:116?>l51`g8yv54k3:1>v3<3`826<=:;:i1=lk4}r10`?6=:r78?i4>ad9>76e=9;20q~=52z?07c<6il16?>m51228yv5383:1>v3<4182e`=:;:i1=>?4}r147?6=:r78:k4>269>722=9ho0q~=80;296~;4?=0:>:5236295dc52z?035<6:116?:?51`g8yv50:3:1>v3<71826<=:;>81=lk4}r1;0?6=:r78;o4>269>7=3=9ho0q~=8b;297~;4?k0:mh523919562<5=hi6<=>;|q03f<72;q6?5;51358961d28kn7p}<7e83>7}:;>i1=?64=25g>4gb3ty8;h4?:3y>72e=9;301>9j:0cf?xu4?o0;6?u236d95dc<5:=n6<<7;|q0<5<72;q6?5>51`g8961b28827p}<8083>7}:;1;1=lk4=25f>4573ty84?4?:3y>7=4=9ho01>9j:012?xu40:0;6?u239195dc<5:=n6<==;|q0f`<72;q6?oo5135896da28kn7p}7}:;kl1=?94=2`a>4gb3ty8nn4?:3y>7gd=9;201>ll:0cf?xu4jm0;6?u23c`957?<5:ho65135896e228kn7p}7}:;j?1=?94=2a2>4gb3ty8o?4?:3y>7f7=9;201>m=:0cf?xu4k:0;6?u23b3957?<5:i867}:;jk1=?94=2ae>4gb3ty8o:4?:3y>7a7=9;=01>m8:0cf?xu4k10;6?u23b5957><5:i3628kn7p}7}:;jh1=lk4=2ae>44?3ty8on4?:3y>7fe=9ho01>mi:00:?xu4l10;6?u23bf957?<5:n367}:;jo1=lk4=2ae>4563ty>o?4?:3y>1gc=9;=018m<:0cf?xu2jo0;6?u25b19571<57}:=kl1=?74=4a2>4gb3ty=57>52z?51?75?27=m7?ne:p20<72;q6:84>ad9>065=9;30q~89:18180f288<7089:0cf?xu1?3:1>v396;31<>;1?3;ji6s|6983>7}:>?0:>4526982e`=z{;ad9~w7002909w0<9b;313>;5>>0:mh5rs34;>5<5s48=;7?=8:?12=<6il1v?86:181841?3;9563=6882e`=z{:8:6=4={<12f?75?278>?4>ad9~w67d2909w0==2;313>;49j0:mh5rs23g>5<5s49:o7?=8:?05a<6il1v>?j:181856k3;9563<1d82e`=z{:;m6=4={<12b?7fm278=h4>299~w6472909w0==0;3ba>;49l0:>45rs212>5<5s499h7?=7:?077<6il1v>k4>ad9~w6572909w0==e;31=>;4;90:mh5rs2f`>5<5s49o47?=7:?0`a<6il1v>j6:18185cl3;9;63ad9~w6be2909w0=k9;31=>;4lk0:mh5rs402>5<5s4?:57?=7:?667<6il1v8?6:18083613;ji63lf;31<>;dn3;956s|53294?4|5<;o6<<8;<714?7fm2wx9;3=?0:>45rs43b>5<5s4?9>7?=7:?65d<6il1v8?m:181836i3;9463:1c82e`=z{<;h6=4={<72e?75127>=n4>ad9~w07b2909w0;>e;3ba>;2:90:>55rs43e>5<5s4?:j7?ne:?665<6:01v89<:181831n3;9;63:7582e`=z{<=;6=4={<740?75?27>;=4>ad9~w0162909w0;80;31<>;2?80:mh5rs451>5<5s4?<<7?=9:?637<6il1v86?:181830=3;9;63:8082e`=z{<=>6=4<{<741?7fm27?4l4>299>0=g=9;30q~;86;296~;2080:>:5256495dc52z?633<6:1169:951`g8yv3003:1>v3:77826<=:=>21=lk4}r74=?6=:r7>;44>ad9>12>=9;20q~;8a;296~;2?h0:mh5256:957?52z?63g<6il169:651228yv`32908w0;8c;31<>;2?j0:>452f582e`=z{<=h6=4={<74g?7fm27>;54>309~w01c2909w0;8d;3ba>;2?10:??5rs45f>5<5s4?m44>ad9~w0g22909w0;n9;313>;2i<0:mh5rs4c5>5<5s4?j97?=8:?6e3<6il1v8o8:18183f=3;9563:a682e`=z{n:4>ad9~w0gf2908w0;na;3ba>;3n?0:>5524g4957?52z?6f5<6:>169o;51`g8yv3fj3:1>v3:b68262=:=hh1=lk4}r7bg?6=:r7>mo4>299>1de=9ho0q~;nd;296~;2ik0:>4525`f95dc52z?6e`<6il169lj513:8yv2?j3:1?v3:ag826==:=hl1=?74=5:a>4gb3ty>mk4?:3y>1d`=9ho018ok:00:?xu2j80;6?u25c395dc<56<<7;|q6f7<72;q69o<51`g890d228827p}:b283>7}:=k91=lk4=4`6>4573ty>n94?:3y>1g2=9ho018l::012?xu2jj0;6?u25c:9571<528kn7p}:b`83>7}:=k31=?64=4`b>4gb3ty>no4?:3y>1g?=9;3018lm:0cf?xu6m80;6?u21e`9571<58o:6de83>7}:9mi1=?64=0fg>4gb3ty:hh4?:3y>5ae=9;30151`g894bb28827p}>e983>7}:9l81=?94=0g;>4gb3ty:i>4?:3y>5`>=9;=01<58o?6e783>7}:9l<1=lk4=0g6>44?3ty:i:4?:3y>5`1=9ho01=<5135894`c28kn7p}>fd83>7}:9on1=?64=0df>4gb3ty:jk4?:3y>5cb=9;301=?51`g894`a28827p}=0883>7}::991=?94=32:>4gb3ty9<94?:3y>65?=9;=01?>;:0cf?xu58<0;6?u2216957><5;:>6=:513;8976128kn7p}=0683>7}::9=1=lk4=325>44?3ty9<54?:3y>65>=9ho01?>9:00:?xu5990;6?u221c9571<5;;;6<>51358976e28kn7p}=0b83>7}::9h1=?64=32`>4gb3ty965d=9;301?>k:0cf?xu58l0;6?u221g95dc<5;:o6<<7;|q14c<72;q6>=h51`g8976c28827p}=1683>7}::8;1=?94=334>4gb3ty9=?4?:3y>641=9;=01??=:0cf?xu59:0;6?u2200957><5;;86<<513;8977328kn7p}=1483>7}::8?1=lk4=337>44?3ty9=;4?:3y>640=9ho01??;:00:?xu59l0;6?u220:9571<5;;n628kn7p}=1`83>7}::831=?64=33b>4gb3ty9=o4?:3y>64?=9;301??m:0cf?xu59j0;6?u220a95dc<5;;i6<<7;|q15a<72;q6>7}::8l1=?94=306>4gb3ty9>=4?:3y>673=9;=01?<5;8:6?>513;8974528kn7p}=2283>7}::;91=lk4=301>44?3ty9>94?:3y>672=9ho01?<=:00:?xu5:j0;6?u22349571<5;8h6?m51358974028kn7p}=2983>7}::;=1=?64=30;>4gb3ty9>44?:3y>671=9;301?<6:0cf?xu5:h0;6?u223c95dc<5;826<<7;|q16g<72;q6>?l51`g8974>28827p}=3283>7}::;n1=?94=310>4gb3ty9>h4?:3y>665=9;=01?<5;8m6?k513;8975728kn7p}=3083>7}:::;1=lk4=313>44?3ty9??4?:3y>664=9ho01?=?:00:?xu3ml0;6?u24d79571<5=on67}:4gb3ty?i:4?:3y>0`0=9;2019k8:0cf?xu3m10;6?u24d4957?<5=o367}:44>3ty?in4?:3y>0`e=9ho019kk:013?xu2;>0;6?u25219571<5<9<6951358905328kn7p}:3483>7}:=:>1=?64=416>4gb3ty>?;4?:3y>162=9;3018=9:0cf?xu3;=0;6?u24229571<5=9?6:51358915628kn7p};3383>7}:<:;1=?64=511>4gb3ty??>4?:3y>067=9;3019=<:0cf?xu3190;6?u24959571<5=3:6a28kn7p};8983>7}:<0;1=?94=5:;>4gb3ty?444?:3y>0=>=9;201966:0cf?xu30h0;6?u249:957?<5=2j6a28837p};8e83>7}:<1n1=lk4=5:e>44>3ty?4h4?:3y>0=c=9ho0196i:013?xu31o0;6?u24869571<5=k;65135891?228kn7p};9783>7}:<0?1=?64=5;5>4gb3ty?5:4?:3y>0<3=9;301978:0cf?xu3110;6?u248:95dc<5=3<6<<7;|q7=<<72;q684751`g891?028827p};9`83>7}:<0k1=lk4=5;4>4573ty?5o4?:3y>07}:<0o1=lk4=5;4>4533ty?mk4?:3y>0d0=9;=019l?:0cf?xu3il0;6?u24`c9571<5=kn65135891g028kn7p};a983>7}:4gb3ty?m44?:3y>0d1=9;3019o6:0cf?xu3ik0;6?u24``95dc<5=kn6<<7;|q7ef<72;q68lm51`g891gb28827p};ae83>7}:4573tyhi7>52z?`7?75?27hi7?ne:pga<72;q6o54>269>ga<6il1vn:50;0x9f4=9;=01n:51`g8yvea2909w0m;:004?8ea28kn7p}l5;296~;dm3;9;63l5;3ba>{tk?0;6?u2c4826==:k?0:mh5rsb594?4|5j?1=?74=b595dc4gb34io6<<7;|q`e?6=:r7hm7?ne:?``?7512wxoo4?:3y>gg<6il16oi4>319~wfe=838p1nm51`g89fb=9:;0q~?i4;296~;6m00:>:521g695dc52z?2ac<6:>16=k=51`g8yv7bn3:1?v3>eg82e`=:nj0:>552fb826<=z{8oj6=4={<3e0?75?27:il4>ad9~w4ce2909w0?ja;31<>;6mk0:mh5rs0g`>5<5s4;nm7?=9:?2af<6il1veb826==z{8on6=4={<3fa?7fm27:in4>289~w4`72909w0?i0;3ba>;6n:0:>55rs0d2>5<5s4;m=7?ne:?2b6<6:01vf28275=z{=9o6=4<{<603?75?27=n7?=7:?77`<6il1v9=7:181824m3;9;63;3982e`=z{=926=4={<60ad9~w15f2909w0:<8;31=>;3;h0:mh5rs51a>5<5s4>8n7?ne:?77d<6:11v9=l:181824k3;ji63;3`826<=z{=?n6=4<{<667?75?27i87?=7:?71c<6il1v9;k:181822?3;9;63;5e82e`=z{=??6=4={<66b?75?27?994>ad9~w1322909w0::4;31<>;3=<0:mh5rs575>5<5s4>>87?=9:?713<6il1v9;7:18182203;ji63;5e826==z{=?26=4={<66=?7fm27?9i4>289~w13f2909w0::a;3ba>;3=m0:?=5rs57a>5<5s4>>n7?ne:?71a<6;81v9;l:181822k3;ji63;5e8277=z{=hh6=4={<6a7?75?27?nn4>ad9~w1de2909w0:ma;313>;3jk0:mh5rs5`b>5<4s4>im7?ne:?7g6<6:1168n=513;8yv2e<3:1>v3;bb8262=:1=lk4}r6a1?6=:r7?n94>299>0g3=9ho0q~:m6;296~;3j=0:>4524c495dci;7>52z?7f2<6il168o8513:8yv2e03:1>v3;b982e`=:ad9>0g0=9::0q~:lb;296~;3jo0:>:524b`95dchm7>52z?7g0<6:>168no51`g8yv2d83:1>v3;cc8262=:299>0f7=9ho0q~:l2;296~;3k90:>4524b095dch?7>52z?7g6<6il168n<513:8yv2d<3:1>v3;c582e`=:ad9>0fg=9;20q~:l7;296~;3k>0:mh524bc957?h47>52z?7g=<6il168no51228yv2d13:1>v3;c882e`=:?4}r6e=?6=:r7?j<4>269>0c?=9ho0q~:i8;296~;3n<0:>:524g:95dcm>7>52z?7b<<6:>168k<51`g8yv2a;3:1>v3;f3826==:289>0c2=9ho0q~:i6;296~;3n?0:mh524g:957>m;7>52z?7b2<6il168k6513;8yv37?3:1>v3;fb8262=:=9=1=lk4}r732?6=:r7><=4>269>150=9ho0q~:id;296~;28>0:>:524gf95dcmi7>52z?7ba<6:1168kk51`g8yv2an3:1>v3;fe826<=:299>0c`=9;301<>n:0cf?xu2880;6?u251395dc<5<:=6<<7;|q647<72;q69=<51`g8906128827p}:0283>7}:=991=lk4=425>4573ty><94?:3y>152=9ho018>9:012?xu28<0;6?u251795dc<5<:=6<==;|q675<72;q69?;51358905728kn7p}:2g83>7}:=;h1=?94=40e>4gb3ty>>;4?:3y>166=9;=018<9:0cf?xu2:>0;6?u2534957><5<8<67}:=;31=lk4=40;>44?3ty>>l4?:3y>17g=9ho018<7:00:?xu2:j0;6?u253a95dc<5<8m6<<7;|q66a<72;q69?j51`g8904a28827p}:2d83>7}:=;o1=lk4=40e>4573tyh=7>52z?a`?75?27h=7?ne:pf`<72;q6o<4>269>f`<6il1voh50;0x9gc=9;201oh51`g8yve72909w0lj:00:?8e728kn7p}k6;296~;c:3;9;63k6;3ba>{tl:0;6?u2d78262=:l:0:mh5rse694?4|5m91=?64=e695dc6=4={44>34n>6a2<6il16in4>299~w`>=838p1h651`g89`e=9;30q~k6:1818c>28kn70kl:013?xubi3:1>v3ja;3ba>;bk3;8=6s|ec83>7}:mk0:mh52eb8277=z{o91<75<5s4on644?3tynj7>52z?fb?7fm27m?7?=9:pb5<72;q6j=4>ad9>b6<6;91vk?50;0x9c7=9ho01k=51238yv`52909w0h=:0cf?8`428997p}ia;296~;a<3;9;63ia;3ba>{tn<0;6?u2f482e`=:nh0:>55rsg494?4|5o<1=lk4=gc957?4gb34lj6<=?;|qeb<<6il16jl4>339~w4652909w0hm:004?877:3;ji6s|fb83>7}:nj0:mh52110957>4gb34;;>7?=9:pb`<72;q6jh4>ad9>554=9::0q~hi:1818`a28kn70??2;305>{t99:1<74gb34;;>7?<2:p557=838p1<>>:0cf?877:3;8?6s|11;94?4|58:86<<8;<33=?7fm2wx==:50;0x946328kn70??9;31<>{t99?1<74gb34;;57?=9:p550=838p1<>9:0cf?87713;8<6s|11594?4|58:<6{t99h1<744034;;n7?ne:p544=838p1<>l:004?876:3;ji6s|11f94?4|58:o62;31=>{t99l1<74gb34;:>7?<0:p546=838p16;3ba>{t98>1<74gb34;::7?=8:p543=838p13;956s|10g94?4|58;<6<<8;<32a?7fm2wx=<650;0x947?28kn70?>e;31<>{t9831<74gb34;:i7?=9:p54g=838p1e;306>{t98n1<74gb34;:i7?<3:~j0bf93:1=vsa5ec1>5<6std>hl=50;3xyk3ci=0;6{|l6`dd=83;pqc;kab83>4}zf51zm1agb290:wp`:d`d94?7|ug?on=4?:0y~j0be93:1=vsa5e`1>5<6std>ho=50;3xyk3cj=0;6{|l6`gd=83;pqc;kbb83>4}zf51zm1adb290:wp`:dcd94?7|ug?oo=4?:0y~j0bd93:1=vsa5ea1>5<6std>hn=50;3xyk3ck=0;6{|l6`fd=83;pqc;kcb83>4}zf51zm1aeb290:wp`:dbd94?7|ug?oh=4?:0y~j0bc93:1=vsa5ef1>5<6std>hi=50;3xyk3cl=0;6{|l6`ad=83;pqc;kdb83>4}zf51zm1abb290:wp`:ded94?7|ug?oi=4?:0y~j0bb93:1=vsa5eg1>5<6std>hh=50;3xyk3cm=0;6{|l6``d=83;pqc;keb83>4}zf51zm1acb290:wp`:ddd94?7|ug?oj=4?:0y~j0ba93:1=vsa5ed1>5<6std>hk=50;3xyk3cn=0;6{|l6`cd=83;pqc;kfb83>4}zf51zm1a`b290:wp`:dgd94?7|ug?n<=4?:0y~j0c793:1=vsa5d21>5<6std>i==50;3xyk3b8=0;69:182xh2m9=1<7?t}o7f4=<728qvb8k?9;295~{i=l:j6=4>{|l6a5d=83;pqc;j0b83>4}zf51zm1`6b290:wp`:e1d94?7|ug?n==4?:0y~j0c693:1=vsa5d31>5<6std>i<=50;3xyk3b9=0;69;295~{i=l;j6=4>{|l6a4d=83;pqc;j1b83>4}zf51zm1`7b290:wp`:e0d94?7|ug?n>=4?:0y~j0c593:1=vsa5d01>5<6std>i?=50;3xyk3b:=0;6{|l6a7d=83;pqc;j2b83>4}zf51zm1`4b290:wp`:e3d94?7|ug?n?=4?:0y~j0c493:1=vsa5d11>5<6std>i>=50;3xyk3b;=0;6{|l6a6d=83;pqc;j3b83>4}zf51zm1`5b290:wp`:e2d94?7|ug?n8=4?:0y~j0c393:1=vsa5d61>5<6std>i9=50;3xyk3b<=0;6j6=4>{|l6a1d=83;pqc;j4b83>4}zf51zm1`2b290:wp`:e5d94?7|ug?n9=4?:0y~j0c293:1=vsa5d71>5<6std>i8=50;3xyk3b==0;6{|l6a0d=83;pqc;j5b83>4}zfh7>51zm1`3b290:wp`:e4d94?7|ug?n:=4?:0y~j0c193:1=vsa5d41>5<6std>i;=50;3xyk3b>=0;6{|l6a3d=83;pqc;j6b83>4}zf51zm1`0b290:wp`:e7d94?7|ug?n;=4?:0y~j0c093:1=vsa5d51>5<6std>i:=50;3xyk3b?=0;6=1<7?t}o7f3=<728qvb8k89;295~{i=l=j6=4>{|l6a2d=83;pqc;j7b83>4}zf51zm1`1b290:wp`:e6d94?7|ug?n4=4?:0y~j0c?93:1=vsa5d:1>5<6std>i5=50;3xyk3b0=0;6{|l6a=d=83;pqc;j8b83>4}zf51zm1`>b290:wp`:e9d94?7|ug?n5=4?:0y~j0c>93:1=vsa5d;1>5<6std>i4=50;3xyk3b1=0;6{|l6a4}zf51zm1`?b290:wp`:e8d94?7|ug?nm=4?:0y~j0cf93:1=vsa5dc1>5<6std>il=50;3xyk3bi=0;6{|l6add=83;pqc;jab83>4}zf51zm1`gb290:wp`:e`d94?7|ug?nn=4?:0y~j0ce93:1=vsa5d`1>5<6std>io=50;3xyk3bj=0;6{|l6agd=83;pqc;jbb83>4}zf51zm1`db290:wp`:ecd94?7|ug?no=4?:0y~j0cd93:1=vsa5da1>5<6std>in=50;3xyk3bk=0;6{|l6afd=83;pqc;jcb83>4}zf51zm1`eb290:wp`:ebd94?7|ug?nh=4?:0y~j0cc93:1=vsa5df1>5<6std>ii=50;3xyk3bl=0;6{|l6aad=83;pqc;jdb83>4}zf51zm1`bb290:wp`:eed94?7|ug?ni=4?:0y~j0cb93:1=vsa5dg1>5<6std>ih=50;3xyk3bm=0;6{|l6a`d=83;pqc;jeb83>4}zf51zm1`cb290:wp`:edd94?7|ug?nj=4?:0y~j0ca93:1=vsa5dd1>5<6std>ik=50;3xyk3bn=0;6{|l6acd=83;pqc;jfb83>4}zf51zm1``b290:wp`:egd94?7|ug?m<=4?:0y~j0`793:1=vsa5g21>5<6std>j==50;3xyk3a8=0;69:182xh2n9=1<7?t}o7e4=<728qvb8h?9;295~{i=o:j6=4>{|l6b5d=83;pqc;i0b83>4}zf51zm1c6b290:wp`:f1d94?7|ug?m==4?:0y~j0`693:1=vsa5g31>5<6std>j<=50;3xyk3a9=0;69;295~{i=o;j6=4>{|l6b4d=83;pqc;i1b83>4}zf51zm1c7b290:wp`:f0d94?7|ug?m>=4?:0y~j0`593:1=vsa5g01>5<6std>j?=50;3xyk3a:=0;6{|l6b7d=83;pqc;i2b83>4}zf51zm1c4b290:wp`:f3d94?7|ug?m?=4?:0y~j0`493:1=vsa5g11>5<6std>j>=50;3xyk3a;=0;6{|l6b6d=83;pqc;i3b83>4}zf51zm1c5b290:wp`:f2d94?7|ug?m8=4?:0y~j0`393:1=vsa5g61>5<6std>j9=50;3xyk3a<=0;6j6=4>{|l6b1d=83;pqc;i4b83>4}zf51zm1c2b290:wp`:f5d94?7|ug?m9=4?:0y~j0`293:1=vsa5g71>5<6std>j8=50;3xyk3a==0;6{|l6b0d=83;pqc;i5b83>4}zfh7>51zm1c3b290:wp`:f4d94?7|ug?m:=4?:0y~j0`193:1=vsa5g41>5<6std>j;=50;3xyk3a>=0;6{|l6b3d=83;pqc;i6b83>4}zf51zm1c0b290:wp`:f7d94?7|ug?m;=4?:0y~j0`093:1=vsa5g51>5<6std>j:=50;3xyk3a?=0;6=1<7?t}o7e3=<728qvb8h89;295~{i=o=j6=4>{|l6b2d=83;pqc;i7b83>4}zf51zm1c1b290:wp`:f6d94?7|ug?m4=4?:0y~j0`?93:1=vsa5g:1>5<6std>j5=50;3xyk3a0=0;6{|l6b=d=83;pqc;i8b83>4}zf51zm1c>b290:wp`:f9d94?7|ug?m5=4?:0y~j0`>93:1=vsa5g;1>5<6std>j4=50;3xyk3a1=0;6{|l6b4}zf51zm1c?b290:wp`:f8d94?7|ug?mm=4?:0y~j0`f93:1=vsa5gc1>5<6std>jl=50;3xyk3ai=0;6{|l6bdd=83;pqc;iab83>4}zf51zm1cgb290:wp`:f`d94?7|ug?mn=4?:0y~j0`e93:1=vsa5g`1>5<6std>jo=50;3xyk3aj=0;6{|l6bgd=83;pqc;ibb83>4}zf51zm1cdb290:wp`:fcd94?7|ug?mo=4?:0y~j0`d93:1=vsa5ga1>5<6std>jn=50;3xyk3ak=0;6{|l6bfd=83;pqc;icb83>4}zf51zm1ceb290:wp`:fbd94?7|ug?mh=4?:0y~j0`c93:1=vsa5gf1>5<6std>ji=50;3xyk3al=0;6{|l6bad=83;pqc;idb83>4}zf51zm1cbb290:wp`:fed94?7|ug?mi=4?:0y~j0`b93:1=vsa5gg1>5<6std>jh=50;3xyk3am=0;6{|l6b`d=83;pqc;ieb83>4}zf51zm1ccb290:wp`:fdd94?7|ug?mj=4?:0y~j0`a93:1=vsa5gd1>5<6std>jk=50;3xyk3an=0;6{|l6bcd=83;pqc;ifb83>4}zf51zm1c`b290:wp`:fgd94?7|ug<;<=4?:0y~j36793:1=vsa6121>5<6std=<==50;3xyk078=0;69:182xh189=1<7?t}o434=<728qvb;>?9;295~{i>9:j6=4>{|l545d=83;pqc8?0b83>4}zf?:;h7>51zm256b290:wp`901d94?7|ug<;==4?:0y~j36693:1=vsa6131>5<6std=<<=50;3xyk079=0;6>9;295~{i>9;j6=4>{|l544d=83;pqc8?1b83>4}zf?::h7>51zm257b290:wp`900d94?7|ug<;>=4?:0y~j36593:1=vsa6101>5<6std==9;295~{i>98j6=4>{|l547d=83;pqc8?2b83>4}zf?:9h7>51zm254b290:wp`903d94?7|ug<;?=4?:0y~j36493:1=vsa6111>5<6std=<>=50;3xyk07;=0;6<9;295~{i>99j6=4>{|l546d=83;pqc8?3b83>4}zf?:8h7>51zm255b290:wp`902d94?7|ug<;8=4?:0y~j36393:1=vsa6161>5<6std=<9=50;3xyk07<=0;6;9;295~{i>9>j6=4>{|l541d=83;pqc8?4b83>4}zf?:?h7>51zm252b290:wp`905d94?7|ug<;9=4?:0y~j36293:1=vsa6171>5<6std=<8=50;3xyk07==0;6:9;295~{i>9?j6=4>{|l540d=83;pqc8?5b83>4}zf?:>h7>51zm253b290:wp`904d94?7|ug<;:=4?:0y~j36193:1=vsa6141>5<6std=<;=50;3xyk07>=0;699;295~{i>9{|l543d=83;pqc8?6b83>4}zf?:=h7>51zm250b290:wp`907d94?7|ug<;;=4?:0y~j36093:1=vsa6151>5<6std=<:=50;3xyk07?=0;6=1<7?t}o433=<728qvb;>89;295~{i>9=j6=4>{|l542d=83;pqc8?7b83>4}zf?:51zm251b290:wp`906d94?7|ug<;4=4?:0y~j36?93:1=vsa61:1>5<6std=<5=50;3xyk070=0;679;295~{i>92j6=4>{|l54=d=83;pqc8?8b83>4}zf?:3h7>51zm25>b290:wp`909d94?7|ug<;5=4?:0y~j36>93:1=vsa61;1>5<6std=<4=50;3xyk071=0;669;295~{i>93j6=4>{|l544}zf?:2h7>51zm25?b290:wp`908d94?7|ug<;m=4?:0y~j36f93:1=vsa61c1>5<6std=n9;295~{i>9kj6=4>{|l54dd=83;pqc8?ab83>4}zf?:jh7>51zm25gb290:wp`90`d94?7|ug<;n=4?:0y~j36e93:1=vsa61`1>5<6std=m9;295~{i>9hj6=4>{|l54gd=83;pqc8?bb83>4}zf?:ih7>51zm25db290:wp`90cd94?7|ug<;o=4?:0y~j36d93:1=vsa61a1>5<6std=l9;295~{i>9ij6=4>{|l54fd=83;pqc8?cb83>4}zf?:hh7>51zm25eb290:wp`90bd94?7|ug<;h=4?:0y~j36c93:1=vsa61f1>5<6std=k9;295~{i>9nj6=4>{|l54ad=83;pqc8?db83>4}zf?:oh7>51zm25bb290:wp`90ed94?7|ug<;i=4?:0y~j36b93:1=vsa61g1>5<6std=j9;295~{i>9oj6=4>{|l54`d=83;pqc8?eb83>4}zf?:nh7>51zm25cb290:wp`90dd94?7|ug<;j=4?:0y~j36a93:1=vsa61d1>5<6std=i9;295~{i>9lj6=4>{|l54cd=83;pqc8?fb83>4}zf?:mh7>51zm25`b290:wp`90gd94?7|ug<:<=4?:0y~j37793:1=vsa6021>5<6std====50;3xyk068=0;69:182xh199=1<7?t}o424=<728qvb;??9;295~{i>8:j6=4>{|l555d=83;pqc8>0b83>4}zf?;;h7>51zm246b290:wp`911d94?7|ug<:==4?:0y~j37693:1=vsa6031>5<6std==<=50;3xyk069=0;69;295~{i>8;j6=4>{|l554d=83;pqc8>1b83>4}zf?;:h7>51zm247b290:wp`910d94?7|ug<:>=4?:0y~j37593:1=vsa6001>5<6std==?=50;3xyk06:=0;688j6=4>{|l557d=83;pqc8>2b83>4}zf?;9h7>51zm244b290:wp`913d94?7|ug<:?=4?:0y~j37493:1=vsa6011>5<6std==>=50;3xyk06;=0;689j6=4>{|l556d=83;pqc8>3b83>4}zf?;8h7>51zm245b290:wp`912d94?7|ug<:8=4?:0y~j37393:1=vsa6061>5<6std==9=50;3xyk06<=0;68>j6=4>{|l551d=83;pqc8>4b83>4}zf?;?h7>51zm242b290:wp`915d94?7|ug<:9=4?:0y~j37293:1=vsa6071>5<6std==8=50;3xyk06==0;68?j6=4>{|l550d=83;pqc8>5b83>4}zf?;>h7>51zm243b290:wp`914d94?7|ug<::=4?:0y~j37193:1=vsa6041>5<6std==;=50;3xyk06>=0;68{|l553d=83;pqc8>6b83>4}zf?;=h7>51zm240b290:wp`917d94?7|ug<:;=4?:0y~j37093:1=vsa6051>5<6std==:=50;3xyk06?=0;6=1<7?t}o423=<728qvb;?89;295~{i>8=j6=4>{|l552d=83;pqc8>7b83>4}zf?;51zm241b290:wp`916d94?7|ug<:4=4?:0y~j37?93:1=vsa60:1>5<6std==5=50;3xyk060=0;682j6=4>{|l55=d=83;pqc8>8b83>4}zf?;3h7>51zm24>b290:wp`919d94?7|ug<:5=4?:0y~j37>93:1=vsa60;1>5<6std==4=50;3xyk061=0;683j6=4>{|l559b83>4}zf?;2h7>51zm24?b290:wp`918d94?7|ug<:m=4?:0y~j37f93:1=vsa60c1>5<6std==l=50;3xyk06i=0;68kj6=4>{|l55dd=83;pqc8>ab83>4}zf?;jh7>51zm24gb290:wp`91`d94?7|ug<:n=4?:0y~j37e93:1=vsa60`1>5<6std==o=50;3xyk06j=0;68hj6=4>{|l55gd=83;pqc8>bb83>4}zf?;ih7>51zm24db290:wp`91cd94?7|ug<:o=4?:0y~j37d93:1=vsa60a1>5<6std==n=50;3xyk06k=0;68ij6=4>{|l55fd=83;pqc8>cb83>4}zf?;hh7>51zm24eb290:wp`91bd94?7|ug<:h=4?:0y~j37c93:1=vsa60f1>5<6std==i=50;3xyk06l=0;68nj6=4>{|l55ad=83;pqc8>db83>4}zf?;oh7>51zm24bb290:wp`91ed94?7|ug<:i=4?:0y~j37b93:1=vsa60g1>5<6std==h=50;3xyk06m=0;68oj6=4>{|l55`d=83;pqc8>eb83>4}zf?;nh7>51zm24cb290:wp`91dd94?7|ug<:j=4?:0y~j37a93:1=vsa60d1>5<6std==k=50;3xyk06n=0;68lj6=4>{|l55cd=83;pqc8>fb83>4}zf?;mh7>51zm24`b290:wp`91gd94?7|ug<9<=4?:0y~j34793:1=vsa6321>5<6std=>==50;3xyk058=0;69:182xh1:9=1<7?t}o414=<728qvb;;:j6=4>{|l565d=83;pqc8=0b83>4}zf?8;h7>51zm276b290:wp`921d94?7|ug<9==4?:0y~j34693:1=vsa6331>5<6std=><=50;3xyk059=0;69;295~{i>;;j6=4>{|l564d=83;pqc8=1b83>4}zf?8:h7>51zm277b290:wp`920d94?7|ug<9>=4?:0y~j34593:1=vsa6301>5<6std=>?=50;3xyk05:=0;6;8j6=4>{|l567d=83;pqc8=2b83>4}zf?89h7>51zm274b290:wp`923d94?7|ug<9?=4?:0y~j34493:1=vsa6311>5<6std=>>=50;3xyk05;=0;6;9j6=4>{|l566d=83;pqc8=3b83>4}zf?88h7>51zm275b290:wp`922d94?7|ug<98=4?:0y~j34393:1=vsa6361>5<6std=>9=50;3xyk05<=0;6;>j6=4>{|l561d=83;pqc8=4b83>4}zf?8?h7>51zm272b290:wp`925d94?7|ug<99=4?:0y~j34293:1=vsa6371>5<6std=>8=50;3xyk05==0;6;?j6=4>{|l560d=83;pqc8=5b83>4}zutwKLNu970;9```28RGAV%775+B582.D|Yv+$NrW|!@_WMI/?8:PIOT'117)L88;7)ATy&'KuR,ORTHN*<55]JJS"2<8$O>50;2*55=/99:;6<>?0;3345/6;2":=:>?:03445<69>:;%<>4(0534?70890:;=>&119+5=67282;<7?701+24>.509:1>5>?:3:34,773!9;<=4<01297567!;;0$>>?0;1345<489:",%=:0180156=;<:;%<>4(2734?5289089=>&d:*745<3890?<='>0:*5456=>9:;6;>?0(33?-17890<<=>57123-46< 0:;<77?018:456.92::7<<41108544<9;;97<<5OTVSQQ5383:7?<42108674<::;?7?=5IORVP?GXNZH7>>4>>39107=5=;19;?5=8391=4=4:29;>6==2:106>53:29>>6=92:145>253=:979?=;501?1553=<978>=;401?0553<>978;=;441?0>63?80:><46508204<>?;0;?58039467=0<;1<:?587394<7=01813>66?2::26>>5:22>>6692::46>>>923974>=;831?<45309974:=;871?<0530=>7LBJ0L58EIC7E'N37LBJ0L,G5==FDL:F"Ih5NLD2P*@^WWY^T?GIL01JBCA[AUGG=>GXNZH7==0k;@]EWG:687$[MI74A^DPF9766m1JSK]M<03=*UGC12KTJ^L3133 _AE;8EZ@TJ5;82i5N_GQA8459&YKO56OPFR@?518c3HUM_O2>4?,SEA?e9B[CUE48?5"]OK9:C\BVD;9?4o7LQISC>22;(WIM30MRH\B=34:a=FWOYI0<91.QCG=>GXNZH7=50k;@]EWG:607$[MI74A^DPF97>6m1JSK]M<0;=*UGC02KTJ^L31?a8EZ@TJ5;5"]OK9:C\BVD;:94o7LQISC>14;(WIM30MRH\B=02:a=FWOYI0??1.QCG=>GXNZH7>?0k;@]EWG:5:7$[MIl4A^DPF94428427LQISC>17;b/RB@==FWOYI0?0l;@]EWG:56'ZJH55N_GQA868d3HUM_O2<>/RB@==FWOYI090l;@]EWG:36'ZJH55N_GQA808d3HUM_O2:>/RB@==FWOYI0;0l;@]EWG:16'ZJH55N_GQA828d3HUM_O28>/RB@==FWOYI050l;@]EWG:?6'ZJH55N_GQA8<8d3HUM_O26>/RB@a=FWOYIS<>PICWE`>GXNZHT=RGMUGf8EZ@TJV;8SDLZFe9B[CUEW8>TEO[Id:C\BVDX9VCIYKj4A^DPFZ70W@H^Ji5N_GQA[4>XAK_Mh6OPFR@\55MUG:8FPUXAGLD86M>6L:8G40J&YKOi6M>6L]JFP@(NJF@j6M>6L]JFP@(NJF@!;5L24OFJd=D:i5L24OFJZIE]O$Z?6M7M69@E?EVCIYK!ICMIf?F>JW@H^J"HLLJ/1?FC43JF@56M@MLKWP@B03JXNMYKK3:AWH<=Ddfi`_}kl8:FbpdUwmj?0Hhbny09F1>C)XHNj7HV_11]-A]Va3LR[==Q!EYR\TQY7n2OS\<>P.DZS[URX9o1NT]??_/G[TZVSW;l0IU^>0^,F\UYW\V9m7HV_11]-A]VXX]U?=o5JXQ33[+C_XV~xe`|jn`of`Zhb|Vk0IU^>1^,F\U`_/G[TZVSW:l0IU^>1^,F\UYW\V>:n6KWP03\*@^WW}ybakaalgg[kcsWh1NT]?=_/G[Tc=BPY;9S#KWP^RW[5`R JXQ]SPZ7a3LR[=?Q!EYR\TQY5n2OS\<2^,F\UYW\V?:n6KWP00\*@^WW}ybakaalgg[kcsWh1NT]?<_/G[Tc=BPY;8S#KWP^RW[5`Q!EYR\TQY5n2OS\<=P.DZS[URX;o1NT]?<_/G[TZVSW=l0IU^>3^,F\UYW\V?:n6KWP01\*@^WW}ybakaalgg[kcsWh1NT]?;_/G[Tc=BPY;?S#KWP^RW[5`4^,F\UYW\V?:n6KWP06\*@^WW}ybakaalgg[kcsWh1NT]?:_/G[Tc=BPY;>S#KWP^RW[5`5^,F\UYW\V?:n6KWP07\*@^WW}ybakaalgg[kcsWh1NT]?9_/G[T4dU%IU^i;DZS52Y)MQZT\YQ?f:G[T41X&LR[S]ZP1g9F\U70W'OS\R^[_3d8A]V6?V$NT]Q_T^1e?@^W9>U%IU^PPU]75g=BPY;9^,F\UYW\V8m7HV_18]-A]VXX]U8j6KWP0;\*@^WWY^T8k5JXQ3:[+C_XVZ_S8?m;DZS5P.DZS[URX8o1NT]Ccol\j`95ICMI;?CEKC'ZJHh5ICMI\MGSA'OIGGk5ICMI\MGSA'OIGG <4FG18Ckb53@D87D@?3:KM51=NF8:?7D@>159JJ4433@D:?95FN067?LH6==1BB<8;;HL231=NF82?7D@>929JJ72>:4IO070>OI:<>0EC<94:KM6225FN718MK143@D3?6GA989JJLRT\H^N96G@ABV4?LIFK]$O46G@ABV-@4>k;HMBGQ(C:VZ_Si5FO@AW*A4XX]U8h6G@ABV-@7YW\V>o7DANCU,G6ZVSW<=0EBOLT/S:?LIFK]$YIJ84IN@FVW>OHLFDN46G@DNLF*A?OHD9<0EBB?.E58MJJ7&M;<7DAC0/F1g>OHD9$O>R^[_1a8MJJ7&M8T\YQ>c:KLH5(C:VZ_S?m4INN3*A4XX]U8o6G@L1,G6ZVSW=i0EBB?.E0\TQY2k2CD@= K2^RW[30Ptrkngwcf|lnTtb|P9:KLJUTbimsi7DAAPSgb`|(Ck2CDB]\jae{-@4e6G@NQPfea)MQZT\YQ?139JKKVUmhnr"HV__QV\544e:KLJUTbims%IU^Ptrknv`hfelnTbhzPb:KLJUTbims%]i5FOORQadb~&[OL56G@PVPfeae3@E[[_kndx,Gg>OHX^Xnmiw!D0a8MJVPZlkou#J=109JKUQUmhnr"IOHZMXN]45FOSFQAT(Ci2CD^I\JQ/F2e>OHZMXN]#J=f:KLVATBY'N9S]ZP0g9JKWBUMX$O>R^[_0d8MJTCZL[%H?Q_T^0e?LIUL[OZ"IOHZMXN]#J=_QV\0c=NG[NYI\ K2^RW[0?OH]9$O;6G@U1,G52=NG\:%H?m4INW3*A4XX]U;o6G@U1,G6ZVSW8i0EB[?.E0\TQY5k2CDY= K2^RW[6eOH]9$O>R^[_4a8MJS7&M8T\YQ96:KLQ5(V02CDY= ]EF36?LIR8V~xe`m}e`vf`Z~hzV=0EBY]RDS:?LIPZ[OZ"Io4INUQV@W)L8k0EBY]RDS-@7`m7DAXRSGR*A4XX]U>j6G@WSPFU+B5WY^T:45FOVPQAT(Vj2CD[_\JQ/PFC==Nf}Nn`lw<;MM@3>JHO@IJ@n5BakmqR`ttafdh7@gaosTfvvohf;1E<85A0%MFg>H7&K_MCH @UU68J5(C=2D;"I?:;O2-@72Wh[[ojht K2^RW[4773XeX^hoky/F1[URX:8:0]b]]e`fz*A4XX]U8==5^oRPfea)L;U[XR:>0:SlWWcflp$O>R^[_433?TiTZlkou#J=_QV\2d=VgZXnmiw!Qb9RkVTbims%^HI:;SC?4;?/RB@==UI5;:6=09;SC?548f3[K7=<0!P@F6?WG;9730^L2>>/RB@0=UI58556\N<3<-TDB23[K7?374R@>0:+VFL<1YM1:19:PB818)XHN>7_O35?;8VD:26'ZJH85]A=4==>TF4?4%\LJ:;SC?3;?912XJ050!P@F6?WG;1730^L26>/RB@==UIDXnmiwn;SCNV`gcq'Ni7_OBRdcg}+B6j2XJA_kndx,G646d:PBIWcflp$NT]Q{shoqakgjmmUeiyQn;SCNV`gcq'[h7_OBRdcg}+TBO01YMR>POCWEf>TFW9UDNXH!Db9QEZ6XGK_M"I?l;SC\4ZIE]O$O>>2:PB[46XGK_M"ITFW8:TCO[I.Pd8VDY69VEIYK*MUG225>TFW8;TCO[I$CWE4+B6:2XJS!EYR\TQY5911YMR?>_N@VB!DRN9$NT]Q_T^12<>TFW8;TCO[I$CWE4+C_XVZ_S9<;;SC\54YHJ\L/NXH?.DZS[qune{oem`kk_ogw[47_N@VB!Wd3[KT=TFW8;TCO[I.E026>TFW8;TCO[I.E0\TQY79;1YMR?>_N@VB+B5WY^T=n5]A^32[JDRN'[27_OP1^MAQCd1:PB[4YHJ\L%H?Q_T^225>TFW8UDNXH!D3]SPZ7c3[KT=RAMUG,F\U7b3[KT=RAMUG,F\UYs{`gyicobee]maqYe3[KT=RAMUG,R=>TFW;UDNXHm;SC\6ZIE]O$Oo6\N_3]LFP@)L8i0^LQ=_N@VB+B5981YMRb:PB[7YHJ\L%]45]A^6\KGSAj2XJS9Q@BTD-@f=UIV>TCO[I.E3`?WGXSBLZFc9QEZ3XGK_M"Im4R@]6[JDRN'N:o6\N_4]LFP@)L;;:7_OP5^MAQC(C:VZ_S=?>;SC\1ZIE]O$O>R^[_0`8VDY2WFH^J#_6;SC\2ZIE]Oh0^LQ9_N@VB+Bd3[KT:RAMUG,G5f=UIVTFW?UDNXH!D3]SPZ6692XJS;Q@BTD-@7YW\V;i7_OP6^MAQC(V12XJS:Q@BTDa?WGX?VEIYK Kc:PB[2YHJ\L%HTFW>UDNXH!Q89QEZ>XGK_Mn6\N_9]LFP@)Lj1YMR6POCWE*A7d3[KT4RAMUG,G647WFH^J#J>c:PB[;SC\=ZIE]O$O>R^[_132?WGX1VEIYK K2^RW[4d2Xnj_k~9:PfbWcv&JO37_kiRds-@<=UmoXn}#J>9:PfbWcv&M837_kiRds-Ud=UmoXn}#\JG79QacSuo01Yik[}g/AF<>Tbn\xl"I74RddVvb(C901Yik[}g/F1<>Tbn\xl"\o4RddVvb(UMN90_HZ6;RgwquNhdm90X_G;;U[SAf=R[LXTZD]FBMG:?SOB_V^R\H=4Vba5?RguxZOj7Zo}pRG-jbg23^coxe^ND@DS!UJM 1,2$VRRJ):%=-O\CHK0?]IU>2RonRGkb:ZglZVuadCezn5Wdi]SvlkSqyom7Ujg_QpjiScu{`ee46Vkh^Vji`b0VH\@9:amp*Cu494i7n`{/Dp?4;(Ck2iex"K}<1<-@4e/F1f>ei|&Oy0=0!Qe9`jq)Bz5:5"_KH9:amp*Cu484i7n`{/Dp?5;(Ck2iex"K}<0<-@4e>/F1f>ei|&Oy0<0!Qe9`jq)Bz5;5"_KHf:amp*OTWOYFSKHk1038gkr(AZUM_@QIFe3-@443:amp*OTWOYFSKHk1/G[T4>5lnu-JWZ@TEVLMh< ]EF03?fhs'@YTJ^CPFGf2[qunejxnmykk_ymq[c=df}%B_RH\M^DE`7763jd#D]PFRO\BCb5&M;97n`{/HQ\BVKXNOn9"I?>2:amp*OTWOYFSKHk2/F152=df}%B_RH\M^DE`7(C:VZ_S=?8;blw+LUXNZGTJKj=.E0\TQY6981hby!FS^DPIZ@Al;$Z=>5lnu-JWZ@TEVLMh? ]EF03?fhs'@YTJ^CPFGf1[qunejxnmykk_ymq[a=df}%GXdcjr=2=b>ei|&F_e`k}<1<-@463:+B6991hby!CThofv969&M8:96mat.NWmhcu494%H?Q_T^221>ei|&F_e`k}<1<-@7YW\V;:96mat.NWmhcu494%H?Q_T^021>ei|&F_e`k}<1<-@7YW\V9:96mat.NWmhcu494%H?Q_T^621>ei|&F_e`k}<1<-@7YW\V?:96mat.NWmhcu494%H?Q_T^4e?fhs'E^bah|30?,R54=df}%GXdcjr=2=*WC@m2iex"B[ilgq8469991hby!CThofv9776'N:=6mat.NWmhcu48:5"I?>1:amp*JSadoy0<>1.E022>ei|&F_e`k}<02=*A4XX]U;=;5lnu-OPlkbz5;;2#J=_QV\54024;(C:VZ_S??=;blw+IRnelx7==0!EYR23>ei|&F_e`k}<02=*@^WWY^T<<94cov,HQojm{6:<3 JXQ]SPZ75;2iex"B[ilgq8469&LR[Sy}fmsgmehccWgoS<>4cov,HQojm{6:<3 ^139`jq)K\`gn~1??>/PFC4`24;Ys{`gh~ho{ee]{kwYb3jd#AZfmdp?548682iex"B[ilgq8479&M;:7n`{/MVji`t;984%H;blw+IRnelx7=<0!D335?fhs'E^bah|310<-@7YW\V:::6mat.NWmhcu48;5"I<>4cov,HQojm{6:=3 ^139`jq)K\`gn~1?>>/PFC4`25;Ys{`gh~ho{ee]{kwYc3jd#AZfmdp?5;`2:+B682iex"B[ilgq848)L8;;7n`{/MVji`t;97$O><;4cov,HQojm{6:2#J=_QV\4432:+B5WY^T=<;4cov,HQojm{6:2#J=_QV\6432:+B5WY^T?<;4cov,HQojm{6:2#J=_QV\0432:+B5WY^T9<;4cov,HQojm{6:2#J=_QV\2c=df}%GXdcjr=3=*T763jd#AZfmdp?5;(UMNn0ocz LUknaw:56o1hby!CThofv949&M;;7n`{/MVji`t;:7$O=<>4cov,HQojm{692#J=149`jq)K\`gn~1<1.E0\TQY79<1hby!CThofv949&M8T\YQ>149`jq)K\`gn~1<1.E0\TQY5n2iex"B[ilgq878)Y8;0ocz LUknaw:56'XNK/F150=df}%GXdcjr=1=*A4XX]U;=85lnu-OPlkbz595"I^vpmheumh~nhRv`r^f8gkr(D]cfi2;>g9`jq)K\`gn~1:1.E33?fhs'E^bah|34?,G5467:+B59<1hby!CThofv929&M8T\YQ?149`jq)K\`gn~1:1.E0\TQY69<1hby!CThofv929&M8T\YQ=f:amp*JSadoy090!Q038gkr(D]cfi2;>/PFC4c7:Zrtadiyilzjd^zlvZb6:c=df}%GXdcjr=7=*A773jd#AZfmdp?1;(C98:0ocz LUknaw:26'N9=85lnu-OPlkbz5?5"Iei|&F_e`k}<4<-U476:+TBO8o0ocz LUknaw:26V~xe`m}e`vf`Z~hzVn0ocz LUknaw:16o1hby!CThofv909&M;;7n`{/MVji`t;>7$O=<>4cov,HQojm{6=2#J=149`jq)K\`gn~181.E0\TQY79<1hby!CThofv909&M8T\YQ>149`jq)K\`gn~181.E0\TQY5n2iex"B[ilgq838)Y8;0ocz LUknaw:16'XNK/F150=df}%GXdcjr=5=*A4XX]U;=85lnu-OPlkbz5=5"I4%]^vpmheumh~nhRv`r^f8gkr(D]cfi27>g9`jq)K\`gn~161.E33?fhs'E^bah|38?,G546;:+B59<1hby!CThofv9>9&M8T\YQ?149`jq)K\`gn~161.E0\TQY69<1hby!CThofv9>9&M8T\YQ=f:amp*JSadoy050!Q038gkr(D]cfi27>/PFC4c;:Zrtadiyilzjd^zlvZb::c=df}%GXdcjr=;=*A773jd#AZfmdp?=;(C98:0ocz LUknaw:>6'N9=85lnu-OPlkbz535"I/G[TZVSW8;=7n`{/MVji`t;17$NT]Q_T^016>ei|&F_e`k}<8<-A]VX|zcf~h`nmdf\j`rXn2iex"B[ilgq8<8)Y8;0ocz LUknaw:>6'XNK_omw4566'jd#AZfmdp\5Zhh|9:;=RJ=.E0e?fhs'E^bah|P1^llp5679&iex"B[ilgq[4Yig}:;<>_E0-@7533jd#AZfmdp\5Zhh|9:;="mat.NWmhcuW8Uecy>?00]G6+B5WY^T<>:4cov,HQojm{U:Sca{0122+fhs'E^bah|P1^llp5679VN9"I>_E0-@7YW\V8886mat.NWmhcuW8Uecy>?00-`jq)K\`gn~R?Pnnv3457XL;$O>R^[_217?fhs'E^bah|P1^llp5679&iex"B[ilgq[4Yig}:;<0ocz LUknawY6Wge<=>>/blw+IRnelxT=R``t1235ZB5&M8T\YQ:359`jq)K\`gn~R?Pnnv3457(kg~$@Ygbes]2[kis89::SId:amp*Rnelx7<3Q{sho`v`gsmmUscQl;blw+Qojm{6:2k5lnu-Wmhcu484%OHk4cov,Plkbz5;5"Ih4cov,Plkbz5;5"I?i;blw+Qojm{6:2#J=159`jq)Sadoy0<0!D3]SPZ66<2iex"Zfmdp?5;(C:VZ_S1:+Ba3jd#Ygbes>1:+B6n2iex"Zfmdp?6;(C:8>0ocz Thofv949&M8T\YQ?159`jq)Sadoy0?0!D3]SPZ76<2iex"Zfmdp?6;(C:VZ_S??;;blw+Qojm{692#J=_QV\7`=df}%_e`k}<3<-U460:+Ba3jd#Ygbes>0:+B6n2iex"Zfmdp?7;(C:8>0ocz Thofv959&M8T\YQ?159`jq)Sadoy0>0!D3]SPZ76<2iex"Zfmdp?7;(C:VZ_S??;;blw+Qojm{682#J=_QV\7`=df}%_e`k}<2<-U462:+B5WY^T<>/G[TZVSW8;97n`{/VQ?5;(BPYU[XR<>2:amp*QT484%IU^PPU]05`=df}%\_1?1.DZS[qune{oem`kk_ogw[g=df}%\_1?1.Pf8gkr(_Z6:2#\JG89`jq)P[585n6mat.UP878)Lj1hby!XS=0=*A7d3jd#Z]32?,G647/F1[URX88;0ocz WR>1:+B5WY^T=/G[TZVSW8;n7n`{/VQ?6;(BPYUdc}eocnaaYim}Ui7n`{/VQ?6;(Vl2iex"Y\<3<-V@A6i2iex"Y\<3<\pvojk{ojxhjPxnp\=>ei|&]X0>0m;blw+RU;;7$Oo6mat.UP868)L8i0ocz WR>0:+B5981hby!XS=1=*A4XX]U;=<5lnu-TW959&M8T\YQ>109`jq)P[595"Iei|&]X0>0!EYR26>ei|&]X0>0!EYR\TQY79;1hby!XS=1=*@^WWY^T=d:amp*hNXE8y"Ib:ap+JBD[{$O><>4cr-L@FUu&M8T\YQ?119`w*ICKZx%H?Q_T^3b?fu(GMIX~#_l;bq,KAETz'XNKo5ls.lLr`tkipn0o~!aOwgqhd)Ll1h"`@vdpoe|(C9l1h"`@vdpoe|(C:890o~!aOwgqhd)L;U[XR>>3:ap+kIqm{fju#J=_QV\5a=d{&dDzh|cax,Rb>et'gE}ibny/PFC4eccol20j~l ARpee>`tj&KX~k Kb:dpf*GTzo$O=o5isc-BWw`)L;i0j~l ARpe*@^W981mo!NSsd-A]VXX]U;=<5isc-BWw`)MQZT\YQ>1e9ewg)F[{l%IU^Ptrknv`hfelnTbhzPa:dpf*GTzo$Zo6h|b.CPvc(UMN30eblb/B:Nvg=ngkg$O5C}.Ea8mjdj'J2F~#J>c:klfh)D0Dx%H?l4in`n+F>Jz'[o7damm.A;Iw(UMNh0eblb/EmvpJCc3`eia"J`uuMF*Ac5foco,@jssGL$O>R^[_030?liee&NdyyAJ.E0\TQY59:1bcoc DnwwK@(C:VZ_S>h4in`n+Air|FO%IU^=0:klfh)Cg|~DI#KWP^vpmhtbfhgnhR`jt^f8mjdj'Me~xBK!Qg9jkgk(LfCH ]EF3`?liee&NdyyAJ_uqjiftbi}ooSua}_`9jkgk(MZ6;2n5foco,AV:76'No7damm.GP858)L8n0eblb/DQ?4;(C:880eblb/DQ?4;(C:VZ_S=?=;hmai*CT494%H?Q_T^326>ohjd%N_1>1.E0\TQY5k2cdn`!JS=2=*Tcohjd%N_1?1c:klfh)B[5;5"Ij4in`n+@U;97$O=i5foco,AV:66'N9=?5foco,AV:66'N9S]ZP0008mjdj'LY7=3 K2^RW[4753`eia"K\<0<-@7YW\V8:>6g`bl-FW979&M8T\YQ6g`bl-FW949&M8T\YQ>139jkgk(MZ692#J=_QV\6f=ngkg$I^2=>/Sf?liee&OX0?0!RDE2f>ohjd%N_1<1_uqjiftbi}ooSua}_`9jkgk(MZ682n5foco,AV:46'No7damm.GP868)L8n0eblb/DQ?7;(C:880eblb/DQ?7;(C:VZ_S=?=;hmai*CT4:4%H?Q_T^326>ohjd%N_1=1.E0\TQY59;1bcoc ER>0:+B5WY^T?n5foco,AV:46'[n7damm.GP868)ZLM:n6g`bl-FW959W}yban|jaugg[}iuW>1bcoc Es;8mjdj'Lx%Hl5foco,Aw(C9h1bcoc Es,G6<=ngkg$I ^b:klfh)Bz'XNKi5foco,MJTCZL[yj6g`bl-JKWBUMXx%H<>4in`n+LIUL[OZ~#J>119jkgk(AFXO^H_}.E0e?liee&CD^I\JQs,R54=ngkg$EB\KRDSq*WC@12cdn`!FOR2a?liee&CD_= Kc:klfh)NGZ:%H129jkgk(AFYTJ^CPFGf2*A733`eia"G@S^DPIZ@Al8$O=<:4in`n+LITWOYFSKHk1/F156=ngkg$EB]PFRO\BCb6&X;>7damm.KLWZ@TEVLMh< ]EF32?liee&CD_RH\M^DE`7743`eia"G@S^DPIZ@Al;$O=95foco,MJUXNZGTJKj=.E320>ohjd%BC^QISL]EBa4)L;;27damm.KLWZ@TEVLMh? K2^RW[57>3`eia"G@S^DPIZ@Al;$O>R^[_030?liee&CD_RH\M^DE`7(V9<1bcoc INQ\BVKXNOn9"_KH109jkgk(AFYTJ^CPFGf056=ngkg$EB]PFRO\BCb4&M;?7damm.KLWZ@TEVLMh> K1068mjdj'@EXSK]B_GDg7+B5901bcoc INQ\BVKXNOn8"I189jkgk(AFYTJ^CPFGf0*A4XX]U9=>5foco,MJUXNZGTJKj<.P36?liee&CD_RH\M^DE`6(UMN;:7damm.KLWZ@TEVLMh9?<;hmai*OH[VLXARHId5,G51=ngkg$EB]PFRO\BCb3&M;:86g`bl-JKVYA[DUMJi:!D330?liee&CD_RH\M^DE`1(V9<1bcoc INQ\BVKXNOn?"_KH109jkgk(AFYTJ^CPFGf656=ngkg$EB]PFRO\BCb2&M;?7damm.KLWZ@TEVLMh8 K1068mjdj'@EXSK]B_GDg1+B59:1bcoc INQ\BVKXNOn>"\?:;hmai*OH[VLXARHId4,QAB763`eia"G@S^DPIZ@Al?;87damm.KLWZ@TEVLMh; K159jkgk(AFYTJ^CPFGf5*A76<2cdn`!FOR]EWHYANm<%H??6;hmai*OH[VLXARHId7,G6ZVSW9;27damm.KLWZ@TEVLMh; K2^RW[4743`eia"G@S^DPIZ@Al?$Z=85foco,MJUXNZGTJKj9.SGD54=ngkg$EB]PFRO\BCb09:1bcoc INQ\BVKXNOn<"I?;;hmai*OH[VLXARHId6,G542$YIJj4in`n+LIPZ[OZ~k5foco,MJQUZL[y"I??;hmai*OH_[XN] K1028mjdj'@E\^_K^r/F1b>ohjd%BCZ\]EPp-U47ohjd%]\L|!Db9jkgk(^YKy"I?l;hmai*PWI{$O>o5foco,RUGu&Xn0eblb/WRBv+TBOk1bco} Cmgbv4bohjz%H`ho}1/PFCg=ngky$EBOLTsf8mjdt'@EJOY|!Dd9jkgu(AFKHX K1d9jkgu(AFKHX K2e9jkgu(AFKHX ^f:klfv)NGHI_~#\JGb9jkgu(AFNDBH|j;hmaw*OHLFDN~#Ji;hmaw*OHLFDN~#J>f:klfv)NGMEEI K2d9jkgu(AFNDBH|!Q028mjdt'@EOCCK}.SGD=>ohjz%BCA?l;hmaw*OHD8$HIo5focq,MJJ6&Mi0ebl|/HMO5+B6k2cdn~!FOM3-@7d>2:klfv)NG[]:"I?=;hmaw*OHZ^;%H?Q_T^6f?lie{&CD^Z?!EYR2b>ohjz%BC_Y>.DZS[qune{oem`kk_ogw[f=ngky$EB\X1/Sf?lie{&CD^Z?!RDE2f>ohjz%BC_Y>_uqjiftbi}ooSua}_89jkgu(AF_:o6g`br-JKP7)KLh0ebl|/HMV5+Bd3`ei"G@U0,G5f=ngky$EB[>.E0a?lie{&CDY< ^d:klfv)NG\;%^HIn;hmaw*Jhim;h7dams.Nlea7)Lm1bco} Lncg5+B6l2cdn~!Co`f2*A46:2cdn~!Co`f2*A4XX]U;=?5focq,Hjgc9'N9S]ZP1008mjdt'Eejh< K2^RW[7753`ei"B`ae3-@7YW\V9:>6g`br-Okdb6&M8T\YQ;139jkgu(Dfko=#J=_QV\1f=ngky$@bok1/Sf?lie{&Fdmi?!RDE:?lie{&Ynbyl4in`p+Vci|'Nh7dams.Qfjq(C9j1bco} Sdlw*A4692cdn~!\eov-@7YW\V::=6g`br-Pakr)L;U[XR?>1:klfv)Tmg~%H?Q_T^025>ohjz%Xicz!D3]SPZ5692cdn~!\eov-@7YW\V>:=6g`br-Pakr)L;U[XR;>1:klfv)Tmg~%H?Q_T^4a?lie{&Ynby ^d:klfv)Tmg~%^HI>a:klfv)Tmg~Tx~gbcsgbp`bXpfxT==5focq,PVYA[DUMJi?>2:klfv)S[VLXARHId0,G56=ngky$X^QISL]EBa7)L8;87dams.VP[CUJWOLo=#J=199jkgu(\ZUM_@QIFe3-@7YW\V::46g`br-WWZ@TEVLMh< K2^RW[4753`ei"Z\_GQN[C@c9'[:86g`br-WWZ@TEVLMh< ]EF33?lie{&^XSK]B_GDg644#J>129jkgu(\ZUM_@QIFe0-@77?3`ei"Z\_GQN[C@c:'N9S]ZP00:8mjdt']YTJ^CPFGf1*A4XX]U:=55focq,PVYA[DUMJiR^[_237?lie{&^XSK]B_GDg6+C_X830ebl|/UQ\BVKXNOn9"HV__QV\44?0:lB@jssDL:TCO[I.E32?kGCg|~GI=Q@BTD-@4763gKOcxzCE1]LFP@)L;;;7cOKotvOA5YHJ\L%]45aAEmvpIC6l2dJHb{{LD3-TDBb3gKOcxzCE0]LFP@682dJHb{{LD3\KGSA&M;:7cOKotvOA4YHJ\L%H;oCGkprKM8UDNXH!D335?kGCg|~GI_N@VB+W6:2dJHb{{LD3\KGSA&[OL;6`NS^DPFg=iIZUM_O _AEa8jDUXNZHTEO[I7:lBWZOHJk1eM^QFOC,SEAg4:lBWZOHJf"O[IOD,LQQehF[VCDNb{{.E3g?kGTW@EIcxz!D331?kGTW@EIcxz!D3]SPZ66:2dJ_RG@Bnww*A4XX]U:=?5aAR]JKGir|'N9S]ZP2b9mEVYNGKe~x#_j;oCP[LIEg|~%^HIi;oCP[LIEg|~%X_][7:lBkprHMk1eMb{{OD,SEAehFg|~DIRAMUG,Gb>hFg|~DIRAMUG,G5c=iIfCHQ@BTD-@7773gKdyyAJ_N@VB+C_X8?0bLaztNG\KGSA&LR[S]ZP0078jDir|FOTCO[I.DZS[URX98?0bLaztNG\KGSA&LR[S]ZP2078jDir|FOTCO[I.DZS[URX;8?0bLaztNG\KGSA&LR[S]ZP4338jDir|FOTCO[I.DZS[qune{oem`kk_ogw[`=iIfCHQ@BTD-U46<84nCGQVZ@TJVEIYK K2^RW[5713gHN^_QISC]LFP@)L;U[XR?>6:lAAWTXNZHTCO[I.E0\TQY59;1eNH\]_GQA[JDRN'OS\<94nCGQVZ@TJVEIYK JXQ]SPZ66?2dII_\PFR@\KGSA&LR[S]ZP1058jGCUZVLXNRAMUG,F\UYW\V89?6`MESP\BVDXGK_M"HV__uqjiwciidooSck{_028jGCUZVLXNRAMUG,R57=iJLXYSK]M_N@VB+TBO880bOK]R^DPFZIE]O$^E@74nCGQVZOHJm1eNH\]_HMA*UGCm2dII_\PIN@\MGSA?2dI^RG@Bc9mFWYNGK$[MIm4nCP\MJDXGK_Mi6`MR^KLFZIE]O.Zi6`MR^KLFZIE]O$Oj6`MR^KLFZIE]O$O=k5aBS]JKGYHJ\L%H??;;o@Q[LIEWFH^J#J=_QV\4425:lAVZOHJVEIYK JXQ]SPZ66=2dI^RG@B^MAQC(BPYU[XR?>5:lAVZOHJVEIYK JXQ]SPZ4592dI^RG@B^MAQC(BPYUdc}eocnaaYim}Un7cL]_HMA[JDRN'[:<6`MR^KLFZIE]O$YIJ?k;o@Q[LIEWFH^JRz|ilaqadrblVrd~R:4nBCP<>hDIZ$[MI74nBCP[JDRNk1eOL]POCWE*AehDIZUDNXH!D3`8jFGTWFH^J#_k;oABWZIE]O$YIJo4nEVBGNYA[Ko0bIZNCJ]EWG(WIMl0bIZNCJ]EWGYHJ\L:=6`KT@AH[CUEWFH^J#J>2:lGPDELWOYISBLZF/F257=iL]KHGRH\B^MAQC(C:8=0bIZNCJ]EWGYHJ\L%H?Q_T^223>hC\HI@SK]M_N@VB+B5WY^T=<94nEVBGNYA[KUDNXH!D3]SPZ46?2dOXLMD_GQA[JDRN'N9S]ZP3058jARFKBUM_OQ@BTD-@7YW\V>:;6`KT@AH[CUEWFH^J#J=_QV\147hCagFN"]OKb:lGmkJBWFH^Ji5aDhlOAZIE]O$Oi6`KioNF[JDRN'N:i6`KioNF[JDRN'N9j6`KioNF[JDRN'OS\<:4nEkmH@YHJ\L%IU^PPU]351=iL`dGIRAMUG,F\UYW\V;:86`KioNF[JDRN'OS\R^[_303?kBnfEOTCO[I.DZS[qune{oem`kk_ogw[a=iL`dGIRAMUG,Rb>hCagFNSBLZF/PFC3=iL`dDIl5aDhlLA+VFLk1eHd`@E^MAQCbhCg|~DIRAMUG,G6ZVSW8o0bIaztNG\KGSA&X?0bD^C289mMUJ5&YKOm6`FPM0\MGSA02dGH^QISCa8jIBTWOYI"]OKd:lO@VYA[KUBNXH7;oNGWZOHJj1e@I]PIN@-TDBe3gFO_RG@Bnwwa>hKLZUBCOazt%MF`>hKLZUBCOazt%S21>hKLZUBCOazt/@VBJC)G\^o7cBKS^KLFjss&Mo0bAJ\_HMAkpr)L8o0bAJ\_HMAkpr)L;;87cBKS^KLFjss&M8T\YQ?129mHAUXAFHdyy K2^RW[4743gFO_RG@Bnww*A4XX]U9=>5aLEQ\MJDh}}$O>R^[_230?kJC[VCDNb{{.E0\TQY3l2dGH^QFOCmvp+Wa3gFO_RG@Bnww*WC@991e@I]PIN@lqq(SZZ^87cAJ7:lLA+VFL11eCHQ@BTDb?kIBWFH^J#Jm;oMF[JDRN'N:n6`@E^MAQC(C:h1eCHQ@BTD-U2=iZHGG[Hl4nSCNHRC)XHNh7c\NMMUF[JDRNl1e^LCCWD]LFP@)Lo1e^LCCWD]LFP@)L8l0b_OBLVG\KGSA&M8:<6`]ALNTAZIE]O$NT]?:;oPBIIQBWFH^J#KWP^RW[5723gXJAAYJ_N@VB+C_XVZ_ShUID_\IRAMUG,F\UYW\V::96`]ALWTAZIE]O$NT]Q_T^321>hUID_\IRAMUG,F\UYW\V8:96`]ALWTAZIE]O$NT]Q_T^121>hUID_\IRAMUG,F\UYW\V>9=6`]ALWTAZIE]O$NT]Q{shoqakgjmmUeiyQj;oPBIPQBWFH^J#_;;oPBW==iZHY%\LJ6;oPBWZIE]Oh0b_O\_N@VB+Bd3gXJ_RAMUG,G5f=iZHYTCO[I.E0g?kTF[VEIYK JXQ31?kTF[VEIYK JXQ]SPZ66:2dYM^Q@BTD-A]VXX]U:=?5aR@Q\KGSA&LR[S]ZP2008jWGTWFH^J#KWP^RW[6753gXJ_RAMUG,F\UYW\V>:i6`]AR]LFP@)MQZTx~gbrdlbi`bXfl~Tn6`]AR]LFP@)Y=1e^H]7;oPFW+VFL>1e^H]`uu;8jWCTg|~%Hl5aRDQlqq(C9h1e^H]`uu,G6g=iZLYdyy JXQ33?kTB[f"HV__QV\4464:lQKHETWFH^J#KWP^RW[5733gXDAN]POCWE*@^WWY^T=<:4nSMNGVYHJ\L%IU^PPU]165=iZFGH_RAMUG,F\UYs{`gyicobee]maqYc3gXDAN]POCWE*T0hUGD]NSBLZF/G[TZrtadxnblcjd^lfpZbhRLZUBCOaztd9mQAUXAFHdyy*@Ee9mQAUXAFHdyy*^149mQAUXAFHdyy MUGMF*JSSl2d^H^QFOCmvp+Bb3g_O_RG@Bnww*A7b3g_O_RG@Bnww*A46;2d^H^QFOCmvp+B5WY^T<<=4nTFP[LIEg|~%H?Q_T^327>hRLZUBCOazt/F1[URX:890bXJ\_HMAkpr)L;U[XR=>3:lV@VYNGKe~x#J=_QV\0a=i]MYTEBL`uu,Rb>hRLZUBCOazt/PFC46hQEHUBCOazt/Ff?kPJIVCDNb{{.E3f?kPJIVCDNb{{.E027>hQEHUBCOazt/F1[URX8890b[CN_HMAkpr)L;U[XR?k;oTNEZOHJf"\h4nWOB[LIEg|~%^HI>0:lUIDYNGKe~x#Z]SU3`?kPJIVCDNb{{_uqjiftbi}ooSua}_99mRUGXNZHh7cX_A^DPF+VFLm1eZ]OPFR@\KGSAn2d]\LQISC]LFP@)L8:0b[^N_GQA[JDRN'N:==5aVQC\BVDXGK_M"I<>5:lUTDYA[KUDNXH!D3]SPZ66=2d]\LQISC]LFP@)L;U[XR?i;oTSEZ@TJVEIYK ^109mRUGXNZHTCO[I.SGD57=i^YKTJ^LPOCWE*VCSN11eZ]OPIN@`?kPWIVCDN#^NDe9mRUGXAFHTEO[I7:lTAZ@TJk1e[HQISC,SEAehfel=0bhijdfg4?uitmmmn;6|nm.ABW<=uid%HM^ Ka:pbi*EF['N:m6|nm.ABW+B5n2xja"MNS/F1[URX8o1ym`!LAR,G6ZVSW8l0~lc C@Q-@7YW\V8i7ob/BCP*@^W991ym`!LAR,F\UYW\V::<6|nm.ABW+C_XVZ_S3{kf#B`le^vpmheumh~nhRv`r^;8vdk(ZHGNBo5}al-QEHCI&Mi0~lc R@OFJ+B6k2xja"\NMDL-@7763{kf#_OBEO,G6ZVSW9;:7ob/SCNAK(C:VZ_S;scn+WGJMG$O>R^[_332?wgj'[KFIC K2^RW[6763{kf#_OBEO,G6ZVSW=;:7ob/SCNAK(C:VZ_S8l4r`o,VDKBF'[o7ob/SCNAK(UMN30~lc R@QFHg=uid%YM^KC.Ea8vdk(ZHYN@#J>c:pbi*TF[LF%H??>;scn+WGTME$O>R^[_132?wgj'[KXIA K2^RW[4763{kf#_O\EM,G6ZVSW;n0~lc R@QFH+C_X880~lc R@QFH+C_XVZ_S=?=;scn+WGTME$NT]Q_T^32a>tfe&XJ_HB!EYR\pvojzldjahjPndv\f>tfe&XJ_HB!Qe9qeh)UIZOG"_KH9:pbi*TF[{li7ob/SCPvc(Ck2xja"\NSsd-@4etfe&XJ_h!Qe9qeh)UIZxm"_KH9:pbi*TF[{xi7ob/SCPvw(Ck2xja"\NSsp-@4etfe&XJ_|!Qe9qeh)UIZxy"_KHf:pbi*TTWOYFSKHk1038vdk(ZZUM_@QIFe3-@441:pbi*TTWOYFSKHk1/S27>tfe&XXSK]B_GDg5+TBOo1ym`!]S^DPIZ@Al;;:7ob/SQ\BVKXNOn9"I?=;scn+WUXNZGTJKj=.E326>tfe&XXSK]B_GDg6+B5981ym`!]S^DPIZ@Al;$Z=>5}al-QWZ@TEVLMh? ]EFd8vdk(ZZUM_@QIFe125>tfe&XXSK]B_GDg7+B6:2xja"\\_GQN[C@c;'N:=?5}al-QWZ@TEVLMh> K2038vdk(ZZUM_@QIFe1-U45;scn+WUXNZGTJKj;.P30?wgj'[YTJ^CPFGf7*WC@n2xja"\\_GQN[C@c=8;0~lc RR]EWHYANm?%H<<4r`o,VVYA[DUMJi;!D031?wgj'[YTJ^CPFGf6*A4692xja"\\_GQN[C@c='[:?6|nm.PP[CUJWOLo9#\JGg9qeh)U[VLXARHId732?wgj'[YTJ^CPFGf5*A753{kf#_]PFRO\BCb1&M;:>6|nm.PP[CUJWOLo:#J=169qeh)U[VLXARHId7,G6ZVSW9;<7ob/SQ\BVKXNOn="I;scn+WUXNZGTJKj9.P30?wgj'[YTJ^CPFGf5*WC@n2xja"\\_GQN[C@c?8;0~lc RR]EWHYANm=%H<<4r`o,VVYA[DUMJi9!D031?wgj'[YTJ^CPFGf4*A46?2xja"\\_GQN[C@c?'N9S]ZP0058vdk(ZZUM_@QIFe5-@7YW\V;:=6|nm.PP[CUJWOLo;#_>3:pbi*TTWOYFSKHk7/PFCc=uid%Y_RH\M^DE`=763{kf#_]PFRO\BCb?&M;97ob/SQ\BVKXNOn3"I?>2:pbi*TTWOYFSKHk8/F152=uid%Y_RH\M^DE`=(C:VZ_S=?8;scn+WUXNZGTJKj7.E0\TQY69>1ym`!]S^DPIZ@Al1$O>R^[_334?wgj'[YTJ^CPFGf;*A4XX]U8=:5}al-QWZ@TEVLMh5 K2^RW[1743{kf#_]PFRO\BCb?&LR[>95}al-QWZ@TEVLMh5 JXQ]wwlkumgkfiiQaeu]25>tfe&XXSK]B_GDg<+W6;2xja"\\_GQN[C@c0'XNKo5}al-QacBhfln0~lc RddGkkc)Ll1ym`!]egFlj`(C9l1ym`!]egFlj`(C:890~lc RddGkkc)L;U[XR>>3:pbi*TbnMeei#J=_QV\5c=uid%YikJ`nd,F\U733{kf#_kiDnlf*@^WWY^T<<:4r`o,V``Cggo%IU^PPU]251=uid%YikJ`nd,F\UYW\V89<6|nm.PfbAiim'OS\Rz|ilpfjdkblVdnxRj4r`o,V``Cggo%]k5}al-QacBhfl$YIJ;4v`nvaxFGx>n396NOx354>C<528qXh94=7`86>453j8:26<=j21ym6=2=92d94849;%04`?40j2wXh>4=7`86>453j8:26<=j219g621=83;1=v]k4;04e?3=9:>i==7512g14>pS?>0;6<4>:437Vb32;=j684>35`24<<6;l8;7[<70;0xq4dc281~=ok50:'622=9ki0(3:18;4:368651}O:>90(?9=:355?_e72;q997?6:|k:1?6=,8n;64:4n0ae>5=d18:0>h6ko0:76g60;29 4b720>0b5$0f3><2cg86?>o?>3:1(<2d:ok48;:k;6?6=,8n;64:4n0ae>==o1<7*>d18:0>h6ko0j76g8c;29 4b720>0b<=k1<7*>d18:0>h6ko0h76g88;29 4b720>0b<2d:ok4i;:kb1?6=,8n;64:4n0ae>`=5<96=44i4cg>5<!7c833?7c?lf;35?>of93:1(44<3`3m6=4+1e29=1=i9jl1=<54o4a2>5<5<5<5<6=44o0ga>5<#9m:1=h:4n0ae>==5<#9m:1=h:4n0ae>4=5<#9m:1=h:4n0ae><=5<#9m:1=h:4n0ae>3=5<#9m:1=h:4n0ae>1=6=4+1e295`254o0d4>5<#9m:1=h:4n0ae>7=5<5<5<<#<2>6=44o0g6>5<#9m:1=h:4n0ae>5=5<5<5<90e<428q]h54={%3g6?7dj2\:>84={%7f7?`<,5f1ba94?"5?o0:on5+1c`967=#9jo1>?54}c`7>5<5290;w)<8f;`e?M40;2c:>n4?:%04b?75k21d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d19b>"2m;0m7psr}:a=4<7280;6=u+26d95fe<@;=87d?lc;29 71a28ih7)?mb;03?!7dm38;76smb283>7<729q/>:h5bg9K6255$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;d8 0c52o1vqps4}c:e>5<6290;w)<8f;3`g>N5?:1b=nm50;&13c<6kj1/=ol51g9'5fc=9o10qol=:181>5<7s-8o6:j0;6)<8f;31g>=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=5f:&6a74<729q/>:h51ba8L7143`;ho7>5$35e>4ed3-;in7?j;%3`a?7b32win=4?:383>5}#:>l1nk5G2618m44d290/>:h513a8?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?7h4$4g1>c=zutw0qo66:182>5<7s-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91j6*:e38e?x{zu2wi4:4?:083>5}#:>l1=nm4H350?l7dk3:1(?9i:0a`?!7ej3;h7)?le;3`?>{eil0;6?4?:1y'62`=jo1C>:=4i00`>5<#:>l1=?m4;n3`f?6=,;=m62481!3b;3l0(8k=:g9~yx{6=4>:183!40n3;ho6F=729j5fe=83.9;k4>cb9'5gd=9k1/=nk51c98yggc29096=4?{%04b?da3A82b83>!40n3;9o65`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=n2.>i?4i;|~y>{e0:0;6<4?:1y'62`=9ji0D?9<;h3`g?6=,;=m690e<428q]h54={%3g6?7dj2\:>84={%7f7?`<,5f1ba94?"5?o0:on5+1c`952=#9jo1=:54}ccb>5<5290;w)<8f;`e?M40;2c:>n4?:%04b?75k21d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d19b>"2m;0m7psr}:a3a<7280;6=u+26d95fe<@;=87d?lc;29 71a28ih7)?mb;35?!7dm3;=76sma883>7<729q/>:h5bg9K6255$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;d8 0c52o1vqps4}cag>5<6290;w)<8f;3`g>N5?:1b=nm50;&13c<6kj1/=ol5259'5fc=:=10qomj:181>5<7s-8o6:j0;6)<8f;31g>=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=5f:&6a77<729q/>:h5bg9K6255$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;`8 0c52k1vqps4}cf3>5<5290;w)<8f;`e?M40;2c:>n4?:%04b?75k21d=nl50;&13c<6kk1C>:k4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42k1/9h<5b:~yx=zjj81<7?50;2x 71a28ih7E<83:k2gf<72-8t$35e>g`<@;=87d?=c;29 71a288h76a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a67l4}|~?xdd<3:1>7>50z&13c5f13a94?"5?o0:>n54o0aa>5<#:>l1=nl4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?7l4$4g1>g=zutw0qo6>:182>5<7s-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91j6*:e38e?x{zu2wi;o4?:083>5}#:>l1=nm4H350?l7dk3:1(?9i:0a`?!7ej3?>7)?le;76?>{ei10;6?4?:1y'62`=jo1C>:=4i00`>5<#:>l1=?m4;n3`f?6=,;=m62481!3b;3l0(8k=:g9~yx{:183!40n3;ho6F=729j5fe=83.9;k4>cb9'5gd=2b83>!40n3;9o65`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=n2.>i?4i;|~y>{e9;=1<7?50;2x 71a28ih7E<83:k2gf<72-82b83>!40n3;9o65`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=j2.>i?4m;|~y>{e9;31<7<50;2x 71a2kl0D?9<;h31g?6=,;=m6<5<7s-8o6:j0;6)<8f;31g>=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=5f:&6a75<7s-8o6:j0;6)<8f;31g>=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=5f:&6a74<729q/>:h51ba8L7143`;ho7>5$35e>4ed3-;in7:?;%3`a?2732winn4?:383>5}#:>l1nk5G2618m44d290/>:h513a8?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?7h4$4g1>c=zutw0qoo9:182>5<7s-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91j6*:e38e?x{zu2wi8k=50;394?6|,;=m6o6kj0;6)<8f;3`g>"6jk0?56*>cd87=>=zj=l?6=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91j6*:e38e?x{zu2wi=n950;094?6|,;=m6oh4H350?l75k3:1(?9i:00`?>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>4i;%7f6?`4<729q/>:h51ba8L7143`;ho7>5$35e>4ed3-;in7:m;%3`a?2e32wi9i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>4i;%7f6?`4<729q/>:h51ba8L7143`;ho7>5$35e>4ed3-;in7i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>4i;%7f6?`4<729q/>:h51ba8L7143`;ho7>5$35e>4ed3-;in7i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>4i;%7f6?`cb9K62571<3th:>94?:383>5}#:>l1nk5G2618m44d290/>:h513a8?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?7h4$4g1>c=zutw0qo;;0;295?6=8r.9;k4>cb9K6250><3th>8<4?:383>5}#:>l1nk5G2618m44d290/>:h513a8?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?7h4$4g1>c=zutw0qo;kc;295?6=8r.9;k4>cb9K6251g<3th>hi4?:383>5}#:>l1nk5G2618m44d290/>:h513a8?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?7h4$4g1>c=zutw0qo6k:182>5<7s-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91j6*:e38e?x{zu2wim?4?:083>5}#:>l1=nm4H350?l7dk3:1(?9i:0a`?!7ej3987)?le;10?>{ejk0;6?4?:1y'62`=jo1C>:=4i00`>5<#:>l1=?m4;n3`f?6=,;=m62481!3b;3l0(8k=:g9~yx{:183!40n3;ho6F=729j5fe=83.9;k4>cb9'5gd==k1/=nk55c98ygd129096=4?{%04b?da3A82b83>!40n3;9o65`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=n2.>i?4i;|~y>{e1h0;6<4?:1y'62`=9ji0D?9<;h3`g?6=,;=m690e<428q]h54={%3g6?7dj2\:>84={%7f7?`<,5f1ba94?"5?o0:on5+1c`91a=#9jo19i54}c`;>5<5290;w)<8f;`e?M40;2c:>n4?:%04b?75k21d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d19b>"2m;0m7psr}:a=`<7280;6=u+26d95fe<@;=87d?lc;29 71a28ih7)?mb;02?!7dm38:76smb883>7<729q/>:h5bg9K6255$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;d8 0c52o1vqps4}cc3>5<6290;w)<8f;3`g>N5?:1b=nm50;&13c<6kj1/=ol52c9'5fc=:k10qoln:181>5<7s-8o6:j0;6)<8f;31g>=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=5f:&6a7:180>5<7s-8:0yO6=5=9r\o47a3<^88>6?u+5d192>"2m;0=7psr}:m2gg<72-85}#:>l1=nm4H350?j7dk3:1(?9i:0a`?!7ej3>m7)?le;6e?>{en5Y13796~"2m:0o7);j2;f8yx{z3`n>6=4+26d9`0=Q:1;1=vB=8282Sb?2;q/=i<5d49U573=:r.>i>49;%7f6?0428q]h54={%3g6?7dj2\:>84={%7f7?0<,3:1=7>50z&13c<6kj1C>:=4o0a`>5<#:>l1=nm4$0`a>1e<,8in69m4;|`600<72:0;6=u+26d9627<@;=87d?=c;29 71a288h7[<71;3xH7>428q]h54={%3g6?75k2\:>84={%7f7?b<,2481!3b;3<0(8k=:79~yx{2wvqp5rb4`2>5<6290;w)<8f;3`g>N5?:1d=nm50;&13c<6kj1/=ol54d9'5fc=!40n3n>7[<71;3xH7>428q]h54={%3g6?b23_;9973=#=l81:6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi8h<50;394?6|,;=m6i6kj0;6)<8f;3`g>"6jk0?:6*>cd872>=zj=o:6=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91h6*:e38g?x{zu2wi9i?50;394?6|,;=m6i6kj0;6)<8f;3`g>"6jk0>56*>cd86=>=zjcc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91h6*:e38g?x{zu2wi9:650;394?6|,;=m6i6kj0;6)<8f;3`g>"6jk0?>6*>cd876>=zj<=<6=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91h6*:e38g?x{zu2wi8k850;394?6|,;=m6i6kj0;6)<8f;3`g>"6jk0?;6*>cd873>=zj=l>6=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91h6*:e38g?x{zu2wi=hm50;394?6|,;=m6i6kj0;6)<8f;3`g>"6jk0896*>cd801>=zj8oi6=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91h6*:e38g?x{zu2wi=h?50;394?6|,;=m6i6kj0;6)<8f;3`g>"6jk08n6*>cd80f>=zj8o;6=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91h6*:e38g?x{zu2wi=h=50;394?6|,;=m6i6kj0;6)<8f;3`g>"6jk08h6*>cd80`>=zj8o96=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91h6*:e38g?x{zu2wi=h650;394?6|,;=m6i6kj0;6)<8f;3`g>"6jk0856*>cd80=>=zj8o<6=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91h6*:e38g?x{zu2wi=ho50;394?6|,;=m6i6kj0;6)<8f;3`g>"6jk08;6*>cd803>=zj8o26=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91h6*:e38g?x{zu2wi=hk50;394?6|,;=m6i6kj0;6)<8f;3`g>"6jk0886*>cd800>=zj8oo6=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91h6*:e38g?x{zu2wi=k>50;394?6|,;=m6i6kj0;6)<8f;3`g>"6jk08:6*>cd802>=zj8om6=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91h6*:e38g?x{zu2wi=k<50;394?6|,;=m6i6kj0;6)<8f;3`g>"6jk0846*>cd80<>=zj8l:6=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91h6*:e38g?x{zu2wi=k:50;394?6|,;=m6i6kj0;6)<8f;3`g>"6jk08m6*>cd80e>=zj8l86=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91h6*:e38g?x{zu2wi=k850;394?6|,;=m6i6kj0;6)<8f;3`g>"6jk08o6*>cd80g>=zj8l>6=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91h6*:e38g?x{zu2wi=k650;394?6|,;=m6i6kj0;6)<8f;3`g>"6jk08i6*>cd80a>=zj8l<6=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91h6*:e38g?x{zu2wi98950;394?6|,;=m6i6kj0;6)<8f;3`g>"6jk08>6*>cd806>=zjcc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91h6*:e38g?x{zu2wi9l?50;394?6|,;=m6i6kj0;6)<8f;3`g>"6jk09j6*>cd81b>=zjcc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91h6*:e38g?x{zu2wi8o>50;394?6|,;=m6i6kj0;6)<8f;3`g>"6jk0>=6*>cd865>=zj=km6=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91h6*:e38g?x{zu2wi9=950;394?6|,;=m6i6kj0;6)<8f;3`g>"6jk08=6*>cd805>=zj<:=6=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91h6*:e38g?x{zu2wi9=h50;394?6|,;=m6i6kj0;6)<8f;3`g>"6jk0956*>cd81=>=zj<:n6=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91h6*:e38g?x{zu2wi9?>50;394?6|,;=m6i6kj0;6)<8f;3`g>"6jk0>>6*>cd866>=zj<;m6=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91h6*:e38g?x{zu2wi95850;394?6|,;=m6-6kj0;6)<8f;3`g>"6jk0>;6*>cd863>=zj<2>6=4<:183!40n38<=6F=729j57e=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?m4V006>7}#=l91h6*:e38g?x{zu2co97>5$35e>a3<^;2:6cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd6m?0;6<4?:1y'62`=9ji0D?9<;n3`g?6=,;=m6;:a5`3=8381<7>t$35e>g`<@;=87d?=c;29 71a288h76a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a67j4}|~?xd3j>0;6<4?:1y'62`=9ji0D?9<;n3`g?6=,;=m6t$35e>g`<@;=87d?=c;29 71a288h76a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a67j4}|~?xd3l:0;6<4?:1y'62`=9ji0D?9<;n3`g?6=,;=m6t$35e>g`<@;=87d?=c;29 71a288h76a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a67j4}|~?xd2:m0;6<4?:1y'62`=9ji0D?9<;n3`g?6=,;=m6t$35e>g`<@;=87d?=c;29 71a288h76a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a67j4}|~?xd2;>0;6<4?:1y'62`=9ji0D?9<;n3`g?6=,;=m6t$35e>g`<@;=87d?=c;29 71a288h76a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a67j4}|~?xd2=l0;6<4?:1y'62`=9ji0D?9<;n3`g?6=,;=m6t$35e>g`<@;=87d?=c;29 71a288h76a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a67j4}|~?xd2>h0;6<4?:1y'62`=9ji0D?9<;n3`g?6=,;=m6t$35e>g`<@;=87d?=c;29 71a288h76a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a67j4}|~?xd21:0;6<4?:1y'62`=9ji0D?9<;n3`g?6=,;=m6t$35e>g`<@;=87d?=c;29 71a288h76a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a67j4}|~?xdd>3:1=7>50z&13c<6kj1C>:=4o0a`>5<#:>l1=nm4$0`a>6`<,8in6>h4;|``1?6=:3:1N5?:1b=?m50;&13c<6:j10c:0yO6=5=9r\o474ee3_;997a=#=l81h6sr}|9~f0e229096=4?{%04b?da3A82b83>!40n3;9o65`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e=j91<7<50;2x 71a2kl0D?9<;h31g?6=,;=m6<o94?:383>5}#:>l1nk5G2618m44d290/>:h513a8?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo;l6;296?6=8r.9;k4mf:J136=n9;i1<7*=7g826f=2wvqp5rb4ae>5<4290;w)<8f;04g>N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d192>"2m;0=7psr}:k26`<72-8h5Y13796~"2m:0m7);j2;d8yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c7``?6=>3:190(<:k:068m44d290/>:h513a8R7>628qG>5=51zT2fd<5s-;o>7?=c:T260<5s-?n?784$4g1>3=zutw0ei?50;&13c{M0;7?7|^8hj6?u+1e09`4=Q9;?1>v*:e285?!3b:3<0qpsr;hf1>5<#:>l1h?5Y29396~J50:0:w[?ma;0x 4b52m80Z<<::3y'1`5=02.>i?47;|~H7>52=q]>:>53zTg2?4|,0c43t\o;744d3-9i?7;j3:'223=m2w]>:>53zTg2?4|,0c43t\o;744d3-9i?7;j2:'223=m2w]>:>53zTg2?4|,0c43t\o;7a7<,:h868k<;|&530<03t\:h<4={Wf5>7}#=l;1h?5+3c191`5i>4>2:&6a7<6:2wvqA<72;3xR71?2;q]h;4={%7f5?41n2.8n>4:e29~ 3122880qps4i343>5<#:>l1>;>4V3:2>7}K:191=vX>b`81!7c:38=<6X>2481!3b;3;97);j2;31?x{zD;29638p(8k>:343?!5e;3?n?6s+667957=zut1d=nl50;&13c<6kk10qo?=b;295?6=8r.9;k4=879K6255}#:>l1=n74H350?j7dj3:1(?9i:0aa?>{e=j=1<7=50;2x 71a2;=h7E<83:k26a<72-8i5Y13796~"2m:0=7);j2;48yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;48 0c52?1vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj:183!40n383:6F=729l5fd=83.9;k4>cc98yg3di3:1:7>50z&13c<0>2B9;>5f13f94?"5?o0:>n5G26g8R7>628qG>5=51zTg47h5Y13796~"2m:0:=6*:e3825>{zut1b=>750;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b528927[?=5;0x 0c428;0(8k=:038yx{z3`;?87>5$35e>44d3A8i?4>1:~yx=n9==1<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o474203_;99747<,7?lb:T260<5s-?n?784$4g1>3=zutw0qo;lb;295<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c428;0(8k=:038yx{z3`;857>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;30=>P6:<09w);j3;32?!3b:3;:7psr}:k201<72-85<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?;7:T260<5s-?n?7?>;%7f6?763twvq6g>4983>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<11]=?;52z&6a6<692.>i?4>1:~yx=n9=31<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<515;8R4422;q/9h=5109'1`4=981vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj2b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91:6*:e385?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e285?!3b:3<0qpsr;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{53;294~"5?o0<:6F=729j57b=83.9;k4>2b9K62c<^;2:6n5G26g8R7>628qG>5=51zTg{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a0f1=8381<7>t$35e>g`<@;=87d?=c;29 71a288h76a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd3k<0;6?4?:1y'62`=jo1C>:=4i00`>5<#:>l1=?m4;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{h:7>52;294~"5?o0ij6F=729j57e=83.9;k4>2b98k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn9m7:181>5<7s-8o6:j0;6)<8f;31g>=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm4e294?0=83:p(?9i:00b?M40;2.:8i4>4:k26f<72-87}#9m81=?m4V006>7}#=l91:6*:e385?x{zu2co=7>5$35e>a7<^;2:62wvqp5fd383>!40n3n97[<71;0xH7>428q]=oo52z&2`784={%7f7?><,6*v*:e0826f=#;k919h=4}%441?c6*v*:e0826f=#;k919h<4}%441?c6*v*:e08g5>"4j:0>i>5r$756>2=z^8n:6?uYd781!3b93n97)=m3;7f7>{#>>?1=>5r}|9j63`=83.9;k4=6g9U6=7=:rF94>4>{W3ae?4|,8n96?8i;W311?4|,445<51zT13=<5s_n=6?u+5d3963`<,:h868k<;|&530<6:2wvq6g=6183>!40n38=<6X=8081I4?;3;pZi<4=619'7g5==l90q)885;31?x{z3f;hn7>5$35e>4ee32wi8n750;194?6|,;=m6?9l;I047>o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>49;%7f6?0428q]h54={%3g6?75m2\:>84={%7f7?0<,:h51b`8R7>628qG>5=51zTg{zut1vn9mn:182>5<7s-8ho7>56;294~"5?o0<:6F=729j57b=83.9;k4>2b9K62c<^;2:62481!3b;3;:7);j2;32?x{zu2c:?44?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9:30Z<<::3y'1`5=981/9h<5109~yx{?6=4+26d957e<@;=n7[<71;3xH7>428q]h54={%3g6?73<2\:>84={%7f7?763-?n>7?>;|~y>o6<>0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e09511<^88>6?u+5d1954=#=l81=<5r}|8k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn9mk:185>5<7s-8o6:m0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d1954=#=l81=<5r}|8m44b290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;32?!3b:3;:7psr}:k27<<72-85<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?;4:T260<5s-?n?7?>;%7f6?763twvq6g>4683>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=994V006>7}#=l91=<5+5d0954=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f1eb290<6=4?{%04b?113A82e83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91=<5+5d0954=zutw0e<2481!3b;3;:7);j2;32?x{zu2c:?44?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9:30Z<<::3y'1`5=981/9h<5109~yx{?6=4+26d957e<@;=n7[<71;3xH7>428q]h54={%3g6?73<2\:>84={%7f7?763-?n>7?>;|~y>o6<>0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e09511<^88>6?u+5d1954=#=l81=<5r}|8m42?290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;37<>P6:<09w);j3;32?!3b:3;:7psr}:m2gg<72-85}#:>l1=no4H350?l75l3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?784$4g1>3=zutw0e<:0yO6=5=9r\o4744b3_;9973=#=l81:6sr}|9j56?=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>74V006>7}#=l91:6*:e385?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`7`4<72:0;6=u+26d933=O:>90e<2481!3b;330(8k=:89~yx{428q]h54={%3g6?75m2\:>84={%7f7??<,:h51b`8R7>628qG>5=51zTg{zut1vn8:6:181>5<7s-8o6:j0;6)<8f;31g>=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm55594?4=83:p(?9i:cd8L7143`;9o7>5$35e>44d32e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`60=<72;0;6=u+26d9fc=O:>90e<428q]h54={%3g6?7dj2\:>84={%7f7?0<,7>50z&13c5f13a94?"5?o0:>n54o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj5?51zN1<6<6s_;im744d3_;9973=#=l81:6sr}|9j`4<72-8;W0;5?7|D;2862481!3b;3<0(8k=:79~yx{P50809wA<73;3xR4df2;q/=i<5d39U573=:r.>i>47;%7f6?>5<54zT135<4s_n=6?u+5d39`7=#;k919h=4}Wf4>7}#=l;1=?m4$2`0>0c43t.=;84j;|T135<4s_n=6?u+5d39`7=#;k919h=4}Wf4>7}#=l;1=?m4$2`0>0c53t.=;84j;|T135<4s_n=6?u+5d39`7=#;k919h=4}Wf4>7}#=l;1h<5+3c191`5v*:e08g6>"4j:0>i>5r$756>45:3yO6=5=9r\:nl4={%3g6?41n2\:>84={%7f7?753-?n>7?=;|~H7>528q]>:652zTg2?4|,vB=8282S7ei38p(l<:4g0?x"1?<0:>6sr}:m2gg<72-85f13f94?"5?o0:>n5G26g8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d192>"2m;0=7psr}:m2gg<72-88n4?:083>5}#:>l1>584H350?j7dj3:1(?9i:0aa?>{e==o1<7=50;2x 71a2><0D?9<;h31`?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e==l1<7=50;2x 71a2><0D?9<;h31`?6=,;=m6<2481!3b;3;:7);j2;32?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e2825>"2m;0:=6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi98>50;194?6|,;=m6:84H350?l75l3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?7?>;%7f6?763twvq6g>2d83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:l1]=?;52z&6a6<692.>i?4>1:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm54394?5=83:p(?9i:648L7143`;9h7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;32?!3b:3;:7psr}:k26`<72-8h5Y13796~"2m:0:=6*:e3825>{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a104=83>1<7>t$35e>20<@;=87d?=d;29 71a288h7[<71;3xH7>428q]h54={%3g6?75l2\:>84={%7f7?763-?n>7?>;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4>1:&6a7<692wvqp5f12;94?"5?o0:>n5G26g8R7>628qG>5=51zTg47428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<6kh1C>:=4i00g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c42?1/9h<56:~yx=n9;o1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513g8R4422;q/9h=56:&6a7<13twvq6g>3883>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;01]=?;52z&6a6<13-?n>784}|~?l73<3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;4:T260<5s-?n?784$4g1>3=zutw0e<:8:18'62`=9;i0Z?6>:0yO6=5=9r\o474203_;9973=#=l81:6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi98;50;194?6|,;=m6:84H350?l75l3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e28:?!3b:330qpsr;h31a?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>46;%7f6??428q]h54={%3g6?7dj2\:>84={%7f7?0<,7>50z&13c5f13a94?"5?o0:>n54o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zjcc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi9o=50;094?6|,;=m6oh4H350?l75k3:1(?9i:00`?>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?07<729q/>:h5bg9K6255$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c7ab?6=>3:190(<:k:068m44d290/>:h513a8R7>628qG>5=51zT2fd<5s-;o>7?=c:T260<5s-?n?784$4g1>3=zutw0ei?50;&13c{M0;7?7|^8hj6?u+1e09`4=Q9;?1>v*:e285?!3b:3<0qpsr;hf1>5<#:>l1h?5Y29396~J50:0:w[?ma;0x 4b52m80Z<<::3y'1`5=02.>i?47;|~H7>52=q]>:>53zTg2?4|,0c43t\o;744d3-9i?7;j3:'223=m2w]>:>53zTg2?4|,0c43t\o;744d3-9i?7;j2:'223=m2w]>:>53zTg2?4|,0c43t\o;7a7<,:h868k<;|&530<03t\:h<4={Wf5>7}#=l;1h?5+3c191`5i>4>2:&6a7<6:2wvqA<72;3xR71?2;q]h;4={%7f5?41n2.8n>4:e29~ 3122880qps4i343>5<#:>l1>;>4V3:2>7}K:191=vX>b`81!7c:38=<6X>2481!3b;3;97);j2;31?x{zD;29638p(8k>:343?!5e;3?n?6s+667957=zut1d=nl50;&13c<6kk10qo;m6;297?6=8r.9;k4=7b9K625428q]h54={%3g6?75l2\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a1g1=83;1<7>t$35e>7>13A8cc83>!40n3;hn65rb4`:>5<4290;w)<8f;55?M40;2c:>i4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e285?!3b:3<0qpsr;h31a?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5rb4`b>5<4290;w)<8f;55?M40;2c:>i4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e2825>"2m;0:=6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91=<5+5d0954=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f0de29086=4?{%04b?113A82e83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:m1]=?;52z&6a6<692.>i?4>1:~yx=n9;o1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513g8R4422;q/9h=5109'1`4=981vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zji5Y13796~"2m:0:=6*:e3825>{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d1954=#=l81=<5r}|8k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn8lk:187>5<7s-8o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4>1:&6a7<692wvqp5f13g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=981/9h<5109~yx{428q]h54={%3g6?7412\:>84={%7f7?763-?n>7?>;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?03<729q/>:h51bc8L7143`;9h7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;48 0c52?1vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42?1/9h<56:~yx=n9:31<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<512;8R4422;q/9h=56:&6a7<13twvq6g>4583>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<=1]=?;52z&6a6<13-?n>784}|~?l73?3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;7:T260<5s-?n?784$4g1>3=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f0e729086=4?{%04b?113A82e83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l9156*:e38:?x{zu2c:>h4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=12.>i?46;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?07<729q/>:h5bg9K6255$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c05a?6=>3:190(<:k:068m44d290/>:h513a8R7>628qG>5=51zT2fd<5s-;o>7?=c:T260<5s-?n?784$4g1>3=zutw0ei?50;&13c{M0;7?7|^8hj6?u+1e09`4=Q9;?1>v*:e285?!3b:3<0qpsr;hf1>5<#:>l1h?5Y29396~J50:0:w[?ma;0x 4b52m80Z<<::3y'1`5=02.>i?47;|~H7>52=q]>:>53zTg2?4|,0c43t\o;744d3-9i?7;j3:'223=m2w]>:>53zTg2?4|,0c43t\o;744d3-9i?7;j2:'223=m2w]>:>53zTg2?4|,0c43t\o;7a7<,:h868k<;|&5307}#=l;1h?5+3c191`5i>4>2:&6a7<6:2wvqA<72;3xR71?2;q]h;4={%7f5?41n2.8n>4:e29~ 3122880qps4i343>5<#:>l1>;>4V3:2>7}K:191=vX>b`81!7c:38=<6X>2481!3b;3;97);j2;31?x{zD;29638p(8k>:343?!5e;3?n?6s+667957=zut1d=nl50;&13c<6kk10qo<9a;297?6=8r.9;k4=7b9K6252wvqp5f13g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=>2.>i?49;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?04<729q/>:h52948L7143f;hn7>5$35e>4ee32wi>;m50;794?6|,;=m6:84H350?l75l3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?7?>;%7f6?763twvq6g>2d83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91=<5+5d0954=zutw0e<=6:18'62`=9;i0D?9j;W0;5?7|D;2862481!3b;3;:7);j2;32?x{zu2c:894?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38201=Q9;?1>v*:e2825>"2m;0:=6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi>;750;194?6|,;=m6:84H350?l75l3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e2825>"2m;0:=6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91=<5+5d0954=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f7g>29096=4?{%04b?da3A82b83>!40n3;9o65`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e:k?1<7850;2x 71a288j7E<83:&20a<6<2c:>n4?:%04b?75k2\94<4>{M0;7?7|^8hj6?u+1e0957e<^88>6?u+5d192>"2m;0=7psr}:kg5?6=,;=m6i?4V3:2>4}K:191=vX>b`81!7c:3n:7[?=5;0x 0c42?1/9h<56:~yx=nl;0;6)<8f;f1?S4?938p@?6<:0yU5gg=:r.:h?4k2:T260<5s-?n?764$4g1>==zutF94?4;{W044?5|^m<1>v*:e08g6>"4j:0>i>5rVe596~"2m80:>n5+3c191`5v*:e08g6>"4j:0>i>5rVe596~"2m80:>n5+3c191`4v*:e08g6>"4j:0>i>5rVe596~"2m80o=6*6:5rV0f2>7}Ql?09w);j1;f1?!5e;3?n?6s+667956=zut1b>;h50;&13c<5>o1]>5?52zN1<6<6s_;im770a3_;99744<,7}#=l;1>;h4$2`0>0c43t.=;84>2:~y>o5>90;6)<8f;054>P50809wA<73;3xR4df2;q/=i<52728R4422;q/9h=5139'1`4=9;1vqpB=8382S40038pZi852z&6a4<5>91/?o=55d18y!00=3;97psr;n3`f?6=,;=m6t$35e>71d3A82e83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:m1]=?;52z&6a6<13-?n>784}|~?l75m3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=e:T260<5s-?n?784$4g1>3=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f7ge290:6=4?{%04b?4?>2B9;>5`1b`94?"5?o0:oo54}c0b`?6=;3:1N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d192>"2m;0=7psr}:k26`<72-8h5Y13796~"2m:0=7);j2;48yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c0ba?6=;3:1N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d192>"2m;0=7psr}:k26`<72-8h5Y13796~"2m:0=7);j2;48yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c0bb?6=;3:1N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d1954=#=l81=<5r}|8m44b290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;32?!3b:3;:7psr}:m2gg<72-85}#:>l1;;5G2618m44c290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;32?!3b:3;:7psr}:k26`<72-8h5Y13796~"2m:0:=6*:e3825>{zut1b=>750;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b528927[?=5;0x 0c428;0(8k=:038yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c0a5?6=<3:1N5?:1b=?j50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c428;0(8k=:038yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;32?!3b:3;:7psr}:k27<<72-8{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a6g4=83>1<7>t$35e>20<@;=87d?=d;29 71a288h7E<8e:T1<4<6sE83?7?tVe:96~"6l;0:>i5Y13796~"2m:0:=6*:e3825>{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d1954=#=l81=<5r}|8m45>290/>:h513a8R7>628qG>5=51zTg47428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<0>2B9;>5f13f94?"5?o0:>n5G26g8R7>628qG>5=51zTg47428q]h54={%3g6?75m2\:>84={%7f7?763-?n>7?>;|~y>o6;00;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>389U573=:r.>i>4>1:&6a7<692wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e:hi1<7650;2x 71a28ij7E<83:k26a<72-8i5Y13796~"2m:0=7);j2;48yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;48 0c52?1vqps4i01:>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528927[?=5;0x 0c42?1/9h<56:~yx=n9=>1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51568R4422;q/9h=56:&6a7<13twvq6g>4683>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<>1]=?;52z&6a6<13-?n>784}|~?l7303:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;8:T260<5s-?n?784$4g1>3=zutw0e<:6:18'62`=9;i0Z?6>:0yO6=5=9r\o4742>3_;9973=#=l81:6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi>nk50;094?6|,;=m6oh4H350?l75k3:1(?9i:00`?>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?03<729q/>:h513c8L7143-;?h7?;;h31g?6=,;=m6<n5Y13796~"2m:0=7);j2;48yx{z3`n:6=4+26d9`4=Q:1;1=vB=8282S7ei38p(:3yO6=5=9r\:nl4={%3g6?b53_;997==#=l8146sr}M0;6?2|^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;31g>"4j:0>i>5r$756>`=z^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;31g>"4j:0>i?5r$756>`=z^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;f2?!5e;3?n?6s+66793>{Q9m;1>vXk6;0x 0c62m80(>l<:4g0?x"1?<0:?6sr}:k12c<72-87}#9m81>;h4V006>7}#=l91=?5+5d0957=zutF94?4>{W04v*:e0812c=#;k919h=4}%441?753twv7d<90;29 71a2;<;7[<71;0xH7>428q]=oo52z&2`7<5>91]=?;52z&6a6<6:2.>i?4>2:~yI4?:3;pZ?97:3yU`3<5s-?n=7<90:&0f6<2m:1v(;9::008yx{5}#:>l1>:m4H350?l75l3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e285?!3b:3<0qpsr;h31a?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5rb3f3>5<6290;w)<8f;0;2>N5?:1d=nl50;&13c<6kk10qo2d83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:l1]=?;52z&6a6<13-?n>784}|~?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo2d83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:l1]=?;52z&6a6<13-?n>784}|~?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qoo0Z?6>:0yO6=5=9r\o4744c3_;99747<,{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e2825>"2m;0:=6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi>i;50;194?6|,;=m6:84H350?l75l3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e2825>"2m;0:=6sr}|9j57c=83.9;k4>2b9K62c<^;2:62481!3b;3<0(8k=:79~yx{54;294~"5?o0<:6F=729j57b=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91=<5+5d0954=zutw0e<:0yO6=5=9r\o4744b3_;99747<,7?<9:T260<5s-?n?7?>;%7f6?763twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd5l>0;6??50;2x 71a2><0D?9<;h31`?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4m;%7f6?dh5Y13796~"2m:0i7);j2;`8yx{z3`;857>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;30=>P6:<09w);j3;`8 0c52k1vqps4i067>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>?7[?=5;0x 0c42k1/9h<5b:~yx=n9==1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51558R4422;q/9h=5b:&6a74983>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<11]=?;52z&6a67l4}|~?l7313:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;9:T260<5s-?n?7l4$4g1>g=zutw0e<:n:18'62`=9;i0Z?6>:0yO6=5=9r\o4742f3_;997g=#=l81n6sr}|9j51d=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=9l4V006>7}#=l91n6*:e38a?x{zu2c:8n4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3820f=Q9;?1>v*:e28a?!3b:3h0qpsr;h31b?6=,;=m6<2481!3b;3h0(8k=:c9~yx{n5Y29395~J50:0:w[j7:3y'5a4=9:;0Z<<::3y'1`5=j2.>i?4m;|~y>o6;;0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>339U573=:r.>i>4m;%7f6?d5Y13796~"2m:0i7);j2;`8yx{z3`;887>5$35e>44d3A87l4}|~?l74=3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?<5:T260<5s-?n?7l4$4g1>g=zutw0e<=9:18'62`=9;i0Z?6>:0yO6=5=9r\o474513_;99747<,7?<7:T260<5s-?n?7?>;%7f6?763twvq6g>3983>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=>64V006>7}#=l91=<5+5d0954=zutw0e<=n:18'62`=9;i0Z?6>:0yO6=5=9r\o4745f3_;9973=#=l81:6sr}|9j56d=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>l4V006>7}#=l91:6*:e385?x{zu2c:?n4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3827f=Q9;?1>v*:e285?!3b:3<0qpsr;h30`?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5f12d94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:l0Z<<::3y'1`5=>2.>i?49;|~y>o6<90;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>419U573=:r.>i>49;%7f6?0428q]h54={%3g6?7392\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1b=9=50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09515<^88>6?u+5d192>"2m;0=7psr}:k200<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;372>P6:<09w);j3;48 0c52?1vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj;n36=4=1;294~"5?o0<:6F=729j57b=83.9;k4>2b9K62c<^;2:6n5G26g8R7>628qG>5=51zTg{zut1b=>750;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0956?<^88>6?u+5d19f>"2m;0i7psr}:k201<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;373>P6:<09w);j3;`8 0c52k1vqps4i06;>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>37[?=5;0x 0c42k1/9h<5b:~yx=n9=31<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<515;8R4422;q/9h=5b:&6a74`83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<67l4}|~?l73j3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;b:T260<5s-?n?7l4$4g1>g=zutw0e<:l:18'62`=9;i0Z?6>:0yO6=5=9r\o4742d3_;997g=#=l81n6sr}|9j57`=83.9;k4>2b9K62c<^;2:6n5G26g8R7>628qG>5=51zTg{zut1b=>?50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b5289:7[?=5;0x 0c42k1/9h<5b:~yx=n9:81<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o474553_;997g=#=l81n6sr}|9j565=83.9;k4>2b9K62c<^;2:6n5G26g8R7>628qG>5=51zTg{zut1b=>;50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09563<^88>6?u+5d19f>"2m;0i7psr}:k273<72-8{zut1b=>950;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09561<^88>6?u+5d1954=#=l81=<5r}|8m45?290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;30<>P6:<09w);j3;32?!3b:3;:7psr}:k27d<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;30f>P6:<09w);j3;48 0c52?1vqps4i01`>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5289h7[?=5;0x 0c42?1/9h<56:~yx=n9:n1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<512f8R4422;q/9h=56:&6a7<13twvq6g>3d83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;l1]=?;52z&6a6<13-?n>784}|~?l74n3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?3=zutw0e<:?:18'62`=9;i0Z?6>:0yO6=5=9r\o474273_;9973=#=l81:6sr}|9j517=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=9?4V006>7}#=l91:6*:e385?x{zu2c:8?4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38207=Q9;?1>v*:e285?!3b:3<0qpsr;h377?6=,;=m6<2481!3b;3<0(8k=:79~yx{>6=4+26d957e<^;2:62wvqp5f15494?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9=<0Z<<::3y'1`5=>2.>i?49;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?0=<729q/>:h51bc8L7143`;9h7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;48 0c52?1vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42?1/9h<56:~yx=n9:31<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<512;8R4422;q/9h=56:&6a7<13twvq6g>4583>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<=1]=?;52z&6a6<13-?n>784}|~?l73?3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;7:T260<5s-?n?784$4g1>3=zutw0e<:7:18'62`=9;i0Z?6>:0yO6=5=9r\o4742?3_;9973=#=l81:6sr}|9j51?=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=974V006>7}#=l91:6*:e385?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`007<72;0;6=u+26d9fc=O:>90e<428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<5?j1C>:=4i00g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c42?1/9h<56:~yx=n9;o1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513g8R4422;q/9h=5f:&6a7cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd4<10;6;4?:1y'62`=9;k0D?9<;%37`?733`;9o7>5$35e>44d3_83=7?tL3:0>4}Q9kk1>v*>d3826f=Q9;?1>v*:e285?!3b:3<0qpsr;hf2>5<#:>l1h<5Y29395~J50:0:w[?ma;0x 4b52m;0Z<<::3y'1`5=>2.>i?49;|~y>oc:3:1(?9i:e08R7>62;qG>5=51zT2fd<5s-;o>7j=;W311?4|,{zuE83>7:tV353>6}Ql?09w);j1;f1?!5e;3?n?6sYd681!3b93;9o6*6h5rV353>6}Ql?09w);j1;f1?!5e;3?n?6sYd681!3b93;9o6*6h5rV353>6}Ql?09w);j1;f1?!5e;3?n?6sYd681!3b93n:7)=m3;7f7>{#>>?1i6sY1e396~Pc>38p(8k>:e08 6d42{zu2c9:k4?:%04b?41n2\94<4={M0;7?7|^8hj6?u+1e0963`<^88>6?u+5d1957=#=l81=?5r}|N1<7<6s_8<47i>4>2:&6a7<6:2wvqA<72;3xR71?2;q]h;4={%7f5?4182.8n>4:e29~ 3122880qps4o0aa>5<#:>l1=nl4;|`001<72:0;6=u+26d962e<@;=87d?=d;29 71a288h7E<8e:T1<4<6sE83?7?tVe:96~"6l;0:>i5Y13796~"2m:0=7);j2;48yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;48 0c52?1vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj:>>6=4>:183!40n383:6F=729l5fd=83.9;k4>cc98yg53>3:197>50z&13c<0>2B9;>5f13f94?"5?o0:>n5G26g8R7>628qG>5=51zTg47h5Y13796~"2m:0:=6*:e3825>{zut1b=>750;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0956?<^88>6?u+5d1954=#=l81=<5r}|8m423290/>:h513a8R7>628qG>5=51zTg47428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<0>2B9;>5f13f94?"5?o0:>n5G26g8R7>628qG>5=51zTg47428q]h54={%3g6?75m2\:>84={%7f7?763-?n>7?>;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?07<729q/>:h5bg9K6255$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c6:90e<:0yO6=5=9r\o4744c3_;9973=#=l81:6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91j6*:e38e?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`7=2<72?0;6=u+26d957g<@;=87)?;d;37?l75k3:1(?9i:00`?S4?93;p@?6<:0yU5gg=:r.:h?4>2b9U573=:r.>i>49;%7f6?0:18'62`=l81]>5?51zN1<6<6s_;im7a7<^88>6?u+5d192>"2m;0=7psr}:kg6?6=,;=m6i<4V3:2>7}K:191=vX>b`81!7c:3n97[?=5;0x 0c4211/9h<58:~yI4?:3>pZ?9?:2yU`3<5s-?n=7j=;%1a7?3b;2w]h:4={%7f5?75k2.8n>4:e29~ 3122l1vZ?9?:2yU`3<5s-?n=7j=;%1a7?3b;2w]h:4={%7f5?75k2.8n>4:e39~ 3122l1vZ?9?:2yU`3<5s-?n=7j=;%1a7?3b;2w]h:4={%7f5?b63-9i?7;j3:'223=?2w]=i?52zTg2?4|,0c43t.=;84>3:~y>o5>o0;6)<8f;05b>P50809wA<73;3xR4df2;q/=i<527d8R4422;q/9h=5139'1`4=9;1vqpB=8382S40038pZi852z&6a4<5>o1/?o=55d18y!00=3;97psr;h054?6=,;=m6?8?;W0;5?4|D;2866*:e3826>{zuE83>7?tV35;>7}Ql?09w);j1;054>"4j:0>i>5r$756>446<729q/>:h526a8L7143`;9h7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;48 0c52?1vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42?1/9h<56:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm49f94?7=83:p(?9i:3:5?M40;2e:oo4?:%04b?7dj21vn96i:180>5<7s-8o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>49;%7f6?0428q]h54={%3g6?75m2\:>84={%7f7?0<,:h51b`8R7>628qG>5=51zTg{zut1vn97?:180>5<7s-8o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>49;%7f6?0428q]h54={%3g6?75m2\:>84={%7f7?0<,:h51b`8R7>628qG>5=51zTg{zut1vn97>:187>5<7s-8o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4m;%7f6?d428q]h54={%3g6?75m2\:>84={%7f7?763-?n>7?>;|~y>o6;00;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0956?<^88>6?u+5d1954=#=l81=<5r}|8k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn97=:184>5<7s-8o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4m;%7f6?dh5Y13796~"2m:0i7);j2;`8yx{z3`;857>5$35e>44d3A8i?4>1:~yx=n9=>1<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o474233_;99747<,{M0;7?7|^m21>v*>d38202=Q9;?1>v*:e2825>"2m;0:=6sr}|9j51>=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=964V006>7}#=l91=<5+5d0954=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f1?4290<6=4?{%04b?113A82e83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:m1]=?;52z&6a67l4}|~?l75m3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e2825>"2m;0:=6sr}|9j56?=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>74V006>7}#=l91=<5+5d0954=zutw0e<:;:18'62`=9;i0D?9j;W0;5?7|D;2862481!3b;3;:7);j2;32?x{zu2c:8:4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9==0Z<<::3y'1`5=981/9h<5109~yx{36=4+26d957e<^;2:62481!3b;3<0(8k=:79~yx{287>58;294~"5?o0<:6F=729j57b=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91n6*:e38a?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e28a?!3b:3h0qpsr;h30=?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>389U573=:r.>i>4m;%7f6?d{zut1b=9950;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b528><7[?=5;0x 0c428;0(8k=:038yx{z3`;?47>5$35e>44d3A8i?4>1:~yx=n9=31<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<515;8R4422;q/9h=5109'1`4=981vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj=3>6=47:183!40n3==7E<83:k26a<72-8i5Y13796~"2m:0i7);j2;`8yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;`8 0c52k1vqps4i01:>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?<9:T260<5s-?n?7l4$4g1>g=zutw0e<:;:18'62`=9;i0D?9j;W0;5?7|D;2862481!3b;3;:7);j2;32?x{zu2c:8:4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9==0Z<<::3y'1`5=981/9h<5109~yx{36=4+26d957e<@;=n7[<71;3xH7>428q]h54={%3g6?7302\:>84={%7f7?763-?n>7?>;|~y>o6<00;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>489U573=:r.>i>4>1:&6a7<692wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e<1o1<7650;2x 71a28ij7E<83:k26a<72-8i5Y13796~"2m:0=7);j2;48yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;48 0c52?1vqps4i01:>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528927[?=5;0x 0c42?1/9h<56:~yx=n9=>1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51568R4422;q/9h=56:&6a7<13twvq6g>4683>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<>1]=?;52z&6a6<13-?n>784}|~?l7303:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;8:T260<5s-?n?784$4g1>3=zutw0e<:6:18'62`=9;i0Z?6>:0yO6=5=9r\o4742>3_;9973=#=l81:6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi=8o50;094?6|,;=m6oh4H350?l75k3:1(?9i:00`?>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?06083>6<729q/>:h51bc8L7143`;9h7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;48 0c52?1vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42?1/9h<56:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm14d94?0=83:p(?9i:00b?M40;2.:8i4>4:k26f<72-87}#9m81=?m4V006>7}#=l91:6*:e385?x{zu2co=7>5$35e>a7<^;2:62wvqp5fd383>!40n3n97[<71;0xH7>428q]=oo52z&2`784={%7f7?><,6*v*:e0826f=#;k919h=4}%441?c6*v*:e0826f=#;k919h<4}%441?c6*v*:e08g5>"4j:0>i>5r$756>2=z^8n:6?uYd781!3b93n97)=m3;7f7>{#>>?1=>5r}|9j63`=83.9;k4=6g9U6=7=:rF94>4>{W3ae?4|,8n96?8i;W311?4|,445<51zT13=<5s_n=6?u+5d3963`<,:h868k<;|&530<6:2wvq6g=6183>!40n38=<6X=8081I4?;3;pZi<4=619'7g5==l90q)885;31?x{z3f;hn7>5$35e>4ee32wi=8l50;194?6|,;=m6?9l;I047>o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>49;%7f6?0428q]h54={%3g6?75m2\:>84={%7f7?0<,:h51b`8R7>628qG>5=51zTg{zut1vn<;l:182>5<7s-8h7>53;294~"5?o0<:6F=729j57b=83.9;k4>2b9K62c<^;2:6n5G26g8R7>628qG>5=51zTg{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a536=8391<7>t$35e>20<@;=87d?=d;29 71a288h7[<71;3xH7>428q]h54={%3g6?75l2\:>84={%7f7?763-?n>7?>;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4>1:&6a7<692wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e9?81<7<50;2x 71a2kl0D?9<;h31g?6=,;=m6<5}#:>l1nk5G2618m44d290/>:h513a8?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo?9e;297?6=8r.9;k4>c`9K6252wvqp5f13g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=>2.>i?49;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?06b83>3<729q/>:h513c8L7143-;?h7?;;h31g?6=,;=m6<n5Y13796~"2m:0=7);j2;48yx{z3`n:6=4+26d9`4=Q:1;1=vB=8282S7ei38p(:3yO6=5=9r\:nl4={%3g6?b53_;997==#=l8146sr}M0;6?2|^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;31g>"4j:0>i>5r$756>`=z^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;31g>"4j:0>i?5r$756>`=z^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;f2?!5e;3?n?6s+66793>{Q9m;1>vXk6;0x 0c62m80(>l<:4g0?x"1?<0:?6sr}:k12c<72-87}#9m81>;h4V006>7}#=l91=?5+5d0957=zutF94?4>{W04v*:e0812c=#;k919h=4}%441?753twv7d<90;29 71a2;<;7[<71;0xH7>428q]=oo52z&2`7<5>91]=?;52z&6a6<6:2.>i?4>2:~yI4?:3;pZ?97:3yU`3<5s-?n=7<90:&0f6<2m:1v(;9::008yx{4?:283>5}#:>l1>:m4H350?l75l3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?784$4g1>3=zutw0e<:0yO6=5=9r\o4744b3_;9973=#=l81:6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi=;:50;394?6|,;=m6?69;I047>i6kk0;6)<8f;3`f>=zj8<=6=4<:183!40n3==7E<83:k26a<72-82d83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91n6*:e38a?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`222<72:0;6=u+26d933=O:>90e<2481!3b;3h0(8k=:c9~yx{428q]h54={%3g6?75m2\:>84={%7f7?d<,:h51b`8R7>628qG>5=51zTg{zut1vn<87:185>5<7s-8o6:m0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d1954=#=l81=<5r}|8m44b290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;32?!3b:3;:7psr}:k27<<72-85<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?;4:T260<5s-?n?7?>;%7f6?763twvq6g>4683>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<>1]=?;52z&6a6<692.>i?4>1:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm17794?2=83:p(?9i:0ab?M40;2c:>i4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e285?!3b:3<0qpsr;h31a?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e9?n1<7=50;2x 71a2><0D?9<;h31`?6=,;=m6<2481!3b;3;:7);j2;32?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e2825>"2m;0:=6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi=;o50;794?6|,;=m6:84H350?l75l3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e2826>"2m;0:>6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91=?5+5d0957=zutw0e<=6:18'62`=9;i0Z?6>:0yO6=5=9r\o4745>3_;99744<,7?;4:T260<5s-?n?7?=;%7f6?753twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd5j?0;6?4?:1y'62`=jo1C>:=4i00`>5<#:>l1=?m4;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{52;294~"5?o0ij6F=729j57e=83.9;k4>2b98k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn?m<:180>5<7s-82d83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:l1]=?;52z&6a67h4}|~?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo2`9K625<,8>o6<:4i00`>5<#:>l1=?m4V3:2>4}K:191=vX>b`81!7c:3;9o6X>2481!3b;3<0(8k=:79~yx{P5080:wA<73;3xR4df2;q/=i<5d09U573=:r.>i>49;%7f6?05?52zN1<6<6s_;im7a4<^88>6?u+5d19<>"2m;037psrL3:1>1}Q:>:1?vXk6;0x 0c62m80(>l<:4g0?xPc?38p(8k>:00`?!5e;3?n?6s+6679a>{Q:>:1?vXk6;0x 0c62m80(>l<:4g0?xPc?38p(8k>:00`?!5e;3?n>6s+6679a>{Q:>:1?vXk6;0x 0c62m80(>l<:4g0?xPc?38p(8k>:e38 6d426*:e3826>{zuE83>7?tV35;>7}Ql?09w);j1;05b>"4j:0>i>5r$756>44:3yO6=5=9r\:nl4={%3g6?4182\:>84={%7f7?753-?n>7?=;|~H7>528q]>:652zTg2?4|,53;294~"5?o09;n5G2618m44c290/>:h513a8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d192>"2m;0=7psr}:m2gg<72-85}#:>l1>584H350?j7dj3:1(?9i:0aa?>{e:kk1<7:50;2x 71a2><0D?9<;h31`?6=,;=m6<2481!3b;3;:7);j2;32?x{zu2c:>h4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=981/9h<5109~yx{2481!3b;3<0(8k=:79~yx{51083>5}#:>l1;;5G2618m44c290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;32?!3b:3;:7psr}:k26`<72-8h5Y13796~"2m:0:=6*:e3825>{zut1b=>750;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0956?<^88>6?u+5d1954=#=l81=<5r}|8m423290/>:h513a8R7>628qG>5=51zTg47{zut1b=9650;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0951><^88>6?u+5d1954=#=l81=<5r}|8m42>290/>:h513a8R7>628qG>5=51zTg47428q]h54={%3g6?73i2\:>84={%7f7?763-?n>7?>;|~y>o6P5080:wA<73;3xRa>=:r.:h?4>4c9U573=:r.>i>4>1:&6a7<692wvqp5f15a94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9=i0Z<<::3y'1`5=981/9h<5109~yx{2481!3b;3;:7);j2;32?x{zu2c:?<4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38274=Q9;?1>v*:e2825>"2m;0:=6sr}|9j564=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=><4V006>7}#=l91=<5+5d0954=zutw0e<=<:18'62`=9;i0Z?6>:0yO6=5=9r\o474543_;9973=#=l81:6sr}|9j562=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>:4V006>7}#=l91:6*:e385?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`1ff<728;1<7>t$35e>20<@;=87d?=d;29 71a288h7E<8e:T1<4<6sE83?7?tVe:96~"6l;0:>i5Y13796~"2m:0:=6*:e3825>{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d1954=#=l81=<5r}|8m45>290/>:h513a8R7>628qG>5=51zTg47428q]h54={%3g6?73<2\:>84={%7f7?763-?n>7?>;|~y>o6<>0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>469U573=:r.>i>4>1:&6a7<692wvqp5f15:94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9=20Z<<::3y'1`5=981/9h<5109~yx{26=4+26d957e<^;2:62481!3b;3;:7);j2;32?x{zu2c:8o4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3820g=Q9;?1>v*:e2825>"2m;0:=6sr}|9j51e=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=9m4V006>7}#=l91=<5+5d0954=zutw0e<:0yO6=5=9r\o4744a3_;99747<,7?<0:T260<5s-?n?7?>;%7f6?763twvq6g>3083>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;81]=?;52z&6a6<692.>i?4>1:~yx=n9:81<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51208R4422;q/9h=5109'1`4=981vqps4i010>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528987[?=5;0x 0c42?1/9h<56:~yx=n9:>1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51268R4422;q/9h=56:&6a7<13twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd5j00;694?:1y'62`=9jk0D?9<;h31`?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5f12;94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:30Z<<::3y'1`5=>2.>i?49;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?077=83:p(?9i:648L7143`;9h7>5$35e>44d3A87m4}|~?l75m3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e28`?!3b:3i0qpsr;h30=?6=,;=m6<2481!3b;3i0(8k=:b9~yx{?6=4+26d957e<^;2:6n5Y29395~J50:0:w[j7:3y'5a4=9==0Z<<::3y'1`5=k2.>i?4l;|~y>o6<10;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>499U573=:r.>i>4l;%7f6?e428q]h54={%3g6?7312\:>84={%7f7?e<,:h513a8R7>628qG>5=51zTg{zut1b=9l50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0951d<^88>6?u+5d19g>"2m;0h7psr}:k20f<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31b>P6:<09w);j3;a8 0c52j1vqps4i013>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5289;7[?=5;0x 0c42j1/9h<5c:~yx=n9:;1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51238R4422;q/9h=5c:&6a73383>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;;1]=?;52z&6a67m4}|~?l74;3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d38276=Q9;?1>v*:e28`?!3b:3i0qpsr;h300?6=,;=m6<2481!3b;3i0(8k=:b9~yx{6=4+26d957e<^;2:6P5080:wA<73;3xRa>=:r.:h?4>379U573=:r.>i>4>2:&6a7<6:2wvqp5f12594?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:=0Z<<::3y'1`5=9;1/9h<5139~yx{2wvqp5f12c94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:k0Z<<::3y'1`5=>2.>i?49;|~y>o6;k0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>3c9U573=:r.>i>49;%7f6?0428q]h54={%3g6?74k2\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1b=>k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0956c<^88>6?u+5d192>"2m;0=7psr}:k27c<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;374>P6:<09w);j3;48 0c52?1vqps4i062>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>:7[?=5;0x 0c42?1/9h<56:~yx=n9=81<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51508R4422;q/9h=56:&6a7<13twvq6g>4283>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<:1]=?;52z&6a6<13-?n>784}|~?l73=3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;5:T260<5s-?n?784$4g1>3=zutw0e<:9:18'62`=9;i0Z?6>:0yO6=5=9r\o474213_;9973=#=l81:6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi>oh50;02>5<7s-8o6:m0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d19g>"2m;0h7psr}:k26`<72-83883>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;01]=?;52z&6a67m4}|~?l73<3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;4:T260<5s-?n?7m4$4g1>f=zutw0e<:8:18'62`=9;i0Z?6>:0yO6=5=9r\o474203_;997f=#=l81o6sr}|9j51>=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=964V006>7}#=l91o6*:e38`?x{zu2c:844?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3820<=Q9;?1>v*:e28`?!3b:3i0qpsr;h37e?6=,;=m6<2481!3b;3i0(8k=:b9~yx{i6=4+26d957e<^;2:6n5Y29395~J50:0:w[j7:3y'5a4=9=i0Z<<::3y'1`5=k2.>i?4l;|~y>o6:o0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0957`<^88>6?u+5d19g>"2m;0h7psr}:k275<72-83083>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=>?4V006>7}#=l91o6*:e38`?x{zu2c:??4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9:80Z<<::3y'1`5=k2.>i?4l;|~y>o6;:0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e09565<^88>6?u+5d19g>"2m;0h7psr}:k271<72-83483>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;<1]=?;52z&6a67m4}|~?l74>3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?<6:T260<5s-?n?7?=;%7f6?753twvq6g>3683>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=>94V006>7}#=l91=?5+5d0957=zutw0e<=7:18'62`=9;i0Z?6>:0yO6=5=9r\o4745?3_;9973=#=l81:6sr}|9j56g=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>o4V006>7}#=l91:6*:e385?x{zu2c:?o4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3827g=Q9;?1>v*:e285?!3b:3<0qpsr;h30g?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5f12g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:o0Z<<::3y'1`5=>2.>i?49;|~y>o6;o0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>3g9U573=:r.>i>49;%7f6?0428q]h54={%3g6?7382\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg;W311?4|,{zut1b=9<50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09514<^88>6?u+5d192>"2m;0=7psr}:k206<72-85Y13796~"2m:0=7);j2;48yx{z3`;?97>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;371>P6:<09w);j3;48 0c52?1vqps4i065>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>=7[?=5;0x 0c42?1/9h<56:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm2b294?5=83:p(?9i:0ab?M40;2c:>i4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e285?!3b:3<0qpsr;h31a?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5rb3fa>5<5290;w)<8f;`e?M40;2c:>n4?:%04b?75k21d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a6`2=8391<7>t$35e>71d3A82e83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:m1]=?;52z&6a6<13-?n>784}|~?l75m3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=e:T260<5s-?n?7h4$4g1>c=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f7c4290=6=4?{%04b?75i2B9;>5+15f951=n9;i1<7*=7g826f=Q:1;1=vB=8282S7ei38p(2wvqp5fd083>!40n3n:7[<71;3xH7>428q]=oo52z&2`784={%7f7?0<,7}#9m81h?5Y13796~"2m:037);j2;:8yx{K:1818vX=7180Sb12;q/9h?5d39'7g5==l90q[j8:3y'1`7=9;i0(>l<:4g0?x"1?<0n7pX=7180Sb12;q/9h?5d39'7g5==l90q[j8:3y'1`7=9;i0(>l<:4g1?x"1?<0n7pX=7180Sb12;q/9h?5d39'7g5==l90q[j8:3y'1`7=l81/?o=55d18y!00=3=0q[?k1;0xRa0=:r.>i<4k2:&0f6<2m:1v(;9::018yx{P6:<09w);j3;31?!3b:3;97psrL3:1>4}Q:>21>vXk6;0x 0c62;{#>>?1=?5r}|9j636=83.9;k4=619U6=7=:rF94>4>{W3ae?4|,8n96?8?;W311?4|,445<51zT13=<5s_n=6?u+5d39636<,:h868k<;|&530<6:2wvq6a>cc83>!40n3;hn65rb3f`>5<4290;w)<8f;04g>N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d192>"2m;0=7psr}:k26`<72-8h5Y13796~"2m:0=7);j2;48yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c0g`?6=93:190c1<729q/>:h5779K625P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4>1:&6a7<692wvqp5f12;94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:30Z<<::3y'1`5=981/9h<5109~yx{2wvqp5rb3g3>5<0290;w)<8f;55?M40;2c:>i4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=981/9h<5109~yx{2481!3b;3;:7);j2;32?x{zu2c:894?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38201=Q9;?1>v*:e2825>"2m;0:=6sr}|9j511=83.9;k4>2b9K62c<^;2:62481!3b;3;:7);j2;32?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`1a4<72>0;6=u+26d933=O:>90e<2481!3b;3;:7);j2;32?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e2825>"2m;0:=6sr}|9j56?=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>74V006>7}#=l91=<5+5d0954=zutw0e<:;:18'62`=9;i0Z?6>:0yO6=5=9r\o474233_;99747<,7?;7:T260<5s-?n?7?>;%7f6?763twvq6g>4983>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<11]=?;52z&6a6<692.>i?4>1:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm2eg94?2=83:p(?9i:0ab?M40;2c:>i4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e285?!3b:3<0qpsr;h31a?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e:l?1<7<50;2x 71a2kl0D?9<;h31g?6=,;=m6<5}#:>l1>:m4H350?l75l3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?784$4g1>3=zutw0e<:0yO6=5=9r\o4744b3_;997c=#=l81j6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi>hj50;494?6|,;=m6<"62b83>!40n3;9o6X=8082I4?;3;pZ2.>i?49;|~y>oc93:1(?9i:e38R7>628qG>5=51zT2fd<5s-;o>7j>;W311?4|,{zut1bh?4?:%04b?b53_83=74}Q9kk1>v*>d38g6>P6:<09w);j3;:8 0c5211vqpB=8387S40839pZi852z&6a44:e29~Ra1=:r.>i<4>2b9'7g5==l90q)885;g8yS40839pZi852z&6a44:e29~Ra1=:r.>i<4>2b9'7g5==l80q)885;g8yS40839pZi852z&6a44:e29~Ra1=:r.>i<4k1:&0f6<2m:1v(;9::69~R4b62;q]h;4={%7f5?b53-9i?7;j3:'223=9:1vqp5f27d94?"5?o09:k5Y29396~J50:0:w[?ma;0x 4b52;{zu2c9:=4?:%04b?4182\94<4={M0;7?7|^8hj6?u+1e09636<^88>6?u+5d1957=#=l81=?5r}|N1<7<6s_8<47{e:l<1<7=50;2x 71a2;=h7E<83:k26a<72-8i5Y13796~"2m:0=7);j2;48yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;48 0c52?1vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj;o<6=4>:183!40n383:6F=729l5fd=83.9;k4>cc98yg4b13:187>50z&13c<0>2B9;>5f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=981/9h<5109~yx{428q]h54={%3g6?75m2\:>84={%7f7?763-?n>7?>;|~y>o6;00;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>389U573=:r.>i>4>1:&6a7<692wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e:lk1<7650;2x 71a2><0D?9<;h31`?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4>1:&6a7<692wvqp5f13g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=981/9h<5109~yx{2481!3b;3;:7);j2;32?x{zu2c:8:4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9==0Z<<::3y'1`5=981/9h<5109~yx{36=4+26d957e<^;2:62481!3b;3;:7);j2;32?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`1ag<7210;6=u+26d933=O:>90e<2481!3b;3;:7);j2;32?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e2825>"2m;0:=6sr}|9j56?=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>74V006>7}#=l91=<5+5d0954=zutw0e<:;:18'62`=9;i0Z?6>:0yO6=5=9r\o474233_;99747<,7?;7:T260<5s-?n?7?>;%7f6?763twvq6g>4983>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<11]=?;52z&6a6<692.>i?4>1:~yx=n9=31<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<515;8R4422;q/9h=5109'1`4=981vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj;o36=4;:183!40n3;hm6F=729j57b=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91:6*:e385?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e285?!3b:3<0qpsr;h30=?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5rb3ge>5<5290;w)<8f;`e?M40;2c:>n4?:%04b?75k21d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a6c>=8391<7>t$35e>71d3A82e83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:m1]=?;52z&6a6<13-?n>784}|~?l75m3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=e:T260<5s-?n?7h4$4g1>c=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f7`0290=6=4?{%04b?75i2B9;>5+15f951=n9;i1<7*=7g826f=Q:1;1=vB=8282S7ei38p(2wvqp5fd083>!40n3n:7[<71;3xH7>428q]=oo52z&2`784={%7f7?0<,7}#9m81h?5Y13796~"2m:037);j2;:8yx{K:1818vX=7180Sb12;q/9h?5d39'7g5==l90q[j8:3y'1`7=9;i0(>l<:4g0?x"1?<0n7pX=7180Sb12;q/9h?5d39'7g5==l90q[j8:3y'1`7=9;i0(>l<:4g1?x"1?<0n7pX=7180Sb12;q/9h?5d39'7g5==l90q[j8:3y'1`7=l81/?o=55d18y!00=3=0q[?k1;0xRa0=:r.>i<4k2:&0f6<2m:1v(;9::018yx{P6:<09w);j3;31?!3b:3;97psrL3:1>4}Q:>21>vXk6;0x 0c62;{#>>?1=?5r}|9j636=83.9;k4=619U6=7=:rF94>4>{W3ae?4|,8n96?8?;W311?4|,445<51zT13=<5s_n=6?u+5d39636<,:h868k<;|&530<6:2wvq6a>cc83>!40n3;hn65rb3d3>5<4290;w)<8f;04g>N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d192>"2m;0=7psr}:k26`<72-8h5Y13796~"2m:0=7);j2;48yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c0e5?6=93:190c1<729q/>:h5779K625P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4>1:&6a7<692wvqp5f12;94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:30Z<<::3y'1`5=981/9h<5109~yx{2wvqp5rb3d7>5<>290;w)<8f;55?M40;2c:>i4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=981/9h<5109~yx{2481!3b;3;:7);j2;32?x{zu2c:894?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38201=Q9;?1>v*:e2825>"2m;0:=6sr}|9j511=83.9;k4>2b9K62c<^;2:62481!3b;3;:7);j2;32?x{zu2c:844?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3820<=Q9;?1>v*:e2825>"2m;0:=6sr}|9j51g=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=9o4V006>7}#=l91=<5+5d0954=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f7`229026=4?{%04b?113A82e83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91=<5+5d0954=zutw0e<:0yO6=5=9r\o4744b3_;99747<,7?<9:T260<5s-?n?7?>;%7f6?763twvq6g>4583>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<=1]=?;52z&6a6<692.>i?4>1:~yx=n9==1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51558R4422;q/9h=5109'1`4=981vqps4i06;>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>37[?=5;0x 0c428;0(8k=:038yx{z3`;?57>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;37=>P6:<09w);j3;32?!3b:3;:7psr}:k20d<72-8{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a6c4=83>1<7>t$35e>4ef3A82e83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:m1]=?;52z&6a6<13-?n>784}|~?l75m3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=e:T260<5s-?n?784$4g1>3=zutw0e<=6:18'62`=9;i0Z?6>:0yO6=5=9r\o4745>3_;9973=#=l81:6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi>k750;094?6|,;=m6oh4H350?l75k3:1(?9i:00`?>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?06<729q/>:h526a8L7143`;9h7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;48 0c52?1vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42o1/9h<5f:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm31394?0=83:p(?9i:00b?M40;2.:8i4>4:k26f<72-87}#9m81=?m4V006>7}#=l91:6*:e385?x{zu2co=7>5$35e>a7<^;2:62wvqp5fd383>!40n3n97[<71;0xH7>428q]=oo52z&2`784={%7f7?><,6*v*:e0826f=#;k919h=4}%441?c6*v*:e0826f=#;k919h<4}%441?c6*v*:e08g5>"4j:0>i>5r$756>2=z^8n:6?uYd781!3b93n97)=m3;7f7>{#>>?1=>5r}|9j63`=83.9;k4=6g9U6=7=:rF94>4>{W3ae?4|,8n96?8i;W311?4|,445<51zT13=<5s_n=6?u+5d3963`<,:h868k<;|&530<6:2wvq6g=6183>!40n38=<6X=8081I4?;3;pZi<4=619'7g5==l90q)885;31?x{z3f;hn7>5$35e>4ee32wi>ko50;194?6|,;=m6?9l;I047>o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>49;%7f6?0428q]h54={%3g6?75m2\:>84={%7f7?0<,:h51b`8R7>628qG>5=51zTg{zut1vn?hm:182>5<7s-854;294~"5?o0<:6F=729j57b=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91=<5+5d0954=zutw0e<2481!3b;3;:7);j2;32?x{zu2c:?44?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3827<=Q9;?1>v*:e2825>"2m;0:=6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi>kk50;32>5<7s-8o6:m0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d1954=#=l81=<5r}|8m44b290/>:h513a8R7>628qG>5=51zTg47428q]h54={%3g6?7412\:>84={%7f7?763-?n>7?>;|~y>o6<=0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>459U573=:r.>i>4>1:&6a7<692wvqp5f15594?"5?o0:>n5G26g8R7>628qG>5=51zTg47428q]h54={%3g6?7302\:>84={%7f7?763-?n>7?>;|~y>o6<00;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>489U573=:r.>i>4>1:&6a7<692wvqp5f15c94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9=k0Z<<::3y'1`5=981/9h<5109~yx{i6=4+26d957e<^;2:62481!3b;3<0(8k=:79~yx{2wvqp5f12294?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9::0Z<<::3y'1`5=>2.>i?49;|~y>o6;80;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>309U573=:r.>i>49;%7f6?0428q]h54={%3g6?74:2\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1b=>:50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09562<^88>6?u+5d192>"2m;0=7psr}:m2gg<72-8{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e2825>"2m;0:=6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91=<5+5d0954=zutw0e<=6:18'62`=9;i0Z?6>:0yO6=5=9r\o4745>3_;99747<,7?;4:T260<5s-?n?7?>;%7f6?763twvq6g>4683>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<>1]=?;52z&6a6<692.>i?4>1:~yx=n9=21<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<515:8R4422;q/9h=5109'1`4=981vqps4i06:>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>27[?=5;0x 0c428;0(8k=:038yx{z3`;?m7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;37e>P6:<09w);j3;32?!3b:3;:7psr}:k20g<72-8{zut1b=9m50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0951e<^88>6?u+5d192>"2m;0=7psr}:k26c<72-8k5Y13796~"2m:0=7);j2;48yx{z3`;8<7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;304>P6:<09w);j3;48 0c52?1vqps4i012>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5289:7[?=5;0x 0c42?1/9h<56:~yx=n9:81<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51208R4422;q/9h=56:&6a7<13twvq6g>3283>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;:1]=?;52z&6a6<13-?n>784}|~?l74<3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?<4:T260<5s-?n?784$4g1>3=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f7`d290?6=4?{%04b?7di2B9;>5f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=>2.>i?49;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>49;%7f6?0428q]h54={%3g6?7412\:>84={%7f7?0<,:h51b`8R7>628qG>5=51zTg{zut1vn>><:181>5<7s-8o6:j0;6)<8f;31g>=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm31a94?5=83:p(?9i:35`?M40;2c:>i4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e285?!3b:3<0qpsr;h31a?6=,;=m6<2481!3b;3l0(8k=:g9~yx{2wvqp5rb22a>5<1290;w)<8f;31e>N5?:1/=9j5159j57e=83.9;k4>2b9U6=7=9rF94>4>{W3ae?4|,8n96<{zut1bh<4?:%04b?b63_83=7?tL3:0>4}Q9kk1>v*>d38g5>P6:<09w);j3;48 0c52?1vqps4ie094?"5?o0o>6X=8081I4?;3;pZ764}|O6=4=7}#=l;1h?5+3c191`57}#=l;1h?5+3c191`57}#=l;1h?5+3c191`56*6<=4}|8m70a290/>:h527d8R7>62;qG>5=51zT2fd<5s-;o>7<9f:T260<5s-?n?7?=;%7f6?753twv@?6=:0yU62>=:r\o:770a3-9i?7;j3:'223=9;1vqp5f27294?"5?o09:=5Y29396~J50:0:w[?ma;0x 4b52;<;7[?=5;0x 0c42880(8k=:008yx{K:181=vX=7981Sb12;q/9h?52728 6d42{zu2e:oo4?:%04b?7dj21vn>>;:180>5<7s-82d83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:l1]=?;52z&6a6<13-?n>784}|~?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo=?5;295?6=8r.9;k4=879K6255}#:>l1;;5G2618m44c290/>:h513a8R7>628qG>5=51zTg47h5Y13796~"2m:0:=6*:e3825>{zut1b=>750;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0956?<^88>6?u+5d1954=#=l81=<5r}|8k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn>>7:1825?6=8r.9;k486:J136=n9;n1<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4744c3_;99747<,7?=e:T260<5s-?n?7?>;%7f6?763twvq6g>3883>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;01]=?;52z&6a6<692.>i?4>1:~yx=n9=>1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51568R4422;q/9h=5109'1`4=981vqps4i064>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?;7:T260<5s-?n?7?>;%7f6?763twvq6g>4983>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<11]=?;52z&6a6<692.>i?4>1:~yx=n9=31<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<515;8R4422;q/9h=5109'1`4=981vqps4i06b>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>j7[?=5;0x 0c428;0(8k=:038yx{z3`;?n7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;37f>P6:<09w);j3;32?!3b:3;:7psr}:k20f<72-8{zut1b=?h50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957`<^88>6?u+5d192>"2m;0=7psr}:k275<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;305>P6:<09w);j3;48 0c52?1vqps4i011>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528997[?=5;0x 0c42?1/9h<56:~yx=n9:91<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51218R4422;q/9h=56:&6a7<13twvq6g>3583>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;=1]=?;52z&6a6<13-?n>784}|~?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo=?9;2954<729q/>:h5779K625428q]h54={%3g6?75l2\:>84={%7f7?763-?n>7?>;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4>1:&6a7<692wvqp5f12;94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:30Z<<::3y'1`5=981/9h<5109~yx{?6=4+26d957e<^;2:62481!3b;3;:7);j2;32?x{zu2c:854?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3820==Q9;?1>v*:e2825>"2m;0:=6sr}|9j51?=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=974V006>7}#=l91=<5+5d0954=zutw0e<:n:18'62`=9;i0Z?6>:0yO6=5=9r\o4742f3_;99747<,7?;b:T260<5s-?n?7?>;%7f6?763twvq6g>4b83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6i?4>1:~yx=n9;l1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513d8R4422;q/9h=56:&6a7<13twvq6g>3183>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;91]=?;52z&6a6<13-?n>784}|~?l7493:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?<1:T260<5s-?n?784$4g1>3=zutw0e<==:18'62`=9;i0Z?6>:0yO6=5=9r\o474553_;9973=#=l81:6sr}|9j565=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>=4V006>7}#=l91:6*:e385?x{zu2c:?94?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38271=Q9;?1>v*:e285?!3b:3<0qpsr;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{54;294~"5?o0:ol5G2618m44c290/>:h513a8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d192>"2m;0=7psr}:k27<<72-85$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c13`?6=:3:1N5?:1b=?m50;&13c<6:j10c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f67129086=4?{%04b?40k2B9;>5f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=>2.>i?49;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4i;%7f6?`428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<6:h1C>:=4$06g>42P6:<09w);j3;48 0c52?1vqps4ie394?"5?o0o=6X=8082I4?;3;pZ784}|~?lb5290/>:h5d39U6=7=:rF94>4>{W3ae?4|,8n96i<4V006>7}#=l9146*:e38;?x{zD;2969uY26297~Pc>38p(8k>:e08 6d42{#>>?1i6sY26297~Pc>38p(8k>:e08 6d42{#>>?1i6sY26297~Pc>38p(8k>:e08 6d42l<:4g0?x"1?<0<7pX>d081Sb12;q/9h?5d39'7g5==l90q)885;30?x{z3`8=j7>5$35e>70a3_83=74}Q9kk1>v*>d3812c=Q9;?1>v*:e2826>"2m;0:>6sr}M0;6?7|^;=36?uYd781!3b938=j6*6<<4}|8m707290/>:h52728R7>62;qG>5=51zT2fd<5s-;o>7<90:T260<5s-?n?7?=;%7f6?753twv@?6=:0yU62>=:r\o:77073-9i?7;j3:'223=9;1vqp5`1b`94?"5?o0:oo54}c13a?6=;3:190e<:0yO6=5=9r\o4744c3_;9973=#=l81:6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91:6*:e385?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`04c<7280;6=u+26d96=0<@;=87b?lb;29 71a28ii76sm30394?2=83:p(?9i:648L7143`;9h7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;32?!3b:3;:7psr}:k26`<72-85<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528927[?=5;0x 0c428;0(8k=:038yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c126?6=980;6=u+26d933=O:>90e<2481!3b;3;:7);j2;32?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e2825>"2m;0:=6sr}|9j56?=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>74V006>7}#=l91=<5+5d0954=zutw0e<:;:18'62`=9;i0Z?6>:0yO6=5=9r\o474233_;99747<,{M0;7?7|^m21>v*>d38202=Q9;?1>v*:e2825>"2m;0:=6sr}|9j51>=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=964V006>7}#=l91=<5+5d0954=zutw0e<:6:18'62`=9;i0Z?6>:0yO6=5=9r\o4742>3_;99747<,7?;a:T260<5s-?n?7?>;%7f6?763twvq6g>4c83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6i?4>1:~yx=n9=i1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<515a8R4422;q/9h=5109'1`4=981vqps4i00e>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288m7[?=5;0x 0c428;0(8k=:038yx{z3`;8<7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;304>P6:<09w);j3;48 0c52?1vqps4i012>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5289:7[?=5;0x 0c42?1/9h<56:~yx=n9:81<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51208R4422;q/9h=56:&6a7<13twvq6g>3283>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;:1]=?;52z&6a6<13-?n>784}|~?l74<3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?<4:T260<5s-?n?784$4g1>3=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f674290:=7>50z&13c<0>2B9;>5f13f94?"5?o0:>n5G26g8R7>628qG>5=51zTg47428q]h54={%3g6?75m2\:>84={%7f7?763-?n>7?>;|~y>o6;00;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>389U573=:r.>i>4>1:&6a7<692wvqp5f15694?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9=>0Z<<::3y'1`5=981/9h<5109~yx{<6=4+26d957e<^;2:62481!3b;3;:7);j2;32?x{zu2c:844?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3820<=Q9;?1>v*:e2825>"2m;0:=6sr}|9j51g=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=9o4V006>7}#=l91=<5+5d0954=zutw0e<:m:18'62`=9;i0Z?6>:0yO6=5=9r\o4742e3_;99747<,7?;c:T260<5s-?n?7?>;%7f6?763twvq6g>2g83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:o1]=?;52z&6a6<692.>i?4>1:~yx=n9::1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51228R4422;q/9h=56:&6a7<13twvq6g>3083>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;81]=?;52z&6a6<13-?n>784}|~?l74:3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?<2:T260<5s-?n?784$4g1>3=zutw0e<=<:18'62`=9;i0Z?6>:0yO6=5=9r\o474543_;9973=#=l81:6sr}|9j562=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>:4V006>7}#=l91:6*:e385?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`055<72=0;6=u+26d95fg<@;=87d?=d;29 71a288h7[<71;3xH7>428q]h54={%3g6?75l2\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1b=>750;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0956?<^88>6?u+5d192>"2m;0=7psr}:m2gg<72-85}#:>l1nk5G2618m44d290/>:h513a8?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo==0;297?6=8r.9;k4=7b9K6252wvqp5f13g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=n2.>i?4i;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?03<729q/>:h513c8L7143-;?h7?;;h31g?6=,;=m6<n5Y13796~"2m:0=7);j2;48yx{z3`n:6=4+26d9`4=Q:1;1=vB=8282S7ei38p(:3yO6=5=9r\:nl4={%3g6?b53_;997==#=l8146sr}M0;6?2|^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;31g>"4j:0>i>5r$756>`=z^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;31g>"4j:0>i?5r$756>`=z^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;f2?!5e;3?n?6s+66793>{Q9m;1>vXk6;0x 0c62m80(>l<:4g0?x"1?<0:?6sr}:k12c<72-87}#9m81>;h4V006>7}#=l91=?5+5d0957=zutF94?4>{W04v*:e0812c=#;k919h=4}%441?753twv7d<90;29 71a2;<;7[<71;0xH7>428q]=oo52z&2`7<5>91]=?;52z&6a6<6:2.>i?4>2:~yI4?:3;pZ?97:3yU`3<5s-?n=7<90:&0f6<2m:1v(;9::008yx{5}#:>l1>:m4H350?l75l3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?784$4g1>3=zutw0e<:0yO6=5=9r\o4744b3_;9973=#=l81:6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi?<750;394?6|,;=m6?69;I047>i6kk0;6)<8f;3`f>=zj:;i6=4;:183!40n3==7E<83:k26a<72-8i5Y13796~"2m:0:=6*:e3825>{zut1b=?k50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c428;0(8k=:038yx{z3`;857>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;30=>P6:<09w);j3;32?!3b:3;:7psr}:m2gg<72-8{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e2825>"2m;0:=6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91=<5+5d0954=zutw0e<=6:18'62`=9;i0Z?6>:0yO6=5=9r\o4745>3_;99747<,7?;4:T260<5s-?n?7?>;%7f6?763twvq6g>4683>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=994V006>7}#=l91=<5+5d0954=zutw0e<:7:18'62`=9;i0Z?6>:0yO6=5=9r\o4742?3_;99747<,7?;9:T260<5s-?n?7?>;%7f6?763twvq6g>4`83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6i?4>1:~yx=n9=h1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<515`8R4422;q/9h=5109'1`4=981vqps4i06`>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>h7[?=5;0x 0c428;0(8k=:038yx{z3`;9j7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31b>P6:<09w);j3;32?!3b:3;:7psr}:k275<72-8{zut1b=>?50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09567<^88>6?u+5d192>"2m;0=7psr}:k277<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;307>P6:<09w);j3;48 0c52?1vqps4i017>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5289?7[?=5;0x 0c42?1/9h<56:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm30f94?76290;w)<8f;55?M40;2c:>i4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=981/9h<5109~yx{2481!3b;3;:7);j2;32?x{zu2c:894?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38201=Q9;?1>v*:e2825>"2m;0:=6sr}|9j511=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=994V006>7}#=l91=<5+5d0954=zutw0e<:7:18'62`=9;i0Z?6>:0yO6=5=9r\o4742?3_;99747<,7?;9:T260<5s-?n?7?>;%7f6?763twvq6g>4`83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6i?4>1:~yx=n9=h1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<515`8R4422;q/9h=5109'1`4=981vqps4i06`>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>h7[?=5;0x 0c428;0(8k=:038yx{z3`;9j7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31b>P6:<09w);j3;32?!3b:3;:7psr}:k275<72-8{zut1b=>?50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09567<^88>6?u+5d192>"2m;0=7psr}:k277<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;307>P6:<09w);j3;48 0c52?1vqps4i017>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5289?7[?=5;0x 0c42?1/9h<56:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm30c94?2=83:p(?9i:0ab?M40;2c:>i4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e285?!3b:3<0qpsr;h31a?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e;;;1<7<50;2x 71a2kl0D?9<;h31g?6=,;=m6<54?:383>5}#:>l1nk5G2618m44d290/>:h513a8?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo==f;297?6=8r.9;k4=7b9K6252wvqp5f13g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=n2.>i?4i;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?03<729q/>:h513c8L7143-;?h7?;;h31g?6=,;=m6<n5Y13796~"2m:0=7);j2;48yx{z3`n:6=4+26d9`4=Q:1;1=vB=8282S7ei38p(:3yO6=5=9r\:nl4={%3g6?b53_;997==#=l8146sr}M0;6?2|^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;31g>"4j:0>i>5r$756>`=z^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;31g>"4j:0>i?5r$756>`=z^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;f2?!5e;3?n?6s+66793>{Q9m;1>vXk6;0x 0c62m80(>l<:4g0?x"1?<0:?6sr}:k12c<72-87}#9m81>;h4V006>7}#=l91=?5+5d0957=zutF94?4>{W04v*:e0812c=#;k919h=4}%441?753twv7d<90;29 71a2;<;7[<71;0xH7>428q]=oo52z&2`7<5>91]=?;52z&6a6<6:2.>i?4>2:~yI4?:3;pZ?97:3yU`3<5s-?n=7<90:&0f6<2m:1v(;9::008yx{?4?:283>5}#:>l1>:m4H350?l75l3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?784$4g1>3=zutw0e<:0yO6=5=9r\o4744b3_;9973=#=l81:6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi??=50;394?6|,;=m6?69;I047>i6kk0;6)<8f;3`f>=zj:8>6=4<:183!40n3==7E<83:k26a<72-8i5Y13796~"2m:0=7);j2;48yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;48 0c52?1vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj:8=6=4;:183!40n3==7E<83:k26a<72-8i5Y13796~"2m:0:=6*:e3825>{zut1b=?k50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c428;0(8k=:038yx{z3`;857>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;30=>P6:<09w);j3;32?!3b:3;:7psr}:m2gg<72-8:4?:0394?6|,;=m6:84H350?l75l3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e2825>"2m;0:=6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91=<5+5d0954=zutw0e<=6:18'62`=9;i0Z?6>:0yO6=5=9r\o4745>3_;99747<,7?;4:T260<5s-?n?7?>;%7f6?763twvq6g>4683>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=994V006>7}#=l91=<5+5d0954=zutw0e<:7:18'62`=9;i0Z?6>:0yO6=5=9r\o4742?3_;99747<,7?;9:T260<5s-?n?7?>;%7f6?763twvq6g>4`83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6i?4>1:~yx=n9=h1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<515`8R4422;q/9h=5109'1`4=981vqps4i06`>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>h7[?=5;0x 0c428;0(8k=:038yx{z3`;9j7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31b>P6:<09w);j3;32?!3b:3;:7psr}:k275<72-8{zut1b=>?50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09567<^88>6?u+5d1954=#=l81=<5r}|8m455290/>:h513a8R7>628qG>5=51zTg{zut1b=>=50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09565<^88>6?u+5d192>"2m;0=7psr}:k271<72-85$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c110?6=<3:190e<:0yO6=5=9r\o4744c3_;9973=#=l81:6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91:6*:e385?x{zu2c:?44?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3827<=Q9;?1>v*:e285?!3b:3<0qpsr;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{52083>5}#:>l1;;5G2618m44c290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;a8 0c52j1vqps4i00f>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?=e:T260<5s-?n?7m4$4g1>f=zutw0e<=6:18'62`=9;i0Z?6>:0yO6=5=9r\o4745>3_;997f=#=l81o6sr}|9j512=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=9:4V006>7}#=l91o6*:e38`?x{zu2c:8:4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38202=Q9;?1>v*:e28`?!3b:3i0qpsr;h372481!3b;3i0(8k=:b9~yx{26=4+26d957e<^;2:6n5Y29395~J50:0:w[j7:3y'5a4=9=k0Z<<::3y'1`5=k2.>i?4l;|~y>o6P5080:wA<73;3xRa>=:r.:h?4>4c9U573=:r.>i>4l;%7f6?e428q]h54={%3g6?73k2\:>84={%7f7?e<,:h513a8R7>628qG>5=51zTg{zut1b=>>50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09566<^88>6?u+5d19g>"2m;0h7psr}:k274<72-87>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;306>P6:<09w);j3;a8 0c52j1vqps4i010>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?<3:T260<5s-?n?7m4$4g1>f=zutw0e<=;:18'62`=9;i0Z?6>:0yO6=5=9r\o474533_;997f=#=l81o6sr}|9j563=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>;4V006>7}#=l91=?5+5d0957=zutw0e<=9:18'62`=9;i0D?9j;W0;5?7|D;2862481!3b;3;97);j2;31?x{zu2c:?:4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38272=Q9;?1>v*:e2826>"2m;0:>6sr}|9j56>=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>64V006>7}#=l91:6*:e385?x{zu2c:?l4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3827d=Q9;?1>v*:e285?!3b:3<0qpsr;h30f?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5f12f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:n0Z<<::3y'1`5=>2.>i?49;|~y>o6;l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>3d9U573=:r.>i>49;%7f6?0428q]h54={%3g6?74n2\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1b=9?50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09517<^88>6?u+5d192>"2m;0=7psr}:k207<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;377>P6:<09w);j3;48 0c52?1vqps4i066>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>>7[?=5;0x 0c42?1/9h<56:~yx=n9=<1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51548R4422;q/9h=56:&6a7<13twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd4:h0;6??50;2x 71a2><0D?9<;h31`?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4l;%7f6?eh5Y13796~"2m:0h7);j2;a8yx{z3`;857>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;30=>P6:<09w);j3;a8 0c52j1vqps4i067>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>?7[?=5;0x 0c42j1/9h<5c:~yx=n9==1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51558R4422;q/9h=5c:&6a74983>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<11]=?;52z&6a67m4}|~?l7313:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;9:T260<5s-?n?7m4$4g1>f=zutw0e<:n:18'62`=9;i0Z?6>:0yO6=5=9r\o4742f3_;997f=#=l81o6sr}|9j51d=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=9l4V006>7}#=l91o6*:e38`?x{zu2c:8n4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3820f=Q9;?1>v*:e28`?!3b:3i0qpsr;h31b?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2g9U573=:r.>i>4l;%7f6?e5$35e>44d3A87m4}|~?l74:3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d38277=Q9;?1>v*:e28`?!3b:3i0qpsr;h307?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>329U573=:r.>i>4l;%7f6?e428q]h54={%3g6?74<2\:>84={%7f7?e<,:h513a8R7>628qG>5=51zTg446*:e3826>{zut1b=>950;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09561<^88>6?u+5d1957=#=l81=?5r}|8m45?290/>:h513a8R7>628qG>5=51zTg{zut1b=>o50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0956g<^88>6?u+5d192>"2m;0=7psr}:k27g<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;30g>P6:<09w);j3;48 0c52?1vqps4i01g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5289o7[?=5;0x 0c42?1/9h<56:~yx=n9:o1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<512g8R4422;q/9h=56:&6a7<13twvq6g>3g83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;o1]=?;52z&6a6<13-?n>784}|~?l7383:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;0:T260<5s-?n?784$4g1>3=zutw0e<:>:18'62`=9;i0Z?6>:0yO6=5=9r\o474263_;9973=#=l81:6sr}|9j514=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=9<4V006>7}#=l91:6*:e385?x{zu2c:8>4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38206=Q9;?1>v*:e285?!3b:3<0qpsr;h371?6=,;=m6<2481!3b;3<0(8k=:79~yx{=6=4+26d957e<^;2:62wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e;;h1<7<>:183!40n3==7E<83:k26a<72-82d83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91o6*:e38`?x{zu2c:?44?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3827<=Q9;?1>v*:e28`?!3b:3i0qpsr;h370?6=,;=m6<2481!3b;3i0(8k=:b9~yx{<6=4+26d957e<^;2:6n5Y29395~J50:0:w[j7:3y'5a4=9=20Z<<::3y'1`5=k2.>i?4l;|~y>o6<00;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>489U573=:r.>i>4l;%7f6?e428q]h54={%3g6?73i2\:>84={%7f7?e<,:h513a8R7>628qG>5=51zTg{zut1b=9m50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0951e<^88>6?u+5d19g>"2m;0h7psr}:k26c<72-83183>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=>>4V006>7}#=l91o6*:e38`?x{zu2c:?<4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9:;0Z<<::3y'1`5=k2.>i?4l;|~y>o6;;0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e09564<^88>6?u+5d19g>"2m;0h7psr}:k276<72-83583>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;=1]=?;52z&6a67m4}|~?l74=3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?<5:T260<5s-?n?7?=;%7f6?753twvq6g>3783>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=>84V006>7}#=l91=?5+5d0957=zutw0e<=8:18'62`=9;i0Z?6>:0yO6=5=9r\o474503_;99744<,7?<8:T260<5s-?n?784$4g1>3=zutw0e<=n:18'62`=9;i0Z?6>:0yO6=5=9r\o4745f3_;9973=#=l81:6sr}|9j56d=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>l4V006>7}#=l91:6*:e385?x{zu2c:?n4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3827f=Q9;?1>v*:e285?!3b:3<0qpsr;h30`?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5f12d94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:l0Z<<::3y'1`5=>2.>i?49;|~y>o6<90;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>419U573=:r.>i>49;%7f6?0428q]h54={%3g6?7392\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1b=9=50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09515<^88>6?u+5d192>"2m;0=7psr}:k200<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;372>P6:<09w);j3;48 0c52?1vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj:8h6=4;:183!40n3;hm6F=729j57b=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91:6*:e385?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e285?!3b:3<0qpsr;h30=?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5rb26b>5<5290;w)<8f;`e?M40;2c:>n4?:%04b?75k21d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a705=83<1<7>t$35e>44f3A84e820>o6:j0;6)<8f;31g>P5080:wA<73;3xR4df2;q/=i<513a8R4422;q/9h=56:&6a7<13twvq6gk1;29 71a2m;0Z?6>:0yO6=5=9r\:nl4={%3g6?b63_;9973=#=l81:6sr}|9j`7<72-86X>2481!3b;320(8k=:99~yxJ50;0?w[<80;1xRa0=:r.>i<4k2:&0f6<2m:1vZi952z&6a4<6:j1/?o=55d18y!00=3o0q[<80;1xRa0=:r.>i<4k2:&0f6<2m:1vZi952z&6a4<6:j1/?o=55d08y!00=3o0q[<80;1xRa0=:r.>i<4k2:&0f6<2m:1vZi952z&6a44:e29~ 3122l1vZ:3yU`3<5s-?n=7j=;%1a7?3b;2w/::;5129~yx=n:?l1<7*=7g812c=Q:1;1>vB=8282S7ei38p(l<:4g0?x"1?<0:>6sr}:k125<72-87}#9m81>;>4V006>7}#=l91=?5+5d0957=zutF94?4>{W04v*:e08125=#;k919h=4}%441?753twv7b?lb;29 71a28ii76sm35a94?5=83:p(?9i:35`?M40;2c:>i4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=>2.>i?49;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>49;%7f6?0428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<50?1C>:=4o0aa>5<#:>l1=nl4;|`00c<72:0;6=u+26d933=O:>90e<:0yO6=5=9r\o4744c3_;99747<,7?=e:T260<5s-?n?7?>;%7f6?763twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd4=90;6>4?:1y'62`=??1C>:=4i00g>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?7?>;%7f6?763twvq6g>2d83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91=<5+5d0954=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f636290?6=4?{%04b?113A82e83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91=<5+5d0954=zutw0e<:0yO6=5=9r\o4744b3_;99747<,7?<9:T260<5s-?n?7?>;%7f6?763twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd42481!3b;3<0(8k=:79~yx{2wvqp5f12;94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:30Z<<::3y'1`5=>2.>i?49;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?06<729q/>:h5779K625428q]h54={%3g6?75l2\:>84={%7f7?763-?n>7?>;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4>1:&6a7<692wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e;<>1<7<50;2x 71a2kl0D?9<;h31g?6=,;=m6<5}#:>l1=?o4H350?!73l3;?7d?=c;29 71a288h7[<71;3xH7>428q]=oo52z&2`7<6:j1]=?;52z&6a6<13-?n>784}|~?lb6290/>:h5d09U6=7=9rF94>4>{W3ae?4|,8n96i?4V006>7}#=l91:6*:e385?x{zu2co>7>5$35e>a4<^;2:6?uC29195~P6jh09w)?k2;f1?S75=38p(8k<:99'1`4=02wvqA<72;6xR7172:q]h;4={%7f5?b53-9i?7;j3:U`2<5s-?n=7?=c:&0f6<2m:1v(;9::d9~R7172:q]h;4={%7f5?b53-9i?7;j3:U`2<5s-?n=7?=c:&0f6<2m;1v(;9::d9~R7172:q]h;4={%7f5?b53-9i?7;j3:U`2<5s-?n=7j>;%1a7?3b;2w/::;5e:U5a7=:r\o:7a4<,:h868k<;|&530<6;2wvq6g=6g83>!40n38=j6X=8081I4?;3;pZi<4=6g9'7g5==l90q)885;31?x{z3`8=<7>5$35e>7073_83=74}Q9kk1>v*>d38125=Q9;?1>v*:e2826>"2m;0:>6sr}M0;6?7|^;=36?uYd781!3b938=<6*6<<4}|8k4ee290/>:h51b`8?xd4=?0;6>4?:1y'62`=:>i0D?9<;h31`?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>49;%7f6?0428q]h54={%3g6?75m2\:>84={%7f7?0<,:h51b`8R7>628qG>5=51zTg{zut1vn>;8:182>5<7s-857>53;294~"5?o0<:6F=729j57b=83.9;k4>2b9K62c<^;2:6P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4>1:&6a7<692wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e;<0D?9<;h31`?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4>1:&6a7<692wvqp5f13g94?"5?o0:>n5G26g8R7>628qG>5=51zTg47428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<0>2B9;>5f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=981/9h<5109~yx{2481!3b;3;:7);j2;32?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`01f<72=0;6=u+26d933=O:>90e<2481!3b;3;:7);j2;32?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e2825>"2m;0:=6sr}|9j56?=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>74V006>7}#=l91=<5+5d0954=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f63?290>6=4?{%04b?7di2B9;>5f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=>2.>i?49;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>49;%7f6?0428q]h54={%3g6?7412\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a703=8391<7>t$35e>20<@;=87d?=d;29 71a288h7E<8e:T1<4<6sE83?7?tVe:96~"6l;0:>i5Y13796~"2m:0:=6*:e3825>{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d1954=#=l81=<5r}|8k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn;>>:181>5<7s-8o6:j0;6)<8f;31g>=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm61a94?0=83:p(?9i:00b?M40;2.:8i4>4:k26f<72-87}#9m81=?m4V006>7}#=l91:6*:e385?x{zu2co=7>5$35e>a7<^;2:62wvqp5fd383>!40n3n97[<71;0xH7>428q]=oo52z&2`784={%7f7?><,6*v*:e0826f=#;k919h=4}%441?c6*v*:e0826f=#;k919h<4}%441?c6*v*:e08g5>"4j:0>i>5r$756>2=z^8n:6?uYd781!3b93n97)=m3;7f7>{#>>?1=>5r}|9j63`=83.9;k4=6g9U6=7=:rF94>4>{W3ae?4|,8n96?8i;W311?4|,445<51zT13=<5s_n=6?u+5d3963`<,:h868k<;|&530<6:2wvq6g=6183>!40n38=<6X=8081I4?;3;pZi<4=619'7g5==l90q)885;31?x{z3f;hn7>5$35e>4ee32wi:=<50;194?6|,;=m6?9l;I047>o6:m0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d192>"2m;0=7psr}:k26`<72-8h5Y13796~"2m:0=7);j2;48yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c437?6=93:190c6<729q/>:h5779K6252481!3b;3;:7);j2;32?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`543<72:0;6=u+26d933=O:>90e<:0yO6=5=9r\o4744c3_;9973=#=l81:6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91:6*:e385?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`542<72=0;6=u+26d933=O:>90e<2481!3b;3h0(8k=:c9~yx{428q]h54={%3g6?75m2\:>84={%7f7?763-?n>7?>;|~y>o6;00;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>389U573=:r.>i>4>1:&6a7<692wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e>921<7:50;2x 71a2><0D?9<;h31`?6=,;=m6<2481!3b;3;:7);j2;32?x{zu2c:>h4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=981/9h<5109~yx{2481!3b;3<0(8k=:79~yx{54;294~"5?o0<:6F=729j57b=83.9;k4>2b9K62c<^;2:6P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4>1:&6a7<692wvqp5f12;94?"5?o0:>n5G26g8R7>628qG>5=51zTg47428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<0>2B9;>5f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=j2.>i?4m;|~y>o6:l0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d1954=#=l81=<5r}|8m45>290/>:h513a8R7>628qG>5=51zTg47{zut1b=9950;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09511<^88>6?u+5d1954=#=l81=<5r}|8k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn;>;:184>5<7s-82d83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:l1]=?;52z&6a6<13-?n>784}|~?l7413:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?<9:T260<5s-?n?784$4g1>3=zutw0e<:;:18'62`=9;i0Z?6>:0yO6=5=9r\o474233_;9973=#=l81:6sr}|9j511=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=994V006>7}#=l91:6*:e385?x{zu2c:854?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3820==Q9;?1>v*:e285?!3b:3<0qpsr;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{52;294~"5?o0ij6F=729j57e=83.9;k4>2b98k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn;8j:181>5<7s-8o6:j0;6)<8f;31g>=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm66694?0=83:p(?9i:00b?M40;2.:8i4>4:k26f<72-87}#9m81=?m4V006>7}#=l91:6*:e385?x{zu2co=7>5$35e>a7<^;2:62wvqp5fd383>!40n3n97[<71;0xH7>428q]=oo52z&2`784={%7f7?><,6*v*:e0826f=#;k919h=4}%441?c6*v*:e0826f=#;k919h<4}%441?c6*v*:e08g5>"4j:0>i>5r$756>2=z^8n:6?uYd781!3b93n97)=m3;7f7>{#>>?1=>5r}|9j63`=83.9;k4=6g9U6=7=:rF94>4>{W3ae?4|,8n96?8i;W311?4|,445<51zT13=<5s_n=6?u+5d3963`<,:h868k<;|&530<6:2wvq6g=6183>!40n38=<6X=8081I4?;3;pZi<4=619'7g5==l90q)885;31?x{z3f;hn7>5$35e>4ee32wi:;750;194?6|,;=m6?9l;I047>o6:m0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d192>"2m;0=7psr}:k26`<72-8h5Y13796~"2m:0=7);j2;48yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c45e?6=93:190c6<729q/>:h5779K625428q]h54={%3g6?75l2\:>84={%7f7?763-?n>7?>;|~y>o6:l0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d1954=#=l81=<5r}|8k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn;8k:187>5<7s-8o6:m0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d1954=#=l81=<5r}|8m44b290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;32?!3b:3;:7psr}:k27<<72-85<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj?2b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91:6*:e385?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e285?!3b:3<0qpsr;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{51083>5}#:>l1;;5G2618m44c290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;a8 0c52j1vqps4i00f>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?=e:T260<5s-?n?7m4$4g1>f=zutw0e<=6:18'62`=9;i0Z?6>:0yO6=5=9r\o4745>3_;997f=#=l81o6sr}|9j512=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=9:4V006>7}#=l91o6*:e38`?x{zu2c:8:4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38202=Q9;?1>v*:e28`?!3b:3i0qpsr;h372481!3b;3i0(8k=:b9~yx{26=4+26d957e<^;2:6n5Y29395~J50:0:w[j7:3y'5a4=9=k0Z<<::3y'1`5=k2.>i?4l;|~y>o6P5080:wA<73;3xRa>=:r.:h?4>4c9U573=:r.>i>4l;%7f6?e5$35e>44d3A87m4}|~?l7483:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d38275=Q9;?1>v*:e2826>"2m;0:>6sr}|9j567=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>?4V006>7}#=l91=?5+5d0957=zutw0e<==:18'62`=9;i0Z?6>:0yO6=5=9r\o474553_;9973=#=l81:6sr}|9j565=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>=4V006>7}#=l91:6*:e385?x{zu2c:?94?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38271=Q9;?1>v*:e285?!3b:3<0qpsr;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{51083>5}#:>l1;;5G2618m44c290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;a8 0c52j1vqps4i00f>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?=e:T260<5s-?n?7m4$4g1>f=zutw0e<=6:18'62`=9;i0Z?6>:0yO6=5=9r\o4745>3_;997f=#=l81o6sr}|9j512=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=9:4V006>7}#=l91o6*:e38`?x{zu2c:8:4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38202=Q9;?1>v*:e28`?!3b:3i0qpsr;h372481!3b;3i0(8k=:b9~yx{26=4+26d957e<^;2:6n5Y29395~J50:0:w[j7:3y'5a4=9=k0Z<<::3y'1`5=k2.>i?4l;|~y>o6P5080:wA<73;3xRa>=:r.:h?4>4c9U573=:r.>i>4l;%7f6?e5$35e>44d3A8i?4>2:~yx=n9::1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51228R4422;q/9h=5139'1`4=9;1vqps4i012>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5289:7[?=5;0x 0c42880(8k=:008yx{z3`;8>7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;306>P6:<09w);j3;48 0c52?1vqps4i010>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528987[?=5;0x 0c42?1/9h<56:~yx=n9:>1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51268R4422;q/9h=56:&6a7<13twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd1?80;6<0D?9<;h31`?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4l;%7f6?eh5Y13796~"2m:0h7);j2;a8yx{z3`;857>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;30=>P6:<09w);j3;a8 0c52j1vqps4i067>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>?7[?=5;0x 0c42j1/9h<5c:~yx=n9==1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51558R4422;q/9h=5c:&6a74983>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<11]=?;52z&6a67m4}|~?l7313:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;9:T260<5s-?n?7m4$4g1>f=zutw0e<:n:18'62`=9;i0Z?6>:0yO6=5=9r\o4742f3_;997f=#=l81o6sr}|9j51d=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=9l4V006>7}#=l91o6*:e38`?x{zu2c:8n4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9=i0Z<<::3y'1`5=k2.>i?4l;|~y>o6:o0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0957`<^88>6?u+5d1957=#=l81=?5r}|8m457290/>:h513a8R7>628qG>5=51zTg44428q]h54={%3g6?7492\:>84={%7f7?753-?n>7?=;|~y>o6;;0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>339U573=:r.>i>49;%7f6?0428q]h54={%3g6?74;2\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a224=83>1<7>t$35e>4ef3A82e83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:m1]=?;52z&6a6<13-?n>784}|~?l75m3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=e:T260<5s-?n?784$4g1>3=zutw0e<=6:18'62`=9;i0Z?6>:0yO6=5=9r\o4745>3_;9973=#=l81:6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi>;?50;094?6|,;=m6oh4H350?l75k3:1(?9i:00`?>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?03<729q/>:h513c8L7143-;?h7?;;h31g?6=,;=m6<n5Y13796~"2m:0=7);j2;48yx{z3`n:6=4+26d9`4=Q:1;1=vB=8282S7ei38p(:3yO6=5=9r\:nl4={%3g6?b53_;997==#=l8146sr}M0;6?2|^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;31g>"4j:0>i>5r$756>`=z^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;31g>"4j:0>i?5r$756>`=z^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;f2?!5e;3?n?6s+6679a>{Q9m;1>vXk6;0x 0c62m80(>l<:4g0?x"1?<0:?6sr}:k12c<72-87}#9m81>;h4V006>7}#=l91=?5+5d0957=zutF94?4>{W04v*:e0812c=#;k919h=4}%441?753twv7d<90;29 71a2;<;7[<71;0xH7>428q]=oo52z&2`7<5>91]=?;52z&6a6<6:2.>i?4>2:~yI4?:3;pZ?97:3yU`3<5s-?n=7<90:&0f6<2m:1v(;9::008yx{4?:283>5}#:>l1>:m4H350?l75l3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e285?!3b:3<0qpsr;h31a?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5rb347>5<6290;w)<8f;0;2>N5?:1d=nl50;&13c<6kk10qo<95;291?6=8r.9;k486:J136=n9;n1<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4744c3_;99747<,7?=e:T260<5s-?n?7?>;%7f6?763twvq6g>3883>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=>74V006>7}#=l91=<5+5d0954=zutw0e<:;:18'62`=9;i0Z?6>:0yO6=5=9r\o474233_;99747<,7?lb:T260<5s-?n?784$4g1>3=zutw0qo<92;297?6=8r.9;k486:J136=n9;n1<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4744c3_;99747<,7?=e:T260<5s-?n?7?>;%7f6?763twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd5k=0;6?4?:1y'62`=jo1C>:=4i00`>5<#:>l1=?m4;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{53;294~"5?o09;n5G2618m44c290/>:h513a8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d19b>"2m;0m7psr}:m2gg<72-85}#:>l1=?o4H350?!73l3;?7d?=c;29 71a288h7[<71;3xH7>428q]=oo52z&2`7<6:j1]=?;52z&6a6<13-?n>784}|~?lb6290/>:h5d09U6=7=9rF94>4>{W3ae?4|,8n96i?4V006>7}#=l91:6*:e385?x{zu2co>7>5$35e>a4<^;2:6?uC29195~P6jh09w)?k2;f1?S75=38p(8k<:99'1`4=02wvqA<72;6xR7172:q]h;4={%7f5?b53-9i?7;j3:U`2<5s-?n=7?=c:&0f6<2m:1v(;9::d9~R7172:q]h;4={%7f5?b53-9i?7;j3:U`2<5s-?n=7?=c:&0f6<2m;1v(;9::d9~R7172:q]h;4={%7f5?b53-9i?7;j3:U`2<5s-?n=7j>;%1a7?3b;2w/::;57:U5a7=:r\o:7a4<,:h868k<;|&530<6;2wvq6g=6g83>!40n38=j6X=8081I4?;3;pZi<4=6g9'7g5==l90q)885;31?x{z3`8=<7>5$35e>7073_83=74}Q9kk1>v*>d38125=Q9;?1>v*:e2826>"2m;0:>6sr}M0;6?7|^;=36?uYd781!3b938=<6*6<<4}|8k4ee290/>:h51b`8?xd5k<0;6>4?:1y'62`=:>i0D?9<;h31`?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e:j<1<7?50;2x 71a2;2=7E<83:m2gg<72-82e83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:m1]=?;52z&6a6<692.>i?4>1:~yx=n9;o1<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4744b3_;99747<,7?<9:T260<5s-?n?7?>;%7f6?763twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd5k00;6<0D?9<;h31`?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4>1:&6a7<692wvqp5f13g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=981/9h<5109~yx{2481!3b;3;:7);j2;32?x{zu2c:8:4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9==0Z<<::3y'1`5=981/9h<5109~yx{36=4+26d957e<^;2:62481!3b;3;:7);j2;32?x{zu2c:8l4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3820d=Q9;?1>v*:e2825>"2m;0:=6sr}|9j51d=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=9l4V006>7}#=l91=<5+5d0954=zutw0e<:l:18'62`=9;i0Z?6>:0yO6=5=9r\o4742d3_;99747<,7?=f:T260<5s-?n?7?>;%7f6?763twvq6g>3183>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;91]=?;52z&6a6<692.>i?4>1:~yx=n9:;1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51238R4422;q/9h=5109'1`4=981vqps4i011>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528997[?=5;0x 0c428;0(8k=:038yx{z3`;8?7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;307>P6:<09w);j3;32?!3b:3;:7psr}:k271<72-85$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c0`e?6=980;6=u+26d933=O:>90e<2481!3b;3;:7);j2;32?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e2825>"2m;0:=6sr}|9j56?=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>74V006>7}#=l91=<5+5d0954=zutw0e<:;:18'62`=9;i0Z?6>:0yO6=5=9r\o474233_;99747<,7?;7:T260<5s-?n?7?>;%7f6?763twvq6g>4983>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<11]=?;52z&6a6<692.>i?4>1:~yx=n9=31<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<515;8R4422;q/9h=5109'1`4=981vqps4i06b>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>j7[?=5;0x 0c428;0(8k=:038yx{z3`;?n7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;37f>P6:<09w);j3;32?!3b:3;:7psr}:k20f<72-8{zut1b=?h50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957`<^88>6?u+5d1954=#=l81=<5r}|8m457290/>:h513a8R7>628qG>5=51zTg47428q]h54={%3g6?7492\:>84={%7f7?763-?n>7?>;|~y>o6;;0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>339U573=:r.>i>4>1:&6a7<692wvqp5f12194?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:90Z<<::3y'1`5=981/9h<5109~yx{2wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e:j=1<7:50;2x 71a28ij7E<83:k26a<72-8i5Y13796~"2m:0=7);j2;48yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;48 0c52?1vqps4i01:>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528927[?=5;0x 0c42?1/9h<56:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm34d94?4=83:p(?9i:cd8L7143`;9o7>5$35e>44d32e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`02d<72:0;6=u+26d962e<@;=87d?=d;29 71a288h7[<71;3xH7>428q]h54={%3g6?75l2\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a73?=83<1<7>t$35e>44f3A84e820>o6:j0;6)<8f;31g>P5080:wA<73;3xR4df2;q/=i<513a8R4422;q/9h=56:&6a7<13twvq6gk1;29 71a2m;0Z?6>:0yO6=5=9r\:nl4={%3g6?b63_;9973=#=l81:6sr}|9j`7<72-86X>2481!3b;320(8k=:99~yxJ50;0?w[<80;1xRa0=:r.>i<4k2:&0f6<2m:1vZi952z&6a4<6:j1/?o=55d18y!00=3o0q[<80;1xRa0=:r.>i<4k2:&0f6<2m:1vZi952z&6a4<6:j1/?o=55d08y!00=3o0q[<80;1xRa0=:r.>i<4k2:&0f6<2m:1vZi952z&6a44:e29~ 3122l1vZ:3yU`3<5s-?n=7j=;%1a7?3b;2w/::;5129~yx=n:?l1<7*=7g812c=Q:1;1>vB=8282S7ei38p(l<:4g0?x"1?<0:>6sr}:k125<72-87}#9m81>;>4V006>7}#=l91=?5+5d0957=zutF94?4>{W04v*:e08125=#;k919h=4}%441?753twv7b?lb;29 71a28ii76sm37394?5=83:p(?9i:35`?M40;2c:>i4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e285?!3b:3<0qpsr;h31a?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5rb241>5<6290;w)<8f;0;2>N5?:1d=nl50;&13c<6kk10qo=94;291?6=8r.9;k486:J136=n9;n1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513f8R4422;q/9h=5109'1`4=981vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c428;0(8k=:038yx{z3`;857>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;30=>P6:<09w);j3;32?!3b:3;:7psr}:k201<72-8{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a733=83?1<7>t$35e>20<@;=87d?=d;29 71a288h7[<71;3xH7>428q]h54={%3g6?75l2\:>84={%7f7?763-?n>7?>;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4>1:&6a7<692wvqp5f12;94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:30Z<<::3y'1`5=981/9h<5109~yx{?6=4+26d957e<@;=n7[<71;3xH7>428q]h54={%3g6?73<2\:>84={%7f7?763-?n>7?>;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?00<729q/>:h5779K6252481!3b;3;:7);j2;32?x{zu2c:?44?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3827<=Q9;?1>v*:e2825>"2m;0:=6sr}|9j512=83.9;k4>2b9K62c<^;2:62481!3b;3<0(8k=:79~yx{55;294~"5?o0<:6F=729j57b=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91=<5+5d0954=zutw0e<2481!3b;3;:7);j2;32?x{zu2c:?44?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3827<=Q9;?1>v*:e2825>"2m;0:=6sr}|9j512=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=9:4V006>7}#=l91=<5+5d0954=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f604290>6=4?{%04b?7di2B9;>5f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=>2.>i?49;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>49;%7f6?0428q]h54={%3g6?7412\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a736=8391<7>t$35e>20<@;=87d?=d;29 71a288h7E<8e:T1<4<6sE83?7?tVe:96~"6l;0:>i5Y13796~"2m:0:=6*:e3825>{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d1954=#=l81=<5r}|8k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn>on:181>5<7s-8o6:j0;6)<8f;31g>=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm3c094?5=83:p(?9i:35`?M40;2c:>i4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e285?!3b:3<0qpsr;h31a?6=,;=m6<2481!3b;3l0(8k=:g9~yx{2wvqp5rb2`2>5<1290;w)<8f;31e>N5?:1/=9j5159j57e=83.9;k4>2b9U6=7=9rF94>4>{W3ae?4|,8n96<{zut1bh<4?:%04b?b63_83=7?tL3:0>4}Q9kk1>v*>d38g5>P6:<09w);j3;48 0c52?1vqps4ie094?"5?o0o>6X=8081I4?;3;pZ764}|O6=4=7}#=l;1h?5+3c191`57}#=l;1h?5+3c191`57}#=l;1h?5+3c191`56*6<=4}|8m70a290/>:h527d8R7>62;qG>5=51zT2fd<5s-;o>7<9f:T260<5s-?n?7?=;%7f6?753twv@?6=:0yU62>=:r\o:770a3-9i?7;j3:'223=9;1vqp5f27294?"5?o09:=5Y29396~J50:0:w[?ma;0x 4b52;<;7[?=5;0x 0c42880(8k=:008yx{K:181=vX=7981Sb12;q/9h?52728 6d42{zu2e:oo4?:%04b?7dj21vn>om:180>5<7s-82d83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:l1]=?;52z&6a6<13-?n>784}|~?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo=nc;295?6=8r.9;k4=879K6255}#:>l1;;5G2618m44c290/>:h513a8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c428;0(8k=:038yx{z3`;857>5$35e>44d3A87l4}|~?l73<3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;4:T260<5s-?n?7?>;%7f6?763twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd4io0;6;4?:1y'62`=??1C>:=4i00g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c42k1/9h<5b:~yx=n9;o1<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4744b3_;99747<,7?<9:T260<5s-?n?7l4$4g1>g=zutw0e<:;:18'62`=9;i0D?9j;W0;5?7|D;2862481!3b;3;:7);j2;32?x{zu2c:8:4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38202=Q9;?1>v*:e2825>"2m;0:=6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi?lj50;194?6|,;=m6o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>49;%7f6?0428q]h54={%3g6?75m2\:>84={%7f7?0<,:h51b`8R7>628qG>5=51zTg{zut1vn98n:181>5<7s-8o6:j0;6)<8f;31g>=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm46194?4=83:p(?9i:cd8L7143`;9o7>5$35e>44d32e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`732<72:0;6=u+26d962e<@;=87d?=d;29 71a288h7[<71;3xH7>428q]h54={%3g6?75l2\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a020=83<1<7>t$35e>44f3A84e820>o6:j0;6)<8f;31g>P5080:wA<73;3xR4df2;q/=i<513a8R4422;q/9h=56:&6a7<13twvq6gk1;29 71a2m;0Z?6>:0yO6=5=9r\:nl4={%3g6?b63_;9973=#=l81:6sr}|9j`7<72-86X>2481!3b;320(8k=:99~yxJ50;0?w[<80;1xRa0=:r.>i<4k2:&0f6<2m:1vZi952z&6a4<6:j1/?o=55d18y!00=3o0q[<80;1xRa0=:r.>i<4k2:&0f6<2m:1vZi952z&6a4<6:j1/?o=55d08y!00=3o0q[<80;1xRa0=:r.>i<4k2:&0f6<2m:1vZi952z&6a44:e29~ 3122>1vZ:3yU`3<5s-?n=7j=;%1a7?3b;2w/::;5129~yx=n:?l1<7*=7g812c=Q:1;1>vB=8282S7ei38p(l<:4g0?x"1?<0:>6sr}:k125<72-87}#9m81>;>4V006>7}#=l91=?5+5d0957=zutF94?4>{W04v*:e08125=#;k919h=4}%441?753twv7b?lb;29 71a28ii76sm47`94?5=83:p(?9i:35`?M40;2c:>i4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e285?!3b:3<0qpsr;h31a?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5rb54`>5<6290;w)<8f;0;2>N5?:1d=nl50;&13c<6kk10qo:9e;297?6=8r.9;k486:J136=n9;n1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513f8R4422;q/9h=56:&6a7<13twvq6g>2d83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:l1]=?;52z&6a6<13-?n>784}|~?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo:9f;2954<729q/>:h5779K625428q]h54={%3g6?75l2\:>84={%7f7?d<,:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;`8 0c52k1vqps4i01:>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528927[?=5;0x 0c42k1/9h<5b:~yx=n9=>1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51568R4422;q/9h=5b:&6a74683>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<>1]=?;52z&6a67l4}|~?l7303:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;8:T260<5s-?n?7l4$4g1>g=zutw0e<:6:18'62`=9;i0Z?6>:0yO6=5=9r\o4742>3_;997g=#=l81n6sr}|9j51g=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=9o4V006>7}#=l91n6*:e38a?x{zu2c:8o4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3820g=Q9;?1>v*:e28a?!3b:3h0qpsr;h37g?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>4b9U573=:r.>i>4m;%7f6?dk5Y13796~"2m:0i7);j2;`8yx{z3`;8<7>5$35e>44d3A8i?4>1:~yx=n9:;1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51238R4422;q/9h=5109'1`4=981vqps4i011>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528997[?=5;0x 0c428;0(8k=:038yx{z3`;8?7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;307>P6:<09w);j3;32?!3b:3;:7psr}:k271<72-85$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c644?6=980;6=u+26d933=O:>90e<2481!3b;3h0(8k=:c9~yx{428q]h54={%3g6?75m2\:>84={%7f7?d<,290/>:h513a8R7>628qG>5=51zTg{zut1b=9:50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09512<^88>6?u+5d19f>"2m;0i7psr}:k202<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;37<>P6:<09w);j3;`8 0c52k1vqps4i06:>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>27[?=5;0x 0c42k1/9h<5b:~yx=n9=k1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<515c8R4422;q/9h=5b:&6a74c83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<67l4}|~?l73k3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3820f=Q9;?1>v*:e28a?!3b:3h0qpsr;h31b?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2g9U573=:r.>i>4m;%7f6?d{zut1b=>?50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09567<^88>6?u+5d1954=#=l81=<5r}|8m455290/>:h513a8R7>628qG>5=51zTg47428q]h54={%3g6?74;2\:>84={%7f7?763-?n>7?>;|~y>o6;=0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>359U573=:r.>i>49;%7f6?0428q]h54={%3g6?7dj2\:>84={%7f7?0<,:=4i00g>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?7l4$4g1>g=zutw0e<2481!3b;3h0(8k=:c9~yx{n5Y29395~J50:0:w[j7:3y'5a4=9=>0Z<<::3y'1`5=j2.>i?4m;|~y>o6<>0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>469U573=:r.>i>4m;%7f6?d428q]h54={%3g6?7302\:>84={%7f7?d<,290/>:h513a8R7>628qG>5=51zTg{zut1b=9o50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0951g<^88>6?u+5d19f>"2m;0i7psr}:k20g<72-85$35e>44d3A87l4}|~?l75n3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826c=Q9;?1>v*:e28a?!3b:3h0qpsr;h304?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>319U573=:r.>i>4>1:&6a7<692wvqp5f12394?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:;0Z<<::3y'1`5=981/9h<5109~yx{2481!3b;3;:7);j2;32?x{zu2c:?94?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38271=Q9;?1>v*:e285?!3b:3<0qpsr;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{<>7>51083>5}#:>l1;;5G2618m44c290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;`8 0c52k1vqps4i00f>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?=e:T260<5s-?n?7l4$4g1>g=zutw0e<=6:18'62`=9;i0Z?6>:0yO6=5=9r\o4745>3_;997g=#=l81n6sr}|9j512=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=9:4V006>7}#=l91n6*:e38a?x{zu2c:8:4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38202=Q9;?1>v*:e28a?!3b:3h0qpsr;h372481!3b;3h0(8k=:c9~yx{26=4+26d957e<^;2:6n5Y29395~J50:0:w[j7:3y'5a4=9=k0Z<<::3y'1`5=j2.>i?4m;|~y>o6P5080:wA<73;3xRa>=:r.:h?4>4c9U573=:r.>i>4m;%7f6?d5$35e>44d3A87l4}|~?l7483:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d38275=Q9;?1>v*:e2825>"2m;0:=6sr}|9j567=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>?4V006>7}#=l91=<5+5d0954=zutw0e<==:18'62`=9;i0Z?6>:0yO6=5=9r\o474553_;99747<,7?<3:T260<5s-?n?7?>;%7f6?763twvq6g>3583>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;=1]=?;52z&6a6<13-?n>784}|~?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo:9d;292?6=8r.9;k4>c`9K6252wvqp5f13g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=>2.>i?49;|~y>o6;00;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>389U573=:r.>i>49;%7f6?0428q]h54={%3g6?73<2\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a022=83=1<7>t$35e>20<@;=87d?=d;29 71a288h7E<8e:T1<4<6sE83?7?tVe:96~"6l;0:>i5Y13796~"2m:0h7);j2;a8yx{z3`;9i7>5$35e>44d3A87m4}|~?l7413:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3827<=Q9;?1>v*:e28`?!3b:3i0qpsr;h370?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>459U573=:r.>i>4l;%7f6?e6*:e3826>{zut1b=9650;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0951><^88>6?u+5d1957=#=l81=?5r}|8k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn<9k:181>5<7s-8o6:j0;6)<8f;31g>=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm19194?4=83:p(?9i:cd8L7143`;9o7>5$35e>44d32e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`22b9U573=:r.>i>49;%7f6?0:18'62`=l81]>5?51zN1<6<6s_;im7a7<^88>6?u+5d192>"2m;0=7psr}:kg6?6=,;=m6i<4V3:2>7}K:191=vX>b`81!7c:3n97[?=5;0x 0c4211/9h<58:~yI4?:3>pZ?9?:2yU`3<5s-?n=7j=;%1a7?3b;2w]h:4={%7f5?75k2.8n>4:e29~ 3122l1vZ?9?:2yU`3<5s-?n=7j=;%1a7?3b;2w]h:4={%7f5?75k2.8n>4:e39~ 3122l1vZ?9?:2yU`3<5s-?n=7j=;%1a7?3b;2w]h:4={%7f5?b63-9i?7;j3:'223=?2w]=i?52zTg2?4|,0c43t.=;84>3:~y>o5>o0;6)<8f;05b>P50809wA<73;3xR4df2;q/=i<527d8R4422;q/9h=5139'1`4=9;1vqpB=8382S40038pZi852z&6a4<5>o1/?o=55d18y!00=3;97psr;h054?6=,;=m6?8?;W0;5?4|D;2866*:e3826>{zuE83>7?tV35;>7}Ql?09w);j1;054>"4j:0>i>5r$756>447d83>6<729q/>:h526a8L7143`;9h7>5$35e>44d3A8784}|~?l75m3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=e:T260<5s-?n?784$4g1>3=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f41a290:6=4?{%04b?4?>2B9;>5`1b`94?"5?o0:oo54}c3;5?6=;3:1N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d192>"2m;0=7psr}:k26`<72-8h5Y13796~"2m:0=7);j2;48yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c3;6?6=980;6=u+26d933=O:>90e<2481!3b;3h0(8k=:c9~yx{428q]h54={%3g6?75m2\:>84={%7f7?d<,290/>:h513a8R7>628qG>5=51zTg{zut1b=9:50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09512<^88>6?u+5d19f>"2m;0i7psr}:k202<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;37<>P6:<09w);j3;`8 0c52k1vqps4i06:>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>27[?=5;0x 0c42k1/9h<5b:~yx=n9=k1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<515c8R4422;q/9h=5b:&6a74c83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<67l4}|~?l73k3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3820f=Q9;?1>v*:e28a?!3b:3h0qpsr;h31b?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2g9U573=:r.>i>4>1:&6a7<692wvqp5f12294?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9::0Z<<::3y'1`5=>2.>i?49;|~y>o6;80;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>309U573=:r.>i>49;%7f6?0428q]h54={%3g6?74:2\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1b=>:50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09562<^88>6?u+5d192>"2m;0=7psr}:m2gg<72-85}#:>l1=no4H350?l75l3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?784$4g1>3=zutw0e<:0yO6=5=9r\o4744b3_;9973=#=l81:6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi=5:50;02>5<7s-8o6:m0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d19g>"2m;0h7psr}:k26`<72-83883>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;01]=?;52z&6a67m4}|~?l73<3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;4:T260<5s-?n?7m4$4g1>f=zutw0e<:8:18'62`=9;i0Z?6>:0yO6=5=9r\o474203_;997f=#=l81o6sr}|9j51>=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=964V006>7}#=l91o6*:e38`?x{zu2c:844?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3820<=Q9;?1>v*:e28`?!3b:3i0qpsr;h37e?6=,;=m6<2481!3b;3i0(8k=:b9~yx{i6=4+26d957e<^;2:6n5Y29395~J50:0:w[j7:3y'5a4=9=i0Z<<::3y'1`5=k2.>i?4l;|~y>o6:o0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2g9U573=:r.>i>4l;%7f6?e428q]h54={%3g6?7482\:>84={%7f7?e<,:h513a8R7>628qG>5=51zTg;W311?4|,{zut1b=><50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09564<^88>6?u+5d19g>"2m;0h7psr}:k276<72-83583>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=>:4V006>7}#=l91o6*:e38`?x{zu2c:?84?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38270=Q9;?1>v*:e28`?!3b:3i0qpsr;h302?6=,;=m6<2481!3b;3;97);j2;31?x{zu2c:?:4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38272=Q9;?1>v*:e2826>"2m;0:>6sr}|9j56>=83.9;k4>2b9K62c<^;2:62481!3b;3<0(8k=:79~yx{2wvqp5f12a94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:i0Z<<::3y'1`5=>2.>i?49;|~y>o6;m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>3e9U573=:r.>i>49;%7f6?0428q]h54={%3g6?74m2\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1b=9>50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09516<^88>6?u+5d192>"2m;0=7psr}:k204<72-87>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;376>P6:<09w);j3;48 0c52?1vqps4i060>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>87[?=5;0x 0c42?1/9h<56:~yx=n9=?1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51578R4422;q/9h=56:&6a7<13twvq6g>4783>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6784}|~?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo?75;2964<729q/>:h5779K625428q]h54={%3g6?75l2\:>84={%7f7?e<,:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;a8 0c52j1vqps4i01:>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528927[?=5;0x 0c42j1/9h<5c:~yx=n9=>1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51568R4422;q/9h=5c:&6a74683>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<>1]=?;52z&6a67m4}|~?l7303:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;8:T260<5s-?n?7m4$4g1>f=zutw0e<:6:18'62`=9;i0Z?6>:0yO6=5=9r\o4742>3_;997f=#=l81o6sr}|9j51g=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=9o4V006>7}#=l91o6*:e38`?x{zu2c:8o4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3820g=Q9;?1>v*:e28`?!3b:3i0qpsr;h37g?6=,;=m6<2481!3b;3i0(8k=:b9~yx{n5Y29395~J50:0:w[j7:3y'5a4=9::0Z<<::3y'1`5=k2.>i?4l;|~y>o6;80;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>309U573=:r.>i>4l;%7f6?e428q]h54={%3g6?74:2\:>84={%7f7?e<,:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;307>P6:<09w);j3;a8 0c52j1vqps4i017>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5289?7[?=5;0x 0c42j1/9h<5c:~yx=n9:?1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51278R4422;q/9h=5139'1`4=9;1vqps4i015>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5289=7[?=5;0x 0c42880(8k=:008yx{z3`;8;7>5$35e>44d3A81]=?;52z&6a6<6:2.>i?4>2:~yx=n9:21<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<512:8R4422;q/9h=5139'1`4=9;1vqps4i01b>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5289j7[?=5;0x 0c42?1/9h<56:~yx=n9:h1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<512`8R4422;q/9h=56:&6a7<13twvq6g>3b83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;j1]=?;52z&6a6<13-?n>784}|~?l74l3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?3=zutw0e<=j:18'62`=9;i0Z?6>:0yO6=5=9r\o4745b3_;9973=#=l81:6sr}|9j56`=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>h4V006>7}#=l91:6*:e385?x{zu2c:8=4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38205=Q9;?1>v*:e285?!3b:3<0qpsr;h375?6=,;=m6<2481!3b;3<0(8k=:79~yx{96=4+26d957e<^;2:62wvqp5f15194?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9=90Z<<::3y'1`5=>2.>i?49;|~y>o6<<0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>449U573=:r.>i>49;%7f6?0428q]h54={%3g6?73>2\:>84={%7f7?0<,:h51b`8R7>628qG>5=51zTg{zut1vn<69:1815?6=8r.9;k486:J136=n9;n1<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4744c3_;997f=#=l81o6sr}|9j57c=83.9;k4>2b9K62c<^;2:6n5Y29395~J50:0:w[j7:3y'5a4=9:30Z<<::3y'1`5=k2.>i?4l;|~y>o6<=0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>459U573=:r.>i>4l;%7f6?e428q]h54={%3g6?73?2\:>84={%7f7?e<,:h513a8R7>628qG>5=51zTg{zut1b=9750;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0951?<^88>6?u+5d19g>"2m;0h7psr}:k20d<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;37f>P6:<09w);j3;a8 0c52j1vqps4i06`>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>h7[?=5;0x 0c42j1/9h<5c:~yx=n9;l1<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4744a3_;997f=#=l81o6sr}|9j566=83.9;k4>2b9K62c<^;2:6n5G26g8R7>628qG>5=51zTg;W311?4|,{zut1b=><50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b528997[?=5;0x 0c42j1/9h<5c:~yx=n9:91<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o474543_;997f=#=l81o6sr}|9j562=83.9;k4>2b9K62c<^;2:6n5Y29395~J50:0:w[j7:3y'5a4=9:?0Z<<::3y'1`5=k2.>i?4l;|~y>o6;?0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>379U573=:r.>i>4>2:&6a7<6:2wvqp5f12594?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:=0Z<<::3y'1`5=9;1/9h<5139~yx{428q]h54={%3g6?7402\:>84={%7f7?753-?n>7?=;|~y>o6;h0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>3`9U573=:r.>i>49;%7f6?0428q]h54={%3g6?74j2\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1b=>j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0956b<^88>6?u+5d192>"2m;0=7psr}:k27`<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;30b>P6:<09w);j3;48 0c52?1vqps4i063>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>;7[?=5;0x 0c42?1/9h<56:~yx=n9=;1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51538R4422;q/9h=56:&6a7<13twvq6g>4383>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<;1]=?;52z&6a6<13-?n>784}|~?l73;3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;3:T260<5s-?n?784$4g1>3=zutw0e<:::18'62`=9;i0Z?6>:0yO6=5=9r\o474223_;9973=#=l81:6sr}|9j510=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=984V006>7}#=l91:6*:e385?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`2<2<72;;1<7>t$35e>20<@;=87d?=d;29 71a288h7E<8e:T1<4<6sE83?7?tVe:96~"6l;0:>i5Y13796~"2m:0h7);j2;a8yx{z3`;9i7>5$35e>44d3A87m4}|~?l7413:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?<9:T260<5s-?n?7m4$4g1>f=zutw0e<:;:18'62`=9;i0Z?6>:0yO6=5=9r\o474233_;997f=#=l81o6sr}|9j511=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=994V006>7}#=l91o6*:e38`?x{zu2c:854?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3820==Q9;?1>v*:e28`?!3b:3i0qpsr;h37=?6=,;=m6<2481!3b;3i0(8k=:b9~yx{j6=4+26d957e<^;2:6n5Y29395~J50:0:w[j7:3y'5a4=9=h0Z<<::3y'1`5=k2.>i?4l;|~y>o6P5080:wA<73;3xRa>=:r.:h?4>4b9U573=:r.>i>4l;%7f6?ek5Y13796~"2m:0h7);j2;a8yx{z3`;8<7>5$35e>44d3A87m4}|~?l7493:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d38274=Q9;?1>v*:e28`?!3b:3i0qpsr;h306?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>339U573=:r.>i>4l;%7f6?e5Y13796~"2m:0h7);j2;a8yx{z3`;887>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;300>P6:<09w);j3;a8 0c52j1vqps4i016>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5289>7[?=5;0x 0c42880(8k=:008yx{z3`;8:7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;302>P6:<09w);j3;31?!3b:3;97psr}:k272<72-85<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528937[?=5;0x 0c42880(8k=:008yx{z3`;8m7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;30e>P6:<09w);j3;48 0c52?1vqps4i01a>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5289i7[?=5;0x 0c42?1/9h<56:~yx=n9:i1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<512a8R4422;q/9h=56:&6a7<13twvq6g>3e83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;m1]=?;52z&6a6<13-?n>784}|~?l74m3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?3=zutw0e<=i:18'62`=9;i0Z?6>:0yO6=5=9r\o4745a3_;9973=#=l81:6sr}|9j516=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=9>4V006>7}#=l91:6*:e385?x{zu2c:8<4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38204=Q9;?1>v*:e285?!3b:3<0qpsr;h376?6=,;=m6<6X>2481!3b;3<0(8k=:79~yx{86=4+26d957e<^;2:62wvqp5f15794?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9=?0Z<<::3y'1`5=>2.>i?49;|~y>o6P5080:wA<73;3xRa>=:r.:h?4>479U573=:r.>i>49;%7f6?0428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<6kh1C>:=4i00g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c42?1/9h<56:~yx=n9;o1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513g8R4422;q/9h=56:&6a7<13twvq6g>3883>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;01]=?;52z&6a6<13-?n>784}|~?l73<3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;4:T260<5s-?n?784$4g1>3=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f10429096=4?{%04b?da3A82b83>!40n3;9o65`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{en4?:%04b?75k2\94<4>{M0;7?7|^8hj6?u+1e0957e<^88>6?u+5d192>"2m;0=7psr}:kg5?6=,;=m6i?4V3:2>4}K:191=vX>b`81!7c:3n:7[?=5;0x 0c42?1/9h<56:~yx=nl;0;6)<8f;f1?S4?938p@?6<:0yU5gg=:r.:h?4k2:T260<5s-?n?764$4g1>==zutF94?4;{W044?5|^m<1>v*:e08g6>"4j:0>i>5rVe596~"2m80:>n5+3c191`5v*:e08g6>"4j:0>i>5rVe596~"2m80:>n5+3c191`4v*:e08g6>"4j:0>i>5rVe596~"2m80o=6*6h5rV0f2>7}Ql?09w);j1;f1?!5e;3?n?6s+667956=zut1b>;h50;&13c<5>o1]>5?52zN1<6<6s_;im770a3_;99744<,7}#=l;1>;h4$2`0>0c43t.=;84>2:~y>o5>90;6)<8f;054>P50809wA<73;3xR4df2;q/=i<52728R4422;q/9h=5139'1`4=9;1vqpB=8382S40038pZi852z&6a4<5>91/?o=55d18y!00=3;97psr;n3`f?6=,;=m6t$35e>71d3A82e83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:m1]=?;52z&6a6<13-?n>784}|~?l75m3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=e:T260<5s-?n?784$4g1>3=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f101290:6=4?{%04b?4?>2B9;>5`1b`94?"5?o0:oo54}c653?6=;3:1N5?:1b=?j50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c42k1/9h<5b:~yx=n9;o1<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4744b3_;997g=#=l81n6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi8;:50;194?6|,;=m6:84H350?l75l3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?7?>;%7f6?763twvq6g>2d83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:l1]=?;52z&6a6<692.>i?4>1:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm46:94?4=83:p(?9i:cd8L7143`;9o7>5$35e>44d32e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`73`<72?0;6=u+26d957g<@;=87)?;d;37?l75k3:1(?9i:00`?S4?93;p@?6<:0yU5gg=:r.:h?4>2b9U573=:r.>i>49;%7f6?0:18'62`=l81]>5?51zN1<6<6s_;im7a7<^88>6?u+5d192>"2m;0=7psr}:kg6?6=,;=m6i<4V3:2>7}K:191=vX>b`81!7c:3n97[?=5;0x 0c4211/9h<58:~yI4?:3>pZ?9?:2yU`3<5s-?n=7j=;%1a7?3b;2w]h:4={%7f5?75k2.8n>4:e29~ 3122l1vZ?9?:2yU`3<5s-?n=7j=;%1a7?3b;2w]h:4={%7f5?75k2.8n>4:e39~ 3122l1vZ?9?:2yU`3<5s-?n=7j=;%1a7?3b;2w]h:4={%7f5?b63-9i?7;j3:'223=m2w]=i?52zTg2?4|,0c43t.=;84>3:~y>o5>o0;6)<8f;05b>P50809wA<73;3xR4df2;q/=i<527d8R4422;q/9h=5139'1`4=9;1vqpB=8382S40038pZi852z&6a4<5>o1/?o=55d18y!00=3;97psr;h054?6=,;=m6?8?;W0;5?4|D;2866*:e3826>{zuE83>7?tV35;>7}Ql?09w);j1;054>"4j:0>i>5r$756>446<729q/>:h526a8L7143`;9h7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;48 0c52?1vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42?1/9h<56:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm46`94?7=83:p(?9i:3:5?M40;2e:oo4?:%04b?7dj21vn99l:180>5<7s-8o6:m0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d19f>"2m;0i7psr}:k26`<72-8cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd3?00;6>4?:1y'62`=??1C>:=4i00g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c428;0(8k=:038yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;32?!3b:3;:7psr}:m2gg<72-8j?4?:383>5}#:>l1nk5G2618m44d290/>:h513a8?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo;i8;296?6=8r.9;k4mf:J136=n9;i1<7*=7g826f=2wvqp5rb723>5<4290;w)<8f;04g>N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d192>"2m;0=7psr}:k26`<72-8h5Y13796~"2m:0m7);j2;d8yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c7eb?6=>3:190(<:k:068m44d290/>:h513a8R7>628qG>5=51zT2fd<5s-;o>7?=c:T260<5s-?n?784$4g1>3=zutw0ei?50;&13c{M0;7?7|^8hj6?u+1e09`4=Q9;?1>v*:e285?!3b:3<0qpsr;hf1>5<#:>l1h?5Y29396~J50:0:w[?ma;0x 4b52m80Z<<::3y'1`5=02.>i?47;|~H7>52=q]>:>53zTg2?4|,0c43t\o;744d3-9i?7;j3:'223=m2w]>:>53zTg2?4|,0c43t\o;744d3-9i?7;j2:'223=m2w]>:>53zTg2?4|,0c43t\o;7a7<,:h868k<;|&530<03t\:h<4={Wf5>7}#=l;1h?5+3c191`5i>4>2:&6a7<6:2wvqA<72;3xR71?2;q]h;4={%7f5?41n2.8n>4:e29~ 3122880qps4i343>5<#:>l1>;>4V3:2>7}K:191=vX>b`81!7c:38=<6X>2481!3b;3;97);j2;31?x{zD;29638p(8k>:343?!5e;3?n?6s+667957=zut1d=nl50;&13c<6kk10qo;i3;297?6=8r.9;k4=7b9K6252wvqp5f13g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=>2.>i?49;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?04<729q/>:h52948L7143f;hn7>5$35e>4ee32wi9k850;194?6|,;=m6:84H350?l75l3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?784$4g1>3=zutw0e<:0yO6=5=9r\o4744b3_;9973=#=l81:6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi9k950;694?6|,;=m6:84H350?l75l3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?7l4$4g1>g=zutw0e<2481!3b;3;:7);j2;32?x{zu2c:?44?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3827<=Q9;?1>v*:e2825>"2m;0:=6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi9k;50;194?6|,;=m6o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>49;%7f6?0428q]h54={%3g6?75m2\:>84={%7f7?0<,:h51b`8R7>628qG>5=51zTg{zut1vn8h6:187>5<7s-8o6:m0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d19g>"2m;0h7psr}:k26`<72-85<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?<9:T260<5s-?n?7?=;%7f6?753twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd2nh0;644?:1y'62`=??1C>:=4i00g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c42j1/9h<5c:~yx=n9;o1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513g8R4422;q/9h=5c:&6a73883>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;01]=?;52z&6a67m4}|~?l73<3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;4:T260<5s-?n?7m4$4g1>f=zutw0e<:8:18'62`=9;i0Z?6>:0yO6=5=9r\o474203_;997f=#=l81o6sr}|9j51>=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=964V006>7}#=l91o6*:e38`?x{zu2c:844?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3820<=Q9;?1>v*:e28`?!3b:3i0qpsr;h37e?6=,;=m6<2481!3b;3i0(8k=:b9~yx{2wvqp5rb4da>5<693:1N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d19g>"2m;0h7psr}:k26`<72-8h5Y13796~"2m:0h7);j2;a8yx{z3`;857>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;30=>P6:<09w);j3;a8 0c52j1vqps4i067>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>?7[?=5;0x 0c42j1/9h<5c:~yx=n9==1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51558R4422;q/9h=5c:&6a74983>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<11]=?;52z&6a67m4}|~?l7313:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;9:T260<5s-?n?7m4$4g1>f=zutw0e<:n:18'62`=9;i0D?9j;W0;5?7|D;2862481!3b;3i0(8k=:b9~yx{i6=4+26d957e<@;=n7[<71;3xH7>428q]h54={%3g6?73j2\:>84={%7f7?753-?n>7?=;|~y>o6N5?l1]>5?51zN1<6<6s_n36?u+1e0951e<^88>6?u+5d1957=#=l81=?5r}|8m44a290/>:h513a8R7>628qG>5=51zTg{zut1b=>>50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09566<^88>6?u+5d192>"2m;0=7psr}:k274<72-87>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;306>P6:<09w);j3;48 0c52?1vqps4i010>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528987[?=5;0x 0c42?1/9h<56:~yx=n9:>1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51268R4422;q/9h=56:&6a7<13twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd2nj0;6<0D?9<;h31`?6=,;=m6<2481!3b;3i0(8k=:b9~yx{n5Y29395~J50:0:w[j7:3y'5a4=9:30Z<<::3y'1`5=k2.>i?4l;|~y>o6<=0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>459U573=:r.>i>4l;%7f6?e428q]h54={%3g6?73?2\:>84={%7f7?e<,:h513a8R7>628qG>5=51zTg{zut1b=9750;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0951?<^88>6?u+5d19g>"2m;0h7psr}:k20d<72-84c83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=9l4V006>7}#=l91=?5+5d0957=zutw0e<:l:18'62`=9;i0D?9j;W0;5?7|D;2862481!3b;3;97);j2;31?x{zu2c:>k4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826c=Q9;?1>v*:e285?!3b:3<0qpsr;h304?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5f12094?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:80Z<<::3y'1`5=>2.>i?49;|~y>o6;:0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>329U573=:r.>i>49;%7f6?0428q]h54={%3g6?74<2\:>84={%7f7?0<,:h51b`8R7>628qG>5=51zTg{zut1vn8hk:186>5<7s-82d83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:l1]=?;52z&6a6<13-?n>784}|~?l7413:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?<9:T260<5s-?n?784$4g1>3=zutw0e<:;:18'62`=9;i0Z?6>:0yO6=5=9r\o474233_;9973=#=l81:6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi8h=50;094?6|,;=m6oh4H350?l75k3:1(?9i:00`?>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?07<729q/>:h5bg9K6255$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c6fe?6=:3:1N5?:1b=?m50;&13c<6:j10c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f1`7290=6=4?{%04b?75i2B9;>5+15f951=n9;i1<7*=7g826f=Q:1;1=vB=8282S7ei38p(2wvqp5fd083>!40n3n:7[<71;3xH7>428q]=oo52z&2`784={%7f7?0<,7}#9m81h?5Y13796~"2m:037);j2;:8yx{K:1818vX=7180Sb12;q/9h?5d39'7g5==l90q[j8:3y'1`7=9;i0(>l<:4g0?x"1?<0n7pX=7180Sb12;q/9h?5d39'7g5==l90q[j8:3y'1`7=9;i0(>l<:4g1?x"1?<0n7pX=7180Sb12;q/9h?5d39'7g5==l90q[j8:3y'1`7=l81/?o=55d18y!00=3=0q[?k1;0xRa0=:r.>i<4k2:&0f6<2m:1v(;9::018yx{P6:<09w);j3;31?!3b:3;97psrL3:1>4}Q:>21>vXk6;0x 0c62;{#>>?1=?5r}|9j636=83.9;k4=619U6=7=:rF94>4>{W3ae?4|,8n96?8?;W311?4|,445<51zT13=<5s_n=6?u+5d39636<,:h868k<;|&530<6:2wvq6a>cc83>!40n3;hn65rb5g7>5<4290;w)<8f;04g>N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d192>"2m;0=7psr}:k26`<72-8h5Y13796~"2m:0=7);j2;48yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c6f1?6=93:190c6<729q/>:h5779K6252wvqp5f13g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=>2.>i?49;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?06<729q/>:h5779K625n5G26g8R7>628qG>5=51zTg47428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<0>2B9;>5f13f94?"5?o0:>n5G26g8R7>628qG>5=51zTg47428q]h54={%3g6?75m2\:>84={%7f7?763-?n>7?>;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?01<729q/>:h51bc8L7143`;9h7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;48 0c52?1vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42?1/9h<56:~yx=n9:31<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<512;8R4422;q/9h=56:&6a7<13twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd3mk0;6;4?:1y'62`=??1C>:=4i00g>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?7m4$4g1>f=zutw0e<2481!3b;3i0(8k=:b9~yx{428q]h54={%3g6?7412\:>84={%7f7?e<,:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;370>P6:<09w);j3;31?!3b:3;97psr}:k202<72-86*:e3826>{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a0`e=83=1<7>t$35e>20<@;=87d?=d;29 71a288h7E<8e:T1<4<6sE83?7?tVe:96~"6l;0:>i5Y13796~"2m:0h7);j2;a8yx{z3`;9i7>5$35e>44d3A87m4}|~?l7413:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3827<=Q9;?1>v*:e28`?!3b:3i0qpsr;h370?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>459U573=:r.>i>4l;%7f6?e6*:e3826>{zut1b=9650;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0951><^88>6?u+5d1957=#=l81=?5r}|8k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn9kk:184>5<7s-8o6:m0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d19g>"2m;0h7psr}:k26`<72-83883>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=>74V006>7}#=l91o6*:e38`?x{zu2c:894?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9=>0Z<<::3y'1`5=9;1/9h<5139~yx{<6=4+26d957e<^;2:62481!3b;3;97);j2;31?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`7a`<72=0;6=u+26d95fg<@;=87d?=d;29 71a288h7[<71;3xH7>428q]h54={%3g6?75l2\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1b=>750;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0956?<^88>6?u+5d192>"2m;0=7psr}:m2gg<72-8h?4?:383>5}#:>l1nk5G2618m44d290/>:h513a8?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo;k9;292?6=8r.9;k4>2`9K625<,8>o6<:4i00`>5<#:>l1=?m4V3:2>4}K:191=vX>b`81!7c:3;9o6X>2481!3b;3<0(8k=:79~yx{P5080:wA<73;3xR4df2;q/=i<5d09U573=:r.>i>49;%7f6?05?52zN1<6<6s_;im7a4<^88>6?u+5d19<>"2m;037psrL3:1>1}Q:>:1?vXk6;0x 0c62m80(>l<:4g0?xPc?38p(8k>:00`?!5e;3?n?6s+6679a>{Q:>:1?vXk6;0x 0c62m80(>l<:4g0?xPc?38p(8k>:00`?!5e;3?n>6s+6679a>{Q:>:1?vXk6;0x 0c62m80(>l<:4g0?xPc?38p(8k>:e38 6d426*:e3826>{zuE83>7?tV35;>7}Ql?09w);j1;05b>"4j:0>i>5r$756>44:3yO6=5=9r\:nl4={%3g6?4182\:>84={%7f7?753-?n>7?=;|~H7>528q]>:652zTg2?4|,53;294~"5?o09;n5G2618m44c290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;48 0c52?1vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42?1/9h<56:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm5e694?7=83:p(?9i:3:5?M40;2e:oo4?:%04b?7dj21vn8j9:1825?6=8r.9;k486:J136=n9;n1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513f8R4422;q/9h=5b:&6a72d83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:l1]=?;52z&6a67l4}|~?l7413:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?<9:T260<5s-?n?7l4$4g1>g=zutw0e<:;:18'62`=9;i0Z?6>:0yO6=5=9r\o474233_;997g=#=l81n6sr}|9j511=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=994V006>7}#=l91n6*:e38a?x{zu2c:854?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3820==Q9;?1>v*:e28a?!3b:3h0qpsr;h37=?6=,;=m6<2481!3b;3h0(8k=:c9~yx{j6=4+26d957e<^;2:6n5G26g8R7>628qG>5=51zTg{zut1b=9m50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0951e<^88>6?u+5d1954=#=l81=<5r}|8m44a290/>:h513a8R7>628qG>5=51zTg47428q]h54={%3g6?7482\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg;W311?4|,{zut1b=><50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09564<^88>6?u+5d192>"2m;0=7psr}:k276<72-85Y13796~"2m:0=7);j2;48yx{z3`;887>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;300>P6:<09w);j3;48 0c52?1vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj1;294~"5?o0<:6F=729j57b=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91n6*:e38a?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e28a?!3b:3h0qpsr;h30=?6=,;=m6<2481!3b;3h0(8k=:c9~yx{?6=4+26d957e<^;2:6n5Y29395~J50:0:w[j7:3y'5a4=9==0Z<<::3y'1`5=j2.>i?4m;|~y>o6<10;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>499U573=:r.>i>4m;%7f6?d428q]h54={%3g6?7312\:>84={%7f7?d<,:h513a8R7>628qG>5=51zTg{zut1b=9l50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0951d<^88>6?u+5d1954=#=l81=<5r}|8m42d290/>:h513a8R7>628qG>5=51zTg47428q]h54={%3g6?75n2\:>84={%7f7?763-?n>7?>;|~y>o6;90;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>319U573=:r.>i>49;%7f6?0428q]h54={%3g6?7492\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1b=>=50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09565<^88>6?u+5d192>"2m;0=7psr}:k271<72-85$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c7g1?6=;3:190e<:0yO6=5=9r\o4744c3_;9973=#=l81:6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91:6*:e385?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`6`d<72:0;6=u+26d933=O:>90e<:0yO6=5=9r\o4744c3_;997g=#=l81n6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91n6*:e38a?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`63<<72;0;6=u+26d9fc=O:>90e<428q]h54={%3g6?7dj2\:>84={%7f7?0<,7>50z&13c5f13a94?"5?o0:>n54o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj<2?6=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91m6*:e38b?x{zu2wi9:o50;194?6|,;=m6?9l;I047>o6:m0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d192>"2m;0=7psr}:k26`<72-8h5Y13796~"2m:0=7);j2;48yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c74f?6=93:190c6<729q/>:h5779K6252wvqp5f13g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=>2.>i?49;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?03<729q/>:h5779K625428q]h54={%3g6?75l2\:>84={%7f7?e<,:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;a8 0c52j1vqps4i01:>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?<9:T260<5s-?n?7m4$4g1>f=zutw0e<:;:18'62`=9;i0D?9j;W0;5?7|D;2862481!3b;3;97);j2;31?x{zu2c:8:4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38202=Q9;?1>v*:e2826>"2m;0:>6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi9:h50;494?6|,;=m6:84H350?l75l3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e28`?!3b:3i0qpsr;h31a?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4l;%7f6?e5$35e>44d3A8i?4>2:~yx=n9==1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51558R4422;q/9h=5139'1`4=9;1vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj<2;6=49:183!40n3==7E<83:k26a<72-82d83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91o6*:e38`?x{zu2c:?44?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9:30Z<<::3y'1`5=k2.>i?4l;|~y>o6<=0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e09512<^88>6?u+5d1957=#=l81=?5r}|8m420290/>:h513a8R7>628qG>5=51zTg44428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<0>2B9;>5f13f94?"5?o0:>n5G26g8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42j1/9h<5c:~yx=n9:31<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4745>3_;997f=#=l81o6sr}|9j512=83.9;k4>2b9K62c<^;2:6n5G26g8R7>628qG>5=51zTg44428q]h54={%3g6?7302\:>84={%7f7?753-?n>7?=;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?02<729q/>:h5779K625428q]h54={%3g6?75l2\:>84={%7f7?e<,:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;a8 0c52j1vqps4i01:>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?<9:T260<5s-?n?7m4$4g1>f=zutw0e<:;:18'62`=9;i0D?9j;W0;5?7|D;2862481!3b;3;97);j2;31?x{zu2c:8:4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38202=Q9;?1>v*:e2826>"2m;0:>6sr}|9j51>=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=964V006>7}#=l91=?5+5d0957=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f0>4290=6=4?{%04b?7di2B9;>5f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=>2.>i?49;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>49;%7f6?0428q]h54={%3g6?7412\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1b=9950;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09511<^88>6?u+5d192>"2m;0=7psr}:m2gg<72-85}#:>l1nk5G2618m44d290/>:h513a8?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo:i7;296?6=8r.9;k4mf:J136=n9;i1<7*=7g826f=2wvqp5rb5df>5<5290;w)<8f;`e?M40;2c:>n4?:%04b?75k21d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a153=8391<7>t$35e>71d3A82e83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:m1]=?;52z&6a6<13-?n>784}|~?l75m3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=e:T260<5s-?n?7h4$4g1>c=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f063290=6=4?{%04b?75i2B9;>5+15f951=n9;i1<7*=7g826f=Q:1;1=vB=8282S7ei38p(2wvqp5fd083>!40n3n:7[<71;3xH7>428q]=oo52z&2`784={%7f7?0<,7}#9m81h?5Y13796~"2m:037);j2;:8yx{K:1818vX=7180Sb12;q/9h?5d39'7g5==l90q[j8:3y'1`7=9;i0(>l<:4g0?x"1?<0n7pX=7180Sb12;q/9h?5d39'7g5==l90q[j8:3y'1`7=9;i0(>l<:4g1?x"1?<0n7pX=7180Sb12;q/9h?5d39'7g5==l90q[j8:3y'1`7=l81/?o=55d18y!00=3=0q[?k1;0xRa0=:r.>i<4k2:&0f6<2m:1v(;9::018yx{P6:<09w);j3;31?!3b:3;97psrL3:1>4}Q:>21>vXk6;0x 0c62;{#>>?1=?5r}|9j636=83.9;k4=619U6=7=:rF94>4>{W3ae?4|,8n96?8?;W311?4|,445<51zT13=<5s_n=6?u+5d39636<,:h868k<;|&530<6:2wvq6a>cc83>!40n3;hn65rb5d:>5<4290;w)<8f;04g>N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d192>"2m;0=7psr}:k26`<72-8h5Y13796~"2m:0=7);j2;48yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c6ee?6=93:190c1<729q/>:h5779K625428q]h54={%3g6?75l2\:>84={%7f7?763-?n>7?>;|~y>o6:l0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d1954=#=l81=<5r}|8m45>290/>:h513a8R7>628qG>5=51zTg47428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<0>2B9;>5f13f94?"5?o0:>n5G26g8R7>628qG>5=51zTg47428q]h54={%3g6?75m2\:>84={%7f7?763-?n>7?>;|~y>o6;00;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0956?<^88>6?u+5d1954=#=l81=<5r}|8m423290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;370>P6:<09w);j3;32?!3b:3;:7psr}:m2gg<72-85}#:>l1=no4H350?l75l3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?784$4g1>3=zutw0e<:0yO6=5=9r\o4744b3_;9973=#=l81:6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi8kh50;494?6|,;=m6:84H350?l75l3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e28`?!3b:3i0qpsr;h31a?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4l;%7f6?e5$35e>44d3A8i?4>2:~yx=n9==1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51558R4422;q/9h=5139'1`4=9;1vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj<:;6=49:183!40n3==7E<83:k26a<72-82d83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91o6*:e38`?x{zu2c:?44?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9:30Z<<::3y'1`5=k2.>i?4l;|~y>o6<=0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e09512<^88>6?u+5d1957=#=l81=?5r}|8m420290/>:h513a8R7>628qG>5=51zTg44428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<0>2B9;>5f13f94?"5?o0:>n5G26g8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42j1/9h<5c:~yx=n9:31<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4745>3_;997f=#=l81o6sr}|9j512=83.9;k4>2b9K62c<^;2:62481!3b;3;97);j2;31?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`647<72=0;6=u+26d95fg<@;=87d?=d;29 71a288h7[<71;3xH7>428q]h54={%3g6?75l2\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1b=>750;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0956?<^88>6?u+5d192>"2m;0=7psr}:m2gg<72-85}#:>l1nk5G2618m44d290/>:h513a8?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo<=5;296?6=8r.9;k4mf:J136=n9;i1<7*=7g826f=5<4290;w)<8f;04g>N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d192>"2m;0=7psr}:k26`<72-8h5Y13796~"2m:0=7);j2;48yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c015?6=93:190c6<729q/>:h5779K625n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=981/9h<5109~yx{2wvqp5rb307>5<4290;w)<8f;55?M40;2c:>i4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e28a?!3b:3h0qpsr;h31a?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4>1:&6a7<692wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e:;81<7=50;2x 71a28ij7E<83:k26a<72-8i5Y13796~"2m:0=7);j2;48yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;48 0c52?1vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj?:o6=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi:<:50;094?6|,;=m6oh4H350?l75k3:1(?9i:00`?>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?03<729q/>:h513c8L7143-;?h7?;;h31g?6=,;=m6<n5Y13796~"2m:0=7);j2;48yx{z3`n:6=4+26d9`4=Q:1;1=vB=8282S7ei38p(:3yO6=5=9r\:nl4={%3g6?b53_;997==#=l8146sr}M0;6?2|^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;31g>"4j:0>i>5r$756>`=z^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;31g>"4j:0>i?5r$756>`=z^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;f2?!5e;3?n?6s+66793>{Q9m;1>vXk6;0x 0c62m80(>l<:4g0?x"1?<0:?6sr}:k12c<72-87}#9m81>;h4V006>7}#=l91=?5+5d0957=zutF94?4>{W04v*:e0812c=#;k919h=4}%441?753twv7d<90;29 71a2;<;7[<71;0xH7>428q]=oo52z&2`7<5>91]=?;52z&6a6<6:2.>i?4>2:~yI4?:3;pZ?97:3yU`3<5s-?n=7<90:&0f6<2m:1v(;9::008yx{5}#:>l1>:m4H350?l75l3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?784$4g1>3=zutw0e<:0yO6=5=9r\o4744b3_;9973=#=l81:6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi:=h50;394?6|,;=m6?69;I047>i6kk0;6)<8f;3`f>=zj?;:6=4<:183!40n3==7E<83:k26a<72-8i5Y13796~"2m:0:=6*:e3825>{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d1954=#=l81=<5r}|8k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn;?=:184>5<7s-8o6:m0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d19f>"2m;0i7psr}:k26`<72-83883>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=>74V006>7}#=l91n6*:e38a?x{zu2c:894?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38201=Q9;?1>v*:e2825>"2m;0:=6sr}|9j511=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=994V006>7}#=l91=<5+5d0954=zutw0e<:7:18'62`=9;i0Z?6>:0yO6=5=9r\o4742?3_;99747<,7?lb:T260<5s-?n?784$4g1>3=zutw0qo8>3;293?6=8r.9;k486:J136=n9;n1<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4744c3_;997g=#=l81n6sr}|9j57c=83.9;k4>2b9K62c<^;2:6n5Y29395~J50:0:w[j7:3y'5a4=9:30Z<<::3y'1`5=981/9h<5109~yx{?6=4+26d957e<^;2:62481!3b;3;:7);j2;32?x{zu2c:854?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3820==Q9;?1>v*:e2825>"2m;0:=6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi:<>50;694?6|,;=m6o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>49;%7f6?0428q]h54={%3g6?75m2\:>84={%7f7?0<,290/>:h513a8R7>628qG>5=51zTg{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a243=8321<7>t$35e>20<@;=87d?=d;29 71a288h7E<8e:T1<4<6sE83?7?tVe:96~"6l;0:>i5Y13796~"2m:0h7);j2;a8yx{z3`;9i7>5$35e>44d3A87m4}|~?l7413:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3827<=Q9;?1>v*:e28`?!3b:3i0qpsr;h370?6=,;=m6<2481!3b;3;97);j2;31?x{zu2c:8:4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9==0Z<<::3y'1`5=9;1/9h<5139~yx{36=4+26d957e<^;2:62481!3b;3;97);j2;31?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`553<7210;6=u+26d933=O:>90e<2481!3b;3i0(8k=:b9~yx{428q]h54={%3g6?75m2\:>84={%7f7?e<,290/>:h513a8R7>628qG>5=51zTg44428q]h54={%3g6?73<2\:>84={%7f7?753-?n>7?=;|~y>o6<>0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e09511<^88>6?u+5d1957=#=l81=?5r}|8m42?290/>:h513a8R7>628qG>5=51zTg44428q]h54={%3g6?7312\:>84={%7f7?753-?n>7?=;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?06<729q/>:h51bc8L7143`;9h7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;48 0c52?1vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42?1/9h<56:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm48;94?4=83:p(?9i:cd8L7143`;9o7>5$35e>44d32e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`7e5<72?0;6=u+26d957g<@;=87)?;d;37?l75k3:1(?9i:00`?S4?93;p@?6<:0yU5gg=:r.:h?4>2b9U573=:r.>i>49;%7f6?0:18'62`=l81]>5?51zN1<6<6s_;im7a7<^88>6?u+5d192>"2m;0=7psr}:kg6?6=,;=m6i<4V3:2>7}K:191=vX>b`81!7c:3n97[?=5;0x 0c4211/9h<58:~yI4?:3>pZ?9?:2yU`3<5s-?n=7j=;%1a7?3b;2w]h:4={%7f5?75k2.8n>4:e29~ 3122l1vZ?9?:2yU`3<5s-?n=7j=;%1a7?3b;2w]h:4={%7f5?75k2.8n>4:e39~ 3122l1vZ?9?:2yU`3<5s-?n=7j=;%1a7?3b;2w]h:4={%7f5?b63-9i?7;j3:'223=?2w]=i?52zTg2?4|,0c43t.=;84>3:~y>o5>o0;6)<8f;05b>P50809wA<73;3xR4df2;q/=i<527d8R4422;q/9h=5139'1`4=9;1vqpB=8382S40038pZi852z&6a4<5>o1/?o=55d18y!00=3;97psr;h054?6=,;=m6?8?;W0;5?4|D;2866*:e3826>{zuE83>7?tV35;>7}Ql?09w);j1;054>"4j:0>i>5r$756>446<729q/>:h526a8L7143`;9h7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;48 0c52?1vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42?1/9h<56:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm48`94?7=83:p(?9i:3:5?M40;2e:oo4?:%04b?7dj21vn97k:180>5<7s-8o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4>1:&6a7<692wvqp5f13g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=981/9h<5109~yx{2wvqp5rb5;f>5<4290;w)<8f;55?M40;2c:>i4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e2825>"2m;0:=6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91=<5+5d0954=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f1?d29086=4?{%04b?7di2B9;>5f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=>2.>i?49;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>49;%7f6?0428q]h54={%3g6?7dj2\:>84={%7f7?0<,7>50z&13c5f13a94?"5?o0:>n54o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj=k26=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi8lk50;494?6|,;=m6<"62b83>!40n3;9o6X=8082I4?;3;pZ2.>i?49;|~y>oc93:1(?9i:e38R7>628qG>5=51zT2fd<5s-;o>7j>;W311?4|,{zut1bh?4?:%04b?b53_83=74}Q9kk1>v*>d38g6>P6:<09w);j3;:8 0c5211vqpB=8387S40839pZi852z&6a44:e29~Ra1=:r.>i<4>2b9'7g5==l90q)885;g8yS40839pZi852z&6a44:e29~Ra1=:r.>i<4>2b9'7g5==l80q)885;g8yS40839pZi852z&6a44:e29~Ra1=:r.>i<4k1:&0f6<2m:1v(;9::69~R4b62;q]h;4={%7f5?b53-9i?7;j3:'223=9:1vqp5f27d94?"5?o09:k5Y29396~J50:0:w[?ma;0x 4b52;{zu2c9:=4?:%04b?4182\94<4={M0;7?7|^8hj6?u+1e09636<^88>6?u+5d1957=#=l81=?5r}|N1<7<6s_8<47{e2d83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:l1]=?;52z&6a6<13-?n>784}|~?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo:n3;295?6=8r.9;k4=879K6255}#:>l1;;5G2618m44c290/>:h513a8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d192>"2m;0=7psr}:m2gg<72-85}#:>l1;;5G2618m44c290/>:h513a8R7>628qG>5=51zTg47h5Y13796~"2m:0:=6*:e3825>{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a0d1=8391<7>t$35e>20<@;=87d?=d;29 71a288h7[<71;3xH7>428q]h54={%3g6?75l2\:>84={%7f7?763-?n>7?>;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4>1:&6a7<692wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e<0D?9<;h31`?6=,;=m6<2481!3b;3;:7);j2;32?x{zu2c:>h4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=981/9h<5109~yx{2481!3b;3<0(8k=:79~yx{j87>55;294~"5?o0:ol5G2618m44c290/>:h513a8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d192>"2m;0=7psr}:k27<<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;370>P6:<09w);j3;48 0c52?1vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj=kj6=4<:183!40n3==7E<83:k26a<72-8i5Y13796~"2m:0h7);j2;a8yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;31?!3b:3;97psr}:m2gg<72-85}#:>l1;;5G2618m44c290/>:h513a8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42880(8k=:008yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c6bg?6=;3:190e<:0yO6=5=9r\o4744c3_;9973=#=l81:6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91:6*:e385?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`77f<72;0;6=u+26d9fc=O:>90e<428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<6:h1C>:=4$06g>43P6:<09w);j3;48 0c52?1vqps4ie394?"5?o0o=6X=8082I4?;3;pZ784}|~?lb5290/>:h5d39U6=7=:rF94>4>{W3ae?4|,8n96i<4V006>7}#=l9146*:e38;?x{zD;2969uY26297~Pc>38p(8k>:e08 6d42{#>>?1i6sY26297~Pc>38p(8k>:e08 6d42{#>>?1i6sY26297~Pc>38p(8k>:e08 6d42l<:4g0?x"1?<0<7pX>d081Sb12;q/9h?5d39'7g5==l90q)885;30?x{z3`8=j7>5$35e>70a3_83=74}Q9kk1>v*>d3812c=Q9;?1>v*:e2826>"2m;0:>6sr}M0;6?7|^;=36?uYd781!3b938=j6*6<<4}|8m707290/>:h52728R7>62;qG>5=51zT2fd<5s-;o>7<90:T260<5s-?n?7?=;%7f6?753twv@?6=:0yU62>=:r\o:77073-9i?7;j3:'223=9;1vqp5`1b`94?"5?o0:oo54}c60`?6=;3:190e<2481!3b;3<0(8k=:79~yx{2wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e<:o1<7?50;2x 71a2;2=7E<83:m2gg<72-82e83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91=<5+5d0954=zutw0e<2481!3b;3;:7);j2;32?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`704<72<0;6=u+26d933=O:>90e<2481!3b;3;:7);j2;32?x{zu2c:>h4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=981/9h<5109~yx{P5080:wA<73;3xRa>=:r.:h?4>459U573=:r.>i>4>1:&6a7<692wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e<=81<7;50;2x 71a2><0D?9<;h31`?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4>1:&6a7<692wvqp5f13g94?"5?o0:>n5G26g8R7>628qG>5=51zTg47428q]h54={%3g6?7412\:>84={%7f7?763-?n>7?>;|~y>o6<=0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e09512<^88>6?u+5d1954=#=l81=<5r}|8k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn9=i:187>5<7s-82d83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:l1]=?;52z&6a6<13-?n>784}|~?l7413:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?<9:T260<5s-?n?784$4g1>3=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f17a29096=4?{%04b?da3A82b83>!40n3;9o65`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e<;=1<7850;2x 71a288j7E<83:&20a<6<2c:>n4?:%04b?75k2\94<4>{M0;7?7|^8hj6?u+1e0957e<^88>6?u+5d192>"2m;0=7psr}:kg5?6=,;=m6i?4V3:2>4}K:191=vX>b`81!7c:3n:7[?=5;0x 0c42?1/9h<56:~yx=nl;0;6)<8f;f1?S4?938p@?6<:0yU5gg=:r.:h?4k2:T260<5s-?n?764$4g1>==zutF94?4;{W044?5|^m<1>v*:e08g6>"4j:0>i>5rVe596~"2m80:>n5+3c191`5v*:e08g6>"4j:0>i>5rVe596~"2m80:>n5+3c191`4v*:e08g6>"4j:0>i>5rVe596~"2m80o=6*6:5rV0f2>7}Ql?09w);j1;f1?!5e;3?n?6s+667956=zut1b>;h50;&13c<5>o1]>5?52zN1<6<6s_;im770a3_;99744<,7}#=l;1>;h4$2`0>0c43t.=;84>2:~y>o5>90;6)<8f;054>P50809wA<73;3xR4df2;q/=i<52728R4422;q/9h=5139'1`4=9;1vqpB=8382S40038pZi852z&6a4<5>91/?o=55d18y!00=3;97psr;n3`f?6=,;=m6t$35e>71d3A82e83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:m1]=?;52z&6a6<13-?n>784}|~?l75m3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=e:T260<5s-?n?784$4g1>3=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f146290:6=4?{%04b?4?>2B9;>5`1b`94?"5?o0:oo54}c617?6=;3:1N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d1954=#=l81=<5r}|8m44b290/>:h513a8R7>628qG>5=51zTg47428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<0>2B9;>5f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=981/9h<5109~yx{2481!3b;3<0(8k=:79~yx{997>54;294~"5?o0<:6F=729j57b=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91=<5+5d0954=zutw0e<2481!3b;3;:7);j2;32?x{zu2c:?44?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9:30Z<<::3y'1`5=981/9h<5109~yx{2wvqp5rb501>5<3290;w)<8f;3`e>N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d192>"2m;0=7psr}:k26`<72-8h5Y13796~"2m:0=7);j2;48yx{z3`;857>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;30=>P6:<09w);j3;48 0c52?1vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj:hn6=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi?n=50;494?6|,;=m6<"62b83>!40n3;9o6X=8082I4?;3;pZ2.>i?49;|~y>oc93:1(?9i:e38R7>628qG>5=51zT2fd<5s-;o>7j>;W311?4|,{zut1bh?4?:%04b?b53_83=74}Q9kk1>v*>d38g6>P6:<09w);j3;:8 0c5211vqpB=8387S40839pZi852z&6a44:e29~Ra1=:r.>i<4>2b9'7g5==l90q)885;g8yS40839pZi852z&6a44:e29~Ra1=:r.>i<4>2b9'7g5==l80q)885;g8yS40839pZi852z&6a44:e29~Ra1=:r.>i<4k1:&0f6<2m:1v(;9::69~R4b62;q]h;4={%7f5?b53-9i?7;j3:'223=9:1vqp5f27d94?"5?o09:k5Y29396~J50:0:w[?ma;0x 4b52;{zu2c9:=4?:%04b?4182\94<4={M0;7?7|^8hj6?u+1e09636<^88>6?u+5d1957=#=l81=?5r}|N1<7<6s_8<47{e;kl1<7=50;2x 71a2;=h7E<83:k26a<72-8i5Y13796~"2m:0=7);j2;48yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;48 0c52?1vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj:i;6=4>:183!40n383:6F=729l5fd=83.9;k4>cc98yg5d93:1?7>50z&13c<0>2B9;>5f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=j2.>i?4m;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4m;%7f6?d428q]h54={%3g6?7dj2\:>84={%7f7?0<,7>50z&13c5f13a94?"5?o0:>n54o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj:nj6=4<:183!40n382b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91:6*:e385?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e28e?!3b:3l0qpsr;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{56;294~"5?o0:>l5G2618 42c28>0e<:0yO6=5=9r\:nl4={%3g6?75k2\:>84={%7f7?0<,7}#9m81h<5Y13796~"2m:0=7);j2;48yx{z3`n96=4+26d9`7=Q:1;1>vB=8282S7ei38p(a4<,:h868k<;|Tg3?4|,a4<,:h868k<;|Tg3?4|,a4<,:h868k<;|Tg3?4|,0c43t.=;848;|T2`4<5s_n=6?u+5d39`7=#;k919h=4}%441?743twv7d<9f;29 71a2;428q]=oo52z&2`7<5>o1]=?;52z&6a6<6:2.>i?4>2:~yI4?:3;pZ?97:3yU`3<5s-?n=7<9f:&0f6<2m:1v(;9::008yx{P6:<09w);j3;31?!3b:3;97psrL3:1>4}Q:>21>vXk6;0x 0c62;<;7)=m3;7f7>{#>>?1=?5r}|9l5fd=83.9;k4>cc98yg5c:3:1?7>50z&13c<5?j1C>:=4i00g>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?784$4g1>3=zutw0e<:0yO6=5=9r\o4744b3_;9973=#=l81:6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi?i=50;394?6|,;=m6?69;I047>i6kk0;6)<8f;3`f>=zj:n>6=4;:183!40n3==7E<83:k26a<72-8i5Y13796~"2m:0:=6*:e3825>{zut1b=?k50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42k1/9h<5b:~yx=n9:31<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<512;8R4422;q/9h=5109'1`4=981vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj:n=6=49:183!40n3==7E<83:k26a<72-85<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?=e:T260<5s-?n?7?>;%7f6?763twvq6g>3883>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=>74V006>7}#=l91=<5+5d0954=zutw0e<:;:18'62`=9;i0D?9j;W0;5?7|D;2862481!3b;3;:7);j2;32?x{zu2c:8:4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38202=Q9;?1>v*:e28a?!3b:3h0qpsr;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{56;294~"5?o0<:6F=729j57b=83.9;k4>2b9K62c<^;2:6P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4>1:&6a7<692wvqp5f12;94?"5?o0:>n5G26g8R7>628qG>5=51zTg47{zut1b=9950;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b528><7[?=5;0x 0c428;0(8k=:038yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c1g0?6=<3:190e<:0yO6=5=9r\o4744c3_;9973=#=l81:6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91:6*:e385?x{zu2c:?44?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3827<=Q9;?1>v*:e285?!3b:3<0qpsr;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{947>52;294~"5?o0ij6F=729j57e=83.9;k4>2b98k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn95<7s-8n5Y29395~J50:0:w[?ma;0x 4b5288h7[?=5;0x 0c42?1/9h<56:~yx=nl80;6)<8f;f2?S4?93;p@?6<:0yU5gg=:r.:h?4k1:T260<5s-?n?784$4g1>3=zutw0ei<50;&13cv*:e28;?!3b:320qpsC29090~P5?908w[j9:3y'1`7=l;1/?o=55d18ySb02;q/9h?513a8 6d424:e29~ 3122890qps4i34e>5<#:>l1>;h4V3:2>7}K:191=vX>b`81!7c:38=j6X>2481!3b;3;97);j2;31?x{zD;29638p(8k>:34e?!5e;3?n?6s+667957=zut1b>;>50;&13c<5>91]>5?52zN1<6<6s_;im77073_;99744<,7}#=l;1>;>4$2`0>0c43t.=;84>2:~y>i6kk0;6)<8f;3`f>=zj=826=4<:183!40n382b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91:6*:e385?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e285?!3b:3<0qpsr;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{9m7>51;294~"5?o094;5G2618k4ee290/>:h51b`8?xd3:k0;6>4?:1y'62`=??1C>:=4i00g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c428;0(8k=:038yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;32?!3b:3;:7psr}:m2gg<72-85}#:>l1nk5G2618m44d290/>:h513a8?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo894;296?6=8r.9;k4mf:J136=n9;i1<7*=7g826f=2wvqp5rb744>5<1290;w)<8f;31e>N5?:1/=9j5149j57e=83.9;k4>2b9U6=7=9rF94>4>{W3ae?4|,8n96<{zut1bh<4?:%04b?b63_83=7?tL3:0>4}Q9kk1>v*>d38g5>P6:<09w);j3;48 0c52?1vqps4ie094?"5?o0o>6X=8081I4?;3;pZ764}|O6=4=7}#=l;1h?5+3c191`57}#=l;1h?5+3c191`57}#=l;1h?5+3c191`56*6<=4}|8m70a290/>:h527d8R7>62;qG>5=51zT2fd<5s-;o>7<9f:T260<5s-?n?7?=;%7f6?753twv@?6=:0yU62>=:r\o:770a3-9i?7;j3:'223=9;1vqp5f27294?"5?o09:=5Y29396~J50:0:w[?ma;0x 4b52;<;7[?=5;0x 0c42880(8k=:008yx{K:181=vX=7981Sb12;q/9h?52728 6d42{zu2e:oo4?:%04b?7dj21vn;;l:180>5<7s-8o0Z?6>:0yO6=5=9r\o4744c3_;9973=#=l81:6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91:6*:e385?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`51a<7280;6=u+26d96=0<@;=87b?lb;29 71a28ii76sm64d94?5=83:p(?9i:648L7143`;9h7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;48 0c52?1vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42?1/9h<56:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm67294?5=83:p(?9i:648L7143`;9h7>5$35e>44d3A8i?4>1:~yx=n9;o1<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4744b3_;99747<,7?lb:T260<5s-?n?784$4g1>3=zutw0qo891;292?6=8r.9;k486:J136=n9;n1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513f8R4422;q/9h=5109'1`4=981vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42k1/9h<5b:~yx=n9:31<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4745>3_;99747<,{M0;7?7|^m21>v*>d38201=Q9;?1>v*:e2825>"2m;0:=6sr}|9j511=83.9;k4>2b9K62c<^;2:62481!3b;3<0(8k=:79~yx{7>56;294~"5?o0<:6F=729j57b=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91n6*:e38a?x{zu2c:>h4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=981/9h<5109~yx{428q]h54={%3g6?7412\:>84={%7f7?763-?n>7?>;|~y>o6<=0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e09512<^88>6?u+5d1954=#=l81=<5r}|8m420290/>:h513a8R7>628qG>5=51zTg47428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<0>2B9;>5f13f94?"5?o0:>n5G26g8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42k1/9h<5b:~yx=n9:31<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4745>3_;997g=#=l81n6sr}|9j512=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=9:4V006>7}#=l91=<5+5d0954=zutw0e<:8:18'62`=9;i0D?9j;W0;5?7|D;2862481!3b;3;:7);j2;32?x{zu2c:854?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3820==Q9;?1>v*:e2825>"2m;0:=6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi:8k50;494?6|,;=m6o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>49;%7f6?0428q]h54={%3g6?75m2\:>84={%7f7?0<,290/>:h513a8R7>628qG>5=51zTg{zut1b=9:50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09512<^88>6?u+5d192>"2m;0=7psr}:k202<72-85$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c451?6=>3:1N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d1957=#=l81=?5r}|8m44b290/>:h513a8R7>628qG>5=51zTg{zut1b=>750;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b528927[?=5;0x 0c42880(8k=:008yx{z3`;?87>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;370>P6:<09w);j3;31?!3b:3;97psr}:k202<72-85<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj:o>6=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi?hk50;194?6|,;=m6?9l;I047>o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>49;%7f6?0428q]h54={%3g6?75m2\:>84={%7f7?`<,:h51b`8R7>628qG>5=51zTg{zut1vn>kk:185>5<7s-8n5Y29395~J50:0:w[?ma;0x 4b5288h7[?=5;0x 0c42?1/9h<56:~yx=nl80;6)<8f;f2?S4?93;p@?6<:0yU5gg=:r.:h?4k1:T260<5s-?n?784$4g1>3=zutw0ei<50;&13cv*:e28;?!3b:320qpsC29090~P5?908w[j9:3y'1`7=l;1/?o=55d18ySb02;q/9h?513a8 6d424:e29~ 3122890qps4i34e>5<#:>l1>;h4V3:2>7}K:191=vX>b`81!7c:38=j6X>2481!3b;3;97);j2;31?x{zD;29638p(8k>:34e?!5e;3?n?6s+667957=zut1b>;>50;&13c<5>91]>5?52zN1<6<6s_;im77073_;99744<,7}#=l;1>;>4$2`0>0c43t.=;84>2:~y>i6kk0;6)<8f;3`f>=zj:o=6=4<:183!40n382b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91:6*:e385?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e285?!3b:3<0qpsr;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{51;294~"5?o094;5G2618k4ee290/>:h51b`8?xd4m00;694?:1y'62`=??1C>:=4i00g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c428;0(8k=:038yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;32?!3b:3;:7psr}:k27<<72-85$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c1fe?6=<3:1N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d1954=#=l81=<5r}|8m44b290/>:h513a8R7>628qG>5=51zTg47{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a7`d=83>1<7>t$35e>20<@;=87d?=d;29 71a288h7[<71;3xH7>428q]h54={%3g6?75l2\:>84={%7f7?763-?n>7?>;|~y>o6:l0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d19f>"2m;0i7psr}:k27<<72-8{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a7`>=83>1<7>t$35e>4ef3A82e83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:m1]=?;52z&6a6<13-?n>784}|~?l75m3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=e:T260<5s-?n?784$4g1>3=zutw0e<=6:18'62`=9;i0Z?6>:0yO6=5=9r\o4745>3_;9973=#=l81:6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi8?k50;094?6|,;=m6oh4H350?l75k3:1(?9i:00`?>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?03<729q/>:h513c8L7143-;?h7?;;h31g?6=,;=m6<n5Y13796~"2m:0=7);j2;48yx{z3`n:6=4+26d9`4=Q:1;1=vB=8282S7ei38p(:3yO6=5=9r\:nl4={%3g6?b53_;997==#=l8146sr}M0;6?2|^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;31g>"4j:0>i>5r$756>`=z^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;31g>"4j:0>i?5r$756>`=z^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;f2?!5e;3?n?6s+66793>{Q9m;1>vXk6;0x 0c62m80(>l<:4g0?x"1?<0:?6sr}:k12c<72-87}#9m81>;h4V006>7}#=l91=?5+5d0957=zutF94?4>{W04v*:e0812c=#;k919h=4}%441?753twv7d<90;29 71a2;<;7[<71;0xH7>428q]=oo52z&2`7<5>91]=?;52z&6a6<6:2.>i?4>2:~yI4?:3;pZ?97:3yU`3<5s-?n=7<90:&0f6<2m:1v(;9::008yx{k4?:283>5}#:>l1>:m4H350?l75l3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?784$4g1>3=zutw0e<:0yO6=5=9r\o4744b3_;9973=#=l81:6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi8>>50;394?6|,;=m6?69;I047>i6kk0;6)<8f;3`f>=zj=9:6=4<:183!40n3==7E<83:k26a<72-8i5Y13796~"2m:0:=6*:e3825>{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d1954=#=l81=<5r}|8k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn9=;:181>5<7s-8o6:j0;6)<8f;31g>=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm42`94?0=83:p(?9i:00b?M40;2.:8i4>4:k26f<72-87}#9m81=?m4V006>7}#=l91:6*:e385?x{zu2co=7>5$35e>a7<^;2:62wvqp5fd383>!40n3n97[<71;0xH7>428q]=oo52z&2`784={%7f7?><,6*v*:e0826f=#;k919h=4}%441?c6*v*:e0826f=#;k919h<4}%441?c6*v*:e08g5>"4j:0>i>5r$756>2=z^8n:6?uYd781!3b93n97)=m3;7f7>{#>>?1=>5r}|9j63`=83.9;k4=6g9U6=7=:rF94>4>{W3ae?4|,8n96?8i;W311?4|,445<51zT13=<5s_n=6?u+5d3963`<,:h868k<;|&530<6:2wvq6g=6183>!40n38=<6X=8081I4?;3;pZi<4=619'7g5==l90q)885;31?x{z3f;hn7>5$35e>4ee32wi8>;50;194?6|,;=m6?9l;I047>o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>49;%7f6?0428q]h54={%3g6?75m2\:>84={%7f7?0<,:h51b`8R7>628qG>5=51zTg{zut1vn9=9:182>5<7s-8847>55;294~"5?o0<:6F=729j57b=83.9;k4>2b9K62c<^;2:6P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4>1:&6a7<692wvqp5f12;94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:30Z<<::3y'1`5=981/9h<5109~yx{?6=4+26d957e<^;2:62481!3b;3<0(8k=:79~yx{857>55;294~"5?o0<:6F=729j57b=83.9;k4>2b9K62c<^;2:6P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4>1:&6a7<692wvqp5f12;94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:30Z<<::3y'1`5=981/9h<5109~yx{?6=4+26d957e<^;2:62481!3b;3<0(8k=:79~yx{8;7>53;294~"5?o0:ol5G2618m44c290/>:h513a8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d192>"2m;0=7psr}:m2gg<72-84?:383>5}#:>l1nk5G2618m44d290/>:h513a8?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo<67;296?6=8r.9;k4mf:J136=n9;i1<7*=7g826f=2wvqp5rb3;e>5<4290;w)<8f;04g>N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d192>"2m;0=7psr}:k26`<72-8h5Y13796~"2m:0m7);j2;d8yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c0:a?6=>3:190(<:k:068m44d290/>:h513a8R7>628qG>5=51zT2fd<5s-;o>7?=c:T260<5s-?n?784$4g1>3=zutw0ei?50;&13c{M0;7?7|^8hj6?u+1e09`4=Q9;?1>v*:e285?!3b:3<0qpsr;hf1>5<#:>l1h?5Y29396~J50:0:w[?ma;0x 4b52m80Z<<::3y'1`5=02.>i?47;|~H7>52=q]>:>53zTg2?4|,0c43t\o;744d3-9i?7;j3:'223=m2w]>:>53zTg2?4|,0c43t\o;744d3-9i?7;j2:'223=m2w]>:>53zTg2?4|,0c43t\o;7a7<,:h868k<;|&530<03t\:h<4={Wf5>7}#=l;1h?5+3c191`5i>4>2:&6a7<6:2wvqA<72;3xR71?2;q]h;4={%7f5?41n2.8n>4:e29~ 3122880qps4i343>5<#:>l1>;>4V3:2>7}K:191=vX>b`81!7c:38=<6X>2481!3b;3;97);j2;31?x{zD;29638p(8k>:343?!5e;3?n?6s+667957=zut1d=nl50;&13c<6kk10qo<64;297?6=8r.9;k4=7b9K6252wvqp5f13g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=>2.>i?49;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?04<729q/>:h52948L7143f;hn7>5$35e>4ee32wi>4850;:94?6|,;=m6:84H350?l75l3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e2825>"2m;0:=6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91=<5+5d0954=zutw0e<=6:18'62`=9;i0Z?6>:0yO6=5=9r\o4745>3_;99747<,{M0;7?7|^m21>v*>d38201=Q9;?1>v*:e2825>"2m;0:=6sr}|9j511=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=994V006>7}#=l91=<5+5d0954=zutw0e<:7:18'62`=9;i0Z?6>:0yO6=5=9r\o4742?3_;99747<,7?;9:T260<5s-?n?7?>;%7f6?763twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd5110;6<0D?9<;h31`?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4l;%7f6?eh5Y13796~"2m:0h7);j2;a8yx{z3`;857>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;30=>P6:<09w);j3;a8 0c52j1vqps4i067>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>?7[?=5;0x 0c42j1/9h<5c:~yx=n9==1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51558R4422;q/9h=5c:&6a74983>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<11]=?;52z&6a67m4}|~?l7313:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;9:T260<5s-?n?7m4$4g1>f=zutw0e<:n:18'62`=9;i0Z?6>:0yO6=5=9r\o4742f3_;997f=#=l81o6sr}|9j51d=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=9l4V006>7}#=l91o6*:e38`?x{zu2c:8n4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9=i0Z<<::3y'1`5=k2.>i?4l;|~y>o6:o0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0957`<^88>6?u+5d1957=#=l81=?5r}|8m457290/>:h513a8R7>628qG>5=51zTg44428q]h54={%3g6?7492\:>84={%7f7?753-?n>7?=;|~y>o6;;0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>339U573=:r.>i>4>2:&6a7<6:2wvqp5f12194?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:90Z<<::3y'1`5=9;1/9h<5139~yx{2wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e:031<7?>:183!40n3==7E<83:k26a<72-82d83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91o6*:e38`?x{zu2c:?44?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3827<=Q9;?1>v*:e28`?!3b:3i0qpsr;h370?6=,;=m6<2481!3b;3i0(8k=:b9~yx{<6=4+26d957e<^;2:6n5Y29395~J50:0:w[j7:3y'5a4=9=20Z<<::3y'1`5=k2.>i?4l;|~y>o6<00;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>489U573=:r.>i>4l;%7f6?e428q]h54={%3g6?73i2\:>84={%7f7?e<,:h513a8R7>628qG>5=51zTg{zut1b=9m50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b528>h7[?=5;0x 0c42j1/9h<5c:~yx=n9;l1<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4744a3_;99744<,7?<0:T260<5s-?n?7?=;%7f6?753twvq6g>3083>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;81]=?;52z&6a6<6:2.>i?4>2:~yx=n9:81<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51208R4422;q/9h=5139'1`4=9;1vqps4i010>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528987[?=5;0x 0c42880(8k=:008yx{z3`;887>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;300>P6:<09w);j3;48 0c52?1vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj;3j6=4>1;294~"5?o0<:6F=729j57b=83.9;k4>2b9K62c<^;2:6n5G26g8R7>628qG>5=51zTg{zut1b=>750;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0956?<^88>6?u+5d19g>"2m;0h7psr}:k201<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;373>P6:<09w);j3;a8 0c52j1vqps4i06;>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>37[?=5;0x 0c42j1/9h<5c:~yx=n9=31<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<515;8R4422;q/9h=5c:&6a74`83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<67m4}|~?l73j3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;b:T260<5s-?n?7m4$4g1>f=zutw0e<:l:18'62`=9;i0D?9j;W0;5?7|D;2862481!3b;3i0(8k=:b9~yx{428q]h54={%3g6?75n2\:>84={%7f7?753-?n>7?=;|~y>o6;90;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>319U573=:r.>i>4>2:&6a7<6:2wvqp5f12394?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:;0Z<<::3y'1`5=9;1/9h<5139~yx{2481!3b;3;97);j2;31?x{zu2c:?94?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38271=Q9;?1>v*:e285?!3b:3<0qpsr;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{51083>5}#:>l1;;5G2618m44c290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;a8 0c52j1vqps4i00f>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?=e:T260<5s-?n?7m4$4g1>f=zutw0e<=6:18'62`=9;i0Z?6>:0yO6=5=9r\o4745>3_;997f=#=l81o6sr}|9j512=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=9:4V006>7}#=l91o6*:e38`?x{zu2c:8:4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38202=Q9;?1>v*:e28`?!3b:3i0qpsr;h372481!3b;3i0(8k=:b9~yx{26=4+26d957e<^;2:6n5Y29395~J50:0:w[j7:3y'5a4=9=k0Z<<::3y'1`5=k2.>i?4l;|~y>o6P5080:wA<73;3xRa>=:r.:h?4>4c9U573=:r.>i>4l;%7f6?e5$35e>44d3A8i?4>2:~yx=n9::1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51228R4422;q/9h=5139'1`4=9;1vqps4i012>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5289:7[?=5;0x 0c42880(8k=:008yx{z3`;8>7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;306>P6:<09w);j3;31?!3b:3;97psr}:k276<72-85Y13796~"2m:0:>6*:e3826>{zut1b=>:50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09562<^88>6?u+5d192>"2m;0=7psr}:m2gg<72-85}#:>l1=no4H350?l75l3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?784$4g1>3=zutw0e<:0yO6=5=9r\o4744b3_;9973=#=l81:6sr}|9j56?=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>74V006>7}#=l91:6*:e385?x{zu2c:894?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38201=Q9;?1>v*:e285?!3b:3<0qpsr;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{52;294~"5?o0ij6F=729j57e=83.9;k4>2b98k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn?o7:180>5<7s-82d83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:l1]=?;52z&6a67h4}|~?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo2`9K625<,8>o6<:4i00`>5<#:>l1=?m4V3:2>4}K:191=vX>b`81!7c:3;9o6X>2481!3b;3<0(8k=:79~yx{P5080:wA<73;3xR4df2;q/=i<5d09U573=:r.>i>49;%7f6?05?52zN1<6<6s_;im7a4<^88>6?u+5d19<>"2m;037psrL3:1>1}Q:>:1?vXk6;0x 0c62m80(>l<:4g0?xPc?38p(8k>:00`?!5e;3?n?6s+6679a>{Q:>:1?vXk6;0x 0c62m80(>l<:4g0?xPc?38p(8k>:00`?!5e;3?n>6s+6679a>{Q:>:1?vXk6;0x 0c62m80(>l<:4g0?xPc?38p(8k>:e38 6d426*:e3826>{zuE83>7?tV35;>7}Ql?09w);j1;05b>"4j:0>i>5r$756>44:3yO6=5=9r\:nl4={%3g6?4182\:>84={%7f7?753-?n>7?=;|~H7>528q]>:652zTg2?4|,53;294~"5?o09;n5G2618m44c290/>:h513a8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d192>"2m;0=7psr}:m2gg<72-85}#:>l1>584H350?j7dj3:1(?9i:0aa?>{e:h>1<7950;2x 71a2><0D?9<;h31`?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4>1:&6a7<692wvqp5f13g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=981/9h<5109~yx{2481!3b;3;:7);j2;32?x{zu2c:8:4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38202=Q9;?1>v*:e2825>"2m;0:=6sr}|9j51>=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=964V006>7}#=l91=<5+5d0954=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f7g2290<6=4?{%04b?113A82e83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91=<5+5d0954=zutw0e<:0yO6=5=9r\o4744b3_;99747<,7?<9:T260<5s-?n?7?>;%7f6?763twvq6g>4583>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=9:4V006>7}#=l91=<5+5d0954=zutw0e<:8:18'62`=9;i0D?9j;W0;5?7|D;2862481!3b;3;:7);j2;32?x{zu2c:854?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3820==Q9;?1>v*:e2825>"2m;0:=6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi>l=50;194?6|,;=m6o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>49;%7f6?0428q]h54={%3g6?75m2\:>84={%7f7?0<,:h51b`8R7>628qG>5=51zTg{zut1vn>jm:181>5<7s-8o6:j0;6)<8f;31g>=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm3d694?0=83:p(?9i:00b?M40;2.:8i4>4:k26f<72-87}#9m81=?m4V006>7}#=l91:6*:e385?x{zu2co=7>5$35e>a7<^;2:62wvqp5fd383>!40n3n97[<71;0xH7>428q]=oo52z&2`784={%7f7?><,6*v*:e0826f=#;k919h=4}%441?c6*v*:e0826f=#;k919h<4}%441?c6*v*:e08g5>"4j:0>i>5r$756>2=z^8n:6?uYd781!3b93n97)=m3;7f7>{#>>?1=>5r}|9j63`=83.9;k4=6g9U6=7=:rF94>4>{W3ae?4|,8n96?8i;W311?4|,445<51zT13=<5s_n=6?u+5d3963`<,:h868k<;|&530<6:2wvq6g=6183>!40n38=<6X=8081I4?;3;pZi<4=619'7g5==l90q)885;31?x{z3f;hn7>5$35e>4ee32wi?im50;194?6|,;=m6?9l;I047>o6:m0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d192>"2m;0=7psr}:k26`<72-8h5Y13796~"2m:0=7);j2;48yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c1g`?6=93:190c6<729q/>:h5779K6252481!3b;3;:7);j2;32?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`0a5<72:0;6=u+26d933=O:>90e<2481!3b;3;:7);j2;32?x{zu2c:>h4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=981/9h<5109~yx{2wvqp5rb2g2>5<4290;w)<8f;55?M40;2c:>i4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=j2.>i?4m;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4>1:&6a7<692wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e;l81<7:50;2x 71a2><0D?9<;h31`?6=,;=m6<2481!3b;3;:7);j2;32?x{zu2c:>h4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=981/9h<5109~yx{2481!3b;3<0(8k=:79~yx{55;294~"5?o0:ol5G2618m44c290/>:h513a8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d192>"2m;0=7psr}:k27<<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;370>P6:<09w);j3;48 0c52?1vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj:om6=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi?k750;194?6|,;=m6?9l;I047>o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>49;%7f6?0428q]h54={%3g6?75m2\:>84={%7f7?`<,:h51b`8R7>628qG>5=51zTg{zut1vn>h7:185>5<7s-8n5Y29395~J50:0:w[?ma;0x 4b5288h7[?=5;0x 0c42?1/9h<56:~yx=nl80;6)<8f;f2?S4?93;p@?6<:0yU5gg=:r.:h?4k1:T260<5s-?n?784$4g1>3=zutw0ei<50;&13cv*:e28;?!3b:320qpsC29090~P5?908w[j9:3y'1`7=l;1/?o=55d18ySb02;q/9h?513a8 6d424:e29~ 3122890qps4i34e>5<#:>l1>;h4V3:2>7}K:191=vX>b`81!7c:38=j6X>2481!3b;3;97);j2;31?x{zD;29638p(8k>:34e?!5e;3?n?6s+667957=zut1b>;>50;&13c<5>91]>5?52zN1<6<6s_;im77073_;99744<,7}#=l;1>;>4$2`0>0c43t.=;84>2:~y>i6kk0;6)<8f;3`f>=zj:l;6=4<:183!40n382b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91:6*:e385?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e285?!3b:3<0qpsr;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{51;294~"5?o094;5G2618k4ee290/>:h51b`8?xd4n:0;694?:1y'62`=??1C>:=4i00g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c428;0(8k=:038yx{z3`;9i7>5$35e>44d3A87l4}|~?l7413:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?<9:T260<5s-?n?7?>;%7f6?763twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd4n=0;684?:1y'62`=??1C>:=4i00g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c428;0(8k=:038yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;32?!3b:3;:7psr}:k27<<72-8{zut1b=9:50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09512<^88>6?u+5d19f>"2m;0i7psr}:m2gg<72-85}#:>l1;;5G2618m44c290/>:h513a8R7>628qG>5=51zTg47428q]h54={%3g6?75m2\:>84={%7f7?763-?n>7?>;|~y>o6;00;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>389U573=:r.>i>4>1:&6a7<692wvqp5f15694?"5?o0:>n5G26g8R7>628qG>5=51zTg47428q]h54={%3g6?7dj2\:>84={%7f7?0<,3:197>50z&13c<0>2B9;>5f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=981/9h<5109~yx{428q]h54={%3g6?75m2\:>84={%7f7?763-?n>7?>;|~y>o6;00;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0956?<^88>6?u+5d1954=#=l81=<5r}|8m423290/>:h513a8R7>628qG>5=51zTg47428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<6kh1C>:=4i00g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c42?1/9h<56:~yx=n9;o1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513g8R4422;q/9h=56:&6a7<13twvq6g>3883>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;01]=?;52z&6a6<13-?n>784}|~?l73<3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;4:T260<5s-?n?784$4g1>3=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f33429096=4?{%04b?da3A82b83>!40n3;9o65`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e>n4?:%04b?75k2\94<4>{M0;7?7|^8hj6?u+1e0957e<^88>6?u+5d192>"2m;0=7psr}:kg5?6=,;=m6i?4V3:2>4}K:191=vX>b`81!7c:3n:7[?=5;0x 0c42?1/9h<56:~yx=nl;0;6)<8f;f1?S4?938p@?6<:0yU5gg=:r.:h?4k2:T260<5s-?n?764$4g1>==zutF94?4;{W044?5|^m<1>v*:e08g6>"4j:0>i>5rVe596~"2m80:>n5+3c191`5v*:e08g6>"4j:0>i>5rVe596~"2m80:>n5+3c191`4v*:e08g6>"4j:0>i>5rVe596~"2m80o=6*6:5rV0f2>7}Ql?09w);j1;f1?!5e;3?n?6s+667956=zut1b>;h50;&13c<5>o1]>5?52zN1<6<6s_;im770a3_;99744<,7}#=l;1>;h4$2`0>0c43t.=;84>2:~y>o5>90;6)<8f;054>P50809wA<73;3xR4df2;q/=i<52728R4422;q/9h=5139'1`4=9;1vqpB=8382S40038pZi852z&6a4<5>91/?o=55d18y!00=3;97psr;n3`f?6=,;=m6t$35e>71d3A82e83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:m1]=?;52z&6a6<13-?n>784}|~?l75m3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=e:T260<5s-?n?784$4g1>3=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f332290:6=4?{%04b?4?>2B9;>5`1b`94?"5?o0:oo54}c463?6=?3:1N5?:1b=?j50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c42k1/9h<5b:~yx=n9;o1<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4744b3_;997g=#=l81n6sr}|9j56?=83.9;k4>2b9K62c<^;2:6n5Y29395~J50:0:w[j7:3y'5a4=9=>0Z<<::3y'1`5=981/9h<5109~yx{<6=4+26d957e<^;2:62481!3b;3;:7);j2;32?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`51=<72>0;6=u+26d933=O:>90e<2481!3b;3h0(8k=:c9~yx{428q]h54={%3g6?75m2\:>84={%7f7?d<,290/>:h513a8R7>628qG>5=51zTg47428q]h54={%3g6?73<2\:>84={%7f7?763-?n>7?>;|~y>o6<>0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>469U573=:r.>i>4>1:&6a7<692wvqp5f15:94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9=20Z<<::3y'1`5=981/9h<5109~yx{2wvqp5rb775>5<4290;w)<8f;3`e>N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d192>"2m;0=7psr}:k26`<72-8h5Y13796~"2m:0=7);j2;48yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c64b?6=:3:1N5?:1b=?m50;&13c<6:j10c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f1>f290=6=4?{%04b?75i2B9;>5+15f951=n9;i1<7*=7g826f=Q:1;1=vB=8282S7ei38p(2wvqp5fd083>!40n3n:7[<71;3xH7>428q]=oo52z&2`784={%7f7?0<,7}#9m81h?5Y13796~"2m:037);j2;:8yx{K:1818vX=7180Sb12;q/9h?5d39'7g5==l90q[j8:3y'1`7=9;i0(>l<:4g0?x"1?<0n7pX=7180Sb12;q/9h?5d39'7g5==l90q[j8:3y'1`7=9;i0(>l<:4g1?x"1?<0n7pX=7180Sb12;q/9h?5d39'7g5==l90q[j8:3y'1`7=l81/?o=55d18y!00=3=0q[?k1;0xRa0=:r.>i<4k2:&0f6<2m:1v(;9::018yx{P6:<09w);j3;31?!3b:3;97psrL3:1>4}Q:>21>vXk6;0x 0c62;{#>>?1=?5r}|9j636=83.9;k4=619U6=7=:rF94>4>{W3ae?4|,8n96?8?;W311?4|,445<51zT13=<5s_n=6?u+5d39636<,:h868k<;|&530<6:2wvq6a>cc83>!40n3;hn65rb5:3>5<4290;w)<8f;04g>N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d192>"2m;0=7psr}:k26`<72-8h5Y13796~"2m:0=7);j2;48yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c6;5?6=93:190c6<729q/>:h5779K6252wvqp5f13g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=>2.>i?49;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?047=83:p(?9i:648L7143`;9h7>5$35e>44d3A87l4}|~?l75m3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e28a?!3b:3h0qpsr;h30=?6=,;=m6<2481!3b;3h0(8k=:c9~yx{?6=4+26d957e<^;2:6n5Y29395~J50:0:w[j7:3y'5a4=9==0Z<<::3y'1`5=j2.>i?4m;|~y>o6<10;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>499U573=:r.>i>4m;%7f6?d428q]h54={%3g6?7312\:>84={%7f7?d<,:h513a8R7>628qG>5=51zTg{zut1b=9l50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0951d<^88>6?u+5d19f>"2m;0i7psr}:k20f<72-82g83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=?h4V006>7}#=l91n6*:e38a?x{zu2c:?=4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9::0Z<<::3y'1`5=981/9h<5109~yx{6X>2481!3b;3;:7);j2;32?x{zu2c:?>4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38276=Q9;?1>v*:e285?!3b:3<0qpsr;h300?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5rb5:6>5<693:1N5?:1b=?j50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c42k1/9h<5b:~yx=n9;o1<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4744b3_;997g=#=l81n6sr}|9j56?=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>74V006>7}#=l91n6*:e38a?x{zu2c:894?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38201=Q9;?1>v*:e28a?!3b:3h0qpsr;h373?6=,;=m6<2481!3b;3h0(8k=:c9~yx{36=4+26d957e<^;2:6n5Y29395~J50:0:w[j7:3y'5a4=9=30Z<<::3y'1`5=j2.>i?4m;|~y>o6P5080:wA<73;3xRa>=:r.:h?4>4`9U573=:r.>i>4m;%7f6?d428q]h54={%3g6?73j2\:>84={%7f7?d<,:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;37g>P6:<09w);j3;`8 0c52k1vqps4i00e>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?=f:T260<5s-?n?7l4$4g1>g=zutw0e<=?:18'62`=9;i0D?9j;W0;5?7|D;2862481!3b;3;:7);j2;32?x{zu2c:?<4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38274=Q9;?1>v*:e2825>"2m;0:=6sr}|9j564=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=><4V006>7}#=l91=<5+5d0954=zutw0e<=<:18'62`=9;i0Z?6>:0yO6=5=9r\o474543_;9973=#=l81:6sr}|9j562=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>:4V006>7}#=l91:6*:e385?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`7<3<728;1<7>t$35e>20<@;=87d?=d;29 71a288h7E<8e:T1<4<6sE83?7?tVe:96~"6l;0:>i5Y13796~"2m:0i7);j2;`8yx{z3`;9i7>5$35e>44d3A87l4}|~?l7413:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?<9:T260<5s-?n?7l4$4g1>g=zutw0e<:;:18'62`=9;i0Z?6>:0yO6=5=9r\o474233_;997g=#=l81n6sr}|9j511=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=994V006>7}#=l91n6*:e38a?x{zu2c:854?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3820==Q9;?1>v*:e28a?!3b:3h0qpsr;h37=?6=,;=m6<2481!3b;3h0(8k=:c9~yx{j6=4+26d957e<^;2:6n5Y29395~J50:0:w[j7:3y'5a4=9=h0Z<<::3y'1`5=j2.>i?4m;|~y>o6N5?l1]>5?51zN1<6<6s_n36?u+1e0951e<^88>6?u+5d19f>"2m;0i7psr}:k26c<72-83183>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=>>4V006>7}#=l91=<5+5d0954=zutw0e<=>:18'62`=9;i0Z?6>:0yO6=5=9r\o474563_;99747<,7?<2:T260<5s-?n?7?>;%7f6?763twvq6g>3283>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;:1]=?;52z&6a6<13-?n>784}|~?l74<3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?<4:T260<5s-?n?784$4g1>3=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f1>0290:=7>50z&13c<0>2B9;>5f13f94?"5?o0:>n5G26g8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42k1/9h<5b:~yx=n9:31<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<512;8R4422;q/9h=5b:&6a74583>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<=1]=?;52z&6a67l4}|~?l73?3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;7:T260<5s-?n?7l4$4g1>g=zutw0e<:7:18'62`=9;i0Z?6>:0yO6=5=9r\o4742?3_;997g=#=l81n6sr}|9j51?=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=974V006>7}#=l91n6*:e38a?x{zu2c:8l4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3820d=Q9;?1>v*:e28a?!3b:3h0qpsr;h37f?6=,;=m6<2481!3b;3h0(8k=:c9~yx{h6=4+26d957e<@;=n7[<71;3xH7>428q]h54={%3g6?73k2\:>84={%7f7?d<,:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31b>P6:<09w);j3;`8 0c52k1vqps4i013>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?<0:T260<5s-?n?7?>;%7f6?763twvq6g>3083>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;81]=?;52z&6a6<692.>i?4>1:~yx=n9:81<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51208R4422;q/9h=5109'1`4=981vqps4i010>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528987[?=5;0x 0c42?1/9h<56:~yx=n9:>1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51268R4422;q/9h=56:&6a7<13twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd3010;6<0D?9<;h31`?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4m;%7f6?dh5Y13796~"2m:0i7);j2;`8yx{z3`;857>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;30=>P6:<09w);j3;`8 0c52k1vqps4i067>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>?7[?=5;0x 0c42k1/9h<5b:~yx=n9==1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51558R4422;q/9h=5b:&6a74983>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<11]=?;52z&6a67l4}|~?l7313:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;9:T260<5s-?n?7l4$4g1>g=zutw0e<:n:18'62`=9;i0Z?6>:0yO6=5=9r\o4742f3_;997g=#=l81n6sr}|9j51d=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=9l4V006>7}#=l91n6*:e38a?x{zu2c:8n4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9=i0Z<<::3y'1`5=j2.>i?4m;|~y>o6:o0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0957`<^88>6?u+5d1954=#=l81=<5r}|8m457290/>:h513a8R7>628qG>5=51zTg47428q]h54={%3g6?7492\:>84={%7f7?763-?n>7?>;|~y>o6;;0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>339U573=:r.>i>4>1:&6a7<692wvqp5f12194?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:90Z<<::3y'1`5=>2.>i?49;|~y>o6;=0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>359U573=:r.>i>49;%7f6?0428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<6kh1C>:=4i00g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c42?1/9h<56:~yx=n9;o1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513g8R4422;q/9h=56:&6a7<13twvq6g>3883>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;01]=?;52z&6a6<13-?n>784}|~?l73<3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;4:T260<5s-?n?784$4g1>3=zutw0e<:8:18'62`=9;i0Z?6>:0yO6=5=9r\o474203_;9973=#=l81:6sr}|9j51>=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=964V006>7}#=l91:6*:e385?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`20`<72;0;6=u+26d9fc=O:>90e<428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<6:h1C>:=4$06g>42P6:<09w);j3;48 0c52?1vqps4ie394?"5?o0o=6X=8082I4?;3;pZ784}|~?lb5290/>:h5d39U6=7=:rF94>4>{W3ae?4|,8n96i<4V006>7}#=l9146*:e38;?x{zD;2969uY26297~Pc>38p(8k>:e08 6d42{#>>?1i6sY26297~Pc>38p(8k>:e08 6d42{#>>?1i6sY26297~Pc>38p(8k>:e08 6d42l<:4g0?x"1?<0<7pX>d081Sb12;q/9h?5d39'7g5==l90q)885;30?x{z3`8=j7>5$35e>70a3_83=74}Q9kk1>v*>d3812c=Q9;?1>v*:e2826>"2m;0:>6sr}M0;6?7|^;=36?uYd781!3b938=j6*6<<4}|8m707290/>:h52728R7>62;qG>5=51zT2fd<5s-;o>7<90:T260<5s-?n?7?=;%7f6?753twv@?6=:0yU62>=:r\o:77073-9i?7;j3:'223=9;1vqp5`1b`94?"5?o0:oo54}c37b?6=;3:190e<:0yO6=5=9r\o4744c3_;9973=#=l81:6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91:6*:e385?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`215<7280;6=u+26d96=0<@;=87b?lb;29 71a28ii76sm14094?5=83:p(?9i:648L7143`;9h7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;32?!3b:3;:7psr}:k26`<72-8h5Y13796~"2m:0:=6*:e3825>{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a505=8391<7>t$35e>20<@;=87d?=d;29 71a288h7[<71;3xH7>428q]h54={%3g6?75l2\:>84={%7f7?763-?n>7?>;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4>1:&6a7<692wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e9<>1<7=50;2x 71a2><0D?9<;h31`?6=,;=m6<2481!3b;3;:7);j2;32?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e2825>"2m;0:=6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi=8;50;194?6|,;=m6:84H350?l75l3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?784$4g1>3=zutw0e<:0yO6=5=9r\o4744b3_;9973=#=l81:6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi=8850;194?6|,;=m6:84H350?l75l3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?7?>;%7f6?763twvq6g>2d83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:l1]=?;52z&6a6<692.>i?4>1:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm14594?2=83:p(?9i:648L7143`;9h7>5$35e>44d3A8i?4>1:~yx=n9;o1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513g8R4422;q/9h=5109'1`4=981vqps4i01:>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528927[?=5;0x 0c428;0(8k=:038yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c365?6=?3:190e<:0yO6=5=9r\o4744c3_;9973=#=l81:6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91:6*:e385?x{zu2c:?44?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3827<=Q9;?1>v*:e285?!3b:3<0qpsr;h370?6=,;=m6<2481!3b;3<0(8k=:79~yx{<6=4+26d957e<^;2:62wvqp5f15:94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9=20Z<<::3y'1`5=>2.>i?49;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?07<729q/>:h5bg9K6255$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c145?6=;3:190e<:0yO6=5=9r\o4744c3_;9973=#=l81:6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91j6*:e38e?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`035<72?0;6=u+26d957g<@;=87)?;d;37?l75k3:1(?9i:00`?S4?93;p@?6<:0yU5gg=:r.:h?4>2b9U573=:r.>i>49;%7f6?0:18'62`=l81]>5?51zN1<6<6s_;im7a7<^88>6?u+5d192>"2m;0=7psr}:kg6?6=,;=m6i<4V3:2>7}K:191=vX>b`81!7c:3n97[?=5;0x 0c4211/9h<58:~yI4?:3>pZ?9?:2yU`3<5s-?n=7j=;%1a7?3b;2w]h:4={%7f5?75k2.8n>4:e29~ 3122l1vZ?9?:2yU`3<5s-?n=7j=;%1a7?3b;2w]h:4={%7f5?75k2.8n>4:e39~ 3122l1vZ?9?:2yU`3<5s-?n=7j=;%1a7?3b;2w]h:4={%7f5?b63-9i?7;j3:'223=?2w]=i?52zTg2?4|,0c43t.=;84>3:~y>o5>o0;6)<8f;05b>P50809wA<73;3xR4df2;q/=i<527d8R4422;q/9h=5139'1`4=9;1vqpB=8382S40038pZi852z&6a4<5>o1/?o=55d18y!00=3;97psr;h054?6=,;=m6?8?;W0;5?4|D;2866*:e3826>{zuE83>7?tV35;>7}Ql?09w);j1;054>"4j:0>i>5r$756>446<729q/>:h526a8L7143`;9h7>5$35e>44d3A8784}|~?l75m3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=e:T260<5s-?n?784$4g1>3=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f60c290:6=4?{%04b?4?>2B9;>5`1b`94?"5?o0:oo54}c15a?6=<3:1N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d19f>"2m;0i7psr}:k26`<72-85<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?<9:T260<5s-?n?7?>;%7f6?763twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd3980;6?4?:1y'62`=jo1C>:=4i00`>5<#:>l1=?m4;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{::7>56;294~"5?o0:>l5G2618 42c28>0e<:0yO6=5=9r\:nl4={%3g6?75k2\:>84={%7f7?0<,7}#9m81h<5Y13796~"2m:0=7);j2;48yx{z3`n96=4+26d9`7=Q:1;1>vB=8282S7ei38p(a4<,:h868k<;|Tg3?4|,a4<,:h868k<;|Tg3?4|,a4<,:h868k<;|Tg3?4|,0c43t.=;848;|T2`4<5s_n=6?u+5d39`7=#;k919h=4}%441?743twv7d<9f;29 71a2;428q]=oo52z&2`7<5>o1]=?;52z&6a6<6:2.>i?4>2:~yI4?:3;pZ?97:3yU`3<5s-?n=7<9f:&0f6<2m:1v(;9::008yx{P6:<09w);j3;31?!3b:3;97psrL3:1>4}Q:>21>vXk6;0x 0c62;<;7)=m3;7f7>{#>>?1=?5r}|9l5fd=83.9;k4>cc98yg26:3:1?7>50z&13c<5?j1C>:=4i00g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c42?1/9h<56:~yx=n9;o1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513g8R4422;q/9h=56:&6a7<13twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd39:0;6<4?:1y'62`=:1<0D?9<;n3`f?6=,;=m6t$35e>20<@;=87d?=d;29 71a288h7[<71;3xH7>428q]h54={%3g6?75l2\:>84={%7f7?763-?n>7?>;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4>1:&6a7<692wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e<8=1<7<50;2x 71a2kl0D?9<;h31g?6=,;=m6<5}#:>l1=?o4H350?!73l3;?7d?=c;29 71a288h7[<71;3xH7>428q]=oo52z&2`7<6:j1]=?;52z&6a6<13-?n>784}|~?lb6290/>:h5d09U6=7=9rF94>4>{W3ae?4|,8n96i?4V006>7}#=l91:6*:e385?x{zu2co>7>5$35e>a4<^;2:6?uC29195~P6jh09w)?k2;f1?S75=38p(8k<:99'1`4=02wvqA<72;6xR7172:q]h;4={%7f5?b53-9i?7;j3:U`2<5s-?n=7?=c:&0f6<2m:1v(;9::d9~R7172:q]h;4={%7f5?b53-9i?7;j3:U`2<5s-?n=7?=c:&0f6<2m;1v(;9::d9~R7172:q]h;4={%7f5?b53-9i?7;j3:U`2<5s-?n=7j>;%1a7?3b;2w/::;57:U5a7=:r\o:7a4<,:h868k<;|&530<6;2wvq6g=6g83>!40n38=j6X=8081I4?;3;pZi<4=6g9'7g5==l90q)885;31?x{z3`8=<7>5$35e>7073_83=74}Q9kk1>v*>d38125=Q9;?1>v*:e2826>"2m;0:>6sr}M0;6?7|^;=36?uYd781!3b938=<6*6<<4}|8k4ee290/>:h51b`8?xd3910;6>4?:1y'62`=:>i0D?9<;h31`?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e<831<7?50;2x 71a2;2=7E<83:m2gg<72-82e83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:m1]=?;52z&6a6<692.>i?4>1:~yx=n9;o1<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4744b3_;99747<,7?<9:T260<5s-?n?7?>;%7f6?763twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd39j0;694?:1y'62`=??1C>:=4i00g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c428;0(8k=:038yx{z3`;9i7>5$35e>44d3A8i?4>1:~yx=n9:31<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<512;8R4422;q/9h=5109'1`4=981vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj=;j6=4<:183!40n3;hm6F=729j57b=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91:6*:e385?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e285?!3b:3<0qpsr;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{>;7>52;294~"5?o0ij6F=729j57e=83.9;k4>2b98k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn9;l:185>5<7s-8n5Y29395~J50:0:w[?ma;0x 4b5288h7[?=5;0x 0c42?1/9h<56:~yx=nl80;6)<8f;f2?S4?93;p@?6<:0yU5gg=:r.:h?4k1:T260<5s-?n?784$4g1>3=zutw0ei<50;&13cv*:e28;?!3b:320qpsC29090~P5?908w[j9:3y'1`7=l;1/?o=55d18ySb02;q/9h?513a8 6d424:e29~ 3122890qps4i34e>5<#:>l1>;h4V3:2>7}K:191=vX>b`81!7c:38=j6X>2481!3b;3;97);j2;31?x{zD;29638p(8k>:34e?!5e;3?n?6s+667957=zut1b>;>50;&13c<5>91]>5?52zN1<6<6s_;im77073_;99744<,7}#=l;1>;>4$2`0>0c43t.=;84>2:~y>i6kk0;6)<8f;3`f>=zj=?36=4<:183!40n382b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91:6*:e385?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e285?!3b:3<0qpsr;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{>57>51;294~"5?o094;5G2618k4ee290/>:h51b`8?xd3=h0;6>4?:1y'62`=??1C>:=4i00g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c428;0(8k=:038yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;32?!3b:3;:7psr}:m2gg<72-85}#:>l1nk5G2618m44d290/>:h513a8?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo?8c;297?6=8r.9;k4=7b9K6252wvqp5f13g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=n2.>i?4i;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?07c83>3<729q/>:h513c8L7143-;?h7?;;h31g?6=,;=m6<n5Y13796~"2m:0=7);j2;48yx{z3`n:6=4+26d9`4=Q:1;1=vB=8282S7ei38p(:3yO6=5=9r\:nl4={%3g6?b53_;997==#=l8146sr}M0;6?2|^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;31g>"4j:0>i>5r$756>`=z^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;31g>"4j:0>i?5r$756>`=z^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;f2?!5e;3?n?6s+66793>{Q9m;1>vXk6;0x 0c62m80(>l<:4g0?x"1?<0:?6sr}:k12c<72-87}#9m81>;h4V006>7}#=l91=?5+5d0957=zutF94?4>{W04v*:e0812c=#;k919h=4}%441?753twv7d<90;29 71a2;<;7[<71;0xH7>428q]=oo52z&2`7<5>91]=?;52z&6a6<6:2.>i?4>2:~yI4?:3;pZ?97:3yU`3<5s-?n=7<90:&0f6<2m:1v(;9::008yx{5}#:>l1>:m4H350?l75l3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e285?!3b:3<0qpsr;h31a?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5rb052>5<6290;w)<8f;0;2>N5?:1d=nl50;&13c<6kk10qo?83;297?6=8r.9;k486:J136=n9;n1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513f8R4422;q/9h=5109'1`4=981vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c428;0(8k=:038yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c340?6=;3:1N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d192>"2m;0=7psr}:k26`<72-8h5Y13796~"2m:0=7);j2;48yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c341?6=;3:1N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d192>"2m;0=7psr}:k26`<72-8h5Y13796~"2m:0=7);j2;48yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c342?6=<3:1N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d1954=#=l81=<5r}|8m44b290/>:h513a8R7>628qG>5=51zTg475$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c343?6=<3:1N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d1954=#=l81=<5r}|8m44b290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;32?!3b:3;:7psr}:k27<<72-8{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a52>=83>1<7>t$35e>20<@;=87d?=d;29 71a288h7E<8e:T1<4<6sE83?7?tVe:96~"6l;0:>i5Y13796~"2m:0:=6*:e3825>{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d1954=#=l81=<5r}|8m45>290/>:h513a8R7>628qG>5=51zTg{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a52?=83>1<7>t$35e>20<@;=87d?=d;29 71a288h7[<71;3xH7>428q]h54={%3g6?75l2\:>84={%7f7?763-?n>7?>;|~y>o6:l0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d1954=#=l81=<5r}|8m45>290/>:h513a8R7>628qG>5=51zTg47428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<6kh1C>:=4i00g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c42?1/9h<56:~yx=n9;o1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513g8R4422;q/9h=56:&6a7<13twvq6g>3883>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;01]=?;52z&6a6<13-?n>784}|~?l73<3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;4:T260<5s-?n?784$4g1>3=zutw0e<:8:18'62`=9;i0Z?6>:0yO6=5=9r\o474203_;9973=#=l81:6sr}|9j51>=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=964V006>7}#=l91:6*:e385?x{zu2c:844?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3820<=Q9;?1>v*:e285?!3b:3<0qpsr;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{52;294~"5?o0ij6F=729j57e=83.9;k4>2b98k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn5<7s-82d83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:l1]=?;52z&6a67h4}|~?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo?nb;292?6=8r.9;k4>2`9K625<,8>o6<:4i00`>5<#:>l1=?m4V3:2>4}K:191=vX>b`81!7c:3;9o6X>2481!3b;3<0(8k=:79~yx{P5080:wA<73;3xR4df2;q/=i<5d09U573=:r.>i>49;%7f6?05?52zN1<6<6s_;im7a4<^88>6?u+5d19<>"2m;037psrL3:1>1}Q:>:1?vXk6;0x 0c62m80(>l<:4g0?xPc?38p(8k>:00`?!5e;3?n?6s+6679a>{Q:>:1?vXk6;0x 0c62m80(>l<:4g0?xPc?38p(8k>:00`?!5e;3?n>6s+6679a>{Q:>:1?vXk6;0x 0c62m80(>l<:4g0?xPc?38p(8k>:e38 6d426*:e3826>{zuE83>7?tV35;>7}Ql?09w);j1;05b>"4j:0>i>5r$756>44:3yO6=5=9r\:nl4={%3g6?4182\:>84={%7f7?753-?n>7?=;|~H7>528q]>:652zTg2?4|,53;294~"5?o09;n5G2618m44c290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;48 0c52?1vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42?1/9h<56:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm1`394?7=83:p(?9i:3:5?M40;2e:oo4?:%04b?7dj21vn5<7s-8o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4>1:&6a7<692wvqp5f13g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=981/9h<5109~yx{2wvqp5rb0c7>5<4290;w)<8f;55?M40;2c:>i4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e285?!3b:3<0qpsr;h31a?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5rb0c6>5<4290;w)<8f;55?M40;2c:>i4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e285?!3b:3<0qpsr;h31a?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5rb0c5>5<3290;w)<8f;55?M40;2c:>i4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e2825>"2m;0:=6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91=<5+5d0954=zutw0e<=6:18'62`=9;i0D?9j;W0;5?7|D;2862481!3b;3h0(8k=:c9~yx{2wvqp5rb0c4>5<3290;w)<8f;55?M40;2c:>i4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e2825>"2m;0:=6sr}|9j57c=83.9;k4>2b9K62c<^;2:62481!3b;3;:7);j2;32?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`2e=<72=0;6=u+26d933=O:>90e<2481!3b;3;:7);j2;32?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e2825>"2m;0:=6sr}|9j56?=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>74V006>7}#=l91n6*:e38a?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`2e<<72=0;6=u+26d933=O:>90e<:0yO6=5=9r\o4744c3_;99747<,{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e2825>"2m;0:=6sr}|9j56?=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>74V006>7}#=l91=<5+5d0954=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f4g529036=4?{%04b?7di2B9;>5f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=>2.>i?49;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>49;%7f6?0428q]h54={%3g6?7412\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1b=9950;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09511<^88>6?u+5d192>"2m;0=7psr}:k20=<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;37=>P6:<09w);j3;48 0c52?1vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj:=96=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi?:650;094?6|,;=m6oh4H350?l75k3:1(?9i:00`?>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?03<729q/>:h513c8L7143-;?h7?;;h31g?6=,;=m6<n5Y13796~"2m:0=7);j2;48yx{z3`n:6=4+26d9`4=Q:1;1=vB=8282S7ei38p(:3yO6=5=9r\:nl4={%3g6?b53_;997==#=l8146sr}M0;6?2|^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;31g>"4j:0>i>5r$756>`=z^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;31g>"4j:0>i?5r$756>`=z^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;f2?!5e;3?n?6s+66793>{Q9m;1>vXk6;0x 0c62m80(>l<:4g0?x"1?<0:?6sr}:k12c<72-87}#9m81>;h4V006>7}#=l91=?5+5d0957=zutF94?4>{W04v*:e0812c=#;k919h=4}%441?753twv7d<90;29 71a2;<;7[<71;0xH7>428q]=oo52z&2`7<5>91]=?;52z&6a6<6:2.>i?4>2:~yI4?:3;pZ?97:3yU`3<5s-?n=7<90:&0f6<2m:1v(;9::008yx{4?:283>5}#:>l1>:m4H350?l75l3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e285?!3b:3<0qpsr;h31a?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5rb257>5<6290;w)<8f;0;2>N5?:1d=nl50;&13c<6kk10qo=86;297?6=8r.9;k486:J136=n9;n1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513f8R4422;q/9h=56:&6a7<13twvq6g>2d83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:l1]=?;52z&6a6<13-?n>784}|~?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo=87;297?6=8r.9;k486:J136=n9;n1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513f8R4422;q/9h=5109'1`4=981vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c428;0(8k=:038yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c141?6=;3:190e<:0yO6=5=9r\o4744c3_;9973=#=l81:6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91:6*:e385?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`03<<72:0;6=u+26d933=O:>90e<:0yO6=5=9r\o4744c3_;997f=#=l81o6sr}|9j57c=83.9;k4>2b9K62c<^;2:62481!3b;3<0(8k=:79~yx{53;294~"5?o0<:6F=729j57b=83.9;k4>2b9K62c<^;2:6P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4>2:&6a7<6:2wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e;>h1<7=50;2x 71a2><0D?9<;h31`?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4>2:&6a7<6:2wvqp5f13g94?"5?o0:>n5G26g8R7>628qG>5=51zTg44428q]h54={%3g6?7dj2\:>84={%7f7?0<,:=4i00g>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?7m4$4g1>f=zutw0e<2481!3b;3i0(8k=:b9~yx{n5Y29395~J50:0:w[j7:3y'5a4=9=>0Z<<::3y'1`5=k2.>i?4l;|~y>o6<>0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>469U573=:r.>i>4l;%7f6?e428q]h54={%3g6?7302\:>84={%7f7?e<,290/>:h513a8R7>628qG>5=51zTg{zut1b=9o50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0951g<^88>6?u+5d19g>"2m;0h7psr}:k20g<72-85$35e>44d3A87m4}|~?l75n3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826c=Q9;?1>v*:e2826>"2m;0:>6sr}|9j566=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>>4V006>7}#=l91:6*:e385?x{zu2c:?<4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38274=Q9;?1>v*:e285?!3b:3<0qpsr;h306?6=,;=m6<6X>2481!3b;3<0(8k=:79~yx{2wvqp5f12694?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:>0Z<<::3y'1`5=>2.>i?49;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?00<729q/>:h51bc8L7143`;9h7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;48 0c52?1vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42?1/9h<56:~yx=n9:31<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<512;8R4422;q/9h=56:&6a7<13twvq6g>4583>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<=1]=?;52z&6a6<13-?n>784}|~?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo=70;296?6=8r.9;k4mf:J136=n9;i1<7*=7g826f=2wvqp5rb2:4>5<5290;w)<8f;`e?M40;2c:>n4?:%04b?75k21d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a7=b=8391<7>t$35e>71d3A82e83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:m1]=?;52z&6a6<13-?n>784}|~?l75m3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=e:T260<5s-?n?7h4$4g1>c=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f6>d290=6=4?{%04b?75i2B9;>5+15f951=n9;i1<7*=7g826f=Q:1;1=vB=8282S7ei38p(2wvqp5fd083>!40n3n:7[<71;3xH7>428q]=oo52z&2`784={%7f7?0<,7}#9m81h?5Y13796~"2m:037);j2;:8yx{K:1818vX=7180Sb12;q/9h?5d39'7g5==l90q[j8:3y'1`7=9;i0(>l<:4g0?x"1?<0n7pX=7180Sb12;q/9h?5d39'7g5==l90q[j8:3y'1`7=9;i0(>l<:4g1?x"1?<0n7pX=7180Sb12;q/9h?5d39'7g5==l90q[j8:3y'1`7=l81/?o=55d18y!00=3=0q[?k1;0xRa0=:r.>i<4k2:&0f6<2m:1v(;9::018yx{P6:<09w);j3;31?!3b:3;97psrL3:1>4}Q:>21>vXk6;0x 0c62;{#>>?1=?5r}|9j636=83.9;k4=619U6=7=:rF94>4>{W3ae?4|,8n96?8?;W311?4|,445<51zT13=<5s_n=6?u+5d39636<,:h868k<;|&530<6:2wvq6a>cc83>!40n3;hn65rb2:2>5<4290;w)<8f;04g>N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d192>"2m;0=7psr}:k26`<72-8h5Y13796~"2m:0=7);j2;48yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c1;6?6=93:190c1<729q/>:h5779K625n5G26g8R7>628qG>5=51zTg47428q]h54={%3g6?7412\:>84={%7f7?763-?n>7?>;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?01<729q/>:h5779K625428q]h54={%3g6?75l2\:>84={%7f7?d<,:h513a8R7>628qG>5=51zTg47428q]h54={%3g6?7412\:>84={%7f7?763-?n>7?>;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?01<729q/>:h5779K6252481!3b;3;:7);j2;32?x{zu2c:?44?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3827<=Q9;?1>v*:e2825>"2m;0:=6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi?5=50;694?6|,;=m6o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>49;%7f6?0428q]h54={%3g6?75m2\:>84={%7f7?0<,290/>:h513a8R7>628qG>5=51zTg{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a7=>=8391<7>t$35e>20<@;=87d?=d;29 71a288h7[<71;3xH7>428q]h54={%3g6?75l2\:>84={%7f7?e<,:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;31?!3b:3;97psr}:m2gg<72-85}#:>l1;;5G2618m44c290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;31?!3b:3;97psr}:k26`<72-85<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj:2j6=4<:183!40n3;hm6F=729j57b=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91:6*:e385?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e285?!3b:3<0qpsr;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{;<7>52;294~"5?o0ij6F=729j57e=83.9;k4>2b98k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn9>::185>5<7s-8n5Y29395~J50:0:w[?ma;0x 4b5288h7[?=5;0x 0c42?1/9h<56:~yx=nl80;6)<8f;f2?S4?93;p@?6<:0yU5gg=:r.:h?4k1:T260<5s-?n?784$4g1>3=zutw0ei<50;&13cv*:e28;?!3b:320qpsC29090~P5?908w[j9:3y'1`7=l;1/?o=55d18ySb02;q/9h?513a8 6d424:e29~ 3122890qps4i34e>5<#:>l1>;h4V3:2>7}K:191=vX>b`81!7c:38=j6X>2481!3b;3;97);j2;31?x{zD;29638p(8k>:34e?!5e;3?n?6s+667957=zut1b>;>50;&13c<5>91]>5?52zN1<6<6s_;im77073_;99744<,7}#=l;1>;>4$2`0>0c43t.=;84>2:~y>i6kk0;6)<8f;3`f>=zj=::6=4<:183!40n382b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91:6*:e385?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e285?!3b:3<0qpsr;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{;>7>51;294~"5?o094;5G2618k4ee290/>:h51b`8?xd38:0;6>4?:1y'62`=??1C>:=4i00g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c428;0(8k=:038yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;32?!3b:3;:7psr}:m2gg<72-85}#:>l1nk5G2618m44d290/>:h513a8?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo82`9K625<,8>o6<:4i00`>5<#:>l1=?m4V3:2>4}K:191=vX>b`81!7c:3;9o6X>2481!3b;3<0(8k=:79~yx{P5080:wA<73;3xR4df2;q/=i<5d09U573=:r.>i>49;%7f6?05?52zN1<6<6s_;im7a4<^88>6?u+5d19<>"2m;037psrL3:1>1}Q:>:1?vXk6;0x 0c62m80(>l<:4g0?xPc?38p(8k>:00`?!5e;3?n?6s+6679a>{Q:>:1?vXk6;0x 0c62m80(>l<:4g0?xPc?38p(8k>:00`?!5e;3?n>6s+6679a>{Q:>:1?vXk6;0x 0c62m80(>l<:4g0?xPc?38p(8k>:e38 6d426*:e3826>{zuE83>7?tV35;>7}Ql?09w);j1;05b>"4j:0>i>5r$756>44:3yO6=5=9r\:nl4={%3g6?4182\:>84={%7f7?753-?n>7?=;|~H7>528q]>:652zTg2?4|,53;294~"5?o09;n5G2618m44c290/>:h513a8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d192>"2m;0=7psr}:m2gg<72-85}#:>l1>584H350?j7dj3:1(?9i:0aa?>{e>:31<7=50;2x 71a2><0D?9<;h31`?6=,;=m6<2481!3b;3;:7);j2;32?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e2825>"2m;0:=6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi:>m50;094?6|,;=m6oh4H350?l75k3:1(?9i:00`?>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?03<729q/>:h513c8L7143-;?h7?;;h31g?6=,;=m6<n5Y13796~"2m:0=7);j2;48yx{z3`n:6=4+26d9`4=Q:1;1=vB=8282S7ei38p(:3yO6=5=9r\:nl4={%3g6?b53_;997==#=l8146sr}M0;6?2|^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;31g>"4j:0>i>5r$756>`=z^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;31g>"4j:0>i?5r$756>`=z^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;f2?!5e;3?n?6s+66793>{Q9m;1>vXk6;0x 0c62m80(>l<:4g0?x"1?<0:?6sr}:k12c<72-87}#9m81>;h4V006>7}#=l91=?5+5d0957=zutF94?4>{W04v*:e0812c=#;k919h=4}%441?753twv7d<90;29 71a2;<;7[<71;0xH7>428q]=oo52z&2`7<5>91]=?;52z&6a6<6:2.>i?4>2:~yI4?:3;pZ?97:3yU`3<5s-?n=7<90:&0f6<2m:1v(;9::008yx{5}#:>l1>:m4H350?l75l3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e285?!3b:3<0qpsr;h31a?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5rb71f>5<6290;w)<8f;0;2>N5?:1d=nl50;&13c<6kk10qo8;0;297?6=8r.9;k486:J136=n9;n1<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4744c3_;99747<,{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e2825>"2m;0:=6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi:9?50;694?6|,;=m6:84H350?l75l3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?7?>;%7f6?763twvq6g>2d83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91=<5+5d0954=zutw0e<=6:18'62`=9;i0D?9j;W0;5?7|D;2862481!3b;3;:7);j2;32?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`57c<72:0;6=u+26d95fg<@;=87d?=d;29 71a288h7[<71;3xH7>428q]h54={%3g6?75l2\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a212=8381<7>t$35e>g`<@;=87d?=c;29 71a288h76a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd1<00;6;4?:1y'62`=9;k0D?9<;%37`?733`;9o7>5$35e>44d3_83=7?tL3:0>4}Q9kk1>v*>d3826f=Q9;?1>v*:e285?!3b:3<0qpsr;hf2>5<#:>l1h<5Y29395~J50:0:w[?ma;0x 4b52m;0Z<<::3y'1`5=>2.>i?49;|~y>oc:3:1(?9i:e08R7>62;qG>5=51zT2fd<5s-;o>7j=;W311?4|,{zuE83>7:tV353>6}Ql?09w);j1;f1?!5e;3?n?6sYd681!3b93;9o6*6h5rV353>6}Ql?09w);j1;f1?!5e;3?n?6sYd681!3b93;9o6*6h5rV353>6}Ql?09w);j1;f1?!5e;3?n?6sYd681!3b93n:7)=m3;7f7>{#>>?1;6sY1e396~Pc>38p(8k>:e08 6d42{zu2c9:k4?:%04b?41n2\94<4={M0;7?7|^8hj6?u+1e0963`<^88>6?u+5d1957=#=l81=?5r}|N1<7<6s_8<47i>4>2:&6a7<6:2wvqA<72;3xR71?2;q]h;4={%7f5?4182.8n>4:e29~ 3122880qps4o0aa>5<#:>l1=nl4;|`500<72:0;6=u+26d962e<@;=87d?=d;29 71a288h7[<71;3xH7>428q]h54={%3g6?75l2\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a210=83;1<7>t$35e>7>13A8cc83>!40n3;hn65rb764>5<4290;w)<8f;55?M40;2c:>i4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e2825>"2m;0:=6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91=<5+5d0954=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f32f29096=4?{%04b?da3A82b83>!40n3;9o65`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e><81<7850;2x 71a288j7E<83:&20a<6<2c:>n4?:%04b?75k2\94<4>{M0;7?7|^8hj6?u+1e0957e<^88>6?u+5d192>"2m;0=7psr}:kg5?6=,;=m6i?4V3:2>4}K:191=vX>b`81!7c:3n:7[?=5;0x 0c42?1/9h<56:~yx=nl;0;6)<8f;f1?S4?938p@?6<:0yU5gg=:r.:h?4k2:T260<5s-?n?764$4g1>==zutF94?4;{W044?5|^m<1>v*:e08g6>"4j:0>i>5rVe596~"2m80:>n5+3c191`5v*:e08g6>"4j:0>i>5rVe596~"2m80:>n5+3c191`4v*:e08g6>"4j:0>i>5rVe596~"2m80o=6*6:5rV0f2>7}Ql?09w);j1;f1?!5e;3?n?6s+667956=zut1b>;h50;&13c<5>o1]>5?52zN1<6<6s_;im770a3_;99744<,7}#=l;1>;h4$2`0>0c43t.=;84>2:~y>o5>90;6)<8f;054>P50809wA<73;3xR4df2;q/=i<52728R4422;q/9h=5139'1`4=9;1vqpB=8382S40038pZi852z&6a4<5>91/?o=55d18y!00=3;97psr;n3`f?6=,;=m6t$35e>71d3A82e83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:m1]=?;52z&6a6<13-?n>784}|~?l75m3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=e:T260<5s-?n?784$4g1>3=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f32d290:6=4?{%04b?4?>2B9;>5`1b`94?"5?o0:oo54}c47a?6=<3:1N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d1954=#=l81=<5r}|8m44b290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;32?!3b:3;:7psr}:k27<<72-8{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a21`=83<1<7>t$35e>20<@;=87d?=d;29 71a288h7[<71;3xH7>428q]h54={%3g6?75l2\:>84={%7f7?763-?n>7?>;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4m;%7f6?d{zut1b=9:50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09512<^88>6?u+5d1954=#=l81=<5r}|8m420290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;373>P6:<09w);j3;32?!3b:3;:7psr}:m2gg<72-85}#:>l1;;5G2618m44c290/>:h513a8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c428;0(8k=:038yx{z3`;857>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;30=>P6:<09w);j3;32?!3b:3;:7psr}:k201<72-85<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528><7[?=5;0x 0c428;0(8k=:038yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c47`?6=<3:190e<:0yO6=5=9r\o4744c3_;9973=#=l81:6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91:6*:e385?x{zu2c:?44?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3827<=Q9;?1>v*:e285?!3b:3<0qpsr;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi:54?:783>5}#:>l1=?o4H350?!73l3;?7d?=c;29 71a288h7[<71;3xH7>428q]=oo52z&2`7<6:j1]=?;52z&6a6<13-?n>784}|~?lb6290/>:h5d09U6=7=9rF94>4>{W3ae?4|,8n96i?4V006>7}#=l91:6*:e385?x{zu2co>7>5$35e>a4<^;2:6?uC29195~P6jh09w)?k2;f1?S75=38p(8k<:99'1`4=02wvqA<72;6xR7172:q]h;4={%7f5?b53-9i?7;j3:U`2<5s-?n=7?=c:&0f6<2m:1v(;9::d9~R7172:q]h;4={%7f5?b53-9i?7;j3:U`2<5s-?n=7?=c:&0f6<2m;1v(;9::d9~R7172:q]h;4={%7f5?b53-9i?7;j3:U`2<5s-?n=7j>;%1a7?3b;2w/::;57:U5a7=:r\o:7a4<,:h868k<;|&530<6;2wvq6g=6g83>!40n38=j6X=8081I4?;3;pZi<4=6g9'7g5==l90q)885;31?x{z3`8=<7>5$35e>7073_83=74}Q9kk1>v*>d38125=Q9;?1>v*:e2826>"2m;0:>6sr}M0;6?7|^;=36?uYd781!3b938=<6*6<<4}|8k4ee290/>:h51b`8?xd2n3:1?7>50z&13c<5?j1C>:=4i00g>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?784$4g1>3=zutw0e<:0yO6=5=9r\o4744b3_;9973=#=l81:6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi:=4?:083>5}#:>l1>584H350?j7dj3:1(?9i:0aa?>{e>;0;6:4?:1y'62`=??1C>:=4i00g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c428;0(8k=:038yx{z3`;9i7>5$35e>44d3A8i?4>1:~yx=n9:31<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4745>3_;99747<,{M0;7?7|^m21>v*>d38201=Q9;?1>v*:e2825>"2m;0:=6sr}|9j511=83.9;k4>2b9K62c<^;2:62481!3b;3;:7);j2;32?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`57?6=?3:1N5?:1b=?j50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c428;0(8k=:038yx{z3`;9i7>5$35e>44d3A8i?4>1:~yx=n9:31<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4745>3_;99747<,{M0;7?7|^m21>v*>d38201=Q9;?1>v*:e2825>"2m;0:=6sr}|9j511=83.9;k4>2b9K62c<^;2:62481!3b;3;:7);j2;32?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`50?6=?3:1N5?:1b=?j50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c428;0(8k=:038yx{z3`;9i7>5$35e>44d3A8i?4>1:~yx=n9:31<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4745>3_;99747<,{M0;7?7|^m21>v*>d38201=Q9;?1>v*:e2825>"2m;0:=6sr}|9j511=83.9;k4>2b9K62c<^;2:62481!3b;3;:7);j2;32?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`51?6=?3:1N5?:1b=?j50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c428;0(8k=:038yx{z3`;9i7>5$35e>44d3A8i?4>1:~yx=n9:31<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4745>3_;99747<,{M0;7?7|^m21>v*>d38201=Q9;?1>v*:e2825>"2m;0:=6sr}|9j511=83.9;k4>2b9K62c<^;2:6P5080:wA<73;3xRa>=:r.:h?4>499U573=:r.>i>4>1:&6a7<692wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e>?0;654?:1y'62`=??1C>:=4i00g>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?7?>;%7f6?763twvq6g>2d83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91=<5+5d0954=zutw0e<=6:18'62`=9;i0D?9j;W0;5?7|D;2862481!3b;3;:7);j2;32?x{zu2c:894?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9=>0Z<<::3y'1`5=981/9h<5109~yx{<6=4+26d957e<^;2:6P5080:wA<73;3xRa>=:r.:h?4>499U573=:r.>i>4>1:&6a7<692wvqp5f15;94?"5?o0:>n5G26g8R7>628qG>5=51zTg47428q]h54={%3g6?7dj2\:>84={%7f7?0<,5f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=>2.>i?49;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>49;%7f6?0428q]h54={%3g6?7412\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1b=9950;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09511<^88>6?u+5d192>"2m;0=7psr}:m2gg<72-84?:383>5}#:>l1nk5G2618m44d290/>:h513a8?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo?6e;292?6=8r.9;k4>2`9K625<,8>o6<:4i00`>5<#:>l1=?m4V3:2>4}K:191=vX>b`81!7c:3;9o6X>2481!3b;3<0(8k=:79~yx{P5080:wA<73;3xR4df2;q/=i<5d09U573=:r.>i>49;%7f6?05?52zN1<6<6s_;im7a4<^88>6?u+5d19<>"2m;037psrL3:1>1}Q:>:1?vXk6;0x 0c62m80(>l<:4g0?xPc?38p(8k>:00`?!5e;3?n?6s+6679a>{Q:>:1?vXk6;0x 0c62m80(>l<:4g0?xPc?38p(8k>:00`?!5e;3?n>6s+6679a>{Q:>:1?vXk6;0x 0c62m80(>l<:4g0?xPc?38p(8k>:e38 6d426*:e3826>{zuE83>7?tV35;>7}Ql?09w);j1;05b>"4j:0>i>5r$756>44:3yO6=5=9r\:nl4={%3g6?4182\:>84={%7f7?753-?n>7?=;|~H7>528q]>:652zTg2?4|,53;294~"5?o09;n5G2618m44c290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;48 0c52?1vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42?1/9h<56:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm18794?7=83:p(?9i:3:5?M40;2e:oo4?:%04b?7dj21vn<78:180>5<7s-8o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>49;%7f6?0428q]h54={%3g6?75m2\:>84={%7f7?0<,:h51b`8R7>628qG>5=51zTg{zut1vn<77:180>5<7s-8o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4>1:&6a7<692wvqp5f13g94?"5?o0:>n5G26g8R7>628qG>5=51zTg47428q]h54={%3g6?7dj2\:>84={%7f7?0<,13:1?7>50z&13c<0>2B9;>5f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=981/9h<5109~yx{2481!3b;3<0(8k=:79~yx{53;294~"5?o0<:6F=729j57b=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91=<5+5d0954=zutw0e<2481!3b;3;:7);j2;32?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`2=g<72=0;6=u+26d933=O:>90e<2481!3b;3h0(8k=:c9~yx{428q]h54={%3g6?75m2\:>84={%7f7?763-?n>7?>;|~y>o6;00;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>389U573=:r.>i>4>1:&6a7<692wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e90i1<7:50;2x 71a2><0D?9<;h31`?6=,;=m6<2481!3b;3;:7);j2;32?x{zu2c:>h4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=981/9h<5109~yx{2481!3b;3<0(8k=:79~yx{57;294~"5?o0:ol5G2618m44c290/>:h513a8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d192>"2m;0=7psr}:k27<<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;370>P6:<09w);j3;48 0c52?1vqps4i064>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528><7[?=5;0x 0c42?1/9h<56:~yx=n9=21<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<515:8R4422;q/9h=56:&6a7<13twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd6im0;6?4?:1y'62`=jo1C>:=4i00`>5<#:>l1=?m4;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{56;294~"5?o0:>l5G2618 42c28>0e<:0yO6=5=9r\:nl4={%3g6?75k2\:>84={%7f7?0<,7}#9m81h<5Y13796~"2m:0=7);j2;48yx{z3`n96=4+26d9`7=Q:1;1>vB=8282S7ei38p(a4<,:h868k<;|Tg3?4|,a4<,:h868k<;|Tg3?4|,a4<,:h868k<;|Tg3?4|,0c43t.=;848;|T2`4<5s_n=6?u+5d39`7=#;k919h=4}%441?743twv7d<9f;29 71a2;428q]=oo52z&2`7<5>o1]=?;52z&6a6<6:2.>i?4>2:~yI4?:3;pZ?97:3yU`3<5s-?n=7<9f:&0f6<2m:1v(;9::008yx{P6:<09w);j3;31?!3b:3;97psrL3:1>4}Q:>21>vXk6;0x 0c62;<;7)=m3;7f7>{#>>?1=?5r}|9l5fd=83.9;k4>cc98yg7fm3:1?7>50z&13c<5?j1C>:=4i00g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c42?1/9h<56:~yx=n9;o1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513g8R4422;q/9h=56:&6a7<13twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd6io0;6<4?:1y'62`=:1<0D?9<;n3`f?6=,;=m6t$35e>20<@;=87d?=d;29 71a288h7[<71;3xH7>428q]h54={%3g6?75l2\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a5g4=8391<7>t$35e>20<@;=87d?=d;29 71a288h7[<71;3xH7>428q]h54={%3g6?75l2\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a5g5=83>1<7>t$35e>20<@;=87d?=d;29 71a288h7E<8e:T1<4<6sE83?7?tVe:96~"6l;0:>i5Y13796~"2m:0:=6*:e3825>{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d1954=#=l81=<5r}|8m45>290/>:h513a8R7>628qG>5=51zTg47428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<0>2B9;>5f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=981/9h<5109~yx{428q]h54={%3g6?75m2\:>84={%7f7?763-?n>7?>;|~y>o6;00;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>389U573=:r.>i>4>1:&6a7<692wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e9k?1<7;50;2x 71a2><0D?9<;h31`?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4>1:&6a7<692wvqp5f13g94?"5?o0:>n5G26g8R7>628qG>5=51zTg47{zut1b=9:50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b528>?7[?=5;0x 0c428;0(8k=:038yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c3a2?6=03:1N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d19f>"2m;0i7psr}:k26`<72-83883>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=>74V006>7}#=l91n6*:e38a?x{zu2c:894?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9=>0Z<<::3y'1`5=981/9h<5109~yx{<6=4+26d957e<@;=n7[<71;3xH7>428q]h54={%3g6?73?2\:>84={%7f7?763-?n>7?>;|~y>o6<10;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0951><^88>6?u+5d1954=#=l81=<5r}|8m42>290/>:h513a8R7>628qG>5=51zTg47428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<0>2B9;>5f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=j2.>i?4m;|~y>o6:l0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d19f>"2m;0i7psr}:k27<<72-85<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>?7[?=5;0x 0c428;0(8k=:038yx{z3`;?;7>5$35e>44d3A81]=?;52z&6a6<692.>i?4>1:~yx=n9=21<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4742?3_;99747<,7?;9:T260<5s-?n?7?>;%7f6?763twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd6j90;654?:1y'62`=9jk0D?9<;h31`?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5f12;94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:30Z<<::3y'1`5=>2.>i?49;|~y>o6<=0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>459U573=:r.>i>49;%7f6?0428q]h54={%3g6?73?2\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1b=9750;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0951?<^88>6?u+5d192>"2m;0=7psr}:m2gg<72-84?:383>5}#:>l1nk5G2618m44d290/>:h513a8?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo?kf;292?6=8r.9;k4>2`9K625<,8>o6<:4i00`>5<#:>l1=?m4V3:2>4}K:191=vX>b`81!7c:3;9o6X>2481!3b;3<0(8k=:79~yx{P5080:wA<73;3xR4df2;q/=i<5d09U573=:r.>i>49;%7f6?05?52zN1<6<6s_;im7a4<^88>6?u+5d19<>"2m;037psrL3:1>1}Q:>:1?vXk6;0x 0c62m80(>l<:4g0?xPc?38p(8k>:00`?!5e;3?n?6s+6679a>{Q:>:1?vXk6;0x 0c62m80(>l<:4g0?xPc?38p(8k>:00`?!5e;3?n>6s+6679a>{Q:>:1?vXk6;0x 0c62m80(>l<:4g0?xPc?38p(8k>:e38 6d426*:e3826>{zuE83>7?tV35;>7}Ql?09w);j1;05b>"4j:0>i>5r$756>44:3yO6=5=9r\:nl4={%3g6?4182\:>84={%7f7?753-?n>7?=;|~H7>528q]>:652zTg2?4|,53;294~"5?o09;n5G2618m44c290/>:h513a8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d192>"2m;0=7psr}:m2gg<72-85}#:>l1>584H350?j7dj3:1(?9i:0aa?>{e9m=1<7=50;2x 71a2><0D?9<;h31`?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e9m21<7=50;2x 71a2><0D?9<;h31`?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e9m31<7=50;2x 71a2><0D?9<;h31`?6=,;=m6<2481!3b;3h0(8k=:c9~yx{428q]h54={%3g6?75m2\:>84={%7f7?763-?n>7?>;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?0d`83>6<729q/>:h5779K625428q]h54={%3g6?75l2\:>84={%7f7?d<,:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;32?!3b:3;:7psr}:m2gg<72-85}#:>l1;;5G2618m44c290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;32?!3b:3;:7psr}:k26`<72-85<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj8nh6=4<:183!40n3==7E<83:k26a<72-8i5Y13796~"2m:0:=6*:e3825>{zut1b=?k50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c428;0(8k=:038yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c3g`?6=<3:1N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d19f>"2m;0i7psr}:k26`<72-8h5Y13796~"2m:0i7);j2;`8yx{z3`;857>5$35e>44d3A8i?4>1:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm1e494?>=83:p(?9i:0ab?M40;2c:>i4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e285?!3b:3<0qpsr;h31a?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5f15694?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9=>0Z<<::3y'1`5=>2.>i?49;|~y>o6<>0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>469U573=:r.>i>49;%7f6?0428q]h54={%3g6?7302\:>84={%7f7?0<,290/>:h513a8R7>628qG>5=51zTg{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a5c?=8381<7>t$35e>g`<@;=87d?=c;29 71a288h76a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd5880;6?4?:1y'62`=jo1C>:=4i00`>5<#:>l1=?m4;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{56;294~"5?o0:>l5G2618 42c28>0e<:0yO6=5=9r\:nl4={%3g6?75k2\:>84={%7f7?0<,7}#9m81h<5Y13796~"2m:0=7);j2;48yx{z3`n96=4+26d9`7=Q:1;1>vB=8282S7ei38p(a4<,:h868k<;|Tg3?4|,a4<,:h868k<;|Tg3?4|,a4<,:h868k<;|Tg3?4|,0c43t.=;848;|T2`4<5s_n=6?u+5d39`7=#;k919h=4}%441?743twv7d<9f;29 71a2;428q]=oo52z&2`7<5>o1]=?;52z&6a6<6:2.>i?4>2:~yI4?:3;pZ?97:3yU`3<5s-?n=7<9f:&0f6<2m:1v(;9::008yx{P6:<09w);j3;31?!3b:3;97psrL3:1>4}Q:>21>vXk6;0x 0c62;<;7)=m3;7f7>{#>>?1=?5r}|9l5fd=83.9;k4>cc98yg7ai3:1?7>50z&13c<5?j1C>:=4i00g>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?784$4g1>3=zutw0e<:0yO6=5=9r\o4744b3_;9973=#=l81:6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi=kl50;394?6|,;=m6?69;I047>i6kk0;6)<8f;3`f>=zj8lo6=4;:183!40n3==7E<83:k26a<72-85<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?=e:T260<5s-?n?7?>;%7f6?763twvq6g>3883>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=>74V006>7}#=l91=<5+5d0954=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f4`b290>6=4?{%04b?113A82e83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:m1]=?;52z&6a67l4}|~?l75m3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e2825>"2m;0:=6sr}|9j56?=83.9;k4>2b9K62c<^;2:6P5080:wA<73;3xRa>=:r.:h?4>459U573=:r.>i>4>1:&6a7<692wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e9ol1<7850;2x 71a2><0D?9<;h31`?6=,;=m6<2481!3b;3;:7);j2;32?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e28a?!3b:3h0qpsr;h30=?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>389U573=:r.>i>4>1:&6a7<692wvqp5f15694?"5?o0:>n5G26g8R7>628qG>5=51zTg47{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a656=83<1<7>t$35e>20<@;=87d?=d;29 71a288h7[<71;3xH7>428q]h54={%3g6?75l2\:>84={%7f7?d<,:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;32?!3b:3;:7psr}:k27<<72-85<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?;4:T260<5s-?n?7?>;%7f6?763twvq6g>4683>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<>1]=?;52z&6a6<692.>i?4>1:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm1ga94?3=83:p(?9i:0ab?M40;2c:>i4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e285?!3b:3<0qpsr;h31a?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5f15694?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9=>0Z<<::3y'1`5=>2.>i?49;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?02<729q/>:h5779K625428q]h54={%3g6?75l2\:>84={%7f7?e<,:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;a8 0c52j1vqps4i01:>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528927[?=5;0x 0c42880(8k=:008yx{z3`;?87>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;370>P6:<09w);j3;31?!3b:3;97psr}:k202<72-85<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>37[?=5;0x 0c42880(8k=:008yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c0;3?6=:3:1N5?:1b=?m50;&13c<6:j10c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f7>d290=6=4?{%04b?75i2B9;>5+15f951=n9;i1<7*=7g826f=Q:1;1=vB=8282S7ei38p(2wvqp5fd083>!40n3n:7[<71;3xH7>428q]=oo52z&2`784={%7f7?0<,7}#9m81h?5Y13796~"2m:037);j2;:8yx{K:1818vX=7180Sb12;q/9h?5d39'7g5==l90q[j8:3y'1`7=9;i0(>l<:4g0?x"1?<0n7pX=7180Sb12;q/9h?5d39'7g5==l90q[j8:3y'1`7=9;i0(>l<:4g1?x"1?<0n7pX=7180Sb12;q/9h?5d39'7g5==l90q[j8:3y'1`7=l81/?o=55d18y!00=3=0q[?k1;0xRa0=:r.>i<4k2:&0f6<2m:1v(;9::018yx{P6:<09w);j3;31?!3b:3;97psrL3:1>4}Q:>21>vXk6;0x 0c62;{#>>?1=?5r}|9j636=83.9;k4=619U6=7=:rF94>4>{W3ae?4|,8n96?8?;W311?4|,445<51zT13=<5s_n=6?u+5d39636<,:h868k<;|&530<6:2wvq6a>cc83>!40n3;hn65rb3:;>5<4290;w)<8f;04g>N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d192>"2m;0=7psr}:k26`<72-8h5Y13796~"2m:0=7);j2;48yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c0;=?6=93:190c6<729q/>:h5779K625n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=j2.>i?4m;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?07<729q/>:h5bg9K6255$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c1:1?6=:3:1N5?:1b=?m50;&13c<6:j10c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f6?e29086=4?{%04b?40k2B9;>5f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=>2.>i?49;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4i;%7f6?`428q]h54={%3g6?7dj2\:>84={%7f7?0<,i3:1:7>50z&13c<6:h1C>:=4$06g>42P6:<09w);j3;48 0c52?1vqps4ie394?"5?o0o=6X=8082I4?;3;pZ784}|~?lb5290/>:h5d39U6=7=:rF94>4>{W3ae?4|,8n96i<4V006>7}#=l9146*:e38;?x{zD;2969uY26297~Pc>38p(8k>:e08 6d42{#>>?1i6sY26297~Pc>38p(8k>:e08 6d42{#>>?1i6sY26297~Pc>38p(8k>:e08 6d42l<:4g0?x"1?<0<7pX>d081Sb12;q/9h?5d39'7g5==l90q)885;30?x{z3`8=j7>5$35e>70a3_83=74}Q9kk1>v*>d3812c=Q9;?1>v*:e2826>"2m;0:>6sr}M0;6?7|^;=36?uYd781!3b938=j6*6<<4}|8m707290/>:h52728R7>62;qG>5=51zT2fd<5s-;o>7<90:T260<5s-?n?7?=;%7f6?753twv@?6=:0yU62>=:r\o:77073-9i?7;j3:'223=9;1vqp5`1b`94?"5?o0:oo54}c1;b?6=;3:190e<:0yO6=5=9r\o4744c3_;9973=#=l81:6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91:6*:e385?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`0=5<7280;6=u+26d96=0<@;=87b?lb;29 71a28ii76sm38094?2=83:p(?9i:648L7143`;9h7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;`8 0c52k1vqps4i00f>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?=e:T260<5s-?n?7?>;%7f6?763twvq6g>3883>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;01]=?;52z&6a6<692.>i?4>1:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm38194?3=83:p(?9i:648L7143`;9h7>5$35e>44d3A87l4}|~?l75m3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=e:T260<5s-?n?7?>;%7f6?763twvq6g>3883>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;01]=?;52z&6a6<692.>i?4>1:~yx=n9=>1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51568R4422;q/9h=5109'1`4=981vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj:3?6=4::183!40n3==7E<83:k26a<72-8i5Y13796~"2m:0:=6*:e3825>{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d1954=#=l81=<5r}|8m45>290/>:h513a8R7>628qG>5=51zTg47428q]h54={%3g6?73<2\:>84={%7f7?763-?n>7?>;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?01<729q/>:h51bc8L7143`;9h7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;48 0c52?1vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42?1/9h<56:~yx=n9:31<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<512;8R4422;q/9h=56:&6a7<13twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd41?0;6??50;2x 71a2><0D?9<;h31`?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4l;%7f6?eh5Y13796~"2m:0h7);j2;a8yx{z3`;857>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;30=>P6:<09w);j3;a8 0c52j1vqps4i067>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>?7[?=5;0x 0c42j1/9h<5c:~yx=n9==1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51558R4422;q/9h=5c:&6a74983>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<11]=?;52z&6a67m4}|~?l7313:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;9:T260<5s-?n?7m4$4g1>f=zutw0e<:n:18'62`=9;i0Z?6>:0yO6=5=9r\o4742f3_;997f=#=l81o6sr}|9j51d=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=9l4V006>7}#=l91o6*:e38`?x{zu2c:8n4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3820f=Q9;?1>v*:e28`?!3b:3i0qpsr;h31b?6=,;=m6<2481!3b;3i0(8k=:b9~yx{n5Y29395~J50:0:w[j7:3y'5a4=9:;0Z<<::3y'1`5=k2.>i?4l;|~y>o6;;0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>339U573=:r.>i>4l;%7f6?e5Y13796~"2m:0h7);j2;a8yx{z3`;887>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;300>P6:<09w);j3;a8 0c52j1vqps4i016>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5289>7[?=5;0x 0c42880(8k=:008yx{z3`;8:7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;302>P6:<09w);j3;31?!3b:3;97psr}:k272<72-85<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528937[?=5;0x 0c42880(8k=:008yx{z3`;8m7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;30e>P6:<09w);j3;48 0c52?1vqps4i01a>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5289i7[?=5;0x 0c42?1/9h<56:~yx=n9:i1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<512a8R4422;q/9h=56:&6a7<13twvq6g>3e83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;m1]=?;52z&6a6<13-?n>784}|~?l74m3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?3=zutw0e<=i:18'62`=9;i0Z?6>:0yO6=5=9r\o4745a3_;9973=#=l81:6sr}|9j516=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=9>4V006>7}#=l91:6*:e385?x{zu2c:8<4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38204=Q9;?1>v*:e285?!3b:3<0qpsr;h376?6=,;=m6<6X>2481!3b;3<0(8k=:79~yx{86=4+26d957e<^;2:62wvqp5f15794?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9=?0Z<<::3y'1`5=>2.>i?49;|~y>o6P5080:wA<73;3xRa>=:r.:h?4>479U573=:r.>i>49;%7f6?0428q]h54={%3g6?7dj2\:>84={%7f7?0<,?3:1><4?:1y'62`=??1C>:=4i00g>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?7m4$4g1>f=zutw0e<2481!3b;3i0(8k=:b9~yx{n5Y29395~J50:0:w[j7:3y'5a4=9=>0Z<<::3y'1`5=k2.>i?4l;|~y>o6<>0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>469U573=:r.>i>4l;%7f6?e428q]h54={%3g6?7302\:>84={%7f7?e<,290/>:h513a8R7>628qG>5=51zTg{zut1b=9o50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0951g<^88>6?u+5d19g>"2m;0h7psr}:k20g<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;37g>P6:<09w);j3;a8 0c52j1vqps4i00e>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?=f:T260<5s-?n?7m4$4g1>f=zutw0e<=?:18'62`=9;i0D?9j;W0;5?7|D;2862481!3b;3i0(8k=:b9~yx{428q]h54={%3g6?7492\:>84={%7f7?e<,:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;306>P6:<09w);j3;a8 0c52j1vqps4i010>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?<3:T260<5s-?n?7m4$4g1>f=zutw0e<=;:18'62`=9;i0Z?6>:0yO6=5=9r\o474533_;997f=#=l81o6sr}|9j563=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>;4V006>7}#=l91=?5+5d0957=zutw0e<=9:18'62`=9;i0Z?6>:0yO6=5=9r\o474513_;99744<,{M0;7?7|^m21>v*>d38272=Q9;?1>v*:e2826>"2m;0:>6sr}|9j56>=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>64V006>7}#=l91=?5+5d0957=zutw0e<=n:18'62`=9;i0Z?6>:0yO6=5=9r\o4745f3_;9973=#=l81:6sr}|9j56d=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>l4V006>7}#=l91:6*:e385?x{zu2c:?n4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3827f=Q9;?1>v*:e285?!3b:3<0qpsr;h30`?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5f12d94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:l0Z<<::3y'1`5=>2.>i?49;|~y>o6<90;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>419U573=:r.>i>49;%7f6?0428q]h54={%3g6?7392\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1b=9=50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09515<^88>6?u+5d192>"2m;0=7psr}:k200<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;372>P6:<09w);j3;48 0c52?1vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj:336=4<:183!40n3;hm6F=729j57b=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91:6*:e385?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e285?!3b:3<0qpsr;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{52;294~"5?o0ij6F=729j57e=83.9;k4>2b98k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn>o>:185>5<7s-8n5Y29395~J50:0:w[?ma;0x 4b5288h7[?=5;0x 0c42?1/9h<56:~yx=nl80;6)<8f;f2?S4?93;p@?6<:0yU5gg=:r.:h?4k1:T260<5s-?n?784$4g1>3=zutw0ei<50;&13cv*:e28;?!3b:320qpsC29090~P5?908w[j9:3y'1`7=l;1/?o=55d18ySb02;q/9h?513a8 6d424:e29~ 3122890qps4i34e>5<#:>l1>;h4V3:2>7}K:191=vX>b`81!7c:38=j6X>2481!3b;3;97);j2;31?x{zD;29638p(8k>:34e?!5e;3?n?6s+667957=zut1b>;>50;&13c<5>91]>5?52zN1<6<6s_;im77073_;99744<,7}#=l;1>;>4$2`0>0c43t.=;84>2:~y>i6kk0;6)<8f;3`f>=zj:3o6=4<:183!40n382b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91:6*:e385?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e285?!3b:3<0qpsr;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{51;294~"5?o094;5G2618k4ee290/>:h51b`8?xd41o0;6>4?:1y'62`=??1C>:=4i00g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c42k1/9h<5b:~yx=n9;o1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513g8R4422;q/9h=5b:&6a7cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd4k=0;6?4?:1y'62`=jo1C>:=4i00`>5<#:>l1=?m4;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{52;294~"5?o0ij6F=729j57e=83.9;k4>2b98k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn>j?:180>5<7s-82d83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:l1]=?;52z&6a67h4}|~?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo=lf;292?6=8r.9;k4>2`9K625<,8>o6<:4i00`>5<#:>l1=?m4V3:2>4}K:191=vX>b`81!7c:3;9o6X>2481!3b;3<0(8k=:79~yx{P5080:wA<73;3xR4df2;q/=i<5d09U573=:r.>i>49;%7f6?05?52zN1<6<6s_;im7a4<^88>6?u+5d19<>"2m;037psrL3:1>1}Q:>:1?vXk6;0x 0c62m80(>l<:4g0?xPc?38p(8k>:00`?!5e;3?n?6s+6679a>{Q:>:1?vXk6;0x 0c62m80(>l<:4g0?xPc?38p(8k>:00`?!5e;3?n>6s+6679a>{Q:>:1?vXk6;0x 0c62m80(>l<:4g0?xPc?38p(8k>:e38 6d426*:e3826>{zuE83>7?tV35;>7}Ql?09w);j1;05b>"4j:0>i>5r$756>44:3yO6=5=9r\:nl4={%3g6?4182\:>84={%7f7?753-?n>7?=;|~H7>528q]>:652zTg2?4|,53;294~"5?o09;n5G2618m44c290/>:h513a8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d192>"2m;0=7psr}:m2gg<72-85}#:>l1>584H350?j7dj3:1(?9i:0aa?>{e;j21<7;50;2x 71a2><0D?9<;h31`?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4>1:&6a7<692wvqp5f13g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=981/9h<5109~yx{P5080:wA<73;3xRa>=:r.:h?4>459U573=:r.>i>4>1:&6a7<692wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e;j31<7950;2x 71a2><0D?9<;h31`?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4>1:&6a7<692wvqp5f13g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=981/9h<5109~yx{428q]h54={%3g6?7412\:>84={%7f7?763-?n>7?>;|~y>o6<=0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e09512<^88>6?u+5d1954=#=l81=<5r}|8m420290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;373>P6:<09w);j3;32?!3b:3;:7psr}:k20=<72-8{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a7fg=83=1<7>t$35e>20<@;=87d?=d;29 71a288h7E<8e:T1<4<6sE83?7?tVe:96~"6l;0:>i5Y13796~"2m:0:=6*:e3825>{zut1b=?k50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c428;0(8k=:038yx{z3`;857>5$35e>44d3A8i?4>1:~yx=n9=>1<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o474233_;99747<,{M0;7?7|^m21>v*>d38202=Q9;?1>v*:e2825>"2m;0:=6sr}|9j51>=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=964V006>7}#=l91=<5+5d0954=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f6ee290<6=4?{%04b?113A82e83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91=<5+5d0954=zutw0e<2481!3b;3;:7);j2;32?x{zu2c:?44?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9:30Z<<::3y'1`5=981/9h<5109~yx{?6=4+26d957e<@;=n7[<71;3xH7>428q]h54={%3g6?73<2\:>84={%7f7?763-?n>7?>;|~y>o6<>0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e09511<^88>6?u+5d1954=#=l81=<5r}|8m42?290/>:h513a8R7>628qG>5=51zTg47428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<6kh1C>:=4i00g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c42?1/9h<56:~yx=n9;o1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513g8R4422;q/9h=56:&6a7<13twvq6g>3883>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;01]=?;52z&6a6<13-?n>784}|~?l73<3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;4:T260<5s-?n?784$4g1>3=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f6ec290>6=4?{%04b?113A82e83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91=?5+5d0957=zutw0e<:0yO6=5=9r\o4744b3_;99744<,7?<9:T260<5s-?n?7?=;%7f6?753twvq6g>4583>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<=1]=?;52z&6a6<6:2.>i?4>2:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm3gc94?4=83:p(?9i:cd8L7143`;9o7>5$35e>44d32e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`0bc<72?0;6=u+26d957g<@;=87)?;d;37?l75k3:1(?9i:00`?S4?93;p@?6<:0yU5gg=:r.:h?4>2b9U573=:r.>i>49;%7f6?0:18'62`=l81]>5?51zN1<6<6s_;im7a7<^88>6?u+5d192>"2m;0=7psr}:kg6?6=,;=m6i<4V3:2>7}K:191=vX>b`81!7c:3n97[?=5;0x 0c4211/9h<58:~yI4?:3>pZ?9?:2yU`3<5s-?n=7j=;%1a7?3b;2w]h:4={%7f5?75k2.8n>4:e29~ 3122l1vZ?9?:2yU`3<5s-?n=7j=;%1a7?3b;2w]h:4={%7f5?75k2.8n>4:e39~ 3122l1vZ?9?:2yU`3<5s-?n=7j=;%1a7?3b;2w]h:4={%7f5?b63-9i?7;j3:'223=?2w]=i?52zTg2?4|,0c43t.=;84>3:~y>o5>o0;6)<8f;05b>P50809wA<73;3xR4df2;q/=i<527d8R4422;q/9h=5139'1`4=9;1vqpB=8382S40038pZi852z&6a4<5>o1/?o=55d18y!00=3;97psr;h054?6=,;=m6?8?;W0;5?4|D;2866*:e3826>{zuE83>7?tV35;>7}Ql?09w);j1;054>"4j:0>i>5r$756>446<729q/>:h526a8L7143`;9h7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;48 0c52?1vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42?1/9h<56:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm3ga94?7=83:p(?9i:3:5?M40;2e:oo4?:%04b?7dj21vn>hk:180>5<7s-8o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4m;%7f6?d428q]h54={%3g6?75m2\:>84={%7f7?d<,:h51b`8R7>628qG>5=51zTg{zut1vn9>9:181>5<7s-8o6:j0;6)<8f;31g>=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm40294?0=83:p(?9i:00b?M40;2.:8i4>4:k26f<72-87}#9m81=?m4V006>7}#=l91:6*:e385?x{zu2co=7>5$35e>a7<^;2:62wvqp5fd383>!40n3n97[<71;0xH7>428q]=oo52z&2`784={%7f7?><,6*v*:e0826f=#;k919h=4}%441?c6*v*:e0826f=#;k919h<4}%441?c6*v*:e08g5>"4j:0>i>5r$756>2=z^8n:6?uYd781!3b93n97)=m3;7f7>{#>>?1=>5r}|9j63`=83.9;k4=6g9U6=7=:rF94>4>{W3ae?4|,8n96?8i;W311?4|,445<51zT13=<5s_n=6?u+5d3963`<,:h868k<;|&530<6:2wvq6g=6183>!40n38=<6X=8081I4?;3;pZi<4=619'7g5==l90q)885;31?x{z3f;hn7>5$35e>4ee32wi8=950;194?6|,;=m6?9l;I047>o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>49;%7f6?0428q]h54={%3g6?75m2\:>84={%7f7?0<,:h51b`8R7>628qG>5=51zTg{zut1vn9>7:182>5<7s-8;m7>53;294~"5?o0<:6F=729j57b=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91=<5+5d0954=zutw0e<:0yO6=5=9r\o4744b3_;99747<,7?lb:T260<5s-?n?784$4g1>3=zutw0qo:?b;297?6=8r.9;k486:J136=n9;n1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513f8R4422;q/9h=5109'1`4=981vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c428;0(8k=:038yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c63g?6=;3:1N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d1954=#=l81=<5r}|8m44b290/>:h513a8R7>628qG>5=51zTg47428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<0>2B9;>5f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=981/9h<5109~yx{428q]h54={%3g6?75m2\:>84={%7f7?763-?n>7?>;|~y>o6;00;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0956?<^88>6?u+5d1954=#=l81=<5r}|8k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn9>j:18;>5<7s-8o6:m0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d1954=#=l81=<5r}|8m44b290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;32?!3b:3;:7psr}:k27<<72-85<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?;4:T260<5s-?n?7?>;%7f6?763twvq6g>4683>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=994V006>7}#=l91=<5+5d0954=zutw0e<:7:18'62`=9;i0D?9j;W0;5?7|D;2862481!3b;3;:7);j2;32?x{zu2c:844?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3820<=Q9;?1>v*:e2825>"2m;0:=6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi8=750;494?6|,;=m6o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>49;%7f6?0428q]h54={%3g6?75m2\:>84={%7f7?0<,290/>:h513a8R7>628qG>5=51zTg{zut1b=9:50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09512<^88>6?u+5d192>"2m;0=7psr}:k202<72-85$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c671?6=:3:1N5?:1b=?m50;&13c<6:j10c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f12f290=6=4?{%04b?75i2B9;>5+15f951=n9;i1<7*=7g826f=Q:1;1=vB=8282S7ei38p(2wvqp5fd083>!40n3n:7[<71;3xH7>428q]=oo52z&2`784={%7f7?0<,7}#9m81h?5Y13796~"2m:037);j2;:8yx{K:1818vX=7180Sb12;q/9h?5d39'7g5==l90q[j8:3y'1`7=9;i0(>l<:4g0?x"1?<0n7pX=7180Sb12;q/9h?5d39'7g5==l90q[j8:3y'1`7=9;i0(>l<:4g1?x"1?<0n7pX=7180Sb12;q/9h?5d39'7g5==l90q[j8:3y'1`7=l81/?o=55d18y!00=3=0q[?k1;0xRa0=:r.>i<4k2:&0f6<2m:1v(;9::018yx{P6:<09w);j3;31?!3b:3;97psrL3:1>4}Q:>21>vXk6;0x 0c62;{#>>?1=?5r}|9j636=83.9;k4=619U6=7=:rF94>4>{W3ae?4|,8n96?8?;W311?4|,445<51zT13=<5s_n=6?u+5d39636<,:h868k<;|&530<6:2wvq6a>cc83>!40n3;hn65rb565>5<4290;w)<8f;04g>N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d192>"2m;0=7psr}:k26`<72-8h5Y13796~"2m:0=7);j2;48yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c673?6=93:190c6<729q/>:h5779K6252481!3b;3;:7);j2;32?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`70g<72;0;6=u+26d9fc=O:>90e<428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<6:h1C>:=4$06g>42P6:<09w);j3;48 0c52?1vqps4ie394?"5?o0o=6X=8082I4?;3;pZ784}|~?lb5290/>:h5d39U6=7=:rF94>4>{W3ae?4|,8n96i<4V006>7}#=l9146*:e38;?x{zD;2969uY26297~Pc>38p(8k>:e08 6d42{#>>?1i6sY26297~Pc>38p(8k>:e08 6d42{#>>?1i6sY26297~Pc>38p(8k>:e08 6d42l<:4g0?x"1?<0<7pX>d081Sb12;q/9h?5d39'7g5==l90q)885;30?x{z3`8=j7>5$35e>70a3_83=74}Q9kk1>v*>d3812c=Q9;?1>v*:e2826>"2m;0:>6sr}M0;6?7|^;=36?uYd781!3b938=j6*6<<4}|8m707290/>:h52728R7>62;qG>5=51zT2fd<5s-;o>7<90:T260<5s-?n?7?=;%7f6?753twv@?6=:0yU62>=:r\o:77073-9i?7;j3:'223=9;1vqp5`1b`94?"5?o0:oo54}c67g?6=;3:190e<:0yO6=5=9r\o4744c3_;9973=#=l81:6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91:6*:e385?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`70a<7280;6=u+26d96=0<@;=87b?lb;29 71a28ii76sm45g94?5=83:p(?9i:648L7143`;9h7>5$35e>44d3A87l4}|~?l75m3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e28a?!3b:3h0qpsr;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{>=7>52;294~"5?o0ij6F=729j57e=83.9;k4>2b98k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn9;9:185>5<7s-8n5Y29395~J50:0:w[?ma;0x 4b5288h7[?=5;0x 0c42?1/9h<56:~yx=nl80;6)<8f;f2?S4?93;p@?6<:0yU5gg=:r.:h?4k1:T260<5s-?n?784$4g1>3=zutw0ei<50;&13cv*:e28;?!3b:320qpsC29090~P5?908w[j9:3y'1`7=l;1/?o=55d18ySb02;q/9h?513a8 6d424:e29~ 3122890qps4i34e>5<#:>l1>;h4V3:2>7}K:191=vX>b`81!7c:38=j6X>2481!3b;3;97);j2;31?x{zD;29638p(8k>:34e?!5e;3?n?6s+667957=zut1b>;>50;&13c<5>91]>5?52zN1<6<6s_;im77073_;99744<,7}#=l;1>;>4$2`0>0c43t.=;84>2:~y>i6kk0;6)<8f;3`f>=zj=?96=4<:183!40n382b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91:6*:e385?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e285?!3b:3<0qpsr;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{>?7>51;294~"5?o094;5G2618k4ee290/>:h51b`8?xd3==0;6>4?:1y'62`=??1C>:=4i00g>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?7?>;%7f6?763twvq6g>2d83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:l1]=?;52z&6a6<692.>i?4>1:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm62294?4=83:p(?9i:cd8L7143`;9o7>5$35e>44d32e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`570<72?0;6=u+26d957g<@;=87)?;d;37?l75k3:1(?9i:00`?S4?93;p@?6<:0yU5gg=:r.:h?4>2b9U573=:r.>i>49;%7f6?0:18'62`=l81]>5?51zN1<6<6s_;im7a7<^88>6?u+5d192>"2m;0=7psr}:kg6?6=,;=m6i<4V3:2>7}K:191=vX>b`81!7c:3n97[?=5;0x 0c4211/9h<58:~yI4?:3>pZ?9?:2yU`3<5s-?n=7j=;%1a7?3b;2w]h:4={%7f5?75k2.8n>4:e29~ 3122l1vZ?9?:2yU`3<5s-?n=7j=;%1a7?3b;2w]h:4={%7f5?75k2.8n>4:e39~ 3122l1vZ?9?:2yU`3<5s-?n=7j=;%1a7?3b;2w]h:4={%7f5?b63-9i?7;j3:'223=?2w]=i?52zTg2?4|,0c43t.=;84>3:~y>o5>o0;6)<8f;05b>P50809wA<73;3xR4df2;q/=i<527d8R4422;q/9h=5139'1`4=9;1vqpB=8382S40038pZi852z&6a4<5>o1/?o=55d18y!00=3;97psr;h054?6=,;=m6?8?;W0;5?4|D;2866*:e3826>{zuE83>7?tV35;>7}Ql?09w);j1;054>"4j:0>i>5r$756>446<729q/>:h526a8L7143`;9h7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;48 0c52?1vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42?1/9h<56:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm62094?7=83:p(?9i:3:5?M40;2e:oo4?:%04b?7dj21vn;=<:180>5<7s-8o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4>1:&6a7<692wvqp5f13g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=981/9h<5109~yx{2wvqp5rb7;94?4=83:p(?9i:cd8L7143`;9o7>5$35e>44d32e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`5`?6=:3:1N5?:1b=?m50;&13c<6:j10c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f22=83<1<7>t$35e>44f3A84e820>o6:j0;6)<8f;31g>P5080:wA<73;3xR4df2;q/=i<513a8R4422;q/9h=56:&6a7<13twvq6gk1;29 71a2m;0Z?6>:0yO6=5=9r\:nl4={%3g6?b63_;9973=#=l81:6sr}|9j`7<72-86X>2481!3b;320(8k=:99~yxJ50;0?w[<80;1xRa0=:r.>i<4k2:&0f6<2m:1vZi952z&6a4<6:j1/?o=55d18y!00=3o0q[<80;1xRa0=:r.>i<4k2:&0f6<2m:1vZi952z&6a4<6:j1/?o=55d08y!00=3o0q[<80;1xRa0=:r.>i<4k2:&0f6<2m:1vZi952z&6a44:e29~ 3122>1vZ:3yU`3<5s-?n=7j=;%1a7?3b;2w/::;5129~yx=n:?l1<7*=7g812c=Q:1;1>vB=8282S7ei38p(l<:4g0?x"1?<0:>6sr}:k125<72-87}#9m81>;>4V006>7}#=l91=?5+5d0957=zutF94?4>{W04v*:e08125=#;k919h=4}%441?753twv7b?lb;29 71a28ii76sm6`83>6<729q/>:h526a8L7143`;9h7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;48 0c52?1vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42?1/9h<56:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm6c83>4<729q/>:h52948L7143f;hn7>5$35e>4ee32wi:n4?:283>5}#:>l1;;5G2618m44c290/>:h513a8R7>628qG>5=51zTg47428q]h54={%3g6?75m2\:>84={%7f7?763-?n>7?>;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?0:h5779K625428q]h54={%3g6?75l2\:>84={%7f7?e<,:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;a8 0c52j1vqps4i01:>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528927[?=5;0x 0c42j1/9h<5c:~yx=n9=>1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51568R4422;q/9h=5c:&6a74683>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<>1]=?;52z&6a67m4}|~?l7303:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;8:T260<5s-?n?7m4$4g1>f=zutw0e<:6:18'62`=9;i0Z?6>:0yO6=5=9r\o4742>3_;997f=#=l81o6sr}|9j51g=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=9o4V006>7}#=l91o6*:e38`?x{zu2c:8o4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3820g=Q9;?1>v*:e28`?!3b:3i0qpsr;h37g?6=,;=m6<2481!3b;3i0(8k=:b9~yx{n5Y29395~J50:0:w[j7:3y'5a4=9::0Z<<::3y'1`5=k2.>i?4l;|~y>o6;80;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>309U573=:r.>i>4l;%7f6?e428q]h54={%3g6?74:2\:>84={%7f7?e<,:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;307>P6:<09w);j3;a8 0c52j1vqps4i017>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?<4:T260<5s-?n?7m4$4g1>f=zutw0e<=::18'62`=9;i0Z?6>:0yO6=5=9r\o474523_;997f=#=l81o6sr}|9j560=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>84V006>7}#=l91=?5+5d0957=zutw0e<=8:18'62`=9;i0Z?6>:0yO6=5=9r\o474503_;99744<,{M0;7?7|^m21>v*>d3827==Q9;?1>v*:e2826>"2m;0:>6sr}|9j56g=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>o4V006>7}#=l91:6*:e385?x{zu2c:?o4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3827g=Q9;?1>v*:e285?!3b:3<0qpsr;h30g?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5f12g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:o0Z<<::3y'1`5=>2.>i?49;|~y>o6;o0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>3g9U573=:r.>i>49;%7f6?0428q]h54={%3g6?7382\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg;W311?4|,{zut1b=9<50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09514<^88>6?u+5d192>"2m;0=7psr}:k206<72-85Y13796~"2m:0=7);j2;48yx{z3`;?97>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;371>P6:<09w);j3;48 0c52?1vqps4i065>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>=7[?=5;0x 0c42?1/9h<56:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm6g83>77=83:p(?9i:648L7143`;9h7>5$35e>44d3A87m4}|~?l75m3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e28`?!3b:3i0qpsr;h30=?6=,;=m6<2481!3b;3i0(8k=:b9~yx{?6=4+26d957e<^;2:6n5Y29395~J50:0:w[j7:3y'5a4=9==0Z<<::3y'1`5=k2.>i?4l;|~y>o6<10;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>499U573=:r.>i>4l;%7f6?e428q]h54={%3g6?7312\:>84={%7f7?e<,:h513a8R7>628qG>5=51zTg{zut1b=9l50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0951d<^88>6?u+5d19g>"2m;0h7psr}:k20f<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31b>P6:<09w);j3;a8 0c52j1vqps4i013>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5289;7[?=5;0x 0c42j1/9h<5c:~yx=n9:;1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51238R4422;q/9h=5c:&6a73383>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;;1]=?;52z&6a67m4}|~?l74;3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d38276=Q9;?1>v*:e28`?!3b:3i0qpsr;h300?6=,;=m6<2481!3b;3i0(8k=:b9~yx{6=4+26d957e<^;2:62481!3b;3;97);j2;31?x{zu2c:?:4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9:=0Z<<::3y'1`5=9;1/9h<5139~yx{2481!3b;3<0(8k=:79~yx{2wvqp5f12a94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:i0Z<<::3y'1`5=>2.>i?49;|~y>o6;m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>3e9U573=:r.>i>49;%7f6?0428q]h54={%3g6?74m2\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1b=9>50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09516<^88>6?u+5d192>"2m;0=7psr}:k204<72-87>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;376>P6:<09w);j3;48 0c52?1vqps4i060>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>87[?=5;0x 0c42?1/9h<56:~yx=n9=?1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51578R4422;q/9h=56:&6a7<13twvq6g>4783>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6784}|~?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo9?:1815?6=8r.9;k486:J136=n9;n1<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4744c3_;997f=#=l81o6sr}|9j57c=83.9;k4>2b9K62c<^;2:6n5Y29395~J50:0:w[j7:3y'5a4=9:30Z<<::3y'1`5=k2.>i?4l;|~y>o6<=0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>459U573=:r.>i>4l;%7f6?e428q]h54={%3g6?73?2\:>84={%7f7?e<,:h513a8R7>628qG>5=51zTg{zut1b=9750;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0951?<^88>6?u+5d19g>"2m;0h7psr}:k20d<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;37f>P6:<09w);j3;a8 0c52j1vqps4i06`>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>h7[?=5;0x 0c42j1/9h<5c:~yx=n9;l1<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4744a3_;997f=#=l81o6sr}|9j566=83.9;k4>2b9K62c<^;2:6n5G26g8R7>628qG>5=51zTg;W311?4|,{zut1b=><50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b528997[?=5;0x 0c42j1/9h<5c:~yx=n9:91<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o474543_;997f=#=l81o6sr}|9j562=83.9;k4>2b9K62c<^;2:6n5Y29395~J50:0:w[j7:3y'5a4=9:?0Z<<::3y'1`5=k2.>i?4l;|~y>o6;?0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>379U573=:r.>i>4>2:&6a7<6:2wvqp5f12594?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:=0Z<<::3y'1`5=9;1/9h<5139~yx{428q]h54={%3g6?7402\:>84={%7f7?753-?n>7?=;|~y>o6;h0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>3`9U573=:r.>i>49;%7f6?0428q]h54={%3g6?74j2\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1b=>j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0956b<^88>6?u+5d192>"2m;0=7psr}:k27`<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;30b>P6:<09w);j3;48 0c52?1vqps4i063>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>;7[?=5;0x 0c42?1/9h<56:~yx=n9=;1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51538R4422;q/9h=56:&6a7<13twvq6g>4383>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<;1]=?;52z&6a6<13-?n>784}|~?l73;3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;3:T260<5s-?n?784$4g1>3=zutw0e<:::18'62`=9;i0Z?6>:0yO6=5=9r\o474223_;9973=#=l81:6sr}|9j510=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=984V006>7}#=l91:6*:e385?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`45?6=:80;6=u+26d933=O:>90e<2481!3b;3i0(8k=:b9~yx{428q]h54={%3g6?75m2\:>84={%7f7?e<,290/>:h513a8R7>628qG>5=51zTg{zut1b=9:50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09512<^88>6?u+5d19g>"2m;0h7psr}:k202<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;37<>P6:<09w);j3;a8 0c52j1vqps4i06:>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>27[?=5;0x 0c42j1/9h<5c:~yx=n9=k1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<515c8R4422;q/9h=5c:&6a74c83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<67m4}|~?l73k3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;c:T260<5s-?n?7m4$4g1>f=zutw0e<2481!3b;3i0(8k=:b9~yx{428q]h54={%3g6?7482\:>84={%7f7?e<,:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;305>P6:<09w);j3;a8 0c52j1vqps4i011>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?<2:T260<5s-?n?7m4$4g1>f=zutw0e<=<:18'62`=9;i0D?9j;W0;5?7|D;2862481!3b;3i0(8k=:b9~yx{428q]h54={%3g6?74<2\:>84={%7f7?e<,:h513a8R7>628qG>5=51zTg{zut1b=>850;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09560<^88>6?u+5d1957=#=l81=?5r}|8m450290/>:h513a8R7>628qG>5=51zTg446*:e3826>{zut1b=>o50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0956g<^88>6?u+5d192>"2m;0=7psr}:k27g<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;30g>P6:<09w);j3;48 0c52?1vqps4i01g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5289o7[?=5;0x 0c42?1/9h<56:~yx=n9:o1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<512g8R4422;q/9h=56:&6a7<13twvq6g>3g83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;o1]=?;52z&6a6<13-?n>784}|~?l7383:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;0:T260<5s-?n?784$4g1>3=zutw0e<:>:18'62`=9;i0Z?6>:0yO6=5=9r\o474263_;9973=#=l81:6sr}|9j514=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=9<4V006>7}#=l91:6*:e385?x{zu2c:8>4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38206=Q9;?1>v*:e285?!3b:3<0qpsr;h371?6=,;=m6<2481!3b;3<0(8k=:79~yx{=6=4+26d957e<^;2:62wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e?;0;684?:1y'62`=9jk0D?9<;h31`?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5f12;94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:30Z<<::3y'1`5=>2.>i?49;|~y>o6<=0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>459U573=:r.>i>49;%7f6?0428q]h54={%3g6?7dj2\:>84={%7f7?0<,7>50z&13c5f13a94?"5?o0:>n54o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj8396=49:183!40n3;9m6F=729'51b=9=1b=?m50;&13c<6:j1]>5?51zN1<6<6s_;im744d3_;9973=#=l81:6sr}|9j`4<72-8;W0;5?7|D;2862481!3b;3<0(8k=:79~yx{P50809wA<73;3xR4df2;q/=i<5d39U573=:r.>i>47;%7f6?>5<54zT135<4s_n=6?u+5d39`7=#;k919h=4}Wf4>7}#=l;1=?m4$2`0>0c43t.=;84j;|T135<4s_n=6?u+5d39`7=#;k919h=4}Wf4>7}#=l;1=?m4$2`0>0c53t.=;84j;|T135<4s_n=6?u+5d39`7=#;k919h=4}Wf4>7}#=l;1h<5+3c191`5v*:e08g6>"4j:0>i>5r$756>45:3yO6=5=9r\:nl4={%3g6?41n2\:>84={%7f7?753-?n>7?=;|~H7>528q]>:652zTg2?4|,vB=8282S7ei38p(l<:4g0?x"1?<0:>6sr}:m2gg<72-8d29086=4?{%04b?40k2B9;>5f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=>2.>i?49;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>49;%7f6?0428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<50?1C>:=4o0aa>5<#:>l1=nl4;|`290e<:0yO6=5=9r\o4744c3_;99747<,7?=e:T260<5s-?n?7?>;%7f6?763twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd6190;6>4?:1y'62`=??1C>:=4i00g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c428;0(8k=:038yx{z3`;9i7>5$35e>44d3A8i?4>1:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm19g94?5=83:p(?9i:0ab?M40;2c:>i4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e285?!3b:3<0qpsr;h31a?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5rb3:g>5<5290;w)<8f;`e?M40;2c:>n4?:%04b?75k21d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a6<4=83<1<7>t$35e>44f3A84e820>o6:j0;6)<8f;31g>P5080:wA<73;3xR4df2;q/=i<513a8R4422;q/9h=56:&6a7<13twvq6gk1;29 71a2m;0Z?6>:0yO6=5=9r\:nl4={%3g6?b63_;9973=#=l81:6sr}|9j`7<72-86X>2481!3b;320(8k=:99~yxJ50;0?w[<80;1xRa0=:r.>i<4k2:&0f6<2m:1vZi952z&6a4<6:j1/?o=55d18y!00=3o0q[<80;1xRa0=:r.>i<4k2:&0f6<2m:1vZi952z&6a4<6:j1/?o=55d08y!00=3o0q[<80;1xRa0=:r.>i<4k2:&0f6<2m:1vZi952z&6a44:e29~ 3122>1vZ:3yU`3<5s-?n=7j=;%1a7?3b;2w/::;5129~yx=n:?l1<7*=7g812c=Q:1;1>vB=8282S7ei38p(l<:4g0?x"1?<0:>6sr}:k125<72-87}#9m81>;>4V006>7}#=l91=?5+5d0957=zutF94?4>{W04v*:e08125=#;k919h=4}%441?753twv7b?lb;29 71a28ii76sm29g94?5=83:p(?9i:35`?M40;2c:>i4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e285?!3b:3<0qpsr;h31a?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5rb3:e>5<6290;w)<8f;0;2>N5?:1d=nl50;&13c<6kk10qo<60;297?6=8r.9;k486:J136=n9;n1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513f8R4422;q/9h=5109'1`4=981vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c428;0(8k=:038yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c104?6=:3:1N5?:1b=?m50;&13c<6:j10c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f652290=6=4?{%04b?75i2B9;>5+15f951=n9;i1<7*=7g826f=Q:1;1=vB=8282S7ei38p(2wvqp5fd083>!40n3n:7[<71;3xH7>428q]=oo52z&2`784={%7f7?0<,7}#9m81h?5Y13796~"2m:037);j2;:8yx{K:1818vX=7180Sb12;q/9h?5d39'7g5==l90q[j8:3y'1`7=9;i0(>l<:4g0?x"1?<0n7pX=7180Sb12;q/9h?5d39'7g5==l90q[j8:3y'1`7=9;i0(>l<:4g1?x"1?<0n7pX=7180Sb12;q/9h?5d39'7g5==l90q[j8:3y'1`7=l81/?o=55d18y!00=3=0q[?k1;0xRa0=:r.>i<4k2:&0f6<2m:1v(;9::018yx{P6:<09w);j3;31?!3b:3;97psrL3:1>4}Q:>21>vXk6;0x 0c62;{#>>?1=?5r}|9j636=83.9;k4=619U6=7=:rF94>4>{W3ae?4|,8n96?8?;W311?4|,445<51zT13=<5s_n=6?u+5d39636<,:h868k<;|&530<6:2wvq6a>cc83>!40n3;hn65rb212>5<4290;w)<8f;04g>N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d192>"2m;0=7psr}:k26`<72-8h5Y13796~"2m:0=7);j2;48yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c106?6=93:190c47=83:p(?9i:648L7143`;9h7>5$35e>44d3A8i?4>1:~yx=n9;o1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513g8R4422;q/9h=5109'1`4=981vqps4i01:>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528927[?=5;0x 0c428;0(8k=:038yx{z3`;?87>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;370>P6:<09w);j3;32?!3b:3;:7psr}:k202<72-8{zut1b=9650;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0951><^88>6?u+5d1954=#=l81=<5r}|8m42>290/>:h513a8R7>628qG>5=51zTg47428q]h54={%3g6?73i2\:>84={%7f7?763-?n>7?>;|~y>o6P5080:wA<73;3xRa>=:r.:h?4>4c9U573=:r.>i>4>1:&6a7<692wvqp5f15a94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9=i0Z<<::3y'1`5=981/9h<5109~yx{2481!3b;3;:7);j2;32?x{zu2c:?<4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38274=Q9;?1>v*:e285?!3b:3<0qpsr;h306?6=,;=m6<6X>2481!3b;3<0(8k=:79~yx{2wvqp5f12694?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:>0Z<<::3y'1`5=>2.>i?49;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?07<729q/>:h5bg9K6255$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c1b=?6=>3:190(<:k:068m44d290/>:h513a8R7>628qG>5=51zT2fd<5s-;o>7?=c:T260<5s-?n?784$4g1>3=zutw0ei?50;&13c{M0;7?7|^8hj6?u+1e09`4=Q9;?1>v*:e285?!3b:3<0qpsr;hf1>5<#:>l1h?5Y29396~J50:0:w[?ma;0x 4b52m80Z<<::3y'1`5=02.>i?47;|~H7>52=q]>:>53zTg2?4|,0c43t\o;744d3-9i?7;j3:'223=m2w]>:>53zTg2?4|,0c43t\o;744d3-9i?7;j2:'223=m2w]>:>53zTg2?4|,0c43t\o;7a7<,:h868k<;|&530<03t\:h<4={Wf5>7}#=l;1h?5+3c191`5i>4>2:&6a7<6:2wvqA<72;3xR71?2;q]h;4={%7f5?41n2.8n>4:e29~ 3122880qps4i343>5<#:>l1>;>4V3:2>7}K:191=vX>b`81!7c:38=<6X>2481!3b;3;97);j2;31?x{zD;29638p(8k>:343?!5e;3?n?6s+667957=zut1d=nl50;&13c<6kk10qo=n3;297?6=8r.9;k4=7b9K6252wvqp5f13g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=>2.>i?49;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?04<729q/>:h52948L7143f;hn7>5$35e>4ee32wi?l850;494?6|,;=m6:84H350?l75l3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e28a?!3b:3h0qpsr;h31a?6=,;=m6<2481!3b;3h0(8k=:c9~yx{428q]h54={%3g6?7412\:>84={%7f7?d<,:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;370>P6:<09w);j3;`8 0c52k1vqps4i064>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?;7:T260<5s-?n?7l4$4g1>g=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f6g0290=6=4?{%04b?113A82e83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91n6*:e38a?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e28a?!3b:3h0qpsr;h30=?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>389U573=:r.>i>4m;%7f6?d5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;373>P6:<09w);j3;32?!3b:3;:7psr}:m2gg<72-85}#:>l1=no4H350?l75l3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?784$4g1>3=zutw0e<:0yO6=5=9r\o4744b3_;9973=#=l81:6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi?o:50;094?6|,;=m6oh4H350?l75k3:1(?9i:00`?>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?07<729q/>:h5bg9K6255$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c1a`?6=>3:190(<:k:068m44d290/>:h513a8R7>628qG>5=51zT2fd<5s-;o>7?=c:T260<5s-?n?784$4g1>3=zutw0ei?50;&13c{M0;7?7|^8hj6?u+1e09`4=Q9;?1>v*:e285?!3b:3<0qpsr;hf1>5<#:>l1h?5Y29396~J50:0:w[?ma;0x 4b52m80Z<<::3y'1`5=02.>i?47;|~H7>52=q]>:>53zTg2?4|,0c43t\o;744d3-9i?7;j3:'223=m2w]>:>53zTg2?4|,0c43t\o;744d3-9i?7;j2:'223=m2w]>:>53zTg2?4|,0c43t\o;7a7<,:h868k<;|&530<03t\:h<4={Wf5>7}#=l;1h?5+3c191`5i>4>2:&6a7<6:2wvqA<72;3xR71?2;q]h;4={%7f5?41n2.8n>4:e29~ 3122880qps4i343>5<#:>l1>;>4V3:2>7}K:191=vX>b`81!7c:38=<6X>2481!3b;3;97);j2;31?x{zD;29638p(8k>:343?!5e;3?n?6s+667957=zut1d=nl50;&13c<6kk10qo=m5;297?6=8r.9;k4=7b9K6252wvqp5f13g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=>2.>i?49;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?04<729q/>:h52948L7143f;hn7>5$35e>4ee32wi?o950;194?6|,;=m6:84H350?l75l3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e28a?!3b:3h0qpsr;h31a?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4m;%7f6?d428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<0>2B9;>5f13f94?"5?o0:>n5G26g8R7>628qG>5=51zTg44428q]h54={%3g6?75m2\:>84={%7f7?753-?n>7?=;|~y>o6;00;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0956?<^88>6?u+5d1957=#=l81=?5r}|8k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn>ln:187>5<7s-8o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4>2:&6a7<6:2wvqp5f13g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=9;1/9h<5139~yx{428q]h54={%3g6?7412\:>84={%7f7?753-?n>7?=;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?06<729q/>:h51bc8L7143`;9h7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;48 0c52?1vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42?1/9h<56:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm44f94?4=83:p(?9i:cd8L7143`;9o7>5$35e>44d32e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`727<72?0;6=u+26d957g<@;=87)?;d;37?l75k3:1(?9i:00`?S4?93;p@?6<:0yU5gg=:r.:h?4>2b9U573=:r.>i>49;%7f6?0:18'62`=l81]>5?51zN1<6<6s_;im7a7<^88>6?u+5d192>"2m;0=7psr}:kg6?6=,;=m6i<4V3:2>7}K:191=vX>b`81!7c:3n97[?=5;0x 0c4211/9h<58:~yI4?:3>pZ?9?:2yU`3<5s-?n=7j=;%1a7?3b;2w]h:4={%7f5?75k2.8n>4:e29~ 3122l1vZ?9?:2yU`3<5s-?n=7j=;%1a7?3b;2w]h:4={%7f5?75k2.8n>4:e39~ 3122l1vZ?9?:2yU`3<5s-?n=7j=;%1a7?3b;2w]h:4={%7f5?b63-9i?7;j3:'223=?2w]=i?52zTg2?4|,0c43t.=;84>3:~y>o5>o0;6)<8f;05b>P50809wA<73;3xR4df2;q/=i<527d8R4422;q/9h=5139'1`4=9;1vqpB=8382S40038pZi852z&6a4<5>o1/?o=55d18y!00=3;97psr;h054?6=,;=m6?8?;W0;5?4|D;2866*:e3826>{zuE83>7?tV35;>7}Ql?09w);j1;054>"4j:0>i>5r$756>446<729q/>:h526a8L7143`;9h7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;48 0c52?1vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42?1/9h<56:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm44d94?7=83:p(?9i:3:5?M40;2e:oo4?:%04b?7dj21vn98?:180>5<7s-8o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4>1:&6a7<692wvqp5f13g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=981/9h<5109~yx{2wvqp5rb4:4>5<5290;w)<8f;`e?M40;2c:>n4?:%04b?75k21d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a1=d=8381<7>t$35e>g`<@;=87d?=c;29 71a288h76a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd2180;6;4?:1y'62`=9;k0D?9<;%37`?733`;9o7>5$35e>44d3_83=7?tL3:0>4}Q9kk1>v*>d3826f=Q9;?1>v*:e285?!3b:3<0qpsr;hf2>5<#:>l1h<5Y29395~J50:0:w[?ma;0x 4b52m;0Z<<::3y'1`5=>2.>i?49;|~y>oc:3:1(?9i:e08R7>62;qG>5=51zT2fd<5s-;o>7j=;W311?4|,{zuE83>7:tV353>6}Ql?09w);j1;f1?!5e;3?n?6sYd681!3b93;9o6*6h5rV353>6}Ql?09w);j1;f1?!5e;3?n?6sYd681!3b93;9o6*6h5rV353>6}Ql?09w);j1;f1?!5e;3?n?6sYd681!3b93n:7)=m3;7f7>{#>>?1;6sY1e396~Pc>38p(8k>:e08 6d42{zu2c9:k4?:%04b?41n2\94<4={M0;7?7|^8hj6?u+1e0963`<^88>6?u+5d1957=#=l81=?5r}|N1<7<6s_8<47i>4>2:&6a7<6:2wvqA<72;3xR71?2;q]h;4={%7f5?4182.8n>4:e29~ 3122880qps4o0aa>5<#:>l1=nl4;|`6<=<72:0;6=u+26d962e<@;=87d?=d;29 71a288h7[<71;3xH7>428q]h54={%3g6?75l2\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a1=?=83;1<7>t$35e>7>13A8cc83>!40n3;hn65rb4:b>5<4290;w)<8f;55?M40;2c:>i4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e2825>"2m;0:=6sr}|9j57c=83.9;k4>2b9K62c<^;2:62481!3b;3<0(8k=:79~yx{54;294~"5?o0<:6F=729j57b=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91o6*:e38`?x{zu2c:>h4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=k2.>i?4l;|~y>o6;00;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0956?<^88>6?u+5d1957=#=l81=?5r}|8k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn86k:186>5<7s-8o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4l;%7f6?eh5Y13796~"2m:0h7);j2;a8yx{z3`;857>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;30=>P6:<09w);j3;a8 0c52j1vqps4i067>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?;4:T260<5s-?n?7?=;%7f6?753twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd20l0;6;4?:1y'62`=??1C>:=4i00g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c42880(8k=:008yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;31?!3b:3;97psr}:k27<<72-86*:e3826>{zut1b=9:50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b528>?7[?=5;0x 0c42880(8k=:008yx{z3`;?;7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;373>P6:<09w);j3;31?!3b:3;97psr}:m2gg<72-84k4?:583>5}#:>l1=no4H350?l75l3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?784$4g1>3=zutw0e<:0yO6=5=9r\o4744b3_;9973=#=l81:6sr}|9j56?=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>74V006>7}#=l91:6*:e385?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`6a1<72;0;6=u+26d9fc=O:>90e<428q]h54={%3g6?7dj2\:>84={%7f7?0<,7>50z&13c5f13a94?"5?o0:>n54o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj5?51zN1<6<6s_;im744d3_;9973=#=l81:6sr}|9j`4<72-8;W0;5?7|D;2862481!3b;3<0(8k=:79~yx{P50809wA<73;3xR4df2;q/=i<5d39U573=:r.>i>47;%7f6?>5<54zT135<4s_n=6?u+5d39`7=#;k919h=4}Wf4>7}#=l;1=?m4$2`0>0c43t.=;84j;|T135<4s_n=6?u+5d39`7=#;k919h=4}Wf4>7}#=l;1=?m4$2`0>0c53t.=;84j;|T135<4s_n=6?u+5d39`7=#;k919h=4}Wf4>7}#=l;1h<5+3c191`5v*:e08g6>"4j:0>i>5r$756>45:3yO6=5=9r\:nl4={%3g6?41n2\:>84={%7f7?753-?n>7?=;|~H7>528q]>:652zTg2?4|,vB=8282S7ei38p(l<:4g0?x"1?<0:>6sr}:m2gg<72-85f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=>2.>i?49;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>49;%7f6?0428q]h54={%3g6?7dj2\:>84={%7f7?0<,3:1=7>50z&13c<50?1C>:=4o0aa>5<#:>l1=nl4;|`6a=<72:0;6=u+26d933=O:>90e<:0yO6=5=9r\o4744c3_;99747<,7?=e:T260<5s-?n?7?>;%7f6?763twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd2m00;6>4?:1y'62`=??1C>:=4i00g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c428;0(8k=:038yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;32?!3b:3;:7psr}:m2gg<72-8il4?:283>5}#:>l1;;5G2618m44c290/>:h513a8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d192>"2m;0=7psr}:m2gg<72-8i:4?:583>5}#:>l1=no4H350?l75l3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?784$4g1>3=zutw0e<:0yO6=5=9r\o4744b3_;9973=#=l81:6sr}|9j56?=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>74V006>7}#=l91:6*:e385?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`6af<728;1<7>t$35e>20<@;=87d?=d;29 71a288h7E<8e:T1<4<6sE83?7?tVe:96~"6l;0:>i5Y13796~"2m:0h7);j2;a8yx{z3`;9i7>5$35e>44d3A87m4}|~?l7413:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?<9:T260<5s-?n?7m4$4g1>f=zutw0e<:;:18'62`=9;i0Z?6>:0yO6=5=9r\o474233_;997f=#=l81o6sr}|9j511=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=994V006>7}#=l91o6*:e38`?x{zu2c:854?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3820==Q9;?1>v*:e28`?!3b:3i0qpsr;h37=?6=,;=m6<2481!3b;3i0(8k=:b9~yx{j6=4+26d957e<^;2:6n5Y29395~J50:0:w[j7:3y'5a4=9=h0Z<<::3y'1`5=k2.>i?4l;|~y>o6N5?l1]>5?51zN1<6<6s_n36?u+1e0951e<^88>6?u+5d19g>"2m;0h7psr}:k26c<72-85<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5289;7[?=5;0x 0c42880(8k=:008yx{z3`;8=7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;305>P6:<09w);j3;31?!3b:3;97psr}:k277<72-86*:e3826>{zut1b=>=50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09565<^88>6?u+5d192>"2m;0=7psr}:k271<72-85$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c7f`?6=980;6=u+26d933=O:>90e<2481!3b;3i0(8k=:b9~yx{428q]h54={%3g6?75m2\:>84={%7f7?e<,290/>:h513a8R7>628qG>5=51zTg{zut1b=9:50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09512<^88>6?u+5d19g>"2m;0h7psr}:k202<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;37<>P6:<09w);j3;a8 0c52j1vqps4i06:>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>27[?=5;0x 0c42j1/9h<5c:~yx=n9=k1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<515c8R4422;q/9h=5c:&6a74c83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<67m4}|~?l73k3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3820f=Q9;?1>v*:e28`?!3b:3i0qpsr;h31b?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2g9U573=:r.>i>4>2:&6a7<6:2wvqp5f12294?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9::0Z<<::3y'1`5=9;1/9h<5139~yx{6X>2481!3b;3;97);j2;31?x{zu2c:?>4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38276=Q9;?1>v*:e285?!3b:3<0qpsr;h300?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5rb4gf>5<693:1N5?:1b=?j50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c42j1/9h<5c:~yx=n9;o1<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4744b3_;997f=#=l81o6sr}|9j56?=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>74V006>7}#=l91o6*:e38`?x{zu2c:894?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38201=Q9;?1>v*:e28`?!3b:3i0qpsr;h373?6=,;=m6<2481!3b;3i0(8k=:b9~yx{36=4+26d957e<^;2:6n5Y29395~J50:0:w[j7:3y'5a4=9=30Z<<::3y'1`5=k2.>i?4l;|~y>o6P5080:wA<73;3xRa>=:r.:h?4>4`9U573=:r.>i>4l;%7f6?e428q]h54={%3g6?73j2\:>84={%7f7?e<,:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;37g>P6:<09w);j3;a8 0c52j1vqps4i00e>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?=f:T260<5s-?n?7?=;%7f6?753twvq6g>3183>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;91]=?;52z&6a6<6:2.>i?4>2:~yx=n9:;1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51238R4422;q/9h=5139'1`4=9;1vqps4i011>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528997[?=5;0x 0c42880(8k=:008yx{z3`;8?7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;307>P6:<09w);j3;48 0c52?1vqps4i017>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5289?7[?=5;0x 0c42?1/9h<56:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm5dd94?2=83:p(?9i:0ab?M40;2c:>i4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e285?!3b:3<0qpsr;h31a?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e>8k1<7<50;2x 71a2kl0D?9<;h31g?6=,;=m6<5}#:>l1=?o4H350?!73l3;?7d?=c;29 71a288h7[<71;3xH7>428q]=oo52z&2`7<6:j1]=?;52z&6a6<13-?n>784}|~?lb6290/>:h5d09U6=7=9rF94>4>{W3ae?4|,8n96i?4V006>7}#=l91:6*:e385?x{zu2co>7>5$35e>a4<^;2:6?uC29195~P6jh09w)?k2;f1?S75=38p(8k<:99'1`4=02wvqA<72;6xR7172:q]h;4={%7f5?b53-9i?7;j3:U`2<5s-?n=7?=c:&0f6<2m:1v(;9::d9~R7172:q]h;4={%7f5?b53-9i?7;j3:U`2<5s-?n=7?=c:&0f6<2m;1v(;9::d9~R7172:q]h;4={%7f5?b53-9i?7;j3:U`2<5s-?n=7j>;%1a7?3b;2w/::;57:U5a7=:r\o:7a4<,:h868k<;|&530<6;2wvq6g=6g83>!40n38=j6X=8081I4?;3;pZi<4=6g9'7g5==l90q)885;31?x{z3`8=<7>5$35e>7073_83=74}Q9kk1>v*>d38125=Q9;?1>v*:e2826>"2m;0:>6sr}M0;6?7|^;=36?uYd781!3b938=<6*6<<4}|8k4ee290/>:h51b`8?xd19k0;6>4?:1y'62`=:>i0D?9<;h31`?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e>8i1<7?50;2x 71a2;2=7E<83:m2gg<72-82e83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:m1]=?;52z&6a6<692.>i?4>1:~yx=n9;o1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513g8R4422;q/9h=5109'1`4=981vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj?8;6=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi:?750;494?6|,;=m6<"62b83>!40n3;9o6X=8082I4?;3;pZ2.>i?49;|~y>oc93:1(?9i:e38R7>628qG>5=51zT2fd<5s-;o>7j>;W311?4|,{zut1bh?4?:%04b?b53_83=74}Q9kk1>v*>d38g6>P6:<09w);j3;:8 0c5211vqpB=8387S40839pZi852z&6a44:e29~Ra1=:r.>i<4>2b9'7g5==l90q)885;g8yS40839pZi852z&6a44:e29~Ra1=:r.>i<4>2b9'7g5==l80q)885;g8yS40839pZi852z&6a44:e29~Ra1=:r.>i<4k1:&0f6<2m:1v(;9::69~R4b62;q]h;4={%7f5?b53-9i?7;j3:'223=9:1vqp5f27d94?"5?o09:k5Y29396~J50:0:w[?ma;0x 4b52;{zu2c9:=4?:%04b?4182\94<4={M0;7?7|^8hj6?u+1e09636<^88>6?u+5d1957=#=l81=?5r}|N1<7<6s_8<47{e>;;1<7=50;2x 71a2;=h7E<83:k26a<72-8i5Y13796~"2m:0=7);j2;48yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;48 0c52?1vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj?896=4>:183!40n383:6F=729l5fd=83.9;k4>cc98yg05<3:1?7>50z&13c<0>2B9;>5f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=981/9h<5109~yx{2481!3b;3<0(8k=:79~yx{53;294~"5?o0<:6F=729j57b=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91=<5+5d0954=zutw0e<:0yO6=5=9r\o4744b3_;99747<,7?lb:T260<5s-?n?784$4g1>3=zutw0qo8=6;293?6=8r.9;k486:J136=n9;n1<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4744c3_;997g=#=l81n6sr}|9j57c=83.9;k4>2b9K62c<^;2:6n5G26g8R7>628qG>5=51zTg{zut1b=9:50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09512<^88>6?u+5d1954=#=l81=<5r}|8m420290/>:h513a8R7>628qG>5=51zTg47428q]h54={%3g6?7302\:>84={%7f7?763-?n>7?>;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?02<729q/>:h5779K625428q]h54={%3g6?75l2\:>84={%7f7?d<,:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;`8 0c52k1vqps4i01:>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528927[?=5;0x 0c428;0(8k=:038yx{z3`;?87>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;370>P6:<09w);j3;32?!3b:3;:7psr}:k202<72-8{zut1b=9650;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0951><^88>6?u+5d1954=#=l81=<5r}|8k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn;<<:186>5<7s-82d83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:l1]=?;52z&6a6<13-?n>784}|~?l7413:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?<9:T260<5s-?n?784$4g1>3=zutw0e<:;:18'62`=9;i0Z?6>:0yO6=5=9r\o474233_;9973=#=l81:6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi:?o50;094?6|,;=m6oh4H350?l75k3:1(?9i:00`?>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?03<729q/>:h513c8L7143-;?h7?;;h31g?6=,;=m6<n5Y13796~"2m:0=7);j2;48yx{z3`n:6=4+26d9`4=Q:1;1=vB=8282S7ei38p(:3yO6=5=9r\:nl4={%3g6?b53_;997==#=l8146sr}M0;6?2|^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;31g>"4j:0>i>5r$756>`=z^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;31g>"4j:0>i?5r$756>`=z^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;f2?!5e;3?n?6s+66793>{Q9m;1>vXk6;0x 0c62m80(>l<:4g0?x"1?<0:?6sr}:k12c<72-87}#9m81>;h4V006>7}#=l91=?5+5d0957=zutF94?4>{W04v*:e0812c=#;k919h=4}%441?753twv7d<90;29 71a2;<;7[<71;0xH7>428q]=oo52z&2`7<5>91]=?;52z&6a6<6:2.>i?4>2:~yI4?:3;pZ?97:3yU`3<5s-?n=7<90:&0f6<2m:1v(;9::008yx{o4?:283>5}#:>l1>:m4H350?l75l3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?784$4g1>3=zutw0e<:0yO6=5=9r\o4744b3_;9973=#=l81:6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi:?m50;394?6|,;=m6?69;I047>i6kk0;6)<8f;3`f>=zj?8o6=4<:183!40n3==7E<83:k26a<72-8i5Y13796~"2m:0:=6*:e3825>{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d1954=#=l81=<5r}|8k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn?>::181>5<7s-8o6:j0;6)<8f;31g>=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm21`94?4=83:p(?9i:cd8L7143`;9o7>5$35e>44d32e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e28b?!3b:3k0qpsr;|`143<72:0;6=u+26d962e<@;=87d?=d;29 71a288h7[<71;3xH7>428q]h54={%3g6?75l2\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a651=83;1<7>t$35e>7>13A8cc83>!40n3;hn65rb32:>5<4290;w)<8f;55?M40;2c:>i4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e28a?!3b:3h0qpsr;h31a?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4>1:&6a7<692wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e:9k1<7=50;2x 71a2><0D?9<;h31`?6=,;=m6<2481!3b;3;:7);j2;32?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e28a?!3b:3h0qpsr;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{53;294~"5?o0:ol5G2618m44c290/>:h513a8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d192>"2m;0=7psr}:m2gg<72-85}#:>l1nk5G2618m44d290/>:h513a8?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo<>2;296?6=8r.9;k4mf:J136=n9;i1<7*=7g826f=5<4290;w)<8f;04g>N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d192>"2m;0=7psr}:k26`<72-8h5Y13796~"2m:0=7);j2;48yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c03a?6=93:190c6<729q/>:h5779K625n5G26g8R7>628qG>5=51zTg47428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<0>2B9;>5f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=981/9h<5109~yx{2.>i?49;|~y>{e:9l1<7=50;2x 71a28ij7E<83:k26a<72-8i5Y13796~"2m:0=7);j2;48yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;48 0c52?1vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj;8=6=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi>?m50;094?6|,;=m6oh4H350?l75k3:1(?9i:00`?>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?07<729q/>:h5bg9K6255$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;c8 0c52h1vqps4}c013?6=;3:190e<:0yO6=5=9r\o4744c3_;9973=#=l81:6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91:6*:e385?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`16=<7280;6=u+26d96=0<@;=87b?lb;29 71a28ii76sm23c94?5=83:p(?9i:648L7143`;9h7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;`8 0c52k1vqps4i00f>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?=e:T260<5s-?n?7?>;%7f6?763twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd5:k0;6>4?:1y'62`=??1C>:=4i00g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c428;0(8k=:038yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;`8 0c52k1vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj;826=4<:183!40n3;hm6F=729j57b=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91:6*:e385?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e285?!3b:3<0qpsr;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{51083>5}#:>l1;;5G2618m44c290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;31?!3b:3;97psr}:k26`<72-8h5Y13796~"2m:0:>6*:e3826>{zut1b=>750;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0956?<^88>6?u+5d1957=#=l81=?5r}|8m423290/>:h513a8R7>628qG>5=51zTg44428q]h54={%3g6?73?2\:>84={%7f7?753-?n>7?=;|~y>o6<10;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>499U573=:r.>i>4>2:&6a7<6:2wvqp5f15;94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9=30Z<<::3y'1`5=9;1/9h<5139~yx{j6=4+26d957e<^;2:62481!3b;3;97);j2;31?x{zu2c:8n4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3820f=Q9;?1>v*:e2826>"2m;0:>6sr}|9j57`=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?h4V006>7}#=l91=?5+5d0957=zutw0e<=?:18'62`=9;i0Z?6>:0yO6=5=9r\o474573_;99744<,7?<1:T260<5s-?n?7?=;%7f6?753twvq6g>3383>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;;1]=?;52z&6a6<13-?n>784}|~?l74;3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?<3:T260<5s-?n?784$4g1>3=zutw0e<=;:18'62`=9;i0Z?6>:0yO6=5=9r\o474533_;9973=#=l81:6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi>?h50;094?6|,;=m6oh4H350?l75k3:1(?9i:00`?>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?07<729q/>:h5bg9K6255$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;c8 0c52h1vqps4}c004?6=;3:190e<:0yO6=5=9r\o4744c3_;9973=#=l81:6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91:6*:e385?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`174<7280;6=u+26d96=0<@;=87b?lb;29 71a28ii76sm22194?5=83:p(?9i:648L7143`;9h7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;`8 0c52k1vqps4i00f>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?=e:T260<5s-?n?7?>;%7f6?763twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd5;=0;6>4?:1y'62`=??1C>:=4i00g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c428;0(8k=:038yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;`8 0c52k1vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj;996=4<:183!40n3;hm6F=729j57b=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91:6*:e385?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e285?!3b:3<0qpsr;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{52;294~"5?o0ij6F=729j57e=83.9;k4>2b98k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn?=l:181>5<7s-8o6:j0;6)<8f;31g>=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=5a:&6a7i4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e285?!3b:3<0qpsr;h31a?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5rb31;>5<6290;w)<8f;0;2>N5?:1d=nl50;&13c<6kk10qo<2d83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91=<5+5d0954=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f75e29086=4?{%04b?113A82e83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:m1]=?;52z&6a6<692.>i?4>1:~yx=n9;o1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513g8R4422;q/9h=5b:&6a7cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd5;00;6>4?:1y'62`=9jk0D?9<;h31`?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e::n1<7<50;2x 71a2kl0D?9<;h31g?6=,;=m6<4?:383>5}#:>l1nk5G2618m44d290/>:h513a8?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?7o4$4g1>d=zutw0qo<2wvqp5f13g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=>2.>i?49;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?04<729q/>:h52948L7143f;hn7>5$35e>4ee32wi>9?50;194?6|,;=m6:84H350?l75l3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?7l4$4g1>g=zutw0e<2481!3b;3;:7);j2;32?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`107<72:0;6=u+26d933=O:>90e<:0yO6=5=9r\o4744c3_;99747<,7?=e:T260<5s-?n?7l4$4g1>g=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f72729086=4?{%04b?7di2B9;>5f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=>2.>i?49;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>49;%7f6?0428q]h54={%3g6?7dj2\:>84={%7f7?0<,7>50z&13c5f13a94?"5?o0:>n54o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj;>j6=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91m6*:e38b?x{zu2wi>9;50;194?6|,;=m6?9l;I047>o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>49;%7f6?0428q]h54={%3g6?75m2\:>84={%7f7?0<,:h51b`8R7>628qG>5=51zTg{zut1vn?:9:182>5<7s-853;294~"5?o0<:6F=729j57b=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91n6*:e38a?x{zu2c:>h4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=981/9h<5109~yx{2wvqp5rb36:>5<4290;w)<8f;55?M40;2c:>i4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e2825>"2m;0:=6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91n6*:e38a?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`102<72:0;6=u+26d95fg<@;=87d?=d;29 71a288h7[<71;3xH7>428q]h54={%3g6?75l2\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a61d=8381<7>t$35e>g`<@;=87d?=c;29 71a288h76a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd5=80;6?4?:1y'62`=jo1C>:=4i00`>5<#:>l1=?m4;n3`f?6=,;=m62481!3b;3k0(8k=:`9~yx{53;294~"5?o09;n5G2618m44c290/>:h513a8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d192>"2m;0=7psr}:m2gg<72-85}#:>l1>584H350?j7dj3:1(?9i:0aa?>{e:=l1<7=50;2x 71a2><0D?9<;h31`?6=,;=m6<2481!3b;3h0(8k=:c9~yx{428q]h54={%3g6?75m2\:>84={%7f7?763-?n>7?>;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?06<729q/>:h5779K6252481!3b;3h0(8k=:c9~yx{2wvqp5rb36f>5<4290;w)<8f;3`e>N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d192>"2m;0=7psr}:k26`<72-8h5Y13796~"2m:0=7);j2;48yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c066?6=:3:1N5?:1b=?m50;&13c<6:j10c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f73?29096=4?{%04b?da3A82b83>!40n3;9o65`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=i2.>i?4n;|~y>{e:<91<7=50;2x 71a2;=h7E<83:k26a<72-8i5Y13796~"2m:0=7);j2;48yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;48 0c52?1vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj;??6=4>:183!40n383:6F=729l5fd=83.9;k4>cc98yg42>3:1?7>50z&13c<0>2B9;>5f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=j2.>i?4m;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4>1:&6a7<692wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e:<=1<7=50;2x 71a2><0D?9<;h31`?6=,;=m6<2481!3b;3h0(8k=:c9~yx{428q]h54={%3g6?75m2\:>84={%7f7?763-?n>7?>;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?06<729q/>:h51bc8L7143`;9h7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;48 0c52?1vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42?1/9h<56:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm24;94?4=83:p(?9i:cd8L7143`;9o7>5$35e>44d32e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`11c<72;0;6=u+26d9fc=O:>90e<428q]h54={%3g6?7dj2\:>84={%7f7?g<,50z&13c<5?j1C>:=4i00g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c42?1/9h<56:~yx=n9;o1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513g8R4422;q/9h=56:&6a7<13twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd5=k0;6<4?:1y'62`=:1<0D?9<;n3`f?6=,;=m6t$35e>20<@;=87d?=d;29 71a288h7[<71;3xH7>428q]h54={%3g6?75l2\:>84={%7f7?d<,:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;32?!3b:3;:7psr}:m2gg<72-85}#:>l1;;5G2618m44c290/>:h513a8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d1954=#=l81=<5r}|8k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn?;l:180>5<7s-82d83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:l1]=?;52z&6a6<13-?n>784}|~?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo;:8;296?6=8r.9;k4mf:J136=n9;i1<7*=7g826f=2wvqp5rb47`>5<5290;w)<8f;`e?M40;2c:>n4?:%04b?75k21d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d19e>"2m;0j7psr}:a10?=8391<7>t$35e>71d3A82e83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91:6*:e385?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e285?!3b:3<0qpsr;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{m7>51;294~"5?o094;5G2618k4ee290/>:h51b`8?xd2=k0;6>4?:1y'62`=??1C>:=4i00g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c42k1/9h<5b:~yx=n9;o1<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4744b3_;997g=#=l81n6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi9l<50;094?6|,;=m6oh4H350?l75k3:1(?9i:00`?>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?07<729q/>:h5bg9K6255$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c7bg?6=:3:1N5?:1b=?m50;&13c<6:j10c:0yO6=5=9r\o474ee3_;997d=#=l81m6sr}|9~f0g429086=4?{%04b?40k2B9;>5f13f94?"5?o0:>n5G26g8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d192>"2m;0=7psr}:m2gg<72-8m94?:083>5}#:>l1>584H350?j7dj3:1(?9i:0aa?>{e=h?1<7=50;2x 71a2><0D?9<;h31`?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4m;%7f6?dh5Y13796~"2m:0i7);j2;`8yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c7b3?6=<3:1N5?:1b=?j50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c42880(8k=:008yx{z3`;9i7>5$35e>44d3A8i?4>2:~yx=n9:31<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4745>3_;99744<,7?lb:T260<5s-?n?784$4g1>3=zutw0qo;n8;291?6=8r.9;k486:J136=n9;n1<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4744c3_;997f=#=l81o6sr}|9j57c=83.9;k4>2b9K62c<^;2:6n5G26g8R7>628qG>5=51zTg44428q]h54={%3g6?73<2\:>84={%7f7?753-?n>7?=;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?00<729q/>:h5779K625n5G26g8R7>628qG>5=51zTg446*:e3826>{zut1b=9:50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09512<^88>6?u+5d1957=#=l81=?5r}|8k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn8on:184>5<7s-8o6:m0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d19g>"2m;0h7psr}:k26`<72-83883>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=>74V006>7}#=l91o6*:e38`?x{zu2c:894?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9=>0Z<<::3y'1`5=9;1/9h<5139~yx{<6=4+26d957e<^;2:62481!3b;3;97);j2;31?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`6eg<72<0;6=u+26d95fg<@;=87d?=d;29 71a288h7[<71;3xH7>428q]h54={%3g6?75l2\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1b=>750;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0956?<^88>6?u+5d192>"2m;0=7psr}:k201<72-85$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c6a5?6=:3:1N5?:1b=?m50;&13c<6:j10c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f1d229096=4?{%04b?da3A82b83>!40n3;9o65`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=i2.>i?4n;|~y>{ei5Y13796~"2m:0=7);j2;48yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;48 0c52?1vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj=h86=4>:183!40n383:6F=729l5fd=83.9;k4>cc98yg2e<3:1?7>50z&13c<0>2B9;>5f13f94?"5?o0:>n5G26g8R7>628qG>5=51zTg47h5Y13796~"2m:0:=6*:e3825>{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a15>=8381<7>t$35e>g`<@;=87d?=c;29 71a288h76a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd28m0;6;4?:1y'62`=9;k0D?9<;%37`?733`;9o7>5$35e>44d3_83=7?tL3:0>4}Q9kk1>v*>d3826f=Q9;?1>v*:e285?!3b:3<0qpsr;hf2>5<#:>l1h<5Y29395~J50:0:w[?ma;0x 4b52m;0Z<<::3y'1`5=>2.>i?49;|~y>oc:3:1(?9i:e08R7>62;qG>5=51zT2fd<5s-;o>7j=;W311?4|,{zuE83>7:tV353>6}Ql?09w);j1;f1?!5e;3?n?6sYd681!3b93;9o6*6h5rV353>6}Ql?09w);j1;f1?!5e;3?n?6sYd681!3b93;9o6*6h5rV353>6}Ql?09w);j1;f1?!5e;3?n?6sYd681!3b93n:7)=m3;7f7>{#>>?1;6sY1e396~Pc>38p(8k>:e08 6d42{zu2c9:k4?:%04b?41n2\94<4={M0;7?7|^8hj6?u+1e0963`<^88>6?u+5d1957=#=l81=?5r}|N1<7<6s_8<47i>4>2:&6a7<6:2wvqA<72;3xR71?2;q]h;4={%7f5?4182.8n>4:e29~ 3122880qps4o0aa>5<#:>l1=nl4;|`64<<72:0;6=u+26d962e<@;=87d?=d;29 71a288h7[<71;3xH7>428q]h54={%3g6?75l2\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a15g=83;1<7>t$35e>7>13A8cc83>!40n3;hn65rb42a>5<4290;w)<8f;55?M40;2c:>i4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=981/9h<5109~yx{428q]h54={%3g6?75m2\:>84={%7f7?763-?n>7?>;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?07<729q/>:h5bg9K6255$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c72f?6=>3:190(<:k:068m44d290/>:h513a8R7>628qG>5=51zT2fd<5s-;o>7?=c:T260<5s-?n?784$4g1>3=zutw0ei?50;&13c{M0;7?7|^8hj6?u+1e09`4=Q9;?1>v*:e285?!3b:3<0qpsr;hf1>5<#:>l1h?5Y29396~J50:0:w[?ma;0x 4b52m80Z<<::3y'1`5=02.>i?47;|~H7>52=q]>:>53zTg2?4|,0c43t\o;744d3-9i?7;j3:'223=m2w]>:>53zTg2?4|,0c43t\o;744d3-9i?7;j2:'223=m2w]>:>53zTg2?4|,0c43t\o;7a7<,:h868k<;|&530<03t\:h<4={Wf5>7}#=l;1h?5+3c191`5i>4>2:&6a7<6:2wvqA<72;3xR71?2;q]h;4={%7f5?41n2.8n>4:e29~ 3122880qps4i343>5<#:>l1>;>4V3:2>7}K:191=vX>b`81!7c:38=<6X>2481!3b;3;97);j2;31?x{zD;29638p(8k>:343?!5e;3?n?6s+667957=zut1d=nl50;&13c<6kk10qo;>1;297?6=8r.9;k4=7b9K6252wvqp5f13g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=>2.>i?49;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?04<729q/>:h52948L7143f;hn7>5$35e>4ee32wi9<:50;194?6|,;=m6:84H350?l75l3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?784$4g1>3=zutw0e<:0yO6=5=9r\o4744b3_;9973=#=l81:6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi9<;50;194?6|,;=m6:84H350?l75l3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e2825>"2m;0:=6sr}|9j57c=83.9;k4>2b9K62c<^;2:62481!3b;3<0(8k=:79~yx{53;294~"5?o0<:6F=729j57b=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91n6*:e38a?x{zu2c:>h4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=981/9h<5109~yx{2wvqp5rb434>5<4290;w)<8f;55?M40;2c:>i4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e28a?!3b:3h0qpsr;h31a?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4>1:&6a7<692wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e=821<7:50;2x 71a2><0D?9<;h31`?6=,;=m6<2481!3b;3h0(8k=:c9~yx{n5G26g8R7>628qG>5=51zTg47428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<0>2B9;>5f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=j2.>i?4m;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4m;%7f6?d{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a145=83=1<7>t$35e>4ef3A82e83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:m1]=?;52z&6a6<13-?n>784}|~?l75m3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=e:T260<5s-?n?784$4g1>3=zutw0e<=6:18'62`=9;i0Z?6>:0yO6=5=9r\o4745>3_;9973=#=l81:6sr}|9j512=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=9:4V006>7}#=l91:6*:e385?x{zu2c:8:4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38202=Q9;?1>v*:e285?!3b:3<0qpsr;h372481!3b;3<0(8k=:79~yx{2wvqp5rb402>5<5290;w)<8f;`e?M40;2c:>n4?:%04b?75k21d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a173=8381<7>t$35e>g`<@;=87d?=c;29 71a288h76a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd2:k0;6;4?:1y'62`=9;k0D?9<;%37`?733`;9o7>5$35e>44d3_83=7?tL3:0>4}Q9kk1>v*>d3826f=Q9;?1>v*:e285?!3b:3<0qpsr;hf2>5<#:>l1h<5Y29395~J50:0:w[?ma;0x 4b52m;0Z<<::3y'1`5=>2.>i?49;|~y>oc:3:1(?9i:e08R7>62;qG>5=51zT2fd<5s-;o>7j=;W311?4|,{zuE83>7:tV353>6}Ql?09w);j1;f1?!5e;3?n?6sYd681!3b93;9o6*6h5rV353>6}Ql?09w);j1;f1?!5e;3?n?6sYd681!3b93;9o6*6h5rV353>6}Ql?09w);j1;f1?!5e;3?n?6sYd681!3b93n:7)=m3;7f7>{#>>?1;6sY1e396~Pc>38p(8k>:e08 6d42{zu2c9:k4?:%04b?41n2\94<4={M0;7?7|^8hj6?u+1e0963`<^88>6?u+5d1957=#=l81=?5r}|N1<7<6s_8<47i>4>2:&6a7<6:2wvqA<72;3xR71?2;q]h;4={%7f5?4182.8n>4:e29~ 3122880qps4o0aa>5<#:>l1=nl4;|`667<72:0;6=u+26d962e<@;=87d?=d;29 71a288h7E<8e:T1<4<6sE83?7?tVe:96~"6l;0:>i5Y13796~"2m:0=7);j2;48yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;48 0c52?1vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj<886=4>:183!40n383:6F=729l5fd=83.9;k4>cc98yg35<3:1?7>50z&13c<0>2B9;>5f13f94?"5?o0:>n5G26g8R7>628qG>5=51zTg47h5Y13796~"2m:0:=6*:e3825>{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a170=83>1<7>t$35e>20<@;=87d?=d;29 71a288h7E<8e:T1<4<6sE83?7?tVe:96~"6l;0:>i5Y13796~"2m:0:>6*:e3826>{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d1957=#=l81=?5r}|8m45>290/>:h513a8R7>628qG>5=51zTg44428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<0>2B9;>5f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=9;1/9h<5139~yx{428q]h54={%3g6?75m2\:>84={%7f7?753-?n>7?=;|~y>o6;00;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0956?<^88>6?u+5d1957=#=l81=?5r}|8k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn8<7:185>5<7s-8o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4>2:&6a7<6:2wvqp5f13g94?"5?o0:>n5G26g8R7>628qG>5=51zTg446*:e3826>{zut1b=9:50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b528>?7[?=5;0x 0c42880(8k=:008yx{z3`;?;7>5$35e>44d3A81]=?;52z&6a6<6:2.>i?4>2:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm53;94?2=83:p(?9i:0ab?M40;2c:>i4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e285?!3b:3<0qpsr;h31a?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e9kl1<7<50;2x 71a2kl0D?9<;h31g?6=,;=m6<5}#:>l1nk5G2618m44d290/>:h513a8?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo?l1;296?6=8r.9;k4mf:J136=n9;i1<7*=7g826f=2wvqp5rb0a6>5<5290;w)<8f;`e?M40;2c:>n4?:%04b?75k21d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d19e>"2m;0j7psr}:a5f4=8391<7>t$35e>71d3A82e83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:m1]=?;52z&6a6<13-?n>784}|~?l75m3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=e:T260<5s-?n?784$4g1>3=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f4e4290:6=4?{%04b?4?>2B9;>5`1b`94?"5?o0:oo54}c3`0?6=93:190cc783>6<729q/>:h5779K625428q]h54={%3g6?75l2\:>84={%7f7??<,:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;;8 0c5201vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj;;86=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi><950;094?6|,;=m6oh4H350?l75k3:1(?9i:00`?>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?07<729q/>:h5bg9K6255$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;c8 0c52h1vqps4}c020?6=;3:190e<:0yO6=5=9r\o4744c3_;9973=#=l81:6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91:6*:e385?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`150<7280;6=u+26d96=0<@;=87b?lb;29 71a28ii76sm20494?5=83:p(?9i:648L7143`;9h7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;48 0c52?1vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42?1/9h<56:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm20:94?0=83:p(?9i:648L7143`;9h7>5$35e>44d3A87m4}|~?l75m3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e28`?!3b:3i0qpsr;h30=?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>389U573=:r.>i>4l;%7f6?e6*:e3826>{zut1b=9950;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09511<^88>6?u+5d1957=#=l81=?5r}|8k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn??6:185>5<7s-8o6:m0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d19g>"2m;0h7psr}:k26`<72-83883>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=>74V006>7}#=l91o6*:e38`?x{zu2c:894?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9=>0Z<<::3y'1`5=9;1/9h<5139~yx{<6=4+26d957e<^;2:62481!3b;3<0(8k=:79~yx{56;294~"5?o0<:6F=729j57b=83.9;k4>2b9K62c<^;2:6n5G26g8R7>628qG>5=51zTg{zut1b=>750;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b528927[?=5;0x 0c42j1/9h<5c:~yx=n9=>1<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o474233_;99744<,7?;7:T260<5s-?n?7?=;%7f6?753twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd59k0;6;4?:1y'62`=??1C>:=4i00g>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?7m4$4g1>f=zutw0e<2481!3b;3i0(8k=:b9~yx{428q]h54={%3g6?7412\:>84={%7f7?e<,:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;370>P6:<09w);j3;31?!3b:3;97psr}:k202<72-86*:e3826>{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a64e=83=1<7>t$35e>20<@;=87d?=d;29 71a288h7E<8e:T1<4<6sE83?7?tVe:96~"6l;0:>i5Y13796~"2m:0h7);j2;a8yx{z3`;9i7>5$35e>44d3A87m4}|~?l7413:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3827<=Q9;?1>v*:e28`?!3b:3i0qpsr;h370?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>459U573=:r.>i>4>2:&6a7<6:2wvqp5f15594?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9==0Z<<::3y'1`5=9;1/9h<5139~yx{36=4+26d957e<^;2:62481!3b;3<0(8k=:79~yx{56;294~"5?o0:ol5G2618m44c290/>:h513a8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d192>"2m;0=7psr}:k27<<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;370>P6:<09w);j3;48 0c52?1vqps4i064>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528><7[?=5;0x 0c42?1/9h<56:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm4c:94?4=83:p(?9i:cd8L7143`;9o7>5$35e>44d32e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`41?6=:3:1N5?:1b=?m50;&13c<6:j10c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f1da290=6=4?{%04b?75i2B9;>5+15f950=n9;i1<7*=7g826f=Q:1;1=vB=8282S7ei38p(2wvqp5fd083>!40n3n:7[<71;3xH7>428q]=oo52z&2`784={%7f7?0<,7}#9m81h?5Y13796~"2m:037);j2;:8yx{K:1818vX=7180Sb12;q/9h?5d39'7g5==l90q[j8:3y'1`7=9;i0(>l<:4g0?x"1?<0n7pX=7180Sb12;q/9h?5d39'7g5==l90q[j8:3y'1`7=9;i0(>l<:4g1?x"1?<0n7pX=7180Sb12;q/9h?5d39'7g5==l90q[j8:3y'1`7=l81/?o=55d18y!00=3=0q[?k1;0xRa0=:r.>i<4k2:&0f6<2m:1v(;9::018yx{P6:<09w);j3;31?!3b:3;97psrL3:1>4}Q:>21>vXk6;0x 0c62;{#>>?1=?5r}|9j636=83.9;k4=619U6=7=:rF94>4>{W3ae?4|,8n96?8?;W311?4|,445<51zT13=<5s_n=6?u+5d39636<,:h868k<;|&530<6:2wvq6a>cc83>!40n3;hn65rb5`:>5<4290;w)<8f;04g>N5?:1b=?j50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c42?1/9h<56:~yx=n9;o1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513g8R4422;q/9h=56:&6a7<13twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd3jh0;6<4?:1y'62`=:1<0D?9<;n3`f?6=,;=m6t$35e>20<@;=87d?=d;29 71a288h7[<71;3xH7>428q]h54={%3g6?75l2\:>84={%7f7?763-?n>7?>;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4>1:&6a7<692wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e<0D?9<;h31`?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4>1:&6a7<692wvqp5f13g94?"5?o0:>n5G26g8R7>628qG>5=51zTg47428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<6kh1C>:=4i00g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c42?1/9h<56:~yx=n9;o1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513g8R4422;q/9h=56:&6a7<13twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd3l=0;6?4?:1y'62`=jo1C>:=4i00`>5<#:>l1=?m4;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi8i650;094?6|,;=m6oh4H350?l75k3:1(?9i:00`?>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?03<729q/>:h513c8L7143-;?h7?:;h31g?6=,;=m6<n5Y13796~"2m:0=7);j2;48yx{z3`n:6=4+26d9`4=Q:1;1=vB=8282S7ei38p(:3yO6=5=9r\:nl4={%3g6?b53_;997==#=l8146sr}M0;6?2|^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;31g>"4j:0>i>5r$756>`=z^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;31g>"4j:0>i?5r$756>`=z^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;f2?!5e;3?n?6s+66793>{Q9m;1>vXk6;0x 0c62m80(>l<:4g0?x"1?<0:?6sr}:k12c<72-87}#9m81>;h4V006>7}#=l91=?5+5d0957=zutF94?4>{W04v*:e0812c=#;k919h=4}%441?753twv7d<90;29 71a2;<;7[<71;0xH7>428q]=oo52z&2`7<5>91]=?;52z&6a6<6:2.>i?4>2:~yI4?:3;pZ?97:3yU`3<5s-?n=7<90:&0f6<2m:1v(;9::008yx{5}#:>l1>:m4H350?l75l3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e285?!3b:3<0qpsr;h31a?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5rb5f5>5<6290;w)<8f;0;2>N5?:1d=nl50;&13c<6kk10qo:k7;297?6=8r.9;k486:J136=n9;n1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513f8R4422;q/9h=56:&6a7<13twvq6g>2d83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:l1]=?;52z&6a6<13-?n>784}|~?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo:k9;290?6=8r.9;k486:J136=n9;n1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513f8R4422;q/9h=5c:&6a72d83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91o6*:e38`?x{zu2c:?44?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9:30Z<<::3y'1`5=9;1/9h<5139~yx{2wvqp5rb5fb>5<3290;w)<8f;55?M40;2c:>i4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e28`?!3b:3i0qpsr;h31a?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4l;%7f6?e6*:e3826>{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a0ad=83>1<7>t$35e>20<@;=87d?=d;29 71a288h7[<71;3xH7>428q]h54={%3g6?75l2\:>84={%7f7?e<,:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;a8 0c52j1vqps4i01:>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?<9:T260<5s-?n?7?=;%7f6?753twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd3lj0;694?:1y'62`=??1C>:=4i00g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c42j1/9h<5c:~yx=n9;o1<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4744b3_;997f=#=l81o6sr}|9j56?=83.9;k4>2b9K62c<^;2:62481!3b;3<0(8k=:79~yx{oh7>54;294~"5?o0<:6F=729j57b=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91o6*:e38`?x{zu2c:>h4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=k2.>i?4l;|~y>o6;00;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0956?<^88>6?u+5d1957=#=l81=?5r}|8k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn9jj:185>5<7s-82d83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:l1]=?;52z&6a6<13-?n>784}|~?l7413:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?<9:T260<5s-?n?784$4g1>3=zutw0e<:;:18'62`=9;i0Z?6>:0yO6=5=9r\o474233_;9973=#=l81:6sr}|9j511=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=994V006>7}#=l91:6*:e385?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`66`<72;0;6=u+26d9fc=O:>90e<428q]h54={%3g6?7dj2\:>84={%7f7?0<,7>50z&13c5f13a94?"5?o0:>n54o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42h1/9h<5a:~yx=zj<8m6=4<:183!40n382b9K62c<^;2:62wvqp5f13g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=>2.>i?49;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?04<729q/>:h52948L7143f;hn7>5$35e>4ee32wi9><50;694?6|,;=m6:84H350?l75l3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?7l4$4g1>g=zutw0e<:0yO6=5=9r\o4744b3_;997g=#=l81n6sr}|9j56?=83.9;k4>2b9K62c<^;2:62.>i?49;|~y>{e=:91<7;50;2x 71a2><0D?9<;h31`?6=,;=m6<2481!3b;3h0(8k=:c9~yx{n5Y29395~J50:0:w[j7:3y'5a4=9:30Z<<::3y'1`5=j2.>i?4m;|~y>o6<=0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e09512<^88>6?u+5d19f>"2m;0i7psr}:m2gg<72-8?94?:483>5}#:>l1;;5G2618m44c290/>:h513a8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d19f>"2m;0i7psr}:k27<<72-85$35e>44d3A87l4}|~?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo;<1;290?6=8r.9;k4>c`9K6252wvqp5f13g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=>2.>i?49;|~y>o6;00;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>389U573=:r.>i>49;%7f6?0428q]h54={%3g6?7dj2\:>84={%7f7?0<,7>50z&13c5f13a94?"5?o0:>n54o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj<9n6=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91m6*:e38b?x{zu2wi9>750;194?6|,;=m6?9l;I047>o6:m0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d192>"2m;0=7psr}:k26`<72-8h5Y13796~"2m:0=7);j2;48yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c70e?6=93:190c6<729q/>:h5779K625428q]h54={%3g6?75l2\:>84={%7f7?763-?n>7?>;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4>1:&6a7<692wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e=:n1<7;50;2x 71a2><0D?9<;h31`?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4>1:&6a7<692wvqp5f13g94?"5?o0:>n5G26g8R7>628qG>5=51zTg47{zut1b=9:50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09512<^88>6?u+5d1954=#=l81=<5r}|8k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn8=m:180>5<7s-82d83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:l1]=?;52z&6a6<13-?n>784}|~?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo;:f;296?6=8r.9;k4mf:J136=n9;i1<7*=7g826f=2wvqp5rb440>5<5290;w)<8f;`e?M40;2c:>n4?:%04b?75k21d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a13>=8381<7>t$35e>g`<@;=87d?=c;29 71a288h76a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a67o4}|~?xd2>90;6>4?:1y'62`=:>i0D?9<;h31`?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>49;%7f6?0428q]h54={%3g6?75m2\:>84={%7f7?0<,:h51b`8R7>628qG>5=51zTg{zut1vn88>:182>5<7s-87>55;294~"5?o0<:6F=729j57b=83.9;k4>2b9K62c<^;2:6n5G26g8R7>628qG>5=51zTg{zut1b=>750;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0956?<^88>6?u+5d1954=#=l81=<5r}|8m423290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;370>P6:<09w);j3;`8 0c52k1vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj<i5Y13796~"2m:0:>6*:e3826>{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d1957=#=l81=?5r}|8k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn88::180>5<7s-8o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4>2:&6a7<6:2wvqp5f13g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=9;1/9h<5139~yx{2wvqp5rb445>5<1290;w)<8f;55?M40;2c:>i4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=k2.>i?4l;|~y>o6:l0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d19g>"2m;0h7psr}:k27<<72-84583>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<=1]=?;52z&6a6<6:2.>i?4>2:~yx=n9==1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51558R4422;q/9h=5139'1`4=9;1vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj<<<6=4;:183!40n3;hm6F=729j57b=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91:6*:e385?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e285?!3b:3<0qpsr;h30=?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5rb44a>5<5290;w)<8f;`e?M40;2c:>n4?:%04b?75k21d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a13`=8381<7>t$35e>g`<@;=87d?=c;29 71a288h76a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd2??0;6?4?:1y'62`=jo1C>:=4i00`>5<#:>l1=?m4;n3`f?6=,;=m62481!3b;3k0(8k=:`9~yx{53;294~"5?o09;n5G2618m44c290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;48 0c52?1vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42?1/9h<56:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm57f94?7=83:p(?9i:3:5?M40;2e:oo4?:%04b?7dj21vn88j:180>5<7s-8o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>49;%7f6?0428q]h54={%3g6?75m2\:>84={%7f7?0<,:h51b`8R7>628qG>5=51zTg{zut1vn89?:18:>5<7s-8o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4l;%7f6?e428q]h54={%3g6?75m2\:>84={%7f7?e<,290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;30=>P6:<09w);j3;a8 0c52j1vqps4i067>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?;4:T260<5s-?n?7m4$4g1>f=zutw0e<:8:18'62`=9;i0D?9j;W0;5?7|D;2862481!3b;3;97);j2;31?x{zu2c:854?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9=20Z<<::3y'1`5=9;1/9h<5139~yx{26=4+26d957e<@;=n7[<71;3xH7>428q]h54={%3g6?7312\:>84={%7f7?753-?n>7?=;|~y>o6P5080:wA<73;3xRa>=:r.:h?4>4`9U573=:r.>i>4>2:&6a7<6:2wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e=>;1<7750;2x 71a2><0D?9<;h31`?6=,;=m6<2481!3b;3i0(8k=:b9~yx{n5G26g8R7>628qG>5=51zTg{zut1b=9:50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b528>?7[?=5;0x 0c42880(8k=:008yx{z3`;?;7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;373>P6:<09w);j3;31?!3b:3;97psr}:k20=<72-85<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?;9:T260<5s-?n?7?=;%7f6?753twvq6g>4`83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6i?4>2:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm56094??=83:p(?9i:648L7143`;9h7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;a8 0c52j1vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42j1/9h<5c:~yx=n9:31<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4745>3_;997f=#=l81o6sr}|9j512=83.9;k4>2b9K62c<^;2:6n5G26g8R7>628qG>5=51zTg446*:e3826>{zut1b=9750;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b528>27[?=5;0x 0c42880(8k=:008yx{z3`;?m7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;37e>P6:<09w);j3;31?!3b:3;97psr}:m2gg<72-8;>4?:883>5}#:>l1;;5G2618m44c290/>:h513a8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d19g>"2m;0h7psr}:k27<<72-84583>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=9:4V006>7}#=l91=?5+5d0957=zutw0e<:8:18'62`=9;i0Z?6>:0yO6=5=9r\o474203_;99744<,{M0;7?7|^m21>v*>d3820==Q9;?1>v*:e2826>"2m;0:>6sr}|9j51?=83.9;k4>2b9K62c<^;2:62481!3b;3;97);j2;31?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`631<7200;6=u+26d933=O:>90e<:0yO6=5=9r\o4744c3_;997f=#=l81o6sr}|9j57c=83.9;k4>2b9K62c<^;2:6n5G26g8R7>628qG>5=51zTg{zut1b=9:50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b528>?7[?=5;0x 0c42880(8k=:008yx{z3`;?;7>5$35e>44d3A81]=?;52z&6a6<6:2.>i?4>2:~yx=n9=21<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4742?3_;99744<,7?;9:T260<5s-?n?7?=;%7f6?753twvq6g>4`83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=9o4V006>7}#=l91=?5+5d0957=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f012290=6=4?{%04b?7di2B9;>5f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=>2.>i?49;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>49;%7f6?0428q]h54={%3g6?7412\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1b=9950;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09511<^88>6?u+5d192>"2m;0=7psr}:m2gg<72-8594?:383>5}#:>l1nk5G2618m44d290/>:h513a8?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo;6a;296?6=8r.9;k4mf:J136=n9;i1<7*=7g826f=2wvqp5rb4;e>5<5290;w)<8f;`e?M40;2c:>n4?:%04b?75k21d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d19e>"2m;0j7psr}:a1<3=8391<7>t$35e>71d3A82e83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91:6*:e385?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e285?!3b:3<0qpsr;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{51;294~"5?o094;5G2618k4ee290/>:h51b`8?xd2110;684?:1y'62`=??1C>:=4i00g>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?7l4$4g1>g=zutw0e<:0yO6=5=9r\o4744b3_;997g=#=l81n6sr}|9j56?=83.9;k4>2b9K62c<^;2:6n5G26g8R7>628qG>5=51zTg{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a1t$35e>20<@;=87d?=d;29 71a288h7E<8e:T1<4<6sE83?7?tVe:96~"6l;0:>i5Y13796~"2m:0i7);j2;`8yx{z3`;9i7>5$35e>44d3A87l4}|~?l7413:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3827<=Q9;?1>v*:e28a?!3b:3h0qpsr;h370?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>459U573=:r.>i>4>1:&6a7<692wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e=0=1<7=50;2x 71a28ij7E<83:k26a<72-8i5Y13796~"2m:0=7);j2;48yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;48 0c52?1vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj<3i6=4=1;294~"5?o0<:6F=729j57b=83.9;k4>2b9K62c<^;2:6n5G26g8R7>628qG>5=51zTg{zut1b=>750;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0956?<^88>6?u+5d19g>"2m;0h7psr}:k201<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;373>P6:<09w);j3;a8 0c52j1vqps4i06;>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>37[?=5;0x 0c42j1/9h<5c:~yx=n9=31<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<515;8R4422;q/9h=5c:&6a74`83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<67m4}|~?l73j3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;b:T260<5s-?n?7m4$4g1>f=zutw0e<:l:18'62`=9;i0Z?6>:0yO6=5=9r\o4742d3_;997f=#=l81o6sr}|9j57`=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?h4V006>7}#=l91o6*:e38`?x{zu2c:?=4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38275=Q9;?1>v*:e28`?!3b:3i0qpsr;h305?6=,;=m6<2481!3b;3i0(8k=:b9~yx{n5G26g8R7>628qG>5=51zTg{zut1b=>:50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09562<^88>6?u+5d19g>"2m;0h7psr}:k270<72-86*:e3826>{zut1b=>850;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09560<^88>6?u+5d1957=#=l81=?5r}|8m450290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;303>P6:<09w);j3;31?!3b:3;97psr}:k27=<72-86*:e3826>{zut1b=>o50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0956g<^88>6?u+5d192>"2m;0=7psr}:k27g<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;30g>P6:<09w);j3;48 0c52?1vqps4i01g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5289o7[?=5;0x 0c42?1/9h<56:~yx=n9:o1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<512g8R4422;q/9h=56:&6a7<13twvq6g>3g83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;o1]=?;52z&6a6<13-?n>784}|~?l7383:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;0:T260<5s-?n?784$4g1>3=zutw0e<:>:18'62`=9;i0Z?6>:0yO6=5=9r\o474263_;9973=#=l81:6sr}|9j514=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=9<4V006>7}#=l91:6*:e385?x{zu2c:8>4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38206=Q9;?1>v*:e285?!3b:3<0qpsr;h371?6=,;=m6<2481!3b;3<0(8k=:79~yx{=6=4+26d957e<^;2:62wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e=0i1<7<>:183!40n3==7E<83:k26a<72-82d83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91o6*:e38`?x{zu2c:?44?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3827<=Q9;?1>v*:e28`?!3b:3i0qpsr;h370?6=,;=m6<2481!3b;3i0(8k=:b9~yx{<6=4+26d957e<^;2:6n5Y29395~J50:0:w[j7:3y'5a4=9=20Z<<::3y'1`5=k2.>i?4l;|~y>o6<00;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>489U573=:r.>i>4l;%7f6?e428q]h54={%3g6?73i2\:>84={%7f7?e<,:h513a8R7>628qG>5=51zTg{zut1b=9m50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0951e<^88>6?u+5d19g>"2m;0h7psr}:k26c<72-83183>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=>>4V006>7}#=l91o6*:e38`?x{zu2c:?<4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9:;0Z<<::3y'1`5=k2.>i?4l;|~y>o6;;0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e09564<^88>6?u+5d19g>"2m;0h7psr}:k276<72-83583>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;=1]=?;52z&6a67m4}|~?l74=3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?<5:T260<5s-?n?7?=;%7f6?753twvq6g>3783>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;?1]=?;52z&6a6<6:2.>i?4>2:~yx=n9:=1<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o474503_;99744<,7?<8:T260<5s-?n?7?=;%7f6?753twvq6g>3`83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;h1]=?;52z&6a6<13-?n>784}|~?l74j3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?3=zutw0e<=l:18'62`=9;i0Z?6>:0yO6=5=9r\o4745d3_;9973=#=l81:6sr}|9j56b=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>j4V006>7}#=l91:6*:e385?x{zu2c:?h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3827`=Q9;?1>v*:e285?!3b:3<0qpsr;h30b?6=,;=m6<2481!3b;3<0(8k=:79~yx{;6=4+26d957e<^;2:62wvqp5f15394?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9=;0Z<<::3y'1`5=>2.>i?49;|~y>o6<;0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>439U573=:r.>i>49;%7f6?0428q]h54={%3g6?73;2\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1b=9850;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09510<^88>6?u+5d192>"2m;0=7psr}:m2gg<72-85i4?:3394?6|,;=m6:84H350?l75l3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e28`?!3b:3i0qpsr;h31a?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4l;%7f6?e428q]h54={%3g6?7412\:>84={%7f7?e<,:h513a8R7>628qG>5=51zTg{zut1b=9950;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09511<^88>6?u+5d19g>"2m;0h7psr}:k20=<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;37=>P6:<09w);j3;a8 0c52j1vqps4i06b>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>j7[?=5;0x 0c42j1/9h<5c:~yx=n9=h1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<515`8R4422;q/9h=5c:&6a74b83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<67m4}|~?l75n3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826c=Q9;?1>v*:e28`?!3b:3i0qpsr;h304?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>319U573=:r.>i>4l;%7f6?e7>5$35e>44d3A87m4}|~?l74;3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d38276=Q9;?1>v*:e28`?!3b:3i0qpsr;h300?6=,;=m6<2481!3b;3i0(8k=:b9~yx{6=4+26d957e<^;2:62481!3b;3;97);j2;31?x{zu2c:?:4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9:=0Z<<::3y'1`5=9;1/9h<5139~yx{2481!3b;3<0(8k=:79~yx{2wvqp5f12a94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:i0Z<<::3y'1`5=>2.>i?49;|~y>o6;m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>3e9U573=:r.>i>49;%7f6?0428q]h54={%3g6?74m2\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1b=9>50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09516<^88>6?u+5d192>"2m;0=7psr}:k204<72-87>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;376>P6:<09w);j3;48 0c52?1vqps4i060>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>87[?=5;0x 0c42?1/9h<56:~yx=n9=?1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51578R4422;q/9h=56:&6a7<13twvq6g>4783>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6784}|~?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo;6e;290?6=8r.9;k4>c`9K6252wvqp5f13g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=>2.>i?49;|~y>o6;00;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>389U573=:r.>i>49;%7f6?0428q]h54={%3g6?7dj2\:>84={%7f7?0<,2b83>!40n3;9o65`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{ekk0;6?4?:1y'62`=jo1C>:=4i00`>5<#:>l1=?m4;n3`f?6=,;=m62481!3b;3k0(8k=:`9~yx{2b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91:6*:e385?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e285?!3b:3<0qpsr;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{:183!40n383:6F=729l5fd=83.9;k4>cc98ygef290:6=4?{%04b?7d12B9;>5`1b`94?"5?o0:oo54}c175?6=:3:1N5?:1b=?m50;&13c<6:j10c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f62729096=4?{%04b?da3A82b83>!40n3;9o65`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=i2.>i?4n;|~y>{e;:<1<7=50;2x 71a2;=h7E<83:k26a<72-8i5Y13796~"2m:0=7);j2;48yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;48 0c52?1vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj:9<6=4>:183!40n383:6F=729l5fd=83.9;k4>cc98yg5413:1?7>50z&13c<0>2B9;>5f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=>2.>i?49;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>49;%7f6?0428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<0>2B9;>5f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=>2.>i?49;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>49;%7f6?0428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<0>2B9;>5f13f94?"5?o0:>n5G26g8R7>628qG>5=51zTg47428q]h54={%3g6?75m2\:>84={%7f7?763-?n>7?>;|~y>o6;00;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>389U573=:r.>i>4>1:&6a7<692wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e;:i1<7?>:183!40n3==7E<83:k26a<72-85<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c428;0(8k=:038yx{z3`;857>5$35e>44d3A8i?4>1:~yx=n9=>1<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o474233_;99747<,{M0;7?7|^m21>v*>d38202=Q9;?1>v*:e2825>"2m;0:=6sr}|9j51>=83.9;k4>2b9K62c<^;2:6P5080:wA<73;3xRa>=:r.:h?4>489U573=:r.>i>4>1:&6a7<692wvqp5f15c94?"5?o0:>n5G26g8R7>628qG>5=51zTg47{zut1b=9m50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b528>h7[?=5;0x 0c428;0(8k=:038yx{z3`;9j7>5$35e>44d3A8i?4>1:~yx=n9::1<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o474573_;99747<,{M0;7?7|^m21>v*>d38274=Q9;?1>v*:e2825>"2m;0:=6sr}|9j564=83.9;k4>2b9K62c<^;2:62481!3b;3<0(8k=:79~yx{2wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e;:n1<7<>:183!40n3==7E<83:k26a<72-82d83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91n6*:e38a?x{zu2c:?44?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3827<=Q9;?1>v*:e28a?!3b:3h0qpsr;h370?6=,;=m6<2481!3b;3h0(8k=:c9~yx{<6=4+26d957e<^;2:6n5Y29395~J50:0:w[j7:3y'5a4=9=20Z<<::3y'1`5=j2.>i?4m;|~y>o6<00;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>489U573=:r.>i>4m;%7f6?d428q]h54={%3g6?73i2\:>84={%7f7?d<,:h513a8R7>628qG>5=51zTg{zut1b=9m50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0951e<^88>6?u+5d19f>"2m;0i7psr}:k26c<72-8k5Y13796~"2m:0i7);j2;`8yx{z3`;8<7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;304>P6:<09w);j3;`8 0c52k1vqps4i012>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5289:7[?=5;0x 0c42k1/9h<5b:~yx=n9:81<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51208R4422;q/9h=5b:&6a73283>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=>=4V006>7}#=l91n6*:e38a?x{zu2c:?94?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9:>0Z<<::3y'1`5=j2.>i?4m;|~y>o6;<0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>349U573=:r.>i>4m;%7f6?d428q]h54={%3g6?74>2\:>84={%7f7?763-?n>7?>;|~y>o6;>0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e09561<^88>6?u+5d1954=#=l81=<5r}|8m45?290/>:h513a8R7>628qG>5=51zTg{zut1b=>o50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0956g<^88>6?u+5d192>"2m;0=7psr}:k27g<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;30g>P6:<09w);j3;48 0c52?1vqps4i01g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5289o7[?=5;0x 0c42?1/9h<56:~yx=n9:o1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<512g8R4422;q/9h=56:&6a7<13twvq6g>3g83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;o1]=?;52z&6a6<13-?n>784}|~?l7383:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;0:T260<5s-?n?784$4g1>3=zutw0e<:>:18'62`=9;i0Z?6>:0yO6=5=9r\o474263_;9973=#=l81:6sr}|9j514=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=9<4V006>7}#=l91:6*:e385?x{zu2c:8>4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38206=Q9;?1>v*:e285?!3b:3<0qpsr;h371?6=,;=m6<2481!3b;3<0(8k=:79~yx{=6=4+26d957e<^;2:62wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e;:o1<7<>:183!40n3==7E<83:k26a<72-82d83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91n6*:e38a?x{zu2c:?44?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3827<=Q9;?1>v*:e28a?!3b:3h0qpsr;h370?6=,;=m6<2481!3b;3h0(8k=:c9~yx{<6=4+26d957e<^;2:6n5Y29395~J50:0:w[j7:3y'5a4=9=20Z<<::3y'1`5=j2.>i?4m;|~y>o6<00;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>489U573=:r.>i>4m;%7f6?d428q]h54={%3g6?73i2\:>84={%7f7?d<,:h513a8R7>628qG>5=51zTg{zut1b=9m50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0951e<^88>6?u+5d19f>"2m;0i7psr}:k26c<72-8k5Y13796~"2m:0i7);j2;`8yx{z3`;8<7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;304>P6:<09w);j3;`8 0c52k1vqps4i012>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5289:7[?=5;0x 0c42k1/9h<5b:~yx=n9:81<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51208R4422;q/9h=5b:&6a73283>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=>=4V006>7}#=l91n6*:e38a?x{zu2c:?94?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9:>0Z<<::3y'1`5=j2.>i?4m;|~y>o6;<0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>349U573=:r.>i>4m;%7f6?d428q]h54={%3g6?74>2\:>84={%7f7?763-?n>7?>;|~y>o6;>0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e09561<^88>6?u+5d1954=#=l81=<5r}|8m45?290/>:h513a8R7>628qG>5=51zTg{zut1b=>o50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0956g<^88>6?u+5d192>"2m;0=7psr}:k27g<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;30g>P6:<09w);j3;48 0c52?1vqps4i01g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5289o7[?=5;0x 0c42?1/9h<56:~yx=n9:o1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<512g8R4422;q/9h=56:&6a7<13twvq6g>3g83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;o1]=?;52z&6a6<13-?n>784}|~?l7383:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;0:T260<5s-?n?784$4g1>3=zutw0e<:>:18'62`=9;i0Z?6>:0yO6=5=9r\o474263_;9973=#=l81:6sr}|9j514=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=9<4V006>7}#=l91:6*:e385?x{zu2c:8>4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38206=Q9;?1>v*:e285?!3b:3<0qpsr;h371?6=,;=m6<2481!3b;3<0(8k=:79~yx{=6=4+26d957e<^;2:62wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e;:l1<7<>:183!40n3==7E<83:k26a<72-82d83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91n6*:e38a?x{zu2c:?44?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3827<=Q9;?1>v*:e28a?!3b:3h0qpsr;h370?6=,;=m6<2481!3b;3h0(8k=:c9~yx{<6=4+26d957e<^;2:6n5Y29395~J50:0:w[j7:3y'5a4=9=20Z<<::3y'1`5=j2.>i?4m;|~y>o6<00;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>489U573=:r.>i>4m;%7f6?d428q]h54={%3g6?73i2\:>84={%7f7?d<,:h513a8R7>628qG>5=51zTg{zut1b=9m50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0951e<^88>6?u+5d19f>"2m;0i7psr}:k26c<72-83183>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=>>4V006>7}#=l91n6*:e38a?x{zu2c:?<4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9:;0Z<<::3y'1`5=j2.>i?4m;|~y>o6;;0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e09564<^88>6?u+5d19f>"2m;0i7psr}:k276<72-83583>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=>:4V006>7}#=l91n6*:e38a?x{zu2c:?84?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38270=Q9;?1>v*:e28a?!3b:3h0qpsr;h302?6=,;=m6<2481!3b;3;:7);j2;32?x{zu2c:?:4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9:=0Z<<::3y'1`5=981/9h<5109~yx{2wvqp5f12c94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:k0Z<<::3y'1`5=>2.>i?49;|~y>o6;k0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>3c9U573=:r.>i>49;%7f6?0428q]h54={%3g6?74k2\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1b=>k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0956c<^88>6?u+5d192>"2m;0=7psr}:k27c<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;374>P6:<09w);j3;48 0c52?1vqps4i062>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>:7[?=5;0x 0c42?1/9h<56:~yx=n9=81<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51508R4422;q/9h=56:&6a7<13twvq6g>4283>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<:1]=?;52z&6a6<13-?n>784}|~?l73=3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;5:T260<5s-?n?784$4g1>3=zutw0e<:9:18'62`=9;i0Z?6>:0yO6=5=9r\o474213_;9973=#=l81:6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi?>650;:94?6|,;=m6o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>49;%7f6?0428q]h54={%3g6?75m2\:>84={%7f7?0<,290/>:h513a8R7>628qG>5=51zTg{zut1b=9:50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09512<^88>6?u+5d192>"2m;0=7psr}:k202<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;37<>P6:<09w);j3;48 0c52?1vqps4i06:>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>27[?=5;0x 0c42?1/9h<56:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm4;296?6=8r.9;k4mf:J136=n9;i1<7*=7g826f=2wvqp5rb283>7<729q/>:h5bg9K6255$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;c8 0c52h1vqps4}c294?5=83:p(?9i:35`?M40;2c:>i4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e285?!3b:3<0qpsr;h31a?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5rb083>4<729q/>:h52948L7143f;hn7>5$35e>4ee32wi>7>53;294~"5?o0<:6F=729j57b=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91n6*:e38a?x{zu2c:>h4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=981/9h<5109~yx{2wvqp5rbe`94?4=83:p(?9i:cd8L7143`;9o7>5$35e>44d32e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`gg?6=<3:1N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d1957=#=l81=?5r}|8m44b290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;31?!3b:3;97psr}:k27<<72-86*:e3826>{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a`a<72?0;6=u+26d933=O:>90e<:0yO6=5=9r\o4744c3_;99744<,7?=e:T260<5s-?n?7m4$4g1>f=zutw0e<=6:18'62`=9;i0D?9j;W0;5?7|D;2862481!3b;3;97);j2;31?x{zu2c:894?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38201=Q9;?1>v*:e2826>"2m;0:>6sr}|9j511=83.9;k4>2b9K62c<^;2:62481!3b;3<0(8k=:79~yx{i5Y13796~"2m:0h7);j2;a8yx{z3`;9i7>5$35e>44d3A8i?4>2:~yx=n9:31<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<512;8R4422;q/9h=5139'1`4=9;1vqps4i067>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?;4:T260<5s-?n?7?=;%7f6?753twvq6g>4683>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<>1]=?;52z&6a6<6:2.>i?4>2:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6smdg83>2<729q/>:h5779K625428q]h54={%3g6?75l2\:>84={%7f7?e<,:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;a8 0c52j1vqps4i01:>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?<9:T260<5s-?n?7m4$4g1>f=zutw0e<:;:18'62`=9;i0Z?6>:0yO6=5=9r\o474233_;99744<,7?;7:T260<5s-?n?7?=;%7f6?753twvq6g>4983>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<11]=?;52z&6a6<6:2.>i?4>2:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sme183>2<729q/>:h5779K625428q]h54={%3g6?75l2\:>84={%7f7?e<,:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;a8 0c52j1vqps4i01:>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528927[?=5;0x 0c42880(8k=:008yx{z3`;?87>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;370>P6:<09w);j3;31?!3b:3;97psr}:k202<72-86*:e3826>{zut1b=9650;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0951><^88>6?u+5d1957=#=l81=?5r}|8k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vnh?50;494?6|,;=m6o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>49;%7f6?0428q]h54={%3g6?75m2\:>84={%7f7?0<,290/>:h513a8R7>628qG>5=51zTg{zut1b=9:50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09512<^88>6?u+5d192>"2m;0=7psr}:k202<72-85$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}cg1>5<5290;w)<8f;`e?M40;2c:>n4?:%04b?75k21d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:aa6<7210;6=u+26d933=O:>90e<:0yO6=5=9r\o4744c3_;997f=#=l81o6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91o6*:e38`?x{zu2c:?44?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9:30Z<<::3y'1`5=9;1/9h<5139~yx{?6=4+26d957e<^;2:6P5080:wA<73;3xRa>=:r.:h?4>469U573=:r.>i>4>2:&6a7<6:2wvqp5f15:94?"5?o0:>n5G26g8R7>628qG>5=51zTg44428q]h54={%3g6?7312\:>84={%7f7?753-?n>7?=;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?02d83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:l1]=?;52z&6a67m4}|~?l7413:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3827<=Q9;?1>v*:e2826>"2m;0:>6sr}|9j512=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=9:4V006>7}#=l91=?5+5d0957=zutw0e<:8:18'62`=9;i0D?9j;W0;5?7|D;2862481!3b;3;97);j2;31?x{zu2c:854?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9=20Z<<::3y'1`5=9;1/9h<5139~yx{26=4+26d957e<^;2:62481!3b;3<0(8k=:79~yx{6=47:183!40n3==7E<83:k26a<72-8i5Y13796~"2m:0h7);j2;a8yx{z3`;9i7>5$35e>44d3A87m4}|~?l7413:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3827<=Q9;?1>v*:e2826>"2m;0:>6sr}|9j512=83.9;k4>2b9K62c<^;2:6P5080:wA<73;3xRa>=:r.:h?4>469U573=:r.>i>4>2:&6a7<6:2wvqp5f15:94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9=20Z<<::3y'1`5=9;1/9h<5139~yx{26=4+26d957e<@;=n7[<71;3xH7>428q]h54={%3g6?7312\:>84={%7f7?753-?n>7?=;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?02d83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91=?5+5d0957=zutw0e<=6:18'62`=9;i0Z?6>:0yO6=5=9r\o4745>3_;99744<,{M0;7?7|^m21>v*>d38201=Q9;?1>v*:e2826>"2m;0:>6sr}|9j511=83.9;k4>2b9K62c<^;2:62481!3b;3;97);j2;31?x{zu2c:844?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9=30Z<<::3y'1`5=9;1/9h<5139~yx{2wvqp5rbd594?76290;w)<8f;55?M40;2c:>i4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=k2.>i?4l;|~y>o6:l0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d19g>"2m;0h7psr}:k27<<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;370>P6:<09w);j3;a8 0c52j1vqps4i064>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528><7[?=5;0x 0c42j1/9h<5c:~yx=n9=21<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<515:8R4422;q/9h=5c:&6a74883>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<01]=?;52z&6a67m4}|~?l73i3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;a:T260<5s-?n?7m4$4g1>f=zutw0e<:m:18'62`=9;i0Z?6>:0yO6=5=9r\o4742e3_;997f=#=l81o6sr}|9j51e=83.9;k4>2b9K62c<^;2:6n5G26g8R7>628qG>5=51zTg{zut1b=>>50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b5289;7[?=5;0x 0c42880(8k=:008yx{z3`;8=7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;305>P6:<09w);j3;31?!3b:3;97psr}:k277<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;307>P6:<09w);j3;48 0c52?1vqps4i017>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5289?7[?=5;0x 0c42?1/9h<56:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sme983>3<729q/>:h51bc8L7143`;9h7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;48 0c52?1vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42?1/9h<56:~yx=n9:31<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<512;8R4422;q/9h=56:&6a7<13twvq6g>4583>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<=1]=?;52z&6a6<13-?n>784}|~?l73?3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;7:T260<5s-?n?784$4g1>3=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f`?=8381<7>t$35e>g`<@;=87d?=c;29 71a288h76a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xdbi3:1?7>50z&13c<0>2B9;>5f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=991/9h<5119~yx{2481!3b;3<0(8k=:79~yx{i5Y13796~"2m:0h7);j2;a8yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;a8 0c52j1vqps4i01:>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?<9:T260<5s-?n?7?=;%7f6?753twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xdbk3:187>50z&13c<0>2B9;>5f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=k2.>i?4l;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4l;%7f6?e6*:e3826>{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:aaa<72=0;6=u+26d933=O:>90e<:0yO6=5=9r\o4744c3_;997f=#=l81o6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91o6*:e38`?x{zu2c:?44?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9:30Z<<::3y'1`5=9;1/9h<5139~yx{2wvqp5rbdg94?2=83:p(?9i:648L7143`;9h7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;a8 0c52j1vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42j1/9h<5c:~yx=n9:31<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4745>3_;99744<,7?lb:T260<5s-?n?784$4g1>3=zutw0qoki:187>5<7s-8o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4l;%7f6?e428q]h54={%3g6?75m2\:>84={%7f7?e<,290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;30=>P6:<09w);j3;31?!3b:3;97psr}:m2gg<72-857;294~"5?o0:ol5G2618m44c290/>:h513a8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d192>"2m;0=7psr}:k27<<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;370>P6:<09w);j3;48 0c52?1vqps4i064>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528><7[?=5;0x 0c42?1/9h<56:~yx=n9=21<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<515:8R4422;q/9h=56:&6a7<13twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xda93:1>7>50z&13c5f13a94?"5?o0:>n54o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zjo81<7=50;2x 71a2><0D?9<;h31`?6=,;=m6<2481!3b;3;;7);j2;33?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e2824>"2m;0:<6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wij>4?:583>5}#:>l1;;5G2618m44c290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;31?!3b:3;97psr}:k26`<72-8h5Y13796~"2m:0:>6*:e3826>{zut1b=>750;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0956?<^88>6?u+5d1957=#=l81=?5r}|8k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vnk:50;694?6|,;=m6:84H350?l75l3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e2826>"2m;0:>6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91=?5+5d0957=zutw0e<=6:18'62`=9;i0Z?6>:0yO6=5=9r\o4745>3_;99744<,7?lb:T260<5s-?n?784$4g1>3=zutw0qoh::187>5<7s-8o6:m0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d1957=#=l81=?5r}|8m44b290/>:h513a8R7>628qG>5=51zTg44428q]h54={%3g6?7412\:>84={%7f7?753-?n>7?=;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?0o0Z?6>:0yO6=5=9r\o4744c3_;99744<,7?=e:T260<5s-?n?7?=;%7f6?753twvq6g>3883>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;01]=?;52z&6a6<6:2.>i?4>2:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6smf683>77=83:p(?9i:648L7143`;9h7>5$35e>44d3A87m4}|~?l75m3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e28`?!3b:3i0qpsr;h30=?6=,;=m6<2481!3b;3i0(8k=:b9~yx{?6=4+26d957e<^;2:6n5Y29395~J50:0:w[j7:3y'5a4=9==0Z<<::3y'1`5=k2.>i?4l;|~y>o6<10;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>499U573=:r.>i>4l;%7f6?e428q]h54={%3g6?7312\:>84={%7f7?e<,:h513a8R7>628qG>5=51zTg{zut1b=9l50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0951d<^88>6?u+5d19g>"2m;0h7psr}:k20f<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31b>P6:<09w);j3;a8 0c52j1vqps4i013>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5289;7[?=5;0x 0c42j1/9h<5c:~yx=n9:;1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51238R4422;q/9h=5c:&6a73383>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;;1]=?;52z&6a67m4}|~?l74;3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d38276=Q9;?1>v*:e28`?!3b:3i0qpsr;h300?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>359U573=:r.>i>4l;%7f6?e428q]h54={%3g6?74=2\:>84={%7f7?e<,:h513a8R7>628qG>5=51zTg44428q]h54={%3g6?74?2\:>84={%7f7?753-?n>7?=;|~y>o6;10;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0956><^88>6?u+5d1957=#=l81=?5r}|8m45f290/>:h513a8R7>628qG>5=51zTg{zut1b=>l50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0956d<^88>6?u+5d192>"2m;0=7psr}:k27f<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;30`>P6:<09w);j3;48 0c52?1vqps4i01f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5289n7[?=5;0x 0c42?1/9h<56:~yx=n9:l1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<512d8R4422;q/9h=56:&6a7<13twvq6g>4183>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<91]=?;52z&6a6<13-?n>784}|~?l7393:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;1:T260<5s-?n?784$4g1>3=zutw0e<:=:18'62`=9;i0Z?6>:0yO6=5=9r\o474253_;9973=#=l81:6sr}|9j515=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=9=4V006>7}#=l91:6*:e385?x{zu2c:884?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38200=Q9;?1>v*:e285?!3b:3<0qpsr;h372?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5rbg:94?1=83:p(?9i:0ab?M40;2c:>i4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e285?!3b:3<0qpsr;h31a?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5f15694?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9=>0Z<<::3y'1`5=>2.>i?49;|~y>o6<>0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>469U573=:r.>i>49;%7f6?0428q]h54={%3g6?7302\:>84={%7f7?0<,:h51b`8R7>628qG>5=51zTg{zut1vnk750;094?6|,;=m6oh4H350?l75k3:1(?9i:00`?>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?05<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c428:0(8k=:028yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}cda>5<3290;w)<8f;55?M40;2c:>i4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=9;1/9h<5139~yx{2481!3b;3;97);j2;31?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`eg?6=<3:1N5?:1b=?j50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c42880(8k=:008yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;31?!3b:3;97psr}:k27<<72-86*:e3826>{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:aba<72=0;6=u+26d933=O:>90e<2481!3b;3;97);j2;31?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e2826>"2m;0:>6sr}|9j56?=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>74V006>7}#=l91=?5+5d0957=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~fcc=83>1<7>t$35e>20<@;=87d?=d;29 71a288h7E<8e:T1<4<6sE83?7?tVe:96~"6l;0:>i5Y13796~"2m:0:>6*:e3826>{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d1957=#=l81=?5r}|8m45>290/>:h513a8R7>628qG>5=51zTg44428q]h54={%3g6?7dj2\:>84={%7f7?0<,2e83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91=?5+5d0957=zutw0e<:0yO6=5=9r\o4744b3_;99744<,7?<9:T260<5s-?n?7?=;%7f6?753twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd6890;6:4?:1y'62`=9jk0D?9<;h31`?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5f12;94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:30Z<<::3y'1`5=>2.>i?49;|~y>o6<=0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>459U573=:r.>i>49;%7f6?0428q]h54={%3g6?73?2\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a557=8381<7>t$35e>g`<@;=87d?=c;29 71a288h76a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd68;0;6>4?:1y'62`=??1C>:=4i00g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c428:0(8k=:028yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;33?!3b:3;;7psr}:m2gg<72-84?:483>5}#:>l1;;5G2618m44c290/>:h513a8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42880(8k=:008yx{z3`;857>5$35e>44d3A8i?4>2:~yx=n9=>1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51568R4422;q/9h=5139'1`4=9;1vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj8:?6=49:183!40n3==7E<83:k26a<72-82d83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91o6*:e38`?x{zu2c:?44?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9:30Z<<::3y'1`5=k2.>i?4l;|~y>o6<=0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e09512<^88>6?u+5d1957=#=l81=?5r}|8m420290/>:h513a8R7>628qG>5=51zTg44428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<0>2B9;>5f13f94?"5?o0:>n5G26g8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42j1/9h<5c:~yx=n9:31<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4745>3_;997f=#=l81o6sr}|9j512=83.9;k4>2b9K62c<^;2:62481!3b;3;97);j2;31?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`243<72?0;6=u+26d933=O:>90e<2481!3b;3i0(8k=:b9~yx{428q]h54={%3g6?75m2\:>84={%7f7?e<,290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;30=>P6:<09w);j3;a8 0c52j1vqps4i067>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?;4:T260<5s-?n?7?=;%7f6?753twvq6g>4683>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<>1]=?;52z&6a6<6:2.>i?4>2:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm11594?0=83:p(?9i:648L7143`;9h7>5$35e>44d3A87m4}|~?l75m3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e28`?!3b:3i0qpsr;h30=?6=,;=m6<2481!3b;3i0(8k=:b9~yx{?6=4+26d957e<@;=n7[<71;3xH7>428q]h54={%3g6?73<2\:>84={%7f7?753-?n>7?=;|~y>o6<>0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>469U573=:r.>i>4>2:&6a7<6:2wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e9921<7950;2x 71a28ij7E<83:k26a<72-8i5Y13796~"2m:0=7);j2;48yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;48 0c52?1vqps4i01:>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528927[?=5;0x 0c42?1/9h<56:~yx=n9=>1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51568R4422;q/9h=56:&6a7<13twvq6g>4683>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<>1]=?;52z&6a6<13-?n>784}|~?l7303:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;8:T260<5s-?n?784$4g1>3=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f46>29096=4?{%04b?da3A82b83>!40n3;9o65`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e99k1<7;50;2x 71a2><0D?9<;h31`?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4l;%7f6?eh5Y13796~"2m:0h7);j2;a8yx{z3`;857>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;30=>P6:<09w);j3;31?!3b:3;97psr}:k201<72-8cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd68k0;6?4?:1y'62`=jo1C>:=4i00`>5<#:>l1=?m4;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{59;294~"5?o0<:6F=729j57b=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91o6*:e38`?x{zu2c:>h4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=k2.>i?4l;|~y>o6;00;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0956?<^88>6?u+5d1957=#=l81=?5r}|8m423290/>:h513a8R7>628qG>5=51zTg446*:e3826>{zut1b=9650;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b528>37[?=5;0x 0c42880(8k=:008yx{z3`;?57>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;37=>P6:<09w);j3;31?!3b:3;97psr}:k20d<72-85<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj8:o6=4>1;294~"5?o0<:6F=729j57b=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91o6*:e38`?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e28`?!3b:3i0qpsr;h30=?6=,;=m6<2481!3b;3i0(8k=:b9~yx{?6=4+26d957e<^;2:6n5Y29395~J50:0:w[j7:3y'5a4=9==0Z<<::3y'1`5=k2.>i?4l;|~y>o6<10;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>499U573=:r.>i>4l;%7f6?e428q]h54={%3g6?7312\:>84={%7f7?e<,:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;37e>P6:<09w);j3;a8 0c52j1vqps4i06a>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?;b:T260<5s-?n?7m4$4g1>f=zutw0e<:l:18'62`=9;i0D?9j;W0;5?7|D;2862481!3b;3;97);j2;31?x{zu2c:>k4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9;l0Z<<::3y'1`5=9;1/9h<5139~yx{428q]h54={%3g6?7482\:>84={%7f7?753-?n>7?=;|~y>o6;80;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>309U573=:r.>i>4>2:&6a7<6:2wvqp5f12094?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:80Z<<::3y'1`5=>2.>i?49;|~y>o6;:0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>329U573=:r.>i>49;%7f6?0428q]h54={%3g6?74<2\:>84={%7f7?0<,:h51b`8R7>628qG>5=51zTg{zut1vn<>j:1825?6=8r.9;k486:J136=n9;n1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513f8R4422;q/9h=5c:&6a72d83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:l1]=?;52z&6a67m4}|~?l7413:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?<9:T260<5s-?n?7m4$4g1>f=zutw0e<:;:18'62`=9;i0Z?6>:0yO6=5=9r\o474233_;997f=#=l81o6sr}|9j511=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=994V006>7}#=l91o6*:e38`?x{zu2c:854?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3820==Q9;?1>v*:e28`?!3b:3i0qpsr;h37=?6=,;=m6<2481!3b;3i0(8k=:b9~yx{j6=4+26d957e<@;=n7[<71;3xH7>428q]h54={%3g6?73i2\:>84={%7f7?e<,:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;37f>P6:<09w);j3;31?!3b:3;97psr}:k20f<72-86*:e3826>{zut1b=?h50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b5288m7[?=5;0x 0c42880(8k=:008yx{z3`;8<7>5$35e>44d3A8i?4>2:~yx=n9:;1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51238R4422;q/9h=5139'1`4=9;1vqps4i011>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528997[?=5;0x 0c42?1/9h<56:~yx=n9:91<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51218R4422;q/9h=56:&6a7<13twvq6g>3583>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;=1]=?;52z&6a6<13-?n>784}|~?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo??f;2954<729q/>:h5779K625n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=k2.>i?4l;|~y>o6;00;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>389U573=:r.>i>4l;%7f6?e428q]h54={%3g6?73<2\:>84={%7f7?e<,:h513a8R7>628qG>5=51zTg{zut1b=9650;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0951><^88>6?u+5d19g>"2m;0h7psr}:k20<<72-85$35e>44d3A87m4}|~?l73j3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3820g=Q9;?1>v*:e28`?!3b:3i0qpsr;h37g?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>4b9U573=:r.>i>4>2:&6a7<6:2wvqp5f13d94?"5?o0:>n5G26g8R7>628qG>5=51zTg446*:e3826>{zut1b=>?50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09567<^88>6?u+5d1957=#=l81=?5r}|8m455290/>:h513a8R7>628qG>5=51zTg{zut1b=>=50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09565<^88>6?u+5d192>"2m;0=7psr}:k271<72-85$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c324?6=980;6=u+26d933=O:>90e<:0yO6=5=9r\o4744c3_;997f=#=l81o6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91o6*:e38`?x{zu2c:?44?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3827<=Q9;?1>v*:e28`?!3b:3i0qpsr;h370?6=,;=m6<2481!3b;3i0(8k=:b9~yx{<6=4+26d957e<^;2:6n5Y29395~J50:0:w[j7:3y'5a4=9=20Z<<::3y'1`5=k2.>i?4l;|~y>o6<00;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>489U573=:r.>i>4l;%7f6?e5$35e>44d3A8i?4>2:~yx=n9=i1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<515a8R4422;q/9h=5139'1`4=9;1vqps4i00e>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?=f:T260<5s-?n?7?=;%7f6?753twvq6g>3183>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=>>4V006>7}#=l91=?5+5d0957=zutw0e<=>:18'62`=9;i0Z?6>:0yO6=5=9r\o474563_;99744<,7?<2:T260<5s-?n?784$4g1>3=zutw0e<=<:18'62`=9;i0Z?6>:0yO6=5=9r\o474543_;9973=#=l81:6sr}|9j562=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>:4V006>7}#=l91:6*:e385?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`254<72?0;6=u+26d95fg<@;=87d?=d;29 71a288h7[<71;3xH7>428q]h54={%3g6?75l2\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1b=>750;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0956?<^88>6?u+5d192>"2m;0=7psr}:k201<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;373>P6:<09w);j3;48 0c52?1vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj8;96=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi=<=50;194?6|,;=m6:84H350?l75l3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e2826>"2m;0:>6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91=?5+5d0957=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f47329096=4?{%04b?da3A82b83>!40n3;9o65`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e98?1<7=50;2x 71a2><0D?9<;h31`?6=,;=m6<2481!3b;3;;7);j2;33?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e2824>"2m;0:<6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi=<850;694?6|,;=m6:84H350?l75l3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e2826>"2m;0:>6sr}|9j57c=83.9;k4>2b9K62c<^;2:6P5080:wA<73;3xRa>=:r.:h?4>389U573=:r.>i>4>2:&6a7<6:2wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e98=1<7;50;2x 71a2><0D?9<;h31`?6=,;=m6<2481!3b;3i0(8k=:b9~yx{428q]h54={%3g6?75m2\:>84={%7f7?753-?n>7?=;|~y>o6;00;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0956?<^88>6?u+5d1957=#=l81=?5r}|8m423290/>:h513a8R7>628qG>5=51zTg44428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<0>2B9;>5f13f94?"5?o0:>n5G26g8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42j1/9h<5c:~yx=n9:31<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4745>3_;997f=#=l81o6sr}|9j512=83.9;k4>2b9K62c<^;2:62481!3b;3;97);j2;31?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`25<<72?0;6=u+26d933=O:>90e<2481!3b;3i0(8k=:b9~yx{428q]h54={%3g6?75m2\:>84={%7f7?e<,290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;30=>P6:<09w);j3;a8 0c52j1vqps4i067>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?;4:T260<5s-?n?7?=;%7f6?753twvq6g>4683>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<>1]=?;52z&6a6<6:2.>i?4>2:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm10c94?0=83:p(?9i:648L7143`;9h7>5$35e>44d3A87m4}|~?l75m3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e28`?!3b:3i0qpsr;h30=?6=,;=m6<2481!3b;3i0(8k=:b9~yx{?6=4+26d957e<@;=n7[<71;3xH7>428q]h54={%3g6?73<2\:>84={%7f7?753-?n>7?=;|~y>o6<>0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>469U573=:r.>i>4>2:&6a7<6:2wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e98h1<7950;2x 71a28ij7E<83:k26a<72-8i5Y13796~"2m:0=7);j2;48yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;48 0c52?1vqps4i01:>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528927[?=5;0x 0c42?1/9h<56:~yx=n9=>1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51568R4422;q/9h=56:&6a7<13twvq6g>4683>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<>1]=?;52z&6a6<13-?n>784}|~?l7303:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;8:T260<5s-?n?784$4g1>3=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f47d29096=4?{%04b?da3A82b83>!40n3;9o65`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e98n1<7=50;2x 71a2><0D?9<;h31`?6=,;=m6<2481!3b;3;;7);j2;33?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e2824>"2m;0:<6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi={M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e2826>"2m;0:>6sr}|9j57c=83.9;k4>2b9K62c<^;2:6P5080:wA<73;3xRa>=:r.:h?4>389U573=:r.>i>4>2:&6a7<6:2wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e98l1<7;50;2x 71a2><0D?9<;h31`?6=,;=m6<2481!3b;3i0(8k=:b9~yx{428q]h54={%3g6?75m2\:>84={%7f7?753-?n>7?=;|~y>o6;00;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0956?<^88>6?u+5d1957=#=l81=?5r}|8m423290/>:h513a8R7>628qG>5=51zTg44428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<0>2B9;>5f13f94?"5?o0:>n5G26g8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42j1/9h<5c:~yx=n9:31<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4745>3_;997f=#=l81o6sr}|9j512=83.9;k4>2b9K62c<^;2:62481!3b;3;97);j2;31?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`264<72?0;6=u+26d933=O:>90e<2481!3b;3i0(8k=:b9~yx{428q]h54={%3g6?75m2\:>84={%7f7?e<,290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;30=>P6:<09w);j3;a8 0c52j1vqps4i067>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?;4:T260<5s-?n?7?=;%7f6?753twvq6g>4683>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<>1]=?;52z&6a6<6:2.>i?4>2:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm13094?0=83:p(?9i:648L7143`;9h7>5$35e>44d3A87m4}|~?l75m3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e28`?!3b:3i0qpsr;h30=?6=,;=m6<2481!3b;3i0(8k=:b9~yx{?6=4+26d957e<@;=n7[<71;3xH7>428q]h54={%3g6?73<2\:>84={%7f7?753-?n>7?=;|~y>o6<>0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>469U573=:r.>i>4>2:&6a7<6:2wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e9;91<7950;2x 71a28ij7E<83:k26a<72-8i5Y13796~"2m:0=7);j2;48yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;48 0c52?1vqps4i01:>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528927[?=5;0x 0c42?1/9h<56:~yx=n9=>1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51568R4422;q/9h=56:&6a7<13twvq6g>4683>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<>1]=?;52z&6a6<13-?n>784}|~?l7303:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;8:T260<5s-?n?784$4g1>3=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~w<3=839p14851ba8Z<3<5k?1=?m4}r`6>5<1?r7i97?lb:?1`2<6:m16>i6513f891?5288o70:63;31`>;5jl0:>i522cd957b<5:826<o4>2e9>23`=9;n01;9?:00g?80093;9h63;6g826a=:<>:1=?j4=552>44c34><>7?=d:?731<6:m16=5<513f894>3288o70?75;31`>;60?0:>i52195957b<52e9>0`b=9;n018j9:00g?83c?3;9h63:7d826a=:=>l1=?j4=4:3>44c34?3=7?=d:?6<7<6:m168kh513f89067288o70;?1;31`>;19;0:>i52601957b<5?;>6<4>2e9>6<>=9;n01?76:00g?84>i3;9h63=9c826a=:><=1=?j4=77;>44c34>387?=d:?7<0<6:m16858513f891>0288o70:78;31`>;4?j0:>i521c4957b<58h<6<2e9>7<0=9;n01>78:00g?80b288o708i:00g?817288o709>:00g?85f>3;9h6344c34?ni7?=d:?563<6:m16:?9513f890g?288o70;na;31`>;29?0:>i5220:957b<5;;26<2e9>64e=9;n018==:00g?831>3;9h63:99826a=:=031=?j4=4;a>44c34?2o7?=d:?6=a<6:m16?>j513f8965b288o70=;cn3;9h63j0;31`>;b?3;9h63i7;31`>;68=0:>i52117957b<58:=6<2e9>54?=9;n0120826a=:9;81=?j4}r;1>5<4s43862e9>6gc=9;o01?li:00f?85513;9i63<2`826`=:;;h1=?k4=74e>44b34<<<7?=e:?534<6:l168;h513g89117288n70:81;31a>;3?;0:>h52466957c<58296<2d9>5=0=9;o01<68:00f?83a13;9h63:f`826`=:44b34?o:7?=e:?6`2<6:l169:k513g8901a288n70;70;31a>;2080:>h524gd957c<5<:;6<2d9>245=9;o01;?::00f?806>3;9i63962826`=::021=?k4=3;:>44b3482m7?=e:?1=g<6:l16:89513g8933?288n70:74;31a>;30<0:>h52494957c<5=2<6<2d9>5ab=9;n01?>=:00f?85>>3;9i63<96826`=:>l0:>h526g826`=:?90:>h5270826`=:;h<1=?k4=2c4>44b34?3o7?=d:?6;1:?0:>h52635957c<5=44>2e9>64>=9;o01??6:00f?846i3;9i63=1c826`=:44c34>on7?=d:?7`f<6:m168ij513f89054288o70;<4;31`>;2>?0:>h52562957b<5<=:6<;>4>2e9>122=9;n01877:00f?83>j3;9i63:9b826`=:=0n1=?k4=21g>44b3498i7?=e:?07c<6:l16hk4>2d9>a5<6:l16i>4>2e9>a1<6:m16i84>2e9>a3<6:m16i:4>2d9>ag<6:m16in4>2e9>aa<6:m16ih4>2e9>ac<6:m16j:4>2d9>552=9;o01<>::00f?877>3;9i63>06826`=:99i1=?j4=03;>44b34;:57?=e:?25d<6:l16=?>513g89446288n70?=2;31a>{t190;6>u29082gf=Y1916n>4>2b9~wg5=83>iw0l<:0aa?84c?3;8563=d9827<=:<0>1=?k4=3`f>45>348ij7?<9:?06<<6;016??o512;8964e28927089f;30=>;1?90:?452663956?<5=389>024=9:301<6=:01:?87?<3;8563>84827<=:91<1=>74=0:4>45>34?mm7?<9:?6bg<6:m169km513f891cc288n70;k6;30=>;2l>0:?452590957c<5;336<=6;<0:=?7412795l4>389>674=5:;>45>349;2mj0:?4525df956?<5ml4>2d9>14>=9;o01??l:00f?834;3;9i63:71826`=:=>;1=?k4=4;;>45>34?257?=e:?6=g<6;01694m512;890?c289270=;4;l0:?45232d956?<5l91=?k4=d5956?<5lh1=?k4=g5956?<58:?6<=6;<33`?75l27:

2e9>55`=9;n0121827<=z{1o1<7=t=9d95fe;5l>0:89522e:9512<5=3>6<459>77?=9=>01>>:1=9:4=752>42334>=j7?;4:?735<6<=168:?51568911528>?70?72;370>;60=0:89521979512<582=6<:;;<3;3?73<27>jl4>459>1cd=9;o018hl:00f?82bl3;8563:d78201=:=m=1=9:4=4:1>45>3489?7?=d:?7ed<6:m16>465156897?>28>?70<6a;370>;51k0:89524969512<5=2>6<:;;<6;2?73<27?4:4>459>0=>=9=>01>9l:067?87cl3;9i63<978201=:;0=1=9:4=7g9512<5?l1=9:4=629512<5>;1=9:4=2c5>423349j;7?;4:?6af<6<=169hj5156890cb28>?70;na;30=>;2900:>h5220a956?<5<9?6<;>4>2d9>1<>=9=>01876:01:?83>j3;?863:9b8201=:=0n1=9:4=21g>4233498i7?;4:?07c<6<=16i94>2d9>a2<6<=16j:4>459>553=9:301<>k:00f?877m3;9i63>0g826`=:98:1=?k4=03:>45>34;9=7?<9:pcb9]n5rsc294?5bs4h;6469>6gc=9==01?li:064?85513;?;63<2`8202=:;;h1=994=74e>42034<<<7?;7:?534<6<>168;h51558911728><70:81;373>;3?;0:8:521909511<582?6<:8;<3;1?73?27:4;4>469>5=1=9==018hn:064?83aj3;8563:fb827<=:74=4f5>42034?o;7?;7:?63`<6;016>?:513f891ge288o70<68;373>;5100:8:5228c9511<5;3i6<:8;<6;0?73?27?484>469>0=0=9==01968:064?82?03;?;63<7b8202=:;0<1=994=2;4>4203442034=;6<:8;<52>42034?no7?;7:?6aa<6<>169hk51558977?289270;6b;373>;21j0:8:5258f9511<5:9o6<:8;<10a?73?278?k4>469>a2<6<>16in4>2d9>b2<6<>16==j512;8946b289270??f;30=>;6990:?45rs9:94?5|5131=nm4^9:89d`=9;i0q~oi:180`~;fn3;hn63=d6820==::m21=964=3`f>42?348ij7?;8:?06<<6<116??o515:8964e28>37089f;37<>;1?90:8552663951><5=499>024=9=201<6=:06;?87?<3;?463>84820==:91<1=964=0:4>42?34?mm7?;8:?6bg<6<=169km5156890b128>370;k7;37<>;2?o0:?4524gd956?<5;336<:7;<0:=?7302795l4>499>642?349370;jd;37<>;2ml0:8552245957b<5;;26<=6;<7:f?73027>5n4>499>1=k:06;?854m3;?463<3g820==:m>0:8552ee826`=:n>0:855211f9512<58:n6<:;;<33b?73<27:==4>459~w=0=839p15951ba8Z=0<5ho1=?m4}rcf>5<4lr7ji7?lb:?1`2<6<016>i6515;897db28>270;4:00:845233c951?<5:8i6<:6;<45b?73127=;=4>489>227=9=30198i:06:?82083;?563;70820<=:<>81=974=0:1>42>34;387?;9:?2<0<6<016=58515;894>028>270;ia;37=>;2nk0:8:525ga9511<54=4>389>156=9:301?77:06:?84>13;?563=9`820<=::0h1=974=5:7>42>34>397?;9:?7<3<6<016859515;891>?28>270=8c;37=>;41?0:8452385951?<5?o1=974=7d951?<5>:1=974=63951?<5ih4>489>646=9;n01??n:01:?82c13;9i63:9c820<=:=0i1=974=4;g>42>3498h7?;9:?07`<6<016?>h515;89`1=9=301k9515;8946c28><70??e;373>;68o0:8:5210295114ed3W2?70ok:00`?xufl3:1?iu2ae82gg=::m=1=9o4=3f;>42f348ii7?;a:?1fc<6j70==b;37e>;1>o0:8l52662951g<5?=:6<:n;<65b?73i27?;=4>4`9>027=9=k0199=:06b?87?:3;?m63>85820d=:91?1=9o4=0:5>42f34;3;7?;a:?6bd<6370;k6;37e>;2l>0:8l52513956?<5;336<:n;<0:=?73i2795l4>4`9>642f349j70;jd;37e>;2ml0:8l52221957b<5;;i6<=6;<6ge?75m27>5o4>4`9>145>34;;h7?;8:?24`<6<116==h515:8947728>37p}72;297~;?;3;ho6P72:?bg?75k2wxmn4?:0`x9de=9jh01?j8:06a?84c03;?n63=bd820g=::kl1=9l4=20:>42e3499m7?;b:?06g<6228>i70?76;37f>;60>0:8o52384951d<5:3<6<:m;<4f>42e3442e34=:6<:m;<06`?75l27>5o4>4c9>15<4s4=m64b9>77?=9=i01>>:1=9l4=54e>42e34><<7?;b:?731<6;016=5:515a894>228>h70?76;37g>;60>0:8n525g`951?<5=oh6<=6;<7;5?741279554>4c9>642d3442d34=;6<:l;<52>42d34?no7?;b:?6aa<696513f891be288n70;6b;37g>;21j0:8n5258f951e<5:9o6<:l;<10a?73k278?k4>4b9>b2<627p}8c;297~;0l3;ho6P8c:?b=?75k2wxm44?:0`x9d?=9jh01?j8:00e?84c03;9j63=bd826c=::kl1=?h4=20:>44a3499m7?=f:?06g<6:o16=5:513d894>2288m70?76;31b>;60>0:>k52384957`<5:3<6<44a3444a34=:6<5o4>2g9>15<2s4io65<5s4im659z?g4?7dj27:9k4k2:?22fg7<6kj1Uo<52c2826f=:k=0:>n5rsb194?`|5j91=nl4=567>a4<5=8<6i<4=2a0>a4<5=8o6i<4=510>a4<5=9i6i<4=07:>a4<5=;=6i<4=53f>a4<5=:>6i<4=7:9`7=:;jl1h?524029`7=:<=k1h?5rsb694?3|5j>1=nl4=5f3>a4<5a4<5<8i6i<4}r:3>5<4s42:6319>77?=9::01>;1=9l4=551>42e34><87?;4:?2<7<62289;70?76;304>;60>0:?=525ga951?<5=oh6<:;;<7;5?73<2795l4>4c9>6>4=2;4>4573445734=;6<=?;<52>45734?ni7?;b:?10c<6:m168im513g890?e289;70;6c;304>;21m0:?=5232f9566<5:9n6<=?;<10b?74827n;7?;b:?e3?74827:489>546=9=30q~9n:18081e28ih7S9n;44d3tyj47>51cy>e=<6kk16>i95123897b?289:70;5jo0:?<5233;9567<5:8j6<=>;<11f?74927:494>309>5=3=9:;01<69:012?87??3;8=63<978274=:;0=1=>?4=7g9567<5?l1=>?4=629567<5>;1=>?4=4:`>44b3488m7?=d:?6=g<6;81694m5123890?c289:70=;4;l0:?<5232d9567<5o=1=>?4}r5;>5<4s4=260:oo522e59564<5;n36<==;<0aa?74:279nk4>339>77?=9:801>63>858277=:91?1=><4=0:5>45534;3;7?<2:?0=3<6;;16?495120893c=9:801;h51208926=9:801:?51208974f288o70:kd;31a>;21k0:??5258a9564<5<3o6<==;<10`?74:278?h4>339>76`=9:801k951208yv75>3:18v3>2682gf=Y9;<01<<7:00`?87513;9o6s|13:94?20s4;947?lb:?12`h4k2:?016<94k2:?55<4k2:?50<7j=;<101?b5349j57j=;<656?b534?2=7j=;<7e5?b534<957j=;<41b?b534?:n7j=;<6ab?b534>n<7j=;|q26<<72=q6=?751b`896dc2m801;?i:e08906c2m80q~;ke;297~;2lo0:on5Q5eg890c7288h7p}:e183>1?|5329>6gc=9:901?li:010?85513;8?63<2`8276=:;;h1=>=4=74e>42d34<<<7?;c:?534<6h70:81;37g>;3?;0:8n52190951e<582?6<=<;<3;1?74;27:4;4>329>5=1=9:9018hm:06b?83ak3;?m63=99820f=::031=9m4=3;b>42d3482n7?;c:?7<1<6128>h70:77;37g>;3010:8n5236a951e<583i6<2d9>5ag=9;n01>79:010?85>?3;8?639e;307>;1n3;8?6380;307>;093;8?63:eb820f=:=ln1=9m4=4gf>42d34?>n7?=d:?6e0<6:m169><513g89054289270;<4;30=>;2>;0:>i52562956?<5<=:6<=6;<746?74127>;>4>389>122=9;o0187m:010?83>k3;8?63:9e8276=:;:n1=>=4=21f>4543498j7?<3:?f3?73k27m;7?<3:?242<6;016==o513f8946d288n70??d;37e>;68l0:8l5211d951g<58;;6<:n;<32e?74127:>?4>389~w1e72908w0:l1;3`g>X3k9168n<513a8yv2d:3:19>u24b095fd<5;n<6<=;;<0g2e9>0<4=9;o0197;:01:?82>=3;8563=bg8271=:>9=1=?j4=72b>44c34<=j7?=f:?0e`<6:m16?lh513f8910a288m70:80;31b>;3?80:>k52460957`<582?6<=;;<3;2?74<27>j:4>2e9>0`>=9;n018j9:06a?83ci3;9h63:d`826`=:>881=>74=736>45>34<==7?=e:?527<6:m16:;=512;89302288n708:7;30=>;30=0:>k52497957`<5=2=6<2e9>72?=9;n01>6;:00g?85?=3;9h63<89826a=:>=l1=?k4=773>44c34;i:7?<9:?2b`<6:m16=kh513g89767288o70=62;31`>;41:0:>i526d8271=:?90:?952708271=:;h<1=994=2`4>44c349i;7?=e:?563<6;01698l513g890g2288n70;n9;31`>;29>0:>i52520956?<5<986<:;;<700?73<27>:?4>2d9>130=9:30189?:067?830:3;?863:75827<=:;:n1=>:4=21f>4533498j7?<4:?1>44c34no6<44c34nm6<=6;44b34o<6<45334;;?7?=d:?24d<6:l16==j515`8946a28>i70?>7;31`>;69o0:>i5rs`194?5|5h>1=nm4^`189ge=9;i0q~ll:18e8dd28ii70;5l10:?8522cg9562<5:8j6<=;;<3;1?74<27:4:4>359>2c<6;=16;=4>349>1=b=9;o01?;j:00g?83>k3;8863<3e8270=:;:l1=>;4=g595636=4<{4ed3Wk>70lk:00`?xuel3:1jv3md;3`f>;5jo0:?85233;9562<5:8i6<=;;<3;0?74=27:4;4>349>7<0=9:>01>78:017?80b289>709>:016?83?l3;8563=08826a=:=0h1=>:4=4;g>4533498i7?<5:p0c4=839p19h<:0a`?[2a:27?j94>2b9~w1`32908w0:i4;3`f>;6=m0:>i5214f957c54z?2g2<6:j1695851ba8Z0>234?397?lb:p5f1=83?p13;9h63>67826`=:;ho1=>74=2ce>45>3ty>=n4?:2y>14b=9ji0R8?l;<72a?75k2wx9;6>>0:>h5rs461>5<4s4???7?lc:\607=:==>1=?m4}r770?6=>r7>894>cc9>031=9;n01988:00f?870>3;8563>79827<=:=?81=9:4}r7b`?6=;r7>mh4>cb9]1db<5;6i?0:?4521`:956?<58:j6<:;;|qg=?6=;r7om7?lc:\g=>;6:=0:>n5rs007>5289270=jb;31a>;4m80:>i523g1957c<5:l?6<:;;<0;e?75l2794l4>2d9>7cb=9;n01>hk:00f?xu2;o0;6>u255295fe{t==;1<7=t=462>4ee3492j7?=d:?0=c<6:l1v8jm:18083ck3;ho6P:dc9>1ab=9;i0q~;kd;297~;2lm0:oo5245g957b<5=>n6<;e93;9o6s|b083>7}:j80:oo5221c957c4ed3Wk:70lm:00`?xuej3:1?v3mb;3`f>;5980:>h52226957c4ed3W3<70l9:00`?xue>3:1>v3m6;3`f>;5:k0:>h5rs8;94?5|50k1=nm4^8;89g1=9;i0q~l8:1818d028ii70<{t1k0;6>u29b82gf=Y1k16n54>2b9~wg>=838p1o651b`89725288n7p}6d;297~;>m3;ho6P6d:?a=?75k2wxn44?:3y>f<<6kk16>97513g8yv?a2908w0o?:0a`?[?a34hj6<:18083d:3;ho6P:c09>1f7=9jh0q~;l5;296~;2k80:>n525b795fd52z?6g4o94>cc9~w1e42908w0:l4;3`g>X3k:168n=51b`8yv2d?3:1>v3;c2826f=:4k5:?7g3<6kk1v8:::180833>3;ho6P:449>113=9jh0q~;;9;296~;2<<0:>n5255;95fd52z?600854>cc9~w0d72908w0;m1;3`g>X2j9169o>51b`8yv3e<3:1>v3:b1826f=:=k>1=nl4}r7a7?6=:r7>n=4k5:?6f6<6kk1v9k>:18082b:3;ho6P;e09>0`7=9jh0q~:j3;296~;3m80:>n524d195fd53z?6`4<6kj1U9i>4=4f3>4ee3ty>h?4?:3y>1a6=9;i018j=:0aa?xu2?>0;6>u256:95fe{t=>31<744d34?<57?lb:p0c3=839p19h9:0a`?[2a=27?j84>cc9~w1`?2909w0:i5;31g>;3n10:oo5rs0ga>5<4s4;no7?lc:\2ag=:9lh1=nl4}r02b?6=:r7:io4>2b9>64`=9jh0q~?j0;297~;6m80:on5Q1d2894c728ii7p}=0483>7}:9l:1=?m4=326>4ee3ty:i?4?:2y>5`5=9ji0R=m50;0x94c5288h70{t9l=1<7=t=0g;>4ed3W;n;63>e682gg=z{;8=6=4={<3f3?75k279>;4>cc9~w4c>2908w0?ja;3`g>X6m016=h751b`8yv45n3:1>v3>e8826f=::;l1=nl4}r3f`?6=;r7:ih4>cb9]5`b<58oo6eg83>6}:9o:1=nm4^0ge?87bn3;hn6s|22f94?4|58om6<521g195fd52z?2b6<6:j16>9l51b`8yv7a=3:1?v3>f782gf=Y9o?01;2=?0:oo5rs47;>5<5s4?>:7?=c:?61=<6kk1v8o?:18083f93;ho6P:a19>1d6=9jh0q~;n2;296~;2i90:>n525`095fdjj7>53z?7f5<6kj1U8lh4=5ce>4ee3ty?n<4?:3y>0d`=9;i019l>:0aa?xu28?0;6>u251595fe{t=921<744d34?;47?lb:p15c=839p18>i:0a`?[37m27>

cc9~w0772909w0;?e;31g>;2990:oo5rs43e>5<4s4?9<7?lc:\65c=:=8l1=nl4}r715?6=:r7>=k4>2b9>177=9jh0q~?mf;296~;20<0:>n521cd95fd52z?6<0cc9~w4c22908w0?j6;3`g>X6m<16=h;51b`8yv46;3:1>v3>e4826f=::891=nl4}r6a2?6=;r7?n:4>cb9]0g0<5=h=66}:?;5252495fd52z?673<6:j169>651b`8yv32l3:1?v3:5d82gf=Y=34?=57?lb:p13d=838p1886:00`?831j3;hn6s|58094?5|5<386;21;0:oo5rs4;7>5<5s4?2>7?=c:?6=1<6kk1vn;50;1x9f0=9ji0Rn;4=b795fd44d34i<6;2km0:oo5rs4a0>5<3s4?h?7?lb:?6gd<6:m169nl513f8940?288o7p}:c783>7}:=j>1=?m4=4a5>4ee3ty>oh4?:3y>1f0=9;i018mj:0aa?xu2k>0;6?u25bd957b<52c83>f>|588i6oi4=619>0a6=:?l019j?:343?832<38=j63:558125=:=kl1>;h4=4`e>707348=i7<9f:?12`<5>916>o;527d897d22;<;70;5lh09:=5235:963`<5:>36?8?;<6:3?41n27?5:4=619>537=9;o01<;i:34e?871m3;9i63>6b812c=::j81>;h4=3a1>707348n?7<9f:?1a6<5>916>hj527d897cc2;<;70;5n>09:=52313963`<5:::6?8?;<13f?41n278743=:?l01>?::343?856n38=j63<1g8125=:;;o1>;h4=20f>707349>?7<9f:?016<5>916?8k527d8963b2;<;708?c;05b>;18j09:=52666963`<5?=?6?8?;<053?41n279::4=619>6fe=:?l01?ml:343?851138=j63<688125=:;k;1>;h4=2`2>70734><:7<9f:?733<5>916=5o527d894>f2;<;70:99;05b>;3>009:=5246g963`<5==n6?8?;<7eb?41n27>jk4=619>0c6=:?l019h?:343?83c138=<63:05812c=:=9>1>;>4=73:>70a34<:57<90:?7e5<5>o168l>5272891gb2;;3<=09:k524569636<5=8<6?8i;<613?418278o>4=6g9>7f5=:?:01>j6:34e?85c138=<63;2e812c=:<;n1>;>4=744>70a34<=;7<90:?0aa<5>o16?hj5272891542;;3;k09:k5242`9636<5;3n6?8i;<0:a?418279m:4=6g9>6d1=:?:01>k;:34e?85b<38=<63;>4=77b>70a34<>m7<90:?7o1685o52728943>2;;4?909:k523629636<5=;=6?8i;<622?41827?=h4=6g9>04c=:?:019;l:34e?822k38=<63>7c812c=:9>h1>;>4=0ca>70a34;jn7<90:?03c<5>o16?:h5272896>d2;;38<09:k524179636<5?9i6?8i;<40f?41827=8>4=6g9>215=:?:01;:6:34e?803138=<63953812c=:><81>;>4=7:963`<5?21>;>4=0;f>70a34;2i7<90:?2f<<5>o16=o75272894ba2;;58=09:k522169636<5;2h6?8i;<0;g?4182785l4=6g9>7o>:34e?85f938=<63;>4=2de>70a349mj7<90:?755<5>o168<>52728912f2;;3=909:k524429636<5=?=6?8i;<662?41827=?84=6g9>263=:?:01::527d8922=:?:01<7=:34e?87>:38=<63=93812c=::081>;>4=216>70a349897<90:?0e<<5>o16?l75272896dc2;;3>;09:k524709636<5<3:6?8i;<7:5?41827>j<4=6g9>1c7=:?:01;?i:34e?806n38=<63928812c=:>;31>;>4=70e>70a34<9j7<90:?64a<5>o169=j52728907e2;b;054>;2:k09:k5253`9636<5=hm6?8i;<6ab?41827?i=4=6g9>0`6=:?:0q~<85;29645|5;=>6o<7j>;<760?b634?ij7j>;<0a1?b6348om7j>;<0g3?74i279h:4>3c9>6a1=9:i01?j8:01g?84c?3;8i63=d6827c=::m=1=9>4=3f4>426348o;7?;2:?1`2<6<:16>i95157897b028>=70;5l10:?o522e:956e<5;n36<=k;<0g3g9>6a>=9=:01?j7:062?84c03;?>63=d98206=::m21=9;4=3f;>42134>2;7j>;<36b?b634;=o7j>;<0`6?b6348in7?<3:?1fg<6;=16>om5121897dd289?70;5jl0:?l522cg956d<5;hn6<=l;<0aa?74l279nh4>3d9>6gc=9:l01?lj:063?84em3;?=63=bd8207=::ko1=9=4=3`f>422348ii7?;6:?1fc<6;116>oh512c897da289i70;5jo0:?i522cd956c<5;hm6<=i;<0ab?738279nk4>409>6g`=9=801?li:060?84en3;?963=bg8203=::l91h<522df9`4=::o=1h<523139`4=::oo1=9m4=3df>44a348mi7?<0:?1b`<6;816>kk5120897`b289870;5no0:8n522gd957`<5;lm6<=?;<0eb?749279jk4>339>6c`=9:901?hi:017?857j3n:70=?8;31b>;4810:?=5231:9567<5::36<==;<13359>75?=9;l01>>6:013?85713;8=63<088277=:;931=>=4=22:>453349:97j>;<126?748278=?4>309>744=9:801>?=:010?856:3;8863<128275=:;891=>?4=230>455349:?7?<3:?056<6;=16?74e=9:;01>?l:011?856k3;8?63<1b8271=:;8n1=>?4=23g>455349:h7?<3:?05a<6;=16??k5d09>771=9:801><8:010?855?3;8863<28827==:;;31=>o4=20:>45e349957?289m70==9;374>;4:00:8<5233;9514<5:826<:<;<11=?73=278>44>479>77g=9:201>j4=20b>45b3499m7?970==a;377>;4:h0:885233c9510<5:8i6<=7;<11f?74i278>o4>3c9>77d=9:i01>4=20a>4263499n7?;2:?06g<6<:16??l51578964e28>=708?c;f2?800<3n:7089f;306>;1>o0:?>5267d9562<5?=;6<==;<444?74;27=;=4>359>227=9:801;9>:010?80093;8863=cb8g5>;5k00:?9522bc9562<5:h:6i?4=555>a7<5=359>024=9:>01<6n:e3894>5289;70?72;305>;60;0:??521909565<58296<=;;<3;0?74i27:494>3c9>5=2=9:i01<6;:01g?87?<3;8i63>85827c=:91>1=9>4=0:7>42634;387?;2:?2<1<6<:16=5:5157894>328>=70?75;30e>;60<0:?o52197956e<582>6<=k;<3;1?74m27:484>3g9>5=3=9=:01<6::062?87?=3;?>63>848206=:91?1=9;4=0:6>42134;3:7?1289o70?76;30a>;60?0:?k521949516<582=6<:>;<3;2?73:27:4;4>429>5=0=9=?01<69:065?87??3;8m63>86827g=:91=1=>m4=0:4>45c34;3;7?028>:70?77;376>;60>0:8>521959513<582<6<:9;<7eb?b634?mn7?=f:?6bg<6;9169kl5123890`e289970;ib;307>;2nk0:?9525ga957`<5jn4>339>1ce=9:9018hl:017?82a83n:70;k9;f2?83c>3;8<63:d78274=:=m<1=><4=4f5>45434?o:7?<4:?6`2<6;9169i95123890b0289970;k7;307>;2l>0:?9525169`4=:>831h<524`29`4=:?=1h<523df9`4=:<:91h<5242`9`4=::0o1h<5228:9562<5;326<=;;<0:e?74<2795o4>359>6d1=l816?h:5d09>7c>=l816:8o5d09>0=g=l81685:5121891>3289?70:75;307>;30<0:?9524949565<5=2=6<=;;<6;3?74;27?4:4>359>0=>=9:901967:017?87213n:70=80;f2?826>3n:70:>e;f2?822k3n:70?8b;f2?87fj3n:70=8f;f2?850k3;8<63<7b8274=:;>i1=><4=25`>4543494k1:?50<;<3a=?b634;oj7j>;<030?b63483o7j>;<1:e?b63492:7?;41?0:?k523849516<5:3=6<:>;<1:2?73:2785;4>429>7<0=9=?01>79:065?85>?3;8m63<96827g=:;0=1=>m4=2;4>45c3492;7?:70=67;376>;41>0:8>523859513<5:3<6<:9;<1b5?b6349hj7j>;<1eb?b634>:<7j>;<67e?b634>><7j>;<662?b634<897j>;<57>a7<5?o1=>o4=7g956d<5?o1=>m4=7g956b<5?o1=>k4=7g956`<5?o1=9>4=7g9517<5?o1=9<4=7g9515<5?o1=9;4=7g9510<5?l1=>o4=7d956d<5?l1=>m4=7d956b<5?l1=>k4=7d956`<5?l1=9>4=7d9517<5?l1=9<4=7d9515<5?l1=9;4=7d9510<5>:1=>o4=62956d<5>:1=>m4=62956b<5>:1=>k4=62956`<5>:1=9>4=629517<5>:1=9<4=629515<5>:1=9;4=629510<5>;1=>o4=63956d<5>;1=>m4=63956b<5>;1=>k4=63956`<5>;1=9>4=639517<5>;1=9<4=639515<5>;1=9;4=639510<58396i?4=3;1>a7<5:9>6i?4=210>4563498?7?<2:?076<6;:16?>=5126896g>2m;01>lk:e3891052m;0187>:e3890`62m;018kl:010?83bk3;8863:ee8276=:=ln1=>:4=4gf>45434?ni7?<4:?55c44k1:?56ci4>339>67b=9:901?b;f2?835j3n:70:mf;f2?82b83n:70;6b;30e>;21k0:?o5258`956e<5<3i6<=k;<7:f?74m27>5o4>3g9>1j3;?>63:9c8206=:=0h1=9;4=4;a>42134?2o7?;21j0:?k5258a9516<5<3h6<:>;<7:g?73:27>5n4>429>1l3;8m63:9e827g=:=0n1=>m4=4;g>45c34?2h7?:70;6d;376>;21m0:8>5258f9513<5<3o6<:9;<10g?74;278?n4>359>76b=9:201>=k:01b?854l3;8n63<3e827f=:;:n1=>j4=21g>45b3498h7?j51538965c28>970=;4;m0:885232f9510<5:9n6<=7;<10a?74i278?h4>3c9>76c=9:i01>=j:01g?854m3;8i63<3d827c=:;:o1=9>4=21f>4263498i7?;2:?07`<6<:16?>k51578965b28>=70=;4;o0:?l5232d956d<5:9m6<=l;<10b?74l278?k4>3d9>76`=9:l01>=i:063?854n3;?=63<3g8207=:;:l1=9=4=21e>4223498j7?;6:?f3?74:27n;7?<3:?f3?74<27m;7?27:339>55b=9:901<>k:017?877m3;8>63>0d8276=:99o1=>:4=02e>45534;;j7?<3:?24c<6;=16=<>512089477289870?>0;300>{t=j21<744c34?h47?lb:p1f?=838p18m8:00f?83d13;hn6s|3e394?`|52d9>7a7=9jh01>j::00g?85c>3;9h6344c349oj7?=d:?0a5<6:m16?h<513f896`3288o70=i5;31`>;4n?0:>i5rs2g6>5;4m<0:oo523d`957b<5:o96<2d9>7c0=9;o0q~=kb;29`~;2kh0:89525b`9512<58<36<:;;<1g2?741278h:4>389>7`?=9;o01>kn:00f?85cj3;hn6345>349m97?<9:?0b3<6;01v>ki:18:83di3;?;63:cc8202=:9?21=994=2f5>423349o;7?;4:?0a7<6;016?hh51b`896`4288o70=i6;370>{t=jk1<74ee34?h57?=d:p51c=83?p18mm:06;?873m3;hn63;5`826a=:<44c3ty?8o4?:3y>1fd=9=3019:m:0aa?xu2kk0;6?u25b`95fd<5;3l80:>h52547957b<56<o=4>2d9>017=9;n019:=:00g?82403;9h63;38826a=:9<=1=?j4=70957b<5=:i6<>;4>2e9>f`<6kk169>m513f8905c288o7p};cg83>6}:44d34>o<7?lb:p0f3=83?p19m::0aa?87183;9h63>61826`=:9?n1=?j4=04g>44b3ty?o54?:3y>0f0=9;i019m7:0aa?xu3l80;6?u24b:957e<5=n:6513a891e>28ii7p};c`83>7}:4ee3ty?oo4?:3y>0f?=9;o019mm:0aa?xu39o0;6<9t=5a`>44c34>hh7?=d:?7g`<6:m1699h513f890df288o70:>f;3`f>;3:=0:>i52437957b<58?=6<2e9>27<6:l16:>4>2e9>21<6:m16:84>2e9>23<6:m16?n7513f896ef288o70=lb;31`>;38l0:>i52536957b<5<8<6<;3<80:>h52450957c<5=8?6<2d9>06?=9;o01<;9:00f?826j3;9i63;1b826`=:;j21=?j4=2a:>44b349hh7?=d:?74f<6:m1v9<7:1820~;3kj0:?4524bf957c<5=in6<no4>2e9>075=9;n019<<:00f?82503;hn63>53826a=:9<81=?k4=70956?<5?91=?k4=76957c<5??1=?k4=74957c<5:i26<=6;<1`e?75m278oo4>2d9>05c=9;o018<;:00f?xu3:l0;6<=t=5a`>42334>hh7?<9:?7g`<6;01698?513f890dd288o70:=b;31`>;3:k0:>h5243g95fd<58?86<7?;4:?57?74127=87?<9:?51?74127=:7?<9:?0g<<6<=16?no512;896ee289270:?e;30=>{t<:>1<7?<{<6`g?73?27?oi4>459>0fc=9=>018;=:00g?83el3;9h63;30826a=:<:;1=?k4=517>4ee34;>87?=d:?211<6:l16:?4>469>26<6<=16:94>459>20<6<=16:;4>459>7f?=9==01>mn:067?85dj3;?863;0d8201=z{=ih6=4={<6`g?7dj27?oo4>2e9~w15d290:9v3;ce8202=:9?k1=?k4=51`>4ee34>?<7?=d:?77=<6;0168>7512;89430288n708=:06;?80428><708;:064?80228><7089:064?85d03;9i6344b34>;o7?=e:?74a<6:m168=k515589041288n70;=8;30=>{t4ee34>hn7?=e:p056=83kp19mj:064?871i3;8563;40827<=:<:21=9:4=074>45>34>;<7?lb:?52?730278oi4>389>05b=9;o018=k:00f?xu3<<0;6lu24bg951><5=>96<=6;<60=?73<27=:7?;9:?0g=<6;016?nl51558916c289270:;5;3`f>;2:?0:?45252f956?hi7>52z?7g`<6kk168nl512;8yv32;3:1?v3:48826f=:===1=?m4=477>4ee3ty>8:4?:3y>111=9jh018;=:01:?xu2j67}:=<>1=?m4=46a>4ee3ty>8n4?:3y>11d=9;n018:l:0aa?xu2o6{t==o1<74ee34??h7?=d:p53`=83kp18:i:00f?83283;9i63:50826`=:=<81=?k4=04e>4ee34;<:7?=d:?232<6:m16=:6513f896ec28>?70?>e;31`>{t==l1<74ee34??h7?=e:p106=838p18;?:0aa?833l3;856s|54394?4|5{t=ko1<7=t=4`7>44d34?i>7?=c:?6fc<6kk1v8l=:18183e:3;hn63:be827<=z{6=4={<7a7?75k27>n84>cc9~w0e72909w0;m5;31g>;2k90:oo5rs4`5>5<5s4?ij7?=c:?6f3<6kk1v8l8:18183e>3;9h63:b682gg=z{n54>cc9~w40>2908w0;m9;31`>;2j00:>h5217;95fd52z?6f<<6kk169o6513f8yv7>n3:1mv3:b`826`=:=kh1=?k4=4``>44b34?ih7?=e:?22d<6<=16=4h51b`894g1288o70?n7;31`>;6i10:>i52104957b52z?6fd<6kk169o6513g8yv3ej3:1>v3:bc82gg=:=k21=>74}r7ag?6=:r7>nn4>cc9>1g>=9=>0q~;md;296~;2jm0:oo525c:951152z?12=<6:j16>;k51b`8yv4103:1>:u227:95fd<5:>=6<:;;<0af?741279nn4>389>6`6=9:301?k>:01:?84bi3;8563=ec827<=::o>1=>74=3d6>45>348mi7?<9:?1bc<6;016?=6512;8966>289270=>2;30=>;49:0:?45230a956?<5:;o6<=6;<113?7412789<4>389>70e=9:301;8l:00g?84d13;8563=c`827<=:;?<1=9:4=244>42334<==7?=d:?520<6:m16>48512;897g3289270;1<80:>i5265g957b<5?>m6<i4>389>76d=9:301im513f89ab=9;n0q~<9a;296~;5>l0:>n5227c95fd52z?12`cc9~w70e2909w0<9a;31`>;5>k0:oo5rs34`>5<5s48=m7?=e:?12f<6kk1v>:=:18a841k3;9h63<4382gg=:;=<1=?j4=26e>44c349><7?=d:?01<<6:m16?8l513f89702288o70=94;31`>;4><0:>i52374957b5az?12f<6:l16?9o51b`8962a288n70=:0;31a>;4=h0:>i5234`957c<5;<>6<2d9>730=9;o0q~=:4;29=~;5>j0:?45234695fd<5:?26<389>633=9:301>8;:01:?851=3;8563<67827<=z{:?m6=4;{<05g?73<279:84>459>70`=9jh01>88:00g?xu50>0;6?8t=34:>44c349?:7?=e:?006<6:m16>ol513f897dd288o70;5m80:>i522dc957b<5;oi6<2e9>6cc=9;n01?hi:00g?85703;9h63<08826a=:;881=?j4=230>44c349:o7?=d:?05a<6:m16??9513f89636288o70=;b;31`>;4=j0:>i52347957b<5;<96<2e9>732=9=>01>88:00f?85183;9h63=97826a=::h>1=?j4=3c6>44c3483;7?lb:?1=5<6:m16>4>513g8974c288o70={t:1n1<7<;{<05=?75m2788;4>389>715=9;o01?lm:00f?84ek3;9i63=e1826`=::l;1=?k4=3gb>44b348nn7?=e:?1b1<6:l16>k;513g897`b288n70;4810:>h5231;957c<5:;96<2d9>74b=9;o01><8:00f?85293;9i63<4c826`=:;44b348=>7?=e:?1g<<6:l16>no513g8960228>?70=97;30=>;4>90:>h52284957c<5;k?6<cc9>67b=9;o01>=m:00f?xu5j=0;6?u22`;957e<5;h>644c348i?7?=d:?1`1<6:m16>i8513f897de28>?70;5m90:89522d39512<5;oj6<:;;<0ff?73<279j94>459>6c3=9=>01?hj:067?84an3;?863<098201=:;931=9:4=231>423349:?7?;4:?05f<6<=16??70;5kh0:89521e`957b<5:986<2e9>b6<6:m16j94>2e9>b0<6:m16j;4>2e9>bg<6:m16jn4>2e9>ba<6:m16jh4>2e9>bc<6:m1v?on:18184e=3;9o63=a`82gg=z{;ki6=4={<0be?75l279mo4>cc9~w7gd2909w0;5ij0:oo5rsg394?5|5;ko6<6}::ho1=?j4=3cf>44b34l26lk51b`897gd288n7p}<4083>77|5;km6<2d9>6g4=9;o01?l<:00f?84c=3;9h63=d7826`=::m=1=>84=3f;>45134;387?<6:?2<0<6;<16=585124894>0289>70=66;301>;41>0:?8526d8273=:>o0:?852718273=:?80:?;5258`9563<5<3h6<=:;<7:`?74=2788<4>cc9>b6<6:l16j94>2d9>b0<6:l16j;4>2d9>b2<6;?16jo4>2d9>bf<6:l16ji4>2d9>b`<6:l16jk4>2d9~w7ga2909w0;5ij0:?45rs3;0>5<4?r79n=4>389>6a1=9:=01?j7:014?84ei3;9h63=bc8202=::ko1=>;4=3`e>451348oj7?=d:?1a5<6<>16>h7513f897cf28><70;5n=0:8:522gf957b<5;ln6<:8;<133?75l278<54>469>747=9;n01>?=:064?856j3;9h63<1b8202=:;;<1=?j4=204>420349957?<5:?06d<6;<16??l5127897e?288o70;60=0:?:521979560<582=6<=8;<3;3?74>27?jn4>2e9>0cb=9;n01?7<:0aa?84>>3;?863=a58201=::h?1=9:4=2;5>4513492;7?<6:?5a?74?27=j7?<6:?44?74?27<=7?<7:?0f<<6:l16?oo513f890>f288o70;6b;302>;21j0:?;5258f9560<5:9h6<278?h4>379>76`=9:<01k951258yv4e83:1>v3=b182gg=::hi1=9:4}r0b4?6=;>q6>o?512;897b0289370;5jh0:>h522ca9511<5;hn6<=9;<0ab?74?279hk4>2d9>6`7=9==01?k6:00f?84bj3;?;63=f2826`=::o?1=994=3dg>44b348mj7?;7:?042<6:l16?=7515589676288n70=>3;373>;49k0:>h5230f9511<5:8=6<278>l4>379>77d=9:<01?m7:00f?84di3;?;63>85827==:91?1=>94=0:5>45?34;3;7?<7:?7bf<6:l168kj513g897?128><70;5i=0:8:522`79511<5:3=6<=8;<1:3?74?27=i7?<8:?5b?74?27<<7?<8:?45?740278n44>389>7gg=9;o0186n:00f?845l3;?;63:9c8272=:=0i1=>94=4;g>4503498o7?<9:?07a<6;>16?>k51258965a289<70h8:01;?xu5j80;6?u22c395fd<5;kh6<:8;|q1g`<728ip1?l=:01:?84dm3;hn63=d5826`=::m?1=?k4=3f5>45>348in7?;8:?1ff<6<116>h>515:897c628>370;5mk0:85522g6951><5;l>6<:7;<0ea?730279jk4>499>75>=9=201>>6:06;?856:3;?463<12820==:;8i1=964=23g>42?3499;7?;8:?1g<<6<116>no515:894be288n70=<3;31a>;5:m0:855232a95127>52z?1f7<6kk16>lm515:8yv4cj3:1=:u22c1956?<5;hi6<:6;<0ag?731279ho4>cc9>6a`=9:301?kn:06:?84bj3;?563=f5820<=::o?1=974=3df>42>348mj7?;9:?04=<6<016?=7515;8967528>270=>3;37=>;49j0:845230f951?<5:8<6<:6;<0`=?731279ol4>489>765=9:301?i750;0x97eb288h70{t:jl1<744d348hj7?lb:p6a6=838p1?mi:00g?84c83;hn6s|2e394?4|5;im6<;60:0:oo5rs3f1>5<5s48o>7?lb:?1`4<6:m1v>7::18084c;3;9h63=d2826`=:;0?1=nl4}r0g7?6=:r79h>4>cc9>6a7=9;o0q~52z?1`0<6kk16>i?51568yv4c>3:1>v3=d782gg=::m;1=994}r0g3?6=:r79h:4>cc9>6a7=9=20q~53z?007<6:j16?97513g8962?28ii7p}<4583>7}:;=31=?j4=267>4ee3ty8844?:3y>71?=9jh01>:7:00`?xu4<:0;6?u235:9`4=:;=91=nl4}r171?6=:r78894>2e9>713=9jh0q~=;6;296~;4<=0:>h5235495fd2:7>53z?766|5=2i6389>0<5=9;o0197;:067?82>=3;?86396g8275=:>>:1=?h4=752>44a34>=j7?<0:?735<6;9168:?512289115289;70:j9;31`>;3i10:>i5228:957`<5;326<2g9>0=2=9::0196::013?82?>3;8<63;868275=:<121=?h4=05:>44c34;j57?=d:?03d<6:m16=4m513f894d128>?70?m7;30=>;2mj0:>k525df957`<5;<4>459>124=9==0189<:067?830<3;?863j3;30=>;b<3;8563j5;30=>;b>3;9i63j7;304>;68j0:?45211f951e<58:n6<:m;<33b?73k27:==4>4c9~w1>d2909w0:68;31`>;30j0:oo5rs5;;>5<5s4>247?lb:?7=2<6:j1v96k:18182?k3;9h63;8e82gg=z{=2n6=4={<6;g?75m27?4h4>cc9~w30b2908w0:7f;31`>;30o0:>h5267g95fd3j7>52z?7;3190:>h52e382gg=z{=3;6=4={<6:4?7dj27?4h4>2d9~w6d3290?;v3;90827<=:<091=>74=3`f>450349957?<7:?06d<6;>16??l51258936?288o708?a;31a>;1?90:?=526639566<5:kn6<399>5=1=9:2018h8:00f?82b03;9i63:d6820g=:>891=>74=735>45>34<==7?<9:?527<6:l16:;;512;897??289;70<69;304>;51h0:?=5228`9566<5??36<=6;<6;2d9>72?=9;o01>6;:00f?85?>3;9h63<89826`=:>=l1=>74=773>44b34;i;7?;4:?2b`<6:l16=kh512;89767288n70;41;0:>h52386957b<5:3=6<=7;<1:3?74027=j7?<8:?0e2<6<>16?o:51b`890cd289;70;jd;304>;2ml0:?=52635956?<5;<4>469>125=9==0187m:01;?83>k3;8463:9e827==::3;9i63kd;30=>;cm3;9i63j0;30=>;b;3;?863j4;370>;b>3;8563>02826`=:99i1=9:4=02f>42d34;:<7?;c:?252<6:l16=93:1>v3;9082gg=:<1o1=>74}r6:=?6=:0q684<5156891?428>?70:64;373>;31<0:8:5247d9567<5==:6<=>;<6:=?7dj27?5h4>2e9>0d0=9;n019o8:00g?84>03;8=63=9`8274=:<1>1=>?4=5:5>45634;;6100:>i521c1957b<58h>6<469>002=9;n018kl:012?83bm3;8=63:71820==:=>;1=964=451>42?34?16i>4>469>a1<6<>16i84>459>a3<6<=16==m51558946c288m70??e;31b>;68o0:>k52102957`j=7>54gy>0<4=9==0197<:064?82><3;?463;94820==:<>:1=>?4=551>45634><87?;7:?7ag<6<=168hm5155891cc28>?70;8e;370>;2?o0:89525929512<5<2:6<:8;<7;6?73<27?jk4>459>156=9=>018>>:067?82>l3;9h63;9e826`=:44b34>j47?=e:?1=<<6;816>4l5123891>2289:70:77;305>;3010:?<5216;957c<58k26<2e9>5b5826a=:9k?1=?k4=0`5>42?34;i;7?;8:?711<6:l16:n4>2e9>2f<6:l169hj5123890g0288o70;n8;30=>;2i00:?4525`c9512<5;;36<:;;<02=?73<279=l4>459>64d=9=>01??l:067?83083;?563:70820<=:=>81=974=450>42>34?<87?;8:?f7?73027n87?;8:?f1?73?27n:7?;7:?246<6;016==:51568946228>?70??6;370>;68>0:895211a951><58:o6<=?;<33a?74827:319>546=9::01188201=:98k1=9:4=03e>45>34;9<7?;4:?264<6<=16=?<51568yv12290>nv3;93820==:<091=964=5;7>42>34>297?;9:?52c<6;816::>512389316289:70:9f;306>;3?90:??524639564<5==96<==;<640?73027?io4>469>0`e=9=2019kk:064?830m3;?;63:7g8202=:=1:1=994=4:2>42?34?3>7?;7:?7bc<6<>169=>51558906628><70:n8;30=>;5110:??5228;9564<5;3j6<==;<0:f?74:27?494>339>0=3=9:801969:011?82??3;8>63;898277=:9><1=?k4=054>44b34;<47?=e:?23<<6;016=l8513g894g0288n70?n8;31a>;6i00:?45218`956?<583h6<=6;<3a1?74127:n;4>489>5g1=9=301<7?:00g?83bk3;8>63:ee8277=:=lo1=><4=4c4>44b34?j47?;4:?6e<<6<=169lo51558977?28><70<>9;373>;59h0:8:5220`9511<5;;h6<:8;<56>4ee34>ih7?=d:?635<6j70;83;37e>;2?=0:8452e2820<=:m=0:8452e4820==:m?0:8552e68274=:9991=9:4=027>42034;;97?;7:?243<6<>16==951558946d28>270??d;305>;68l0:?<5211d9567<58;;6<=>;<322?75m27:=:4>459>54>=9==011d826`=:98l1=9:4=003>42034;9=7?;7:?267<6<>1v97=:18182>:3;hn63;8d8201=z{=386=4={<6:7?7dj27?4h4>469~w1?32909w0:64;3`f>;30l0:855rs5;6>5<5s4>297?lb:?7<`<6<01v<;j:181872i3;9o63>5g82gg=z{8?j6=4:{<36e?7dj27?>84>2d9>04d=9:3018<8:00f?876;3;9h6s|17294?4|58<:6<{t944d34;>n7?lb:p50e=838p1<;m:00g?872k3;hn6s|14f94?4|58?i6<{t9?81<78t=041>4ee34>997?<9:?75f<6;0168;>513f89107288n70;=7;30=>{t9?k1<744d34;=m7?lb:p53b=838p1<8j:00g?871l3;hn6s|17g94?4|58{t9?>1<744c34;=87?lb:p533=838p1<8<:00f?871=3;hn6s|17494?4|58<=6{t9?21<74ee34;=97?<9:p6f7=839p1?l9:00`?84d;3;9i63=c382gg=z{;h=6=48{<0a2?7dj279nl4>389>6f?=9=k01?mn:06b?854;3;?863<3b820==:n:0:?45rs3a3>5<5s48ih7?=c:?1g5<6kk1v?lk:18084el3;hn63<38826a=:;:31=?k4}r0a3?6=:r79o>4>2e9>6g1=9jh0q~52z?1f2<6:m16>o651b`8yv4e13:1>v3=b6826`=::k31=nl4}r0ae?6=:r79nl4>cc9>6g?=9;n0q~42f348n97?lb:?1a<<6;016>k:515c897`228>j70;5no0:8l5231:951g<5::26<:n;<126?73i278=>4>4`9>74e=9=k01>?k:06b?855?3;?m63=c8820g=::jk1=9l4=210>4203489h7?;a:?07f<6<016jo4>389~w7ca290:?v3=bc820g=::ki1=9l4=3ge>4ee348m?7?<9:?1b`<6kh515`8966?28>i70=?9;37f>;49;0:8o52301951d<5:;h6<:m;<12`?73j278>:4>4c9>6f?=9=i01?mn:06`?854;3;?463=2e820g=:;:i1=9o4=ga956?510y>6gd=9=i01?ll:06`?84a13;hn63=fe827<=:;921=9m4=22:>42d349:>7?;c:?056<6h70==7;37g>;5k00:>k522bc957`<5:986<:6;<01`?73k278?n4>4c9>ba<6;01v>><:18e84ej3;9j63=bb826c=:;991=nl4=224>45>349:>7?=f:?056<6:o16?;5k00:?=522bc9566<5:986<:n;<01`?75n278?n4>4b9>b`<6;01v>>k:18g84ej3;8<63=bb8275=:;9n1=nl4=232>45>349:o7?<0:?05a<6;916??95122897e>289:70;4;:0:8o5223f9566<5:9h6<45>3ty8=:4?:cy>6gd=9:;01?ll:012?856?3;hn63<1c827<=:;;=1=>?4=3a:>455348hm7?<2:?076<6?j51238965d289;70h;:01:?xu4:80;64u22c`9564<5;hh6<==;<115?7dj278>;4>389>6f?=9:901?mn:010?854;3;9j63<3b8274=:n<0:?45rs3`a>5<5s48in7?lb:?1f<<6:l1v?ll:18184ek3;hn63=b8827<=z{;hn6=4={<0aa?7dj279o=4>2e9~w7da2909w0;5k90:>h5rs3g1>5<4s48on7?=c:?1a1<6:l16>h=51b`8yv4ck3:1>v3=e5826a=::mi1=nl4}r0f0?6=:r79i94>cc9>6`5=9;i0q~i522ef95fd52z?1`f<6:l16>ik51b`8yv4cn3:1>v3=dg82gg=::mo1=?j4}r0f4?6=:r79i=4>cc9>6ac=9;o0q~53z?1a0<6:j16>hk513g897cc28ii7p}=e783>7}::lo1=?j4=3g5>4ee3ty9ih4?:3y>6`c=9jh01?kk:00`?xu5m>0;6?u22d4957b<5;o<6h8513g897c?28ii7p}=e883>7}::l31=nl4=3g;>44c3ty9il4?:3y>6`g=9jh01?k7:00f?xu5mk0;6?u22d`95fd<5;o36<=6;|q1b3<72:q6>hh513a897`?288n70{t:o:1<744c348m<7?lb:p6c>=838p1?h7:0aa?84a?3;9o6s|2g394?4|5;l;6<k<50;0x97`7288n70{t:o91<74ee348m>7?=d:p6c2=838p1?h;:0aa?84a:3;9i6s|2g794?4|5;l>650;1x97`>288h70=?2;31a>;4880:oo5rs3db>5<5s49;>7?=d:?1bd<6kk1v>>=:181857:3;hn63<00826f=z{;li6=4={<0ee?75l279jo4>cc9~w7`d2909w0;5nj0:oo5rs3dg>5<5s48mh7?lb:?1bf<6:m1v?hj:18184am3;hn63=fb826`=z{;lm6=4={<0eb?7dj279jn4>389~w66f2908w0=?3;31g>;48j0:>h5231`95fd52z?04f<6:m16?=:51b`8yv57k3:1>v3<0b82gg=:;9h1=?m4}r131?6=:r78<94>2e9>753=9jh0q~=?6;296~;48=0:>h5231495fd52z?042<6kk16?=8513f8yv5703:1>v3<0982gg=:;9<1=?k4}r13=?6=:r78<44>cc9>750=9:30q~=>4;297~;48m0:>n52304957c<5:;>67}:;8<1=nl4=236>44d3ty875c=9;n01>>i:0aa?xu4990;6?u231g957c<5:;;67}:;881=nl4=233>44b3ty8=>4?:3y>745=9jh01>??:01:?xu49l0;6>u2305957e<5:8;6<8;3`f>{t;;:1<74ee349:j7?=c:p74?=838p1>?7:00g?85613;hn6s|30c94?4|5:;36<a;31`>{t;8i1<74ee349:m7?=e:p74b=838p1>?k:0aa?856i3;856s|33f94?5|5:8:6<h4>cc9~w64d2909w0==8;31g>;4:j0:oo5rs20;>5<4s49947?lb:?07d<6:m16?>o513g8yv55:3:1>v3<2g826a=:;;81=nl4}r11b?6=:r78>k4>cc9>77c=9;i0q~==3;296~;4:;0:>i5233195fd52z?067<6:l16??:51b`8yv45k3:1?v3<24826a=:;;?1=?k4=30`>4ee3ty8>84?:3y>773=9jh01><;:00g?xu4:?0;6?u233495fd<5:8?6<7}:;;31=nl4=20`>44c3ty8>l4?:3y>77g=9jh01>7}:;<91=?m4=26`>4ee3ty88o4?:3y>705=l816?9l51b`8yv53l3:1>v3<4b826a=:;=n1=nl4}r17a?6=:r788n4>2d9>71c=9jh0q~=;f;296~;4<7>52z?015<6kk16?9k513g8yv5293:1>v3<5082gg=:;=o1=>74}r16`?6=:r78994>2b9>70c=9jh0q~=:6;296~;4=l0:>n5234495fd97>52z?01`cc9~w6302909w0=:6;31`>;4=>0:oo5rs27;>5<5s49>:7?=e:?01=<6kk1v>;6:18185213;hn63<59826a=z{:?j6=4={<16e?7dj278954>2d9~w63e2909w0=:b;3`f>;4=10:?45rs27`>5<5s49>o7?lb:?01=<6<=1v;>m:18180793;9o6390b82gg=z{?::6=4>3z?544<6kk16:=9513g8936?288n708?9;31`>;19;0:89526019512<5?;>6<:;;<422?73<27=:>4>459>201=9=>01;;7:067?847:3;?8639278201=:>;=1=9:4=441>45>34?=:7?;4:?gb?73<27n<7?;4:?24d<6;01v;>=:181807k3;9o6390382gg=z{?:86=4={<436?75l27=<>4>cc9~w3632909w08?2;31a>;18=0:oo5rs770>5;1<>0:>i52655957c<52e9>24b=9;o0q~8?5;296~;18<0:oo52616957b53z?543<6:m16:=8513g8930328ii7p}90783>7}:>9<1=nl4=727>44b3ty>j?4?:7y>251=9:301;>7:01:?83a:3;hn63:f6827<=:>8?1=994=735>4203ty=<:4?:3y>251=9jh01;>;:01:?xu1810;6?u261:95fd<5?:?6<:;;|q51g<728?p1;>6:00f?807i3;85639138202=:>891=994=736>42?34<::7?;8:?51g<6kk16:;>513f8930228>?708:7;373>;1=10:8:5265d9512<5??;6<=6;<3e`?75l27:jh4>389>270=9==01;<8:064?8bc28>?70jj:01:?8ba28><70k?:064?xu1;j0;6ou261;956?<5?<;6<389>235=9==01;=l:0aa?87al3;9i63>fd8201=:9ol1=9:4=323>45>348;>7?;7:p25?=838p1;>6:0aa?807<3;?;6s|67:94?`|5?:j6<:;;<452e9>237=9==01;8=:067?801=3;?;63940826`=:>=o1=?k4=76e>42034<><7?;4:?2bc<6<>16>=>515689ae=9;o01ij515589ac=9=>0q~<91;293~;18h0:8:5267a957c<5;<:6469>656=9==01ik51558yv07i3:1>v390`82gg=:>9>1=964}r447?6=:r7=:54>2b9>222=9jh0q~882;296~;1>l0:>n5266095fd52z?531<6:j16:;751b`8yv01i3:1>v3968826a=:>?k1=nl4}r45f?6=:r7=:44>2d9>23d=9jh0q~89c;296~;1>j0:oo5267`957b56z?52a<6:l16:>851b`89354288o708<3;31a>;1:=0:>i52636957c54z?52a<6;016:>>51b`8934c288o708=d;31a>{t>?n1<74ee34<=n7?=e:p23`=838p1;8i:0aa?800:3;9h6s|66294?4|5?=;6{t:?<1<744d348=;7?lb:p635=838p1?88:00`?841;3;hn6s|27094?4|5;<<6i?4=341>4ee3ty9:94?:3y>635=9;n01?8;:0aa?xu5><0;6?u2271957c<5;<>6n:513a897ec288n70{t:j>1<7;t=3a7>4ee348h47?<9:?076<6;916?>m512089c0=9:30q~i522b795fd52z?1ga<6kk16>nm513a8yv4d>3:1>v3=c4826a=::j<1=nl4}r0`3?6=:r79o84>2d9>6f1=9jh0q~52z?1g<<6kk16>n9513g8yv4di3:1>v3=c`82gg=::j=1=>74}r152b9>73g=9;o01>86:0aa?xu4>80;6?u237c957b<5:<:6288h7p}<6183>7}:;?31h<5237295fd7>52z?024<6:m16?;<51b`8yv51;3:1>v3<60826`=:;?91=nl4}r150?6=:r78:94>cc9>735=9;n0q~=95;296~;4><0:oo52371957c52z?023<6kk16?;=512;8yv51?3:1>v3<6682gg=:;?91=9:4}r1a4?6=;r78ml4>2b9>7g4=9;o01>l>:0aa?xu4ih0;6<7t=2cb>4ee349ji7?;4:?0ec<6<=168hj515:890>528>3708>2;37<>;19:0:8552607951?<5?;=6<:6;<457?73027=9:4>499>20>=9=201?>=:06;?805>3;?463926820==:=hk1=964=33`>42?34?=:7?;7:?631<6515:89`3=9=301h8515;8946d28>j7p}7}:;k81=?j4=2ca>4ee3ty8n?4?:3y>7g4=9jh01>l>:00`?xu4ij0;6?u23``957b<5:kh67}:;ho1=nl4=2cg>44c3ty8m?4?:3y>7d`=9==01>o=:0aa?xu4io0;6?u23`d95fd<5:ko6<{t4ee34>=j7?<3:?735<6;:168:?512189115289870<68;307>;5100:?>5228c9565<5;3i6<=<;<3a1?73<27>m:4>389~w1132909w0:83;31g>;3?=0:oo5rs550>5<4s4>v3;76826a=:cc9>020=9;i0q~:9c;296~;3>k0:>i5247a95fd=h7>52z?72g<6:l168;j51b`8yv4>?3:1?v3;6d826a=:4ee3ty?:h4?:3y>03c=9jh0198k:00g?xu3>o0;6?u247d95fd<5=51b`8910c28927p};7083>7}:<>;1=nl4=54g>4233ty?;?4?:3y>024=9jh0198k:064?xu6000;6?u216f957e<582j65288m70;i9;31a>;2nk0:8o525ga951d<52d9>72d=9;n01>9l:00e?836>3;9i63:19827<=:=831=>74}r3;4>2b9>5=>=9jh0q~?8e;296~;60h0:>n5216g95fd52z?23`<6:m16=:h51b`8yv7?83:1>v3>7d826`=:91:1=nl4}r142e9>5=7=9;o01>97:0aa?xu6080;6?u219395fd<582;6<7288n7p}>8583>7}:91>1=nl4=0:;>44c3ty:484?:3y>5=3=9jh01<67:00f?xu60?0;6?u219495fd<58236<=6;|q2<2<72;q6=5951b`894>?28>?7p};6983>7}:4ee3ty?:>4?:2y>035=9jh01<98:01:?876m3;856s|47794?4|5=<26<2m;0198;:0aa?xu3>?0;6?u2477957b<5=<=6=}:1=?j4=547>44b34><57?=d:?73<<6:l168:h51b`894?7288n70:mc;31`>;3jj0:>h5rs55g>5<5s4><47?=c:?73`<6kk1v997:18082003;hn63>a6827<=:98<1=>74}r64e?6=:r7?;h4>2b9>02g=9jh0q~:89;296~;3?l0o=63;7882gg=z{==i6=4={<64e?75l27?;o4>cc9~w11d2909w0:8a;31a>;3?j0:oo5rs4df>5<4s4?m>7?=c:?545<6:l169kh51b`8yv3al3:1>v3:f9826f=:=on1=nl4}r7ej54>cc9>142=9;n018?;:00f?xu2n:0;6?u2612957b<551b`890`a288h7p}:f583>7}:=o91=?j4=4d7>4ee3ty>j84?:3y>1c5=9;o018h::0aa?xu19=0;6>u25g4957b<5{t=o=1<74ee34?m97?=e:p5c?=839p18h6:01:?87a13;hn63>fe827<=z{ji4>2e9~w0`f2909w0;ia;3`f>;2nm0:>h5rs0:a>5<4s4?mn7?;c:?6bf<6v3:fc82gg=:=on1=>74}r7eg?6=:r7>jn4>cc9>1cb=9=>0q~:jf;297~;3m:0:>n524g3957e<5=l;6288n70?>3;31a>{t44d34>ni7?lb:p0`g=839p19kn:0aa?876=3;9h63>14826`=z{=o?6=4={<6e4?75k27?i94>cc9~w1c22909w0:j4;31`>;3m<0:oo5rs5g5>5<5s4>n87?=e:?7a3<6kk1vcc9>0`0=9;n0q~:j8;296~;3m10:oo524d4957cn57>52z?7a<<6kk168h8512;8yv2bj3:1>v3;ec82gg=:cc9>0`c=9;o0q~:jd;296~;3mm0:oo524dg956?52z?6`7<6:j169i751b`8yv3c;3:1>v3:d8826f=:=m91=nl4}r7ge?6=:r7>h44=6g9>1ag=9jh0q~;k4;296~;2l:0:>i525e695fd52z?6`6<6:l169i;51b`8yv7c;3:1:v3:d7826c=:=m=1=?h4=0f0>4ee34;oo7?=d:?650<6:m169<;513g8yv3c>3:1>v3:d782gg=:=m?1=?j4}r7g3?6=:r7>h:4>cc9>1a3=9;o0q~;74;296~;2?00:>n5259695fd52z?63a<6:j1695=51b`8yv30l3:1?v3:7e82gg=:9981=?j4=021>44b3ty>;l4?:3y>1=2=9;i0189n:0aa?xu2?k0;6?u256c957b<5<=i66}:=>i1=?j4=45`>44b34?=?7?lb:p12c=838p189j:0aa?83?;3;9h6s|56d94?4|5<=m650;0x90>728ii70;73;30=>{t=1;1<74ee34?3?7?;4:p1=4=838p186=:0aa?83?;3;?;6s|51194?2|5=l36<<84>2d9>152=9jh0q~:i7;290~;3n>0:oo524ga956?<5=lo6<=6;<1ae?7412wx9=<50;0x91`b288h70;?2;3`f>{t4ee34;j87?=d:?2e1<6:l1v9h6:181837=3;9h63;f882gg=z{<:>6=4={<731?7dj27><94>2b9~w1`f2909w0:i9;31`>;3nh0:oo5rs5da>5<5s4>m57?=e:?7bg<6kk1v9hl:18182ak3;hn63;fc826a=z{:3h6=4<{<6e`?73<2795;4>499>7mj7>52z?7bc<6kk169=<513f8yv3783:1>v3:0182gg=:=981=?k4}r735?6=:r7><<4>cc9>154=9:30q~<=5;296~;59o0:>n5223795fd52z?160<6:j16>?>51b`8yv4593:1>v3=21826a=::;;1=nl4}r016?6=:r79>=4>2d9>674=9jh0q~8?d;295<}::;91=?k4=307>44b34<;h7?lb:?7ed<6:l168ll513g8976>288n70;5990:>h52203957b<5;8j6<4>2d9>662=9;n01?=n:00f?844j3;9h63=40826`=::=81=?j4=36;>44b348?57?=d:?10c<6:l16>8>513f89731288n70<:7;31a>;5=m0:>h5224g957c52z?166<6kk16>?<513f8yv45<3:1>v3=2582gg=::;81=?k4}r422b9>24?=9jh0q~8>7;296~;19=0:>n5260595fd52z?55<<6:j16:=k51b`8yv07n3:1>v390d826a=:>9l1=nl4}r424?6=:r7=

2d9>246=9jh0q~8>1;296~;1980:oo52602957b7>52z?557<6kk16:<>513g8yv06;3:1>v391282gg=:>8:1=>74}r421?6=:r7==84>cc9>241=9;n0q~8>6;296~;19?0:oo52605957c2j7>52z?7=<<6:j168l>51b`8yv2>i3:1>v3;a1826f=:<0k1=nl4}r6:f?6=:r7?5l4>2e9>0h5248a95fd2h7>52z?7=a<6kk1684m513f8yv22?3:19v3;9d826`=:4ee34;257?=e:?2f1<6:l1v97j:18182>m3;hn63;9b826`=z{=ko6=4={<6b5?75k27?mh4>cc9~w1gd2909w0:n9;31g>;3ij0:oo5rs5c:>5<4s4>j57?lb:?153<6:m16><8513g8yv2f:3:1>v3;ad826f=:2e9>0d5=9jh0q~:n4;296~;3i;0:>h524`695fd53z?7e0<6:m168l;513g8946628ii7p};a483>7}:44c3ty?m;4?:3y>0d0=9jh019o;:00f?xu3i>0;6?u24`595fd<5=k?6<=6;|q7e=<72;q68l651b`891g328>?7p};a`83>7}:44c3ty?mo4?:3y>0dd=9jh019ol:00f?xu3<:0;6?u242a957e<5=>?67}:<:n1=?j4=51f>4ee3ty??k4?:3y>06b=9;o019=i:0aa?xu3980;65u2452957c<5=>:6<:;;<676?73<27?=<4>cc9>26<6<1168=o513f8916f288n70;=8;370>{t<=:1<74ee34>8j7?=d:p017=838p19:>:0aa?824n3;9i6s|45094?4|5=>96{t<;:1<744d34>9<7?lb:p077=838p19{t<;>1<74ee34>9>7?=e:p073=838p19<::0aa?825:3;856s|3b094?4|5:hn6<{t;j:1<744c349h<7?lb:p7f7=838p1>li:00f?85d93;hn6s|3e:94?5|5:n:6<cc9~w6b52909w0=ka;31`>;4l;0:oo5rs2fb>5<5s49om7?lb:?0`<<6:j1v>j<:18185c:3;9h63cc9~w6`f2903w0=k5;30=>;4l>0:8:523dc956?<5:oi6<=6;<1f5?75m278j>4>389>7c3=9=>01>hn:0aa?xu4l<0;6?u23e795fd<5:n?6<7}:;m=1=nl4=2f7>45>3ty?>n4?:3y>07>=9;i0197}:<;31=?k4=50a>4ee3ty=:;4?:3y>20d=9;i01;88:0aa?xu1><0;6?u2676957e<5?<>67}:>4ee3ty=9h4?:3y>20e=9;o01;;j:0aa?xu5880;6>u264d957b<5??m6<{t>?:1<74ee34<>i7?=e:p237=838p1;8>:0aa?802m3;856s|67094?4|5?<96{t;li1<7=t=2g6>44d349ni7?=e:?0aa<6kk1v>k9:18185bm3;9h632b9~w6c02909w0=j6;31`>;4m>0:oo5rs2g;>5<5s49n:7?=e:?0a=<6kk1v>k6:18185b13;hn632d9~w6ce2909w0=jb;3`f>;4m10:?45rs511>5<5s4>9i7?=c:?776<6kk1v9cc9~w1562909w0:=f;31a>;3;80:oo5rs51b>5<5s4>887?=c:?77g<6kk1v9=::181824j3;9o63;3482gg=z{=9=6=4={<601?75l27??;4>cc9~w1502909w0:<5;31a>;3;>0:oo5rs51;>5<5s4>847?lb:?772<6:m1v9=6:18182413;hn63;36826`=z{;3o6=4<{<0:7?75k2795k4>2d9>60:>n5228a95fd52z?1=c<6:m16>4:51b`8yv4>n3:1>v3=9g82gg=::0o1=?m4}r0:1?6=:r79594>2e9>6<3=9jh0q~<66;296~;51=0:>h5228495fd54z?1=3<6<016>l:515:897g228>370=<0;3`f>{t:021<74ee3482o7?=d:p6k3;9i6s|28c94?4|5;3j64l50;0x97?e28ii70<6c;370>{t:h<1<7=t=3c3>44d348j47?=e:?1e2<6kk1v?o>:18184f03;9h63=a082gg=z{;k36=4={<0b2b9~w7g52909w0;5i;0:oo5rs3c0>5<5s48j=7?=e:?1e6<6kk1v?o;:18184f<3;hn63=a2826a=z{;k>6=4={<0b1?7dj279m>4>2d9~w6c42909w0=kb;31g>;4m=0:oo5rs2f`>5<5s49n87?=c:?0`f<6kk1v>jk:18185ck3;9h63cc9~w6ba2909w0=kf;3`f>;4ll0:>i5rs2g3>5<5s49n<7?lb:?0``<6:l1v>k>:18185b93;hn63459~w6`02908w0=jf;31g>;4n00:>h523g:95fd52z?0b<<6:m16?k>51b`8yv5a13:1>v32e9>7c7=9jh0q~=i2;296~;4n90:>h523g095fd52z?0b6<6kk16?k<513f8yv5a<3:1>v3cc9>7c4=9:30q~=i6;296~;4n?0:oo523g0951257>52z?516<6:j16:8o51b`8yv02<3:1>v395`826f=:><>1=nl4}r461?6=:r7=994>2e9>203=9jh0q~8:6;296~;1==0:>h5264495fd;7>52z?512<6kk16:88513f8yv0203:1>v395982gg=:><<1=?k4}r6;=?6=:r7?;k4>2b9>0=g=9jh0q~:70;296~;30h0:>n5249295fd3=7>52z?7<5<6:m1685?51b`8yv2?:3:1>v3;81826`=:<181=nl4}r7ff?6=;r7?4>4>2e9>0=5=9;o018km:0aa?xu30:0;6?u249195fd<5=296<5288n7p};8483>7}:<1?1=nl4=5:1>45>3ty?4;4?:3y>0=0=9jh0196=:067?xu30>0;6?u249595fd<5=296<:8;|q7<=<72;q685651b`891>528>37p}>5983>7}:9=o1=?m4=07:>4ee3ty:8k4?:3y>50?=9;i01<:i:0aa?xu6=90;6?u215d957b<58?;65383>7}:9<81=nl4=072>44c3ty:9>4?:3y>505=9jh01<;>:00f?xu6==0;6?u214695fd<58?:6<=6;|q660<72:q6=8;513f89432288n70;=5;3`f>{t94ee34;>=7?;4:p500=838p1<;9:0aa?87293;?;6s|14594?4|58?<6;4?90:oo5rs24a>5<>s49=n7?lb:?02`<6;016?:9513f896>2288n70=76;31a>;4000:>i52381957c<5:3?6<{t;>;1<74ee349<<7?=c:p73b=838p1>8l:00g?851l3;hn6s|37g94?4|5:6;3`f>{t<881<744d34>:>7?lb:p045=838p19?=:00g?826;3;hn6s|40694?4|5=;96<4;31a>;39>0:oo5265820==:<9o1=964=40;>4203ty?=i4?:3y>041=9;i019?j:0aa?xu3910;6?u240g957e<5=;3628ii7p};1`83>7}:<821=?k4=53b>4ee3ty?=o4?:3y>04d=9jh019?n:00g?xu39j0;6?u240a95fd<5=;j6<7}:<4ee3ty?944?:3y>00>=9;n019;6:0aa?xu3=h0;6?u244:957c<5=?j6{t9>:1<744c34;<<7?lb:p52e=838p1<9l:0aa?870j3;9o6s|16394?4|58=;6<{t9>91<74ee34;<>7?=d:p641=839p1<9;:00g?870<3;9i63=1682gg=z{8=?6=4={<340?7dj27:;?4>2d9~w47d2908w0?85;31`>;6?<0:>h5210a95fd52z?230<6kk16=:<512;8yv70>3:1>v3>7782gg=:9>81=9:4}r343?6=:r7:;:4>cc9>524=9==0q~?88;296~;6?10:oo52160951>52z?23<<6kk16=:<515;8yv7fi3:1?v3>9g826f=:9hi1=?k4=0ca>4ee3ty:m=4?:3y>5de=9;n01513f894g628ii7p}>a383>7}:9h:1=?k4=0c1>4ee3ty:m>4?:3y>5d5=9jh014;3`f>{t9h?1<74ee34;j>7?<9:p5d0=838p1{t9h31<74ee34;j>7?;9:p72c=838p1>9=:00`?850n3;hn6s|36094?>|5:=96389>7=0=9:301>66:00f?85>;3;8563<95827<=:=1o1=?k4}r14`?6=:r78;54>2b9>72b=9jh0q~=83;296~;4?o0:>n5236195fd52z?036<6:m16?::51b`8yv50=3:1>v3<72826`=:;>?1=nl4}r1;3?6=;r78;;4>2e9>720=9;o01>68:0aa?xu4??0;6?u236495fd<5:=>6<7}:;>31=nl4=25g>44c3ty8;l4?:3y>72g=9jh01>9k:00f?xu3=m0;6?u236`957c<5=?o67}:;>i1=nl4=25g>4233ty84o4?:2y>7=6=9;i01>6k:00f?85?k3;hn6s|39294?3|5:2;64>459>7<2=9=>0186j:01:?xu40h0;6?u2395957e<5:2j6628ii7p}<8e83>7}:;1n1=nl4=2:`>44d3ty84?4?:3y>7=7=9;n01>6=:0aa?xu40:0;6?u2393957c<5:2864288o7p}<8483>7}:;1?1=nl4=2:0>44b3ty84;4?:3y>7=0=9jh01>6<:01:?xu4010;6?u239:95fd<5:2j6<f288n7p};0583>7}:<9:1=?m4=526>4ee3ty?<<4?:3y>053=9;i019>>:0aa?xu38;0;6?u2413957b<5=:969283>1}:<991=?j4=520>44b34;2?7?lb:?2=d<6:l1v;=n:181804>3;9o6393c82gg=z{?9<6=4={<40f?75k27=?:4>cc9~w35?2909w08<7;31`>;1;10:oo5rs71:>5<5s4<8;7?=e:?57<<6kk1v;:n:18480413;9h63938826`=:>=k1=nl4=4g:>44c34?n57?=e:?560<6:m16:?;513g8yv03:3:1>v393b826f=:>=91=nl4}r40`?6=:r7=8>4>2b9>26b=9jh0q~8i5262g95fd52z?57a<6:l16:>h51b`8yv03<3:18v3941826a=:>=>1=nl4=76f>45>34nh6<=6;|q56d<72:q6:9>513g893262892708=a;3`f>{t>=:1<74ee34<8j7?=d:p217=838p1;:>:0aa?804n3;9i6s|65:94?4|5?>?6<288h708;5;3`f>{t>=<1<744c34j6<{t>=i1<744c34n6{t><:1<74ee342b9>2=<6kk1v8k50;1x90c=9jh01;;515:891d3288o7p}:f;296~;103;9o63:f;3`f>{t>90;6?u25g826a=:>90:oo5rs7394?4|54ee34<:6<21<6kk16:<4>389~w33=838p1;;51b`8937=9=>0q~89:18180128ii708>:064?xu61m0;6?u2181957e<583n69483>7}:90>1=?j4=0;6>4ee3ty:5;4?:3y>5<2=9;o01<79:0aa?xu2i?0;6>u2185957b<583<6<{t9021<74ee34;2:7?=e:p5>3;856s|18c94?4|583j6{t90i1<74ee34;2:7?;8:p5g>=838p1389>01>=9;n019:7:00f?xu6il0;6?u21c;957e<58kn6b183>7}:9ho1=?k4=0`3>4ee3ty>:k4?:2y>5g7=9;n01:00f?831n3;hn6s|1c394?4|58h:6;68k0:oo5rs0`1>5<5s4;i>7?lb:?2f5<6:l1vb1827<=z{8h?6=4={<3a0?7dj27:n=4>459~w4d22909w0?m5;3`f>;6j90:8:5rs0`5>5<5s4;i:7?lb:?2f5<6<11vb1820<=z{8nn6=4={<3g7?75k27:hk4>cc9~w4b32909w0?kf;31g>;6l=0:oo5rs0f6>5<5s4;o87?=d:?2`0<6kk1vd782gg=z{=n36=4<{<3g3?75l27:h:4>2d9>0a>=9jh0q~?k7;296~;6l>0:oo521e4957b2d9>a<<6kk1vd7826`=z{=0;6<44b34;om7?=e:?2`f<6:l16=ij512;890>d289270;7d;370>;20l0:89524e;956?<5=nj6<=6;<6gf?74127?hn4>389>0ab=9:30194>cc9>ag<6;016in4>389>aa<6;016ih4>389>ac<6;01vd7827<=z{8nj6=4={<3ge?7dj27:h;4>459~w4be2909w0?kb;3`f>;6l?0:8:5rs0f`>5<5s4;oo7?lb:?2`3<6<11vd7820<=z{;:86=4={<3e=?75k279<94>cc9~w7652909w0;58;0:oo5rs0db>5<5s48;87?=c:?2bd<6kk1vfc82gg=z{8lh6=4={<3ee?75m27:jn4>cc9~w4`c2909w0?id;3`f>;6nj0:>i5rs0df>5<5s4;mi7?lb:?2bf<6:l1vfb827<=z{;:;6=4={<034?7dj27:jn4>459~w7>e2909w0<77;31g>;50j0:oo5rs3:;>5<5s483o7?=c:?1<=<6kk1v?66:18184?03;9h63=8882gg=z{;2j6=4={<0;cc9~w6?>2908w0=7e;31g>;41k0:>h5238c95fd53z?0<`<6kk16?4<512;890>b28><7p}<9983>7}:;0?1=?m4=2;;>4ee3ty84k4?:3y>76i:0aa?xu41k0;6?u238`95fd<5:3j6<7}:;1l1=?k4=2;2>4ee3ty85?4?:3y>7<4=9jh01>7>:00g?xu41:0;6?u238195fd<5:3:6<7}:;0<1=nl4=2;;>44c3ty85:4?:3y>7<1=9jh01>77:00f?xu4i90;6?u238a957e<5:k:67}:;0n1=?j4=2;f>4ee3ty85k4?:3y>77i:0aa?xu4kl0;6>u23b6957e<5:n;6<;4k00:85523bc951><5:ii6<:7;<70g?75m2wx?nj50;0x96ed288h70=ld;3`f>{t;j?1<744c349h97?lb:p7a6=838p1>j?:0aa?85dn3;9o6s|3b494?4|5:i>6<{t;j21<74ee349h;7?=d:p7f?=838p1>m6:0aa?85d?3;9i6s|3bc94?4|5:ij6{t;oo1<744d349mj7?lb:p7cd=838p1>hi:00`?85aj3;hn6s|3ga94?4|5:li6<{t<9l1<744d34>:<7?lb:p050=839p19>9:0aa?827m3;?563:3e8201=z{=:<6=4={<624?75k27?<:4>cc9~w16?2909w0:?7;31`>;3810:oo5rs52:>5<5s4>;;7?=e:?74<<6kk1v9>n:181827i3;hn63;08826a=z{=:i6=4={<63f?7dj27?<44>2d9~w16d2909w0:?c;3`f>;3800:?45rs52g>5<5s4>;h7?lb:?74<<6<=1v9>j:181827m3;hn63;088202=z{=>26=4={<671?75k27?8l4>cc9~w1212909w0:;a;31g>;35<5s4>?:7?=d:?702<6kk1v9:7:181823>3;9i63;4982gg=z{=>m6=4={<67f?75k27?9=4>cc9~w12d2909w0::0;31g>;35<5s4>?o7?=d:?70a<6kk1v9:j:181823k3;9i63;4d82gg=z{=?>6=4={<665?75k27?9;4>cc9~w136290?w0::1;3`f>;60o0:>i5219d957c<5=ho6<7}:<<81=?j4=570>4ee3ty?994?:3y>004=9;o019;;:0aa?xu1;=0;6?u2622957e<5?9>6;513a8935628ii7p}93383>7}:>:;1=?j4=711>4ee3ty=?>4?:3y>267=9;o01;=<:0aa?xu0;3:1>v399;31g>;0<3;hn6s|6883>7}:>00:oo524c6957c44d34=962b9>2d<6kk1v;l50;0x93g=9;n01;l51b`8yv0d2909w08n:00f?80d28ii7p}9e;296~;1m3;hn6382;31`>{t>o0;6?u26g82gg=:?;0:>h5rs6294?4|5>:1=nl4=60956?4ee34=96<:;;|q2=4<72;q6=5l513a894?528ii7p}>8b83>7}:9081=?m4=0:`>4ee3ty:4i4?:3y>5=e=9;n01<6k:0aa?xu60l0;6?u219a957c<582n6b288o7p}>9183>7}:90:1=nl4=0:f>44b3ty95<4?:3y>6=b=9;i01?7=:0aa?xu50l0;6?u2280957e<5;2n65k513f897>a28ii7p}=9183>7}::1o1=?k4=3;3>4ee3ty8?94?:3y>766=9;i01>=::0aa?xu4;80;6?u2327957e<5:9:6?513f8965528ii7p}<3283>7}:;:;1=?k4=210>4ee3ty8m54?:3y>7d4=9;i01>o6:0aa?xu4i:0;6?u23`;957e<5:k867}:;h91=?k4=2c6>4ee3ty8m;4?:3y>7d0=9jh01>o::00g?xu4i>0;6?u23`595fd<5:k>6<7}:;k21=?m4=2`a>4ee3ty8n54?:2y>7g>=9jh019j8:00g?82c?3;9i6s|3c794?4|5:ho6<{t;k=1<744b349i;7?lb:p7g?=838p1>l6:0aa?85ej3;9h6s|3cc94?4|5:hj6{t<44d34>>i7?lb:p00`=838p19;j:00g?822n3;hn6s|47294?4|5=?n6<50;0x90>0288h70;61;3`f>{t=1=1<7=t=4:4>4ee34;h:7?=d:?2g3<6:l1v86i:18183?j3;9o63:8g82gg=z{<2i6=4<{<7;f?7dj27nm7?=d:?fe?75m2wx95650;0x90?6288h70;78;3`f>{t=131<744c34?357?lb:p1=g=838p1867:00f?83?i3;hn6s|59a94?4|5<2h6c28ii70;7f;31a>{t=1o1<74ee34?3j7?<9:p1c6=838p18k;:00`?83a93;hn6s|5d694?5|52d9~w0ca2909w0;jb;31g>;2mo0:oo5rs4g6>5<5s4?m=7?=c:?6a0<6kk1v8k9:18183b=3;9h63:e782gg=z{i:4>cc9~w0c?2909w0;j8;3`f>;2m>0:>i5rs4g:>5<5s4?n57?lb:?6a2<6:l1vil50;1x90cf288o70;ja;31a>;cj3;hn6s|5dc94?4|5{t=ln1<74ee34?nj7?=e:p1`c=838p18kj:0aa?83bn3;856s|60g94?4|5?;j6<;2>=0:>h5rs73a>5<5s4<:j7?=c:?55g<6kk1v;?l:181806j3;9h6391b82gg=z{?;o6=4={<42f?75m27==i4>cc9~w34?2909w08=0;31g>;1:00:oo5rs703>5<4s4<9<7?lb:?620<6:m169;;513g8yv0593:1>v3928826f=:>;;1=nl4}r416?6=:r7=><4>2e9>274=9jh0q~8=3;296~;1:80:>h5263195fd52z?561<6kk16:?=513f8yv05=3:1>v392482gg=:>;91=?k4}r412?6=:r7=>;4>cc9>275=9:30q~8=7;296~;1:>0:oo52631951252z?56d<6:j16:?h51b`8yv05j3:1>v392g826f=:>;h1=nl4}r41g?6=:r7=>o4>2e9>27e=9jh0q~8=d;296~;1:k0:>h5263f95fd52z?140<6:j16>=l51b`8yv47>3:1>v3=0c826f=::9<1=nl4}r033?6=:r79<;4>2e9>651=9jh0q~h5221:95fd52z?14<<6kk16>=6513f8yv47i3:1>v3=0`82gg=::921=?k4}r026?6=:r792b9>644=9jh0q~n5221f95fd52z?14a<6:m16>=k51b`8yv47n3:1>v3=0e826`=::9l1=nl4}r024?6=:r79==4>cc9>65`=9;n0q~<>1;296~;5980:oo5221d957c52z?163<6:j16>?k51b`8yv45l3:1>v3=2b826f=::;n1=nl4}r013?6=:r79>h4>2b9>671=9jh0q~<=8;296~;5:>0:>i5223:95fd52z?162<6:l16>?751b`8yv45i3:1>v3=2`82gg=::;31=?j4}r01f?6=:r79>o4>cc9>67?=9;o0q~<<5;296~;5:o0:>n5222795fd52z?170<6:j16>>>51b`8yv4493:1>v3=31826a=:::;1=nl4}r006?6=:r79?=4>2d9>664=9jh0q~<<3;296~;5;:0:oo52220957b52z?171<6kk16>><513g8yv44k3:1>v3=37826f=:::i1=nl4}r003?6=:r79?n4>2b9>661=9jh0q~<<8;296~;5;>0:>i5222:95fd52z?172<6:l16>>751b`8yv44i3:1>v3=3`82gg=:::31=?j4}r00f?6=:r79?o4>cc9>66?=9;o0q~<;3;296~;5;m0:>n5225195fd52z?106<6:j16>>k51b`8yv44n3:1>v3=3d826a=:::l1=nl4}r074?6=:r79?h4>2d9>616=9jh0q~<;1;296~;5<80:oo52252957b7>52z?107<6kk16>9>513g8yv43i3:1>v3=45826f=::=k1=nl4}r071?6=:r798l4>2b9>613=9jh0q~<;6;296~;5<<0:>i5225495fd52z?100<6:l16>9951b`8yv4303:1>v3=4982gg=::==1=?j4}r07=?6=:r79844>cc9>611=9;o0q~<:1;296~;5n5224395fd52z?114<6:j16>9m51b`8yv43l3:1>v3=4b826a=::=n1=nl4}r07a?6=:r798n4>2d9>61c=9jh0q~<;f;296~;5<7>52z?115<6kk16>9k513g8yv4203:1>v3=53826f=::<21=nl4}r067?6=:r79954>2b9>605=9jh0q~<:4;296~;5=:0:>i5224695fd97>52z?116<6:l16>8;51b`8yv42>3:1>v3=5782gg=::cc9>603=9;o0q~<:f;296~;5=00:>n5224d95fdm7>52z?11c<6:j16>8o51b`8yv42j3:1>v3=5`826a=::2d9>60e=9jh0q~<:d;296~;5=m0:oo5224a957bi7>52z?11`<6kk16>8m513g8yv32k3:1>v3:59826f=:=9n4>2b9>10?=9jh0q~;:a;296~;2=00:>i5254c95fdn7>52z?61<<6:l1698l51b`8yv3fk3:1>v3:a3826f=:=hi1=nl4}r7bf?6=:r7>m;4>2b9>1dd=9jh0q~;n3;296~;2ij0:>n525`195fd52z?6e6<6:m169l:51b`8yv3f=3:1>v3:a2826`=:=h?1=nl4}r7b3?6=:r7>m:4>cc9>1dd=9;n0q~;n8;296~;2i10:oo525``957c52z?6e<<6kk169ll512;8yv3fi3:1>v3:a`82gg=:=hh1=9:4}r6a1?6=:r7?n<4>2b9>0g3=9jh0q~:m2;296~;3j<0:>n524c095fdi?7>52z?7f7<6:m168o=51b`8yv2e<3:1>v3;b3826`=:1=nl4}r73g?6=:r7><54>2b9>15b=9jh0q~;?9;296~;28m0:>n5251;95fd52z?64<<6:m169=o51b`8yv37j3:1>v3:08826`=:=9h1=nl4}r72e?6=:r7>==4>2b9>14d=9jh0q~;>1;296~;29k0:>n5250395fd7>52z?654<6:m169<<51b`8yv36;3:1>v3:10826`=:=891=nl4}r720?6=:r7>=94>cc9>145=9;n0q~;>5;296~;29<0:oo52501957c52z?653<6kk169<=512;8yv36?3:1>v3:1682gg=:=891=9:4}r72=54>cc9>145=9==0q~;>9;296~;2900:oo52501951>52z?664<6:j169?l51b`8yv3513:1>v3:24826f=:=;31=nl4}r716?6=:r7>>o4>2b9>174=9jh0q~;=3;296~;2:;0:>i5253195fd52z?667<6:l169?:51b`8yv35>3:1>v3:2782gg=:=;31=?j4}r713?6=:r7>>:4>cc9>17?=9;o0q~;=8;296~;2:10:oo5253;956?52z?2fc<6:j16=n;51b`8yv7d93:1>v3>c1826f=:9j;1=nl4}r3`2?6=:r7:o<4>2b9>5f0=9jh0q~?l2;296~;6k<0:>n521b095fd52z?2g7<6:m16=n=51b`8yv7d<3:1>v3>c3826`=:9j>1=nl4}r02a?6=:r79=>4>2b9>64c=9jh0q~<>d;296~;59>0:>n5220f95fd52z?15`<6:j16><:51b`8yv46=3:1>v3=15826a=::8?1=nl4}r022?6=:r79=94>2d9>640=9jh0q~<>8;296~;5910:oo5220f957b52z?15<<6kk16>v3=1`82gg=::8n1=>74}r02f?6=:r79=o4>cc9>64b=9=>0q~<>c;296~;59j0:oo5220f9511ii7>53z?7f=<6:j16;84>2b9>0g`=9jh0q~:m9;296~;3jo0:>n524c;95fdim7>52z?7f<<6:m168oo51b`8yv2ej3:1>v3;b8826`=:cc9>0gd=9;n0q~:md;296~;3jm0:oo524c`957coj7>53z?7`1<6:j16nh4>2b9>0`6=9jh0q~:ke;296~;3l10:>n524eg95fdo97>52z?7a5<6:j168i;51b`8yv2c>3:1>v3;d4826a=:2d9>0a1=9jh0q~:k9;296~;3l00:oo524eg957bom7>52z?7`d<6kk168ik513g8yv2cj3:1>v3;dc82gg=:74}r6gg?6=:r7?hn4>cc9>0ac=9=>0q~:kd;296~;3lm0:oo524eg951152z?66`<6:j169>;51b`8yv35n3:1>v3:34826f=:=;l1=nl4}r704?6=:r7>>k4>2e9>166=9jh0q~;<1;296~;2:o0:>h5252395fd7>52z?677<6kk169>?513f8yv34;3:1>v3:3282gg=:=:;1=?k4}r700?6=:r7>?94>cc9>167=9:30q~;n5252g95fd52z?67`<6:j169>751b`8yv34i3:1>v3:38826a=:=:k1=nl4}r70f?6=:r7>?44>2d9>16d=9jh0q~;52z?67a<6kk169>l513g8yv3103:1>v3:5g826f=:=?21=nl4}r753?6=:r7>:>4>2b9>131=9jh0q~;90;296~;2>10:>n5257295fd52z?625<6:m169;?51b`8yv31:3:1>v3:61826`=:=?81=nl4}r750?6=:r7>:94>cc9>131=9;n0q~;95;296~;2><0:oo52575957c52z?623<6kk169;9512;8yv30>3:1>v3:6c826f=:=><1=nl4}r741?6=:r7>:k4>2b9>123=9jh0q~;9c;296~;2??0:>n5257a95fd52z?62f<6:m169;j51b`8yv31m3:1>v3:6b826`=:=?o1=nl4}r33=?6=;r7>:h4>2e9>13c=9;o01<>6:0aa?xu2?90;6?u256295fd<5<=>6<7}:=>81=nl4=456>45>3ty>;>4?:3y>125=9jh0189::067?xu2?=0;6?u256695fd<5<=>6<:8;|q6=c<72;q694:513a890?a28ii7p}:9d83>7}:=0k1=?m4=4;f>4ee3ty>5l4?:2y>1n5258795fd52z?6=0<6:m1694851b`8yv3>?3:1>v3:94826`=:=0=1=nl4}r7:554>cc9>1<1=9;n0q~;69;296~;2100:oo52585957c52z?6=g<6kk1694k513f8yv3>k3:1>v3:9b82gg=:=0o1=?k4}r7:`?6=:r7>5i4>cc9>1v3lb;31g>;d03;hn6s|c883>7}:k10:>i52c882gg=z{jk1<72b9>716=9jh0q~=<6;296~;4<90:>n5232495fd52z?073<6:m16?>951b`8yv5403:1>v3<37826`=:;:21=nl4}r10=?6=:r78?44>cc9>76>=9;n0q~=52z?07g<6kk16?>6512;8yv54k3:1>v3<3b82gg=:;:21=9:4}r10`?6=:r78?i4>cc9>76>=9==0q~=52z?07c<6kk16?>6515;8yv5=838p194>2b9>7?7dj2wx<7>52z?0>44d34:1=nl4}r394?4|590:>i521;3`f>{t:3:1>v3?:00f?84=9jh0q~k>:1818be288h70k>:0aa?xuck3:1>v3kc;3`f>;b93;9h6s|de83>7}:lm0:oo52e0826`=z{mo1<774}rfe>5<5s4nm64233tyn<7>52z?f4?7dj27n=7?;7:pa=<72;q6i?4>2b9>a=<6kk1vh=50;0x9`5=9jh01h6513f8yvc32909w0k;:0aa?8c?288n7p}j5;296~;b=3;hn63j8;30=>{tm?0;6?u2e782gg=:m10:895rsd594?4|5l=1=nl4=d:951144d34l;6ag<6kk16j=4>2d9~w`e=838p1hm51b`89c6=9:30q~kk:1818cc28ii70h?:067?xubm3:1>v3je;3`f>;a83;?;6s|eg83>7}:mo0:oo52f1820==z{o21<75<5s4l9644c3tym?7>52z?e7?7dj27m47?=e:pb1<72;q6j94>cc9>b=<6;01vk;50;0x9c3=9jh01k651568yv`12909w0h9:0aa?8`?28><7p}i7;296~;a?3;hn63i8;37<>{t99:1<7?:00f?xuak3:1>v3ic;3`f>;6890:?45rsgf94?4|5on1=nl4=023>4233tymi7>52z?ea?7dj27:<=4>469~wc`=838p1kh51b`8946728>37p}>0983>7}:99;1=?m4=02;>4ee3ty:554=9jh01<>7:00g?xu68:0;6?u211195fd<58:36<0483>7}:99?1=nl4=02;>4233ty:<;4?:3y>550=9jh01<>7:064?xu68>0;6?u211595fd<58:36<:7;|q24d<72;q6==7513a8946f28ii7p}>1083>7}:99h1=?m4=032>4ee3ty:55e=9jh01:00g?xu68m0;6?u211f95fd<58;:6<0g83>7}:99l1=nl4=032>4233ty:==4?:3y>546=9jh01:064?xu69:0;6?u2100957e<58;861483>7}:98?1=nl4=03a>44c3ty:=;4?:3y>540=9jh010;6?u210595fd<58;i6<=6;|q25=<72;q6=<651b`8947e28>?7p}>1883>7}:9831=nl4=03a>4203ty:=l4?:3y>54g=9jh011d83>7}:98o1=nl4=000>44b3ty:=k4?:3y>54`=9jh01<<<:01:?xu6:90;6?u213295fd<58886<:;;|q264<72;q6=??51b`8944428><7p}>2383>7}:9;81=nl4=000>42?3twe;>;k:182xh0;{|l4734=83;pqc9<6283>4}zf>9=87>51zm3602290:wp`837494?7|ug=8::4?:0y~j25103:1=vsa724:>5<6stdk0;68k:182xh0;?o1<7?t}o502c<728qvb:=80;295~{i?:=:6=4>{|l4724=83;pqc9<7283>4}zf>9<87>51zm3612290:wp`836494?7|ug=8;:4?:0y~j25003:1=vsa725:>5<6std9k:182xh0;>o1<7?t}o503c<728qvb:=70;295~{i?:2:6=4>{|l47=4=83;pqc9<8283>4}zf>9387>51zm36>2290:wp`839494?7|ug=84:4?:0y~j25?03:1=vsa72::>5<6std6k:182xh0;1o1<7?t}o50{|l47<4=83;pqc9<9283>4}zf>9287>51zm36?2290:wp`838494?7|ug=85:4?:0y~j25>03:1=vsa72;:>5<6std7k:182xh0;0o1<7?t}o50=c<728qvb:=n0;295~{i?:k:6=4>{|l47d4=83;pqc94}zf>9j87>51zm36g2290:wp`83`494?7|ug=8m:4?:0y~j25f03:1=vsa72c:>5<6stdok:182xh0;ho1<7?t}o50ec<728qvb:=m0;295~{i?:h:6=4>{|l47g4=83;pqc94}zf>9i87>51zm36d2290:wp`83c494?7|ug=8n:4?:0y~j25e03:1=vsa72`:>5<6stdlk:182xh0;ko1<7?t}o50fc<728qvb:=l0;295~{i?:i:6=4>{|l47f4=83;pqc94}zf>9h87>51zm36e2290:wp`83b494?7|ug=8o:4?:0y~j25d03:1=vsa72a:>5<6stdmk:182xh0;jo1<7?t}o50gc<728qvb:=k0;295~{i?:n:6=4>{|l47a4=83;pqc94}zf>9o87>51zm36b2290:wp`83e494?7|ug=8h:4?:0y~j25c03:1=vsa72f:>5<6stdjk:182xh0;mo1<7?t}o50`c<728qvb:=j0;295~{i?:o:6=4>{|l47`4=83;pqc94}zf>9n87>51zm36c2290:wp`83d494?7|ug=8i:4?:0y~j25b03:1=vsa72g:>5<6stdkk:182xh0;lo1<7?t}o50ac<728qvb:=i0;295~{i?:l:6=4>{|l47c4=83;pqc94}zf>9m87>51zm36`2290:wp`83g494?7|ug=8j:4?:0y~j25a03:1=vsa72d:>5<6stdhk:182xh0;oo1<7?t}o50bc<728qvb::?0;295~{i?=::6=4>{|l4054=83;pqc9;0283>4}zf>>;87>51zm3162290:wp`841494?7|ug=?<:4?:0y~j22703:1=vsa752:>5<6std<8=o50;3xyk138k0;6k:182xh0<9o1<7?t}o574c<728qvb::>0;295~{i?=;:6=4>{|l4044=83;pqc9;1283>4}zf>>:87>51zm3172290:wp`840494?7|ug=?=:4?:0y~j22603:1=vsa753:>5<6std<8{|l4074=83;pqc9;2283>4}zf>>987>51zm3142290:wp`843494?7|ug=?>:4?:0y~j22503:1=vsa750:>5<6std<8?o50;3xyk13:k0;6{|l4064=83;pqc9;3283>4}zf>>887>51zm3152290:wp`842494?7|ug=??:4?:0y~j22403:1=vsa751:>5<6std<8>o50;3xyk13;k0;6:6=4>{|l4014=83;pqc9;4283>4}zf>>?87>51zm3122290:wp`845494?7|ug=?8:4?:0y~j22303:1=vsa756:>5<6std<89o50;3xyk13{|l4004=83;pqc9;5283>4}zf>>>87>51zm3132290:wp`844494?7|ug=?9:4?:0y~j22203:1=vsa757:>5<6std<88o50;3xyk13=k0;6{|l4034=83;pqc9;6283>4}zf>>=87>51zm3102290:wp`847494?7|ug=?::4?:0y~j22103:1=vsa754:>5<6std<8;o50;3xyk13>k0;6{|l4024=83;pqc9;7283>4}zf>><87>51zm3112290:wp`846494?7|ug=?;:4?:0y~j22003:1=vsa755:>5<6std<8:o50;3xyk13?k0;6o1<7?t}o573c<728qvb::70;295~{i?=2:6=4>{|l40=4=83;pqc9;8283>4}zf>>387>51zm31>2290:wp`849494?7|ug=?4:4?:0y~j22?03:1=vsa75::>5<6std<85o50;3xyk130k0;6{|l40<4=83;pqc9;9283>4}zf>>287>51zm31?2290:wp`848494?7|ug=?5:4?:0y~j22>03:1=vsa75;:>5<6std<84o50;3xyk131k0;6{|l40d4=83;pqc9;a283>4}zf>>j87>51zm31g2290:wp`84`494?7|ug=?m:4?:0y~j22f03:1=vsa75c:>5<6std<8lo50;3xyk13ik0;6{|l40g4=83;pqc9;b283>4}zf>>i87>51zm31d2290:wp`84c494?7|ug=?n:4?:0y~j22e03:1=vsa75`:>5<6std<8oo50;3xyk13jk0;6{|l40f4=83;pqc9;c283>4}zf>>h87>51zm31e2290:wp`84b494?7|ug=?o:4?:0y~j22d03:1=vsa75a:>5<6std<8no50;3xyk13kk0;6{|l40a4=83;pqc9;d283>4}zf>>o87>51zm31b2290:wp`84e494?7|ug=?h:4?:0y~j22c03:1=vsa75f:>5<6std<8io50;3xyk13lk0;6{|l40`4=83;pqc9;e283>4}zf>>n87>51zm31c2290:wp`84d494?7|ug=?i:4?:0y~j22b03:1=vsa75g:>5<6std<8ho50;3xyk13mk0;6{|l40c4=83;pqc9;f283>4}zf>>m87>51zm31`2290:wp`84g494?7|ug=?j:4?:0y~j22a03:1=vsa75d:>5<6std<8ko50;3xyk13nk0;6{|l4154=83;pqc9:0283>4}zf>?;87>51zm3062290:wp`851494?7|ug=><:4?:0y~j23703:1=vsa742:>5<6std<9=o50;3xyk128k0;6k:182xh0=9o1<7?t}o564c<728qvb:;>0;295~{i?<;:6=4>{|l4144=83;pqc9:1283>4}zf>?:87>51zm3072290:wp`850494?7|ug=>=:4?:0y~j23603:1=vsa743:>5<6std<9{|l4174=83;pqc9:2283>4}zf>?987>51zm3042290:wp`853494?7|ug=>>:4?:0y~j23503:1=vsa740:>5<6std<9?o50;3xyk12:k0;6{|l4164=83;pqc9:3283>4}zf>?887>51zm3052290:wp`852494?7|ug=>?:4?:0y~j23403:1=vsa741:>5<6std<9>o50;3xyk12;k0;6:6=4>{|l4114=83;pqc9:4283>4}zf>??87>51zm3022290:wp`855494?7|ug=>8:4?:0y~j23303:1=vsa746:>5<6std<99o50;3xyk12{|l4104=83;pqc9:5283>4}zf>?>87>51zm3032290:wp`854494?7|ug=>9:4?:0y~j23203:1=vsa747:>5<6std<98o50;3xyk12=k0;6{|l4134=83;pqc9:6283>4}zf>?=87>51zm3002290:wp`857494?7|ug=>::4?:0y~j23103:1=vsa744:>5<6std<9;o50;3xyk12>k0;6{|l4124=83;pqc9:7283>4}zf>?<87>51zm3012290:wp`856494?7|ug=>;:4?:0y~j23003:1=vsa745:>5<6std<9:o50;3xyk12?k0;6o1<7?t}o563c<728qvb:;70;295~{i?<2:6=4>{|l41=4=83;pqc9:8283>4}zf>?387>51zm30>2290:wp`859494?7|ug=>4:4?:0y~j23?03:1=vsa74::>5<6std<95o50;3xyk120k0;6{|l41<4=83;pqc9:9283>4}zf>?287>51zm30?2290:wp`858494?7|ug=>5:4?:0y~j23>03:1=vsa74;:>5<6std<94o50;3xyk121k0;6{|l41d4=83;pqc9:a283>4}zf>?j87>51zm30g2290:wp`85`494?7|ug=>m:4?:0y~j23f03:1=vsa74c:>5<6std<9lo50;3xyk12ik0;6{|l41g4=83;pqc9:b283>4}zf>?i87>51zm30d2290:wp`85c494?7|ug=>n:4?:0y~j23e03:1=vsa74`:>5<6std<9oo50;3xyk12jk0;6{|l41f4=83;pqc9:c283>4}zf>?h87>51zm30e2290:wp`85b494?7|ug=>o:4?:0y~j23d03:1=vsa74a:>5<6std<9no50;3xyk12kk0;6{|l41a4=83;pqc9:d283>4}zf>?o87>51zm30b2290:wp`85e494?7|ug=>h:4?:0y~j23c03:1=vsa74f:>5<6std<9io50;3xyk12lk0;6{|l41`4=83;pqc9:e283>4}zf>?n87>51zm30c2290:wp`85d494?7|ug=>i:4?:0y~j23b03:1=vsa74g:>5<6std<9ho50;3xyk12mk0;6{|l41c4=83;pqc9:f283>4}zf>?m87>51zm30`2290:wp`85g494?7|ug=>j:4?:0y~j23a03:1=vsa74d:>5<6std<9ko50;3xyk12nk0;6{|l4254=83;pqc990283>4}zf><;87>51zm3362290:wp`861494?7|ug==<:4?:0y~j20703:1=vsa772:>5<6std<:=o50;3xyk118k0;6k:182xh0>9o1<7?t}o554c<728qvb:8>0;295~{i??;:6=4>{|l4244=83;pqc991283>4}zf><:87>51zm3372290:wp`860494?7|ug===:4?:0y~j20603:1=vsa773:>5<6std<:8o1<7?t}o555c<728qvb:8=0;295~{i??8:6=4>{|l4274=83;pqc992283>4}zf><987>51zm3342290:wp`863494?7|ug==>:4?:0y~j20503:1=vsa770:>5<6std<:?o50;3xyk11:k0;6;o1<7?t}o556c<728qvb:8<0;295~{i??9:6=4>{|l4264=83;pqc993283>4}zf><887>51zm3352290:wp`862494?7|ug==?:4?:0y~j20403:1=vsa771:>5<6std<:>o50;3xyk11;k0;6:o1<7?t}o557c<728qvb:8;0;295~{i??>:6=4>{|l4214=83;pqc994283>4}zf>51zm3322290:wp`865494?7|ug==8:4?:0y~j20303:1=vsa776:>5<6std<:9o50;3xyk11=o1<7?t}o550c<728qvb:8:0;295~{i???:6=4>{|l4204=83;pqc995283>4}zf><>87>51zm3332290:wp`864494?7|ug==9:4?:0y~j20203:1=vsa777:>5<6std<:8o50;3xyk11=k0;6{|l4234=83;pqc996283>4}zf><=87>51zm3302290:wp`867494?7|ug==::4?:0y~j20103:1=vsa774:>5<6std<:;o50;3xyk11>k0;6?o1<7?t}o552c<728qvb:880;295~{i??=:6=4>{|l4224=83;pqc997283>4}zf><<87>51zm3312290:wp`866494?7|ug==;:4?:0y~j20003:1=vsa775:>5<6std<::o50;3xyk11?k0;6>o1<7?t}o553c<728qvb:870;295~{i??2:6=4>{|l42=4=83;pqc998283>4}zf><387>51zm33>2290:wp`869494?7|ug==4:4?:0y~j20?03:1=vsa77::>5<6std<:5o50;3xyk110k0;61o1<7?t}o55{|l42<4=83;pqc999283>4}zf><287>51zm33?2290:wp`868494?7|ug==5:4?:0y~j20>03:1=vsa77;:>5<6std<:4o50;3xyk111k0;60o1<7?t}o55=c<728qvb:8n0;295~{i??k:6=4>{|l42d4=83;pqc99a283>4}zf>51zm33g2290:wp`86`494?7|ug==m:4?:0y~j20f03:1=vsa77c:>5<6std<:lo50;3xyk11ik0;6ho1<7?t}o55ec<728qvb:8m0;295~{i??h:6=4>{|l42g4=83;pqc99b283>4}zf>51zm33d2290:wp`86c494?7|ug==n:4?:0y~j20e03:1=vsa77`:>5<6std<:oo50;3xyk11jk0;6ko1<7?t}o55fc<728qvb:8l0;295~{i??i:6=4>{|l42f4=83;pqc99c283>4}zf>51zm33e2290:wp`86b494?7|ug==o:4?:0y~j20d03:1=vsa77a:>5<6std<:no50;3xyk11kk0;6jo1<7?t}o55gc<728qvb:8k0;295~{i??n:6=4>{|l42a4=83;pqc99d283>4}zf>51zm33b2290:wp`86e494?7|ug==h:4?:0y~j20c03:1=vsa77f:>5<6std<:io50;3xyk11lk0;6mo1<7?t}o55`c<728qvb:8j0;295~{i??o:6=4>{|l42`4=83;pqc99e283>4}zf>51zm33c2290:wp`86d494?7|ug==i:4?:0y~j20b03:1=vsa77g:>5<6std<:ho50;3xyk11mk0;6lo1<7?t}o55ac<728qvb:8i0;295~{i??l:6=4>{|l42c4=83;pqc99f283>4}zf>51zm33`2290:wp`86g494?7|ug==j:4?:0y~j20a03:1=vsa77d:>5<6std<:ko50;3xyk11nk0;6oo1<7?t}o55bc<728qvb:9?0;295~{i?>::6=4>{|l4354=83;pqc980283>4}zf>=;87>51zm3262290:wp`871494?7|ug=<<:4?:0y~j21703:1=vsa762:>5<6std<;=o50;3xyk108k0;6k:182xh0?9o1<7?t}o544c<728qvb:9>0;295~{i?>;:6=4>{|l4344=83;pqc981283>4}zf>=:87>51zm3272290:wp`870494?7|ug=<=:4?:0y~j21603:1=vsa763:>5<6std<;8:6=4>{|l4374=83;pqc982283>4}zf>=987>51zm3242290:wp`873494?7|ug=<>:4?:0y~j21503:1=vsa760:>5<6std<;?o50;3xyk10:k0;69:6=4>{|l4364=83;pqc983283>4}zf>=887>51zm3252290:wp`872494?7|ug=5<6std<;>o50;3xyk10;k0;6>:6=4>{|l4314=83;pqc984283>4}zf>=?87>51zm3222290:wp`875494?7|ug=<8:4?:0y~j21303:1=vsa766:>5<6std<;9o50;3xyk10?:6=4>{|l4304=83;pqc985283>4}zf>=>87>51zm3232290:wp`874494?7|ug=<9:4?:0y~j21203:1=vsa767:>5<6std<;8o50;3xyk10=k0;6<:6=4>{|l4334=83;pqc986283>4}zf>==87>51zm3202290:wp`877494?7|ug=<::4?:0y~j21103:1=vsa764:>5<6std<;;o50;3xyk10>k0;6=:6=4>{|l4324=83;pqc987283>4}zf>=<87>51zm3212290:wp`876494?7|ug=<;:4?:0y~j21003:1=vsa765:>5<6std<;:o50;3xyk10?k0;6o1<7?t}o543c<728qvb:970;295~{i?>2:6=4>{|l43=4=83;pqc988283>4}zf>=387>51zm32>2290:wp`879494?7|ug=<4:4?:0y~j21?03:1=vsa76::>5<6std<;5o50;3xyk100k0;63:6=4>{|l43<4=83;pqc989283>4}zf>=287>51zm32?2290:wp`878494?7|ug=<5:4?:0y~j21>03:1=vsa76;:>5<6std<;4o50;3xyk101k0;6k:6=4>{|l43d4=83;pqc98a283>4}zf>=j87>51zm32g2290:wp`87`494?7|ug=5<6std<;lo50;3xyk10ik0;6h:6=4>{|l43g4=83;pqc98b283>4}zf>=i87>51zm32d2290:wp`87c494?7|ug=5<6std<;oo50;3xyk10jk0;6i:6=4>{|l43f4=83;pqc98c283>4}zf>=h87>51zm32e2290:wp`87b494?7|ug=5<6std<;no50;3xyk10kk0;6n:6=4>{|l43a4=83;pqc98d283>4}zf>=o87>51zm32b2290:wp`87e494?7|ug=5<6std<;io50;3xyk10lk0;6o:6=4>{|l43`4=83;pqc98e283>4}zf>=n87>51zm32c2290:wp`87d494?7|ug=5<6std<;ho50;3xyk10mk0;6l:6=4>{|l43c4=83;pqc98f283>4}zf>=m87>51zm32`2290:wp`87g494?7|ug=5<6std<;ko50;3xyk10nk0;6{|l4<54=83;pqc970283>4}zf>2;87>51zm3=62290:wp`881494?7|ug=3<:4?:0y~j2>703:1=vsa792:>5<6std<4=o50;3xyk1?8k0;6k:182xh009o1<7?t}o5;4c<728qvb:6>0;295~{i?1;:6=4>{|l4<44=83;pqc971283>4}zf>2:87>51zm3=72290:wp`880494?7|ug=3=:4?:0y~j2>603:1=vsa793:>5<6std<4{|l4<74=83;pqc972283>4}zf>2987>51zm3=42290:wp`883494?7|ug=3>:4?:0y~j2>503:1=vsa790:>5<6std<4?o50;3xyk1?:k0;6{|l4<64=83;pqc973283>4}zf>2887>51zm3=52290:wp`882494?7|ug=3?:4?:0y~j2>403:1=vsa791:>5<6std<4>o50;3xyk1?;k0;6:6=4>{|l4<14=83;pqc974283>4}zf>2?87>51zm3=22290:wp`885494?7|ug=38:4?:0y~j2>303:1=vsa796:>5<6std<49o50;3xyk1?{|l4<04=83;pqc975283>4}zf>2>87>51zm3=32290:wp`884494?7|ug=39:4?:0y~j2>203:1=vsa797:>5<6std<48o50;3xyk1?=k0;6{|l4<34=83;pqc976283>4}zf>2=87>51zm3=02290:wp`887494?7|ug=3::4?:0y~j2>103:1=vsa794:>5<6std<4;o50;3xyk1?>k0;66?=:NWWTPR=ZH6:=7>111922?OIX\^1M1<<:9<24>462@D[YY4N<3395;733;91EC^ZT;C\BVD;::0:2k5<:HLSQQ49B8439=2K7=;0:;@>23;3G;97?0M169B877=97?0M1<>>49B8749?2K7>>47>49B8759<2K7>3:4A=1=0>G;<7>0M1;14:C?2;2Pmtz345668m1J@H>Pmtz34565l2KGI=Qbuy23455c3HFNGKM9Ufyu>?015g?DJB8Vg~t=>?09f8EIC7Wds<=>?959BH@7d3HFN=RQ`r1235a=FDL;TSb|?01320>GIL;>0MCJ<4:CM@1286OAD768EKB0<2KEH5:4ARpe`>GTzoUH`fQFNW34?DUunVD;o6O\rg]mkq6788n0M^|i_omw4566901JSK]M<02==>GXNZH7=<06;@]EWG:6:730MRH\B=30:<=FWOYI0<:19:C\BVD;9<427LQISC>22;?89B[CUE482556OPFR@?5<8?3HUM_O2>>89B[CUE4;:556OPFR@?648>3HUM_O2=2?`8EZ@TJ5886<06;@]EWG:5;720MRH\B=0=<>GXNZH7?364A^DPF92902KTJ^L35?:8EZ@TJ5<546OPFR@?3;>3^KAQCbGXNZHT=;QFBTDg?DYA[KU:;RGMUGf8EZ@TJV;3SDLZFe9B[CUEW83TEO[Ic:C\BVDX9VCIYKj4A^DPFZ47W@H^Ji5N_GQA[77XAK_Mh6OPFR@\67YNJ\Lo7LQISC]17ZOE]Oi0MRH\B^0\MGSAk2KTJ^LP3^KAQCeGXNZHT9RGMUGa8EZ@TJVXAK_Mo6OPFR@\=ZOE]O<0Mb{{ODg8EjssGLUfyu>?01d8EjssGLUfyu>?013e?Dir|FOTaxv?0121b>Gh}}ENS`{w01237c=Fg|~DIRczx12341`=CJN^MAQC00O5C}3:ABWg=DIZUfyu>?01a8GDUXe|r;<=>>c:ABWZkrp9:;EF[Vg~t=>?0908G@51Omyo30?58@drf484=7IaztNGg?Air|FOTc>?01g8@jssGLUd~=>?00g8@jssGLUd~=>?03g8@jssGLUd~=>?02g8@jssGLUd~=>?05g8@jssGLUd~=>?04g8@jssGLUd~=>?0738A0=BNhfg:6KIamn22>CAief996K\<1<6?@U;97?0I^2=>49FW959<2OXS=k4ER]3[5Yig}:;<=h4ER]3[5Yig}:;<=?k;DQ\4ZYffm:;<=k4ER]3[Zgil9:;<k4ER]3[Zgil9:;<9k4ER]3[Zgil9:;<8k4ER]3[Zgil9:;<;:4ER]2`>CTW8UTmcj?012f?@UX9VUjbi>?013f?@UX9VUjbi>?0107?@UX:m1N_Rj4ER]0[Zgil9:;?_LzlvZTb{|f0<0>f:GP[HgclVUjhi>?01]N|jtXZly~`y2=>0d8AVYJimnTSljk0123[H~hzVXnxb{<2<2=>CTWDkohRQnde2345YXign;<=>>a:GP[HgclVUjhi>?01]\ekb789::=45JS^Ob`aYXimn;<=>P_`lg45669h1N_RCnde]\eab789:TSl`k01225a=B[V[T=Ra}0123a>CTWXU:Sb|?0122b>CTWXU:Sb|?01224c=B[V[T=Ra}012354c?5F339J07=N=;1B:?5F759JEFU23@KH_<:4ICWE=>OIA]Y_MYK8;HLJPVRD02CEEY][C0g8MKOS[VLXARHId032?LHN\ZUM_@QIFe3.Mk753@DBX^QISL]EBa7*Ag;:>6GAIUQ\BVKXNOn:!D`=e:KMMQUXNZGTJKj=109JJLRTWOYFSKHk2,Km57=NF@^XSK]B_GDg6(Oi9880ECG[S^DPIZ@Al;'Bb??=;HLJPVYA[DUMJi<"Io126>OIA]YTJ^CPFGf1)Lh39;1BBDZ\_GQN[C@c:$Ce9h5FNHVP[CUJWF?k5FNHVP[CUJWF?<>0:KMMQUXkdzTi|>?0218MKP53@E>7DANCUf8MJGD\Vg~t=>?0d9JKDESWds<=>?1g9JKDESWds<=>?11d8MJGD\Vg~t=>?003e?LIFK]Ufyu>?0131b>OHIJ^Taxv?01227c=NGHI_S`{w012351`l1BCLM[_lw{4567?l1BCLM[_lw{45670l1BCLM[_lw{45671?1BCLM[r79JKGCUZl1BCOK]R^llp5679o1BCOK]R^llp56798>0EBLB4:KLFV0OHKZ;=7DALS025?LID[8;=7DALS005?LID[89=7DALS065?LID[8?>7DALS378MJET;<1BCN];5:KLGV323@EH_;;4INAP30=NGJY396G@CR;5?LICGGOo7DAKOOG\kw6789o0EBJ@ND]lv56788o0EBJ@ND]lv5678;o0EBJ@ND]lv5678:o0EBJ@ND]lv5678=o0EBJ@ND]lv5678o0EBJ@ND]lv5678120EBJ@NDkm3>OHLFDN~95FOM2`?LIK8Vg~t=>?0e9JKI6Xe|r;<=>>e:KLH5Yj}q:;<=??e:KLH5Yj}q:;<=?>e:KLH5Yj}q:;<=?=e:KLH5Yj}q:;<=?j4INN3[hs89:;8i5FOM2\ip~789:>h6G@L1]nq}6789n0EBB?_lw{45670m1BCA>Pmtz3456><2CD@7DACDR;8MJHWZlkou?0008MJHWZlkouRQ`r12344753@EE\_kndx]\kw67898:>6G@NQPfeaXWfx;<=><139JKKVUmhnrSRa}0123044?0008MJVPZlkouRQ`r12344753@E[[_kndx]\kw67898:>6G@PVPfeaXWfx;<=><7:KLVATBYo1BC_J]EP]bja6789;;7DA]DSGR[dhc89:;=<>4INPGV@WXign;<=>=119JKWBUMXUjbi>?011e?LIUL[OZS`{w012355=NG[NYI\Qbuy23457692CD^I\JQ^ov|56788::=6G@REPFUZkrp9:;<1:KLVATBYVg~t=>?00025>OHZMXN]Rczx123445692CD^I\JQ^ov|56788>:<6G@REPFUZkrp9:;?3028MJTCZL[Taxv?012755=NG[NYI\Qbuy23453682CD^I\JQ^ov|5678?;;7DA]DSGR[hs89:;;<>4INPGV@WXe|r;<=>7119JKWBUMXUfyu>?01;;?LIUL[OZ~;5FOSGRv2=NG[OZ~<94INPFUw403@EYI\|<5:KLVR7c3@EY[Paof34565l2CD_=Qnne23455d3@EXOH[9Ufyu>?0133`>OH[9Ufyu>?010g?LIT8Vg~t=>?02f8MJU7Wds<=>?4e9JKV6Xe|r;<=>:d:KLW5Yj}q:;<=8k;HMP4Zkrp9:;<:j4INQ3[hs89:;4i5FOR2\ip~789:2o6G@S^DPIZ@Al8i0EB]PFRO\BCb5n2CD_RH\M^DE`7+Nf8:0EB]PFRO\BCb5%@d:==5FOR]EWHYANm8&Ec<>0:KLWZ@TEVLMh?#Fn2a8MJUXNZGTJKj#Fn028MJUXNZGTJKj<-Hl255=NGZUM_@QIFe1.Mk4682CD_RH\M^DE`6+Nf:;;7DA\_GQN[C@c;$Ce8<>4INQ\BVKXNOn8!D`:119JKVYA[DUMJi="Io4`?LITWOYFSKHk4b9JKVYA[DUMJi;l;HMP[CUJWOLo:k5FOR]EWHYANm<&Ec??;HMP[CUJWOLo: Ga1028MJUXNZGTJKj9-Hl155=NGZUM_@QIFe4.Mk5682CD_RH\M^DE`3+Nf=;;7DA\_GQN[C@c>$Ce9n5FOR]EWHYANm=m7DA\_GQN[C@c?$Ce==5FOR]EWHYANm=&Ec?>0:KLWZ@TEVLMh:#Fn333?LITWOYFSKHk7,Km746k;HMV4Zkrp9:;<k4INW3[hs89:;=9k4INW3[hs89:;=8j4INW3[hs89:;>i5FOT2\ip~789:8h6G@U1]nq}6789>o7DAZ0^ov|5678m1BCX>Pmtz34560l2CDY=Qbuy2345>c3@E^_omw45669<1BCXJ\7:KLSWTBYo1BCZ\]EP]nq}6789;;7DAXRSGR[hs89:;=>0038MJQUZL[Taxv?0122547>4038MJQUZL[Taxv?0122146?5028MJQUZL[Taxv?012555=NG^XYI\Qbuy23451682CD[_\JQ^ov|56781;;7DAXRSGR[hs89:;555FOVPQATtb3BON\RFFESCQGM_03EELENOCa:NSIZ^HZ;U:56B[ilgq858f3E^bah|31189OPlkbz59556B[ilgq818>3E^bah|35?;8HQojm{6=245CThofv91912F_e`k}<9<:?IRnelx75364LUknawRD9:1GXdcjrUA\ghvXmx:;<=?;;MVji`tSKVif|Rk~0123542?01120>JSadoyXNQlmq]fu5678=;?7AZfmdpWGZejxVoz<=>?599OPlkbzV:37AZfmdp\5<=K\`gn~R??9:NWmhcuW8;27AZfmdp\57>JSadoyS864LUknawY102F_e`k}_6:8HQojm{U346B[ilgq[<433E^bah|PM`fg[Zgcl9:;?01]N|jtXZly~`y2>>318HQojm{UFmijP_`fg4567WDrd~R\jstnw8785;2F_e`k}_Lcg`ZYflm:;<=QBxnp\V`urd}682?=4LUknawYJimnTSljk0123[H~hzVXnxb{<5<17>JSadoyS@okd^]b`a6789UFtb|PRdqvhq:26;90@Ygbes]NeabXWhno<=>?_LzlvZTb{|f0;0=3:NWmhcuWDkohRQnde2345YJpfxT^h}zlu>4:75?01]N|jtXZly~`y26>348HQojm{UFmijP_`fg4567W[oxyazP0^llp56788;o7AZfmdp\IdbcWVkoh=>?0^]bja6789;n7AZfmdp\IdbcWVkoh=>?0^]bja6789;:h6B[ilgq[HgclVUjhi>?01]\ekb789;:i6B[ilgq[HgclVUjhi>?01]\ekb789;:=i5CThofvZKflmUTmij?012\[dhc89:9=h5CThofvZKflmUTmij?012\[dhc89:9=d:NWmhcuWDkohRQnde2345YXign;<=;>e:NWmhcuWDkohRQnde2345YXign;<=;>1e9OPlkbzVGjhiQPaef3456XWhdo<=>91d9OPlkbzVGjhiQPaef3456XWhdo<=>910f8HQojm{UFmijP_`fg4567WVkeh=>?70g8HQojm{UFmijP_`fg4567WVkeh=>?703g?IRnelxTAljk_^cg`5678VUjbi>?093f?IRnelxTAljk_^cg`5678VUjbi>?0932`>JSadoyS@okd^]b`a6789UTmcj?01;2a>JSadoyS@okd^]b`a6789UTmcj?01;25a=K\`gn~RCnde]\eab789:TSl`k01335`=K\`gn~RCnde]\eab789:TSl`k01335441129OPlkbzVUjhi>?01>25;743E^bah|P_`fg45674885=?5CThofvZYflm:;<=2>>008HQojm{UTmij?012?6;753E^bah|P_`fg45674:4:>6B[ilgq[Zgcl9:;<1:1139OPlkbzVUjhi>?01>6:447;97AZfmdp\[dbc89:;0:0>2:NWmhcuWVkoh=>?0=:=57=K\`gn~RQnde2345:>6<1Gclj>e:Nlea7XWhdo<=>?2:L33>HB\^EYG<5@4:MAQC3ICKZxTmcj?012f?JBD[{Ujbi>?0130?JT5;2EY?>5@R568Kkebk2EeohQPos2345bVd3Y$9<<=>001\H1=WI[^j7]GA_CWPMA^e3YCESO[\N@OF7>VUM81Z96\N<1<5?WG;99437_O31083:3=UI5;:285]A=3=1>TF4;4>7_O33?48VD:468<0^L2<>348VD:46:<0^L2<>578VD:36<1YM1;15:PB83823[K7;3;4R@>;:0=UI535?6\NM49QEHET?2XJAN]?X99QEHET8Q;37_OBCR2[6==UIDIXk4R@OFJZYhz9:;<9k4R@OFJZYhz9:;<8k4R@OFJZYhz9:;<;k4R@OFJZYhz9:;<:k4R@OFJZYhz9:;<5m4R@OFJZiu89:;h6\NMDL\kw6789;o7_OBEO]lv5678;20^LC]e`fzb>TFE[ojhtQ`r123446??;SCNV`gcqVey<=>?4028VDKUmhnrSb|?0126<>TFEZ~j~y74R@OPpdts9<1YM^KCd:PBW@JXe|r;<=>j;SCPAIYj}q:;<=?j;SCPAIYj}q:;<=<:;SCPvc>7_O\rsf8VDUuzVg~t=>?0d9QEVtuWds<=>?1d9QEVtuWds<=>?2d9QEVtuWds<=>?389QEZ6XGK_Mo6\N_1]nq}6789n0^LQ?_lw{45679m1YMR>Pmtz34565l2XJS=Qbuy23455c3[KT?0d9QEZ77Wds<=>?1d9QEZ77Wds<=>?2d9QEZ77Wds<=>?3d9QEZ77Wds<=>?4`9QEZ76WFH^J45]A^3\KGSAk2XJSTFW8Ufyu>?011g?WGX9Vg~t=>?05;8VDY5WFH^Jn5]A^0\ip~789:o7_OP2^ov|56788n0^LQ=_lw{4567:m1YMR3[KT?RAMUG;8VDY3WFH^Jn5]A^6\ip~789:o7_OP4^ov|56788n0^LQ;_lw{4567:m1YMR:Pmtz34564l2XJS9Qbuy23452>3[KT9RAMUGa8VDY2Wds<=>?d:PB[0Yj}q:;<=?k;SC\1Zkrp9:;TFW?Ufyu>?010g?WGX>Vg~t=>?02f8VDY1Wds<=>?489QEZ1XGK_Mo6\N_6]nq}6789n0^LQ8_lw{45679m1YMR9Pmtz34565l2XJS:Qbuy23455c3[KT;Rczx12341?Xe|r;<=>k;SC\i5]A^:\ip~789:8h6\N_9]nq}6789>27_OP9^MAQCeTFW0Ufyu>?013g?WGX1Vg~t=>?03f8VDY>Wds<=>?3e9QEZ?Xe|r;<=>;5:PLIFU13[EFO^?9;SMNGV413[EFO^=9;SMNGV203[EFO^:W8:PLIFU3P820^BCLS5Z1<>THEJY?T>64RNO@W1^3>2XDAN]:6:PLIFU1>2XDAN]8b:PP[CUJWOLo=o5]S^DPIZ@Al;h0^^QISL]EBa5e3[YTJ^CPFGf7a>TTWOYFSKHk4,Kmb>TTWOYFSKHk4,Km5c=U[VLXARHId5/Jj7`TTWOYFSKHk6,Km7c=U[VLXARHId7/Jj1`TTWOYFSKHk8,Kmb>TTWOYFSKHk8,Km5c=U[VLXARHId9/Jj7`?119QacBhflUTc>?01324>TbnMeeiRQ`r12347773[omHb`j_^mq4567;8:0^hhKoog\[jt789:?==5]egFlj`YXg{:;<=;n;SgeBwijZZ:i7_kiFsmnVV66k2XnjK|`mSQ355eTbnOxda_]?13`8V``AzfgY_=8b:PfbCthe[Y;4o5]egDqkhTT80<0^hh]ep:8V``UmxCei6\jfSgr[kis89::j6\jfSgr[kis89::=i5]egPfuZiu89:;i6\jfSgr[jt789::i6\jfSgr[jt789:9i6\jfSgr[jt789:8i6\jfSgr[jt789:?:6\jfTpd<>Tbn\xlEc84Rdqvhq0<[F_YOH;4SV>2:0=T_585;6]X<282:0=T_59586]jnua8W`hsWVey<=>?d:QfjqYXg{:;<=?k;RgmpZYhz9:;RU]Lh0X^QISL]EBa7b3]YTJ^CPFGf2)Lha3]YTJ^CPFGf2)Lh6n2^XSK]B_GDg5(Oi:k1__RH\M^DE`7c<\ZUM_@QIFe0.Mk`<\ZUM_@QIFe0.Mk7682^XSK]B_GDg6(Oi99l0X^QISL]EBa4*Ag8m7Y]PFRO\BCb5%@d8j6Z\_GQN[C@c:$Ce8k5[S^DPIZ@Al;'Bb8h4TR]EWHYANm8&Ec8i;UQ\BVKXNOn9!D`8f:VP[CUJWOLo> Ga8g9WWZ@TEVLMh?#Fn8`8PVYA[DUDyy>l;UQ\BVKXG|~;=n5[S^DPIZIr|98?7YW_E99Wmhcu49437Ygbes>2:==Sadoy0?07;Uknaw:46>1_e`k}TB31?Qojm{^HSnc_ds34566;2^bah|[C^antZcv89:;=<=4ThofvQEXkdzTi|>?01027>Rnelx_ORmbp^gr4567;890XdcjrUA\ghvXmx:;<=:>3:Vji`tSKVif|Rk~012312=SadoyS=??;UknawY7WVkeh=>?0038PlkbzV:TSl`k0123547<\`gn~R>P_`lg4567:8;0Xdcjr^2\[dhc89:;?:5[ilgq[4773]cfiQ>_^cm`56788;0Xdcjr^3\[dhc89:;=?269WmhcuW;;;7Ygbes]1[Zgil9:;<?1038PlkbzV8TSl`k012362=SadoyS>??;UknawY4WVkeh=>?0038PlkbzV9TSl`k0123547<\`gn~R=P_`lg4567:;80Xdcjr^Ob`aYXimn;<=>PMymq[Wct}e~7=3<=;UknawYJimnTSljk0123[H~hzVXnxb{<3<16>RnelxTAljk_^cg`5678VGscQ]erwop9599j1_e`k}_Lcg`ZYflm:;<=QPaof34566l2^bah|PM`fg[Zgcl9:;3?>;UknawYXimn;<=>33?f8PlkbzVkeh=>?0d9WmhcuWhdo<=>?1b9VW@TX^@YBNAK<;WA@=>PNM^U_U]K;;WRBvf=QXHxTbbz?013g?SVFzVddx=>?10`8RlbUIDIX[_?l;WkgVDKD[^X:Pnl[KFO^Y]5c9UmaTFEJY\^;l4VhfQEHET_[=i7[gkR@O@WRT?j2\bh_OBCRUQ=3=Pi{zXI^T\V\HO;5Wdc]J`464n@FlqqJB8Vey<=>?109mEAir|EO;Sb|?012254=iIMe~xAK?_np34565981eMIaztMG3[jt789:856`NDnwwH@7f3gKOcxzCE03f?kGCg|~GI?00d8jDUh}}Uecy>?00324>hF[fSca{012255`?00326>hEM[XTJ^LP_np345759;1eNH\]_GQA[Ziu89::?45aBDPQ[LIEm2dII_\PIN@\MGSA?2dIKRG@B69mFWYNGKi0bO\PIN@\KGSAn2dI^RG@B^ov|56788:0bO\PIN@\ip~789::==5aBS]JKGYj}q:;<=<>0:lAVZOHJVg~t=>?0233?kDUW@EIS`{w0123046hDIZUDNXHl;oABWZhh|9:;=i5aC@Q\jjr789;::6`KT@AHe>hC\HI@SK]Mf:lGPDELWOYISBLZF`9m@QGDCVCDNk5aDUC@OZOHJVCIYKk4nEVBGNYffm:;<=h4nEVBGNYffm:;<=?i;oFWEFMXign;<=>=f:lGPDELWhdo<=>?3d9m@QGDCVddx=>?1g9m@QGDCVddx=>?1048jAoiDLh0bIgaLD]LFP@c3gNbbAKPos2345chCagENSl`k0123b>hCagENSl`k01235c=iL`dDIRoad12347`1eHb{{OD:8jAir|FO:o6`KotvLAZIE]Ol0bIaztNG\ekb789;:<6`KotvLAZgil9:;=>2028jAir|FOTmcj?01301>hNXE8j7cG_L3]JFP@13gC[@?|;;oNGW==iDMYTJ^Lk;oNGWZ@TJVCIYK64nMFP[LIEm2dGH^QFOC]LFP@Bj2dGH^QFOCmvpf=iDMYTmcj?010g?kJC[Vkeh=>?20f8jIBTWhdo<=>=2e9mHAUXign;<=<8j4nMFP[dhc89:9:i5aLEQ\ekb7898hK_LUjbi>?01f8jIQBWhdo<=>?1e9mHRCXign;<=>=d:lOS@Yffm:;<==k;oNTAZgil9:;<9=4nNG7?kIB9=1eCH<7;oMF[JDRN11eC{k}l`{24>hH~lxgmtQaou2344763gE}ibny^llp56798;:7cAyesnb}Zhh|9:;=??>;oMuawjfqVddx=>?1232?kIqm{fjuR``t123511hUIZ?0b_O\149mVDU5=2dYM^=:;oPBW13hUIZUDNXH;;oPFWg=iZLYTEBLZFDa8jWCTWge<=>>d:lQAVYig}:;<2dYC@M\7:lQKHET9k1e^BCLS^MAQC0hRLZ20bXJ\_GQA`>hRLZUM_OQFBTD;?kSC[VCDNh5aUEQ\MJDXGK_MIo5aUEQ\MJDh}}i0bXJ\_`lg4566l2d^H^Qnne23447c3g_O_Road12357bhRLZUjbi>?007g?kSC[Vkeh=>?17f8jPBTWhdo<=>>7e9mQAUXign;<=?7d:lV@VYffm:;<<7l;oWGWZhh|9:;=i5aUEQ\jjr789;:86`ZWD78jPQB9j1eYZKPaof3456c3g_\IRoad12344bhR_LUjbi>?0167?kPJI11eZ@OPIN@f?kPJIVCDNRAMUGGa?kPJIVCDNb{{d:lUIDYXign;<=>j;oTNEZYffm:;<=?l;oTNEZYhz9:;?00f8jSKFWhdo<=>?2e9mRHGXign;<=>hQXHUM_Oj4nWRB[CUEWFH^J55aVQC\MJDc3g\[MRG@B^KAQCehQXHUjbi>?013g?kPWIVkeh=>?03f8jSVFWhdo<=>?3b9mRUGXff~;<=?k;oTSEZhh|9:;=<=4nVG4?kQBWOYIo6`XE^DPFZOE]O90~lc7;ya:156dtJK|<068e00g|f:=:6<5a36092>"4?908:o5rS839722=::31=>:m1159b13c3m9=m7>51;3xW<4=;>>1>>75126a551=n=?j7{Z<0e83>4<62;liw^7=:257>75>289?n<>8:g66e>"4=j0:h95+22c901bdg|@:?j7)=<1;15e>\0039p>7;56;'5a5=;8n0'9>::188k2e=831d?o;50;9j7=2=831d8>h50;9j047=831d8=j50;9l3`<722e8ji4?::k0e6<722e8j44?::m0f5<722e8m;4?::kb5?6=3`ni6=44i2`4>5<5<5<:6=44o530>5<>i4090;66g>o4i<0;66a;0`83>>i4jo0;66a>i3:h0;66a>i4kj0;66g;4`83>>i41k0;66a=4383>!44k38?=6`=3c83?>i5<90;6)<h5;k0:76a=4g83>!44k38?=6`=3c81?>i5h5;k0876a=4e83>!44k38?=6`=3c87?>i5h5;k0>76a=4c83>!44k38?=6`=3c85?>i5h5;k0<76a=4483>!44k38?=6`=3c8;?>i5<=0;6)<h5;k0276a=4283>!44k38?=6`=3c8b?>i5;o0;6)<h5;k0i76g;c;29 75d2=h0b?=m:198m1g=83.9?n4;b:l17g<632c?57>5$31`>1do3>3:1(?=l:5`8j75e2=10e9;50;&17f<3j2d9?o4:;:k70?6=,;9h69l4n31a>3=h5;k0<76g;2;29 75d2=h0b?=m:998m17=83.9?n4;b:l17g<>32c?<7>5$31`>1do4m3:1(?=l:5`8j75e2j10e>j50;&17f<3j2d9?o4k;:k60?6=,;9h69l4n31a>`=h5;k0m76g:2;29 75d2=h0b?=m:028?l36290/>>m54c9m66d=9810e8>50;&17f<3j2d9?o4>2:9j0c<72-88o7:m;o00f?7432c?i7>5$31`>1d5<#::i18o5a22`950=h5;k0::65f30394?"5;j08==5a22`94>=n;9l1<7*=3b8055=i::h1=65f31g94?"5;j08==5a22`96>=e;?o1<7?50;2x 6562l90D>8k;I16e>ib:3:17pl<6g83>4<729q/?>?534`8L60c3A9>m6a=3983>>{e;><1<7?l:2397c}O;=>:818^2>=9r91q)?k3;12`>o?m3:17b3:17b<:2;29?j56k3:17d9;:188m1622900c2900c?;>:188k7d>2900chj50;9j52<72-88o7?9;o00f?6<3`;>6=4+22a953=i::h1=65f1583>!44k3;=7c<>m5179m66d=;21b==4?:%00g?713g88n7:4;hd94?"5;j0::6`=3c86?>ob290/>>m5179m66d=>21bh7>5$31`>40!44k3;=7c<6:l17g<>32cj6=4+22a953=i::h1m65f9;29 75d28<0b?=m:c98m=<72-88o7?9;o00f?e<3`=1<7*=3b822>h5;k0o76g>f;29 75d28<0b?=m:d98m4c=83.9?n4>6:l17g5}#;:;1i>5G37f8L63f3fo96=44}c01a?6=;3:1N4>m1C?8o4i6;94?=n1?0;66a=3d83>>{e;o91<7;50;2x 6562ml0D>8k;I16e>"a83:0e5j50;9j5;h;5>5<;n;hg7>5<;n;hg7>5<N4>m1C?8o4id794?=nm?0;66a=2c83>>{e:<<1<7=50;2x 6562:;0D>8k;I16e>ob=3:17dk9:188k74e2900qo<<0;297?6=8r.8?<4<1:J02a=O;o4?::a6g`=8381<7>t$212>4653A9=h6F<5`9ja1<722e9>o4?::a6f6=8381<7>t$212>4653A9=h6F<5`9ja1<722e9>o4?::a6f7=8381<7>t$212>4653A9=h6F<5`9ja1<722e9>o4?::a6f4=83?1<7>t$212>65<@:>{eml0;6:4?:1y'767=;<1C?;j4H27b?lc22900eh850;9ja2<722cn47>5;hg:>5<6=4=:183!5493;;>6F<6e9K70g1<75`23`94?=zj:l=6=4=:183!5493;;>6F<6e9K70g1<75`23`94?=zj:l<6=4<:183!549388=6F<6e9K70g>i5:k0;66sm3g:94?5=83:p(>=>:238L60c3A9>m6gj5;29?lc12900c?7>50z&074<68;1C?;j4H27b?lc32900c?7>50z&074<68;1C?;j4H27b?lc32900c?7>50z&074<68;1C?;j4H27b?lc32900c?7>50z&074<68;1C?;j4H27b?lc32900c?50z&074<5;81C?;j4H27b?lc22900eh850;9l67d=831vn?m;:180>5<7s-98=7=>;I15`>N4=h1bi84?::kf2?6=3f89n7>5;|`1g0<72=0;6=u+323977=O;?n0D>;n;hg6>5<>i5:k0;66sm37294?3=83:p(>=>:218L60c3A9>m6gj5;29?lc12900eh950;9ja=<722e9>o4?::a734=8391<7>t$212>67<@:5<53;294~"4;809?<5G37f8L63f3`o>6=44id494?=h:;h1<75rb247>5<5290;w)=<1;336>N4>m1C?8o4id694?=h:;h1<75rb246>5<5290;w)=<1;336>N4>m1C?8o4id694?=h:;h1<75rb245>5<5290;w)=<1;336>N4>m1C?8o4id694?=h:;h1<75rb244>5<4290;w)=<1;12?M51l2B89l5fe483>>ob>3:17b<=b;29?xd4>10;694?:1y'767=;;1C?;j4H27b?lc22900eh850;9ja2<722e9>o4?::a73?=8391<7>t$212>67<@:5<54;294~"4;808>6F<6e9K70g>ob?3:17b<=b;29?xd69o0;6>4?:1y'767=;81C?;j4H27b?lc22900eh850;9l67d=831vn<<9:186>5<7s-98=7=<;I15`>N4=h1bi84?::kf2?6=3`o<6=44id:94?=h:;h1<75rb004>5<4290;w)=<1;12?M51l2B89l5fe483>>ob>3:17b<=b;29?xd6:10;6>4?:1y'767=::;0D>8k;I16e>ob=3:17dk9:188k74e2900qo?=9;296?6=8r.8?<4>039K73b<@:?j7dk;:188k74e2900qo?=a;296?6=8r.8?<4>039K73b<@:?j7dk;:188k74e2900qo?=b;297?6=8r.8?<4=309K73b<@:?j7dk::188m`0=831d>?l50;9~f44d29086=4?{%105?563A9=h6F<5`9ja0<722cn:7>5;n01f?6=3th:>i4?:283>5}#;:;1?<5G37f8L63f3`o>6=44id494?=h:;h1<75rb003>5<4290;w)=<1;12?M51l2B89l5fe483>>ob>3:17b<=b;29?xd6:80;6>4?:1y'767=;81C?;j4H27b?lc22900eh850;9l67d=831vn<<=:180>5<7s-98=7<<1:J02a=O;o4?::a575=8391<7>t$212>67<@:5<53;294~"4;809?<5G37f8L63f3`o>6=44id494?=h:;h1<75rb006>5<4290;w)=<1;005>N4>m1C?8o4id794?=nm?0;66a=2c83>>{ti3:1?vPn;<157?c234;947k:;|qa>5<4sWh01>8<:d48944?2l<0q~j50;1xZa=:;?:1i;521349a0=z{l0;6>uQe:?025;4j6:pb?6=`0<5:<96h;4=005>`>01>8?:d:894442l?0q~?;:184[73348h<7k;;`><5;hh6h:4=241>`0<58;m6h;4=004>`36=47{_36?842;3o?700187k;;<0`5?c334on6ho4=3`g>`2<5:`3489:paa<72;qUii52ed816g=z{8;n6=4={_32a>;6:<09>o5rs03e>5<5s4;:j7<=b:?26g2wx=?>50;0x94472;8i70?=1;g5?xu6:80;6?u2133967d<58896h84}r316?6=:r7:>?4=2c9>575=m?1v<<<:181875;389n63>258f1>{t9;>1<774e34;997k9;|q263<72;q6=?8523`894462l?0q~?=7;296~;6:>09>o521329a0=z{8836=4={<31n4j5:p57?=838p1<<6:30a?875k3o=7p}>2`83>7}:9;k1>?l4=00g>`052z?26g<5:k16=?;5e49~w44d2909w0?=c;01f>;6:90n:6s|13f94?4|588o6??k522g896`02l?0q~<=f;296~;5:l02:63=31816g=z{;?:6=4;{_065>;5=?09>o523759a0=:9;=1i;5rs371>5<4sW8>>63=54816g=::<<1i85rs370>5<5s48>?7<=b:?1108:50;0x97332;8i70<:5;g5?xu5j00;6?uQ2c;897e22;8i7p}=b`83>7}::kk1>?l4=3a0>`052z?1fg<5:k16>n;5e49~w7dd2909w0;5k=0n96s|2cf94?4|5;ho6?74e34;9h7k:;|q1fc<72;q6>oh523`897e52l<0q~o522b09a2=z{;i:6=4={<0`5?45j279o?4j8:p6f5=838p1?m<:30a?84d=3o=7p}=c583>7}::j>1>?l4=3a6>`153z\05f=:;?o1i?523g198=:30a?85113o>7p}<6283>7}:;?91>?l4=244>`052z?021<5:k16?;65e79~w6022909w0=95;01f>;4>10n96s|37494?4|5:<=6?731=:;h01>86:d48yv5103:1>v3<69816g=:;?;1i:5rs24:>5<5s49=57<=b:?024?l4}r1e1?6=:r78j84=2c9>7c1=m?1v>h9:18185a>389n63{t;o=1<774e349m47k:;|q740<72:qU8=;4=2d0><0<5:l>6h:4}r67=?6=;rT?84523749a1=:9;31i95r}c67g?6=;:02;7l9{I16e>"4;8099=5U79817<32t.:h>4<1e9j<`<722e?>?4?::k0<6<722e?5;n1fb?6=3`9o:7>5;h136?6=3`>?57>5;h624?6=3`8>=7>5;n63e?6=3`8i57>5;n052?6=3f9n47>5;n076?6=,;9h6?:>;o00f?6<3f8?<7>5$31`>7263g88n7?4;n07b?6=,;9h6?:>;o00f?4<3f8?i7>5$31`>7263g88n7=4;n07`?6=,;9h6?:>;o00f?2<3f8?o7>5$31`>7263g88n7;4;n07f?6=,;9h6?:>;o00f?0<3f8?m7>5$31`>7263g88n794;n071?6=,;9h6?:>;o00f?><3f8?87>5$31`>7263g88n774;n077?6=,;9h6?:>;o00f?g<3f88j7>5$31`>7263g88n7l4;h37>5<#::i1=>5a22`94>=n9;0;6)<>l52:9jb?6=,;9h6<=4n31a>6=07dj50;&17f<6;2d9?o4:;:k`>5<#::i1=>5a22`92>=nj3:1(?=l:018j75e2>10el4?:%00g?743g88n764;h;94?"5;j0:?6`=3c8:?>o?290/>>m5129m66d=i21b;7>5$31`>45o6m3:1(?=l:018j75e2m10ec=h5;k0:<65f1`83>!44k3;87c<o613:1(?=l:018j75e28807d?7:18'66e=9:1e>>l51298m47=83.9?n4>3:l17g<6<21i?;k50;394?6|,:9:6h=4H24g?M52i2en>7>5;|`171<72<0;6=u+3239`c=O;?n0D>;n;%d3>5=n0m0;66g7f;29?l1>2900e4850;9l66c=831vn?;8:186>5<7s-98=7ji;I15`>N4=h1/j=4?;h:g>5<>o>>3:17b<c2900e5h50;9j3<<722c2:7>5;n00a?6=3th9:;4?:283>5}#;:;1hi5G37f8L63f3`=26=44i8494?=h::o1<75rb6594?5=83:p(>=>:ef8L60c3A9>m6g89;29?l?12900c?=j:188yg41n3:1?7>50z&0745;h;5>5<5<4290;w)=<1;fg?M51l2B89l5+f183?l1>2900e4850;9l66c=831vn?99:180>5<7s-98=7jk;I15`>N4=h1/j=4?;h5:>5<>k50;9~f6d729086=4?{%105?bc3A9=h6F<5`9j3<<722c2:7>5;n00a?6=3th9o;4?:283>5}#;:;1hi5G37f8L63f3-l;6=5f7883>>o>>3:17b<0;6>4?:1y'767=lm1C?;j4H27b?!`7291b;44?::k:2?6=3f88i7>5;|`1g=<72:0;6=u+3239`a=O;?n0D>;n;%d3>5=n?00;66g66;29?j44m3:17pl=c883>6<729q/?>?5de9K73b<@:?j7)h?:19j3<<722c2:7>5;n00a?6=3th9ok4?:283>5}#;:;1hi5G37f8L63f3-l;6=5f7883>>o>>3:17b<4?:1y'767=lm1C?;j4H27b?!`7291b;44?::k:2?6=3f88i7>5;|`1`<<72:0;6=u+3239`a=O;?n0D>;n;%d3>5=n?00;66g66;29?j44m3:17pl=db83>6<729q/?>?5de9K73b<@:?j7)h?:09j3<<722c2:7>5;n00a?6=3th?5}#;:;1==<4H24g?M52i2cn87>5;n01f?6=3th?>>4?:383>5}#;:;1==<4H24g?M52i2cn87>5;n01f?6=3th8i44?:383>5}#;:;1==<4H24g?M52i2cn87>5;n01f?6=3th9ho4?:283>5}#;:;1?<5G37f8L63f3`o>6=44id494?=h:;h1<75rb2`0>5<5290;w)=<1;336>N4>m1C?8o4id694?=h:;h1<75rb315>5<5290;w)=<1;336>N4>m1C?8o4id694?=h:;h1<75rb314>5<4290;w)=<1;005>N4>m1C?8o4id794?=nm?0;66a=2c83>>{e:<31<7<50;2x 65628:97E=9d:J01d=nm=0;66a=2c83>>{e:>?1<7<50;2x 65628:97E=9d:J01d=nm=0;66a=2c83>>{e<9o1<7=50;2x 6562;9:7E=9d:J01d=nm<0;66gj6;29?j45j3:17pl7<729q/?>?51108L60c3A9>m6gj4;29?j45j3:17pl6<729q/?>?52238L60c3A9>m6gj5;29?lc12900c?50z&074<5;81C?;j4H27b?lc22900eh850;9l67d=831vn?8j:187>5<7s-98=7==;I15`>N4=h1bi84?::kf2?6=3`o<6=44o30a>5<53;294~"4;808=6F<6e9K70g>i5:k0;66sm26094?5=83:p(>=>:312?M51l2B89l5fe483>>ob>3:17b<=b;29?xd5?10;6>4?:1y'767=::;0D>8k;I16e>ob=3:17dk9:188k74e2900qo<89;297?6=8r.8?<4<1:J02a=O;o4?::a62g=8391<7>t$212>7563A9=h6F<5`9ja0<722cn:7>5;n01f?6=3th?>84?:383>5}#;:;1==<4H24g?M52i2cn87>5;n01f?6=3th?>;4?:383>5}#;:;1==<4H24g?M52i2cn87>5;n01f?6=3th?>:4?:383>5}#;:;1==<4H24g?M52i2cn87>5;n01f?6=3th?>54?:483>5}#;:;1?>5G37f8L63f3`o>6=44id494?=nm>0;66gj8;29?j45j3:17pl7<729q/?>?51108L60c3A9>m6gj4;29?j45j3:17pl7<729q/?>?51108L60c3A9>m6gj4;29?j45j3:17pl7<729q/?>?51108L60c3A9>m6gj4;29?j45j3:17pl0<729q/?>?5329K73b<@:?j7dk::188m`0=831bi:4?::kf5;|`13a<72:0;6=u+323974=O;?n0D>;n;hg6>5<6F<6e9K70g1<75`23`94?=zj;=m6=4<:183!54939:7E=9d:J01d=nm<0;66gj6;29?j45j3:17pl=8183>6<729q/?>?52238L60c3A9>m6gj5;29?lc12900c?50z&074<492B8:i5G34c8m`3=831bi;4?::m16g<722wi>5l50;094?6|,:9:6<>=;I15`>N4=h1bi94?::m16g<722wi>5m50;194?6|,:9:6>?4H24g?M52i2cn97>5;hg5>5<;n;hg6>5<7<729q/?>?51108L60c3A9>m6gj4;29?j45j3:17pl=9883>6<729q/?>?5309K73b<@:?j7dk::188m`0=831d>?l50;9~f7?f29086=4?{%105?4492B8:i5G34c8m`3=831bi;4?::m16g<722wi>4j50;194?6|,:9:6>?4H24g?M52i2cn97>5;hg5>5<;n;hg7>5<N4>m1C?8o4id794?=nm?0;66a=2c83>>{e:h:1<7=50;2x 6562;9:7E=9d:J01d=nm<0;66gj6;29?j45j3:17pl=a283>6<729q/?>?5309K73b<@:?j7dk::188m`0=831d>?l50;9~f7g329096=4?{%105?77:2B8:i5G34c8m`2=831d>?l50;9~f7g229086=4?{%105?563A9=h6F<5`9ja0<722cn:7>5;n01f?6=3th9m;4?:283>5}#;:;1>>?4H24g?M52i2cn97>5;hg5>5<N4>m1C?8o4id794?=nm?0;66a=2c83>>{e:hk1<7<50;2x 65628:97E=9d:J01d=nm=0;66a=2c83>>{e:hh1<7=50;2x 6562:;0D>8k;I16e>ob=3:17dk9:188k74e2900qo?l50;9~f7ga29086=4?{%105?563A9=h6F<5`9ja0<722cn:7>5;n01f?6=3th9n=4?:383>5}#;:;1==<4H24g?M52i2cn87>5;n01f?6=3th9n<4?:283>5}#;:;1?<5G37f8L63f3`o>6=44id494?=h:;h1<75rb3`1>5<4290;w)=<1;005>N4>m1C?8o4id794?=nm?0;66a=2c83>>{e:k?1<7=50;2x 6562:;0D>8k;I16e>ob=3:17dk9:188k74e2900qo039K73b<@:?j7dk;:188k74e2900qoo4?::a6g>=8391<7>t$212>7563A9=h6F<5`9ja0<722cn:7>5;n01f?6=3th95=4?:283>5}#;:;1?<5G37f8L63f3`o>6=44id494?=h:;h1<75rb3;2>5<5290;w)=<1;336>N4>m1C?8o4id694?=h:;h1<75rb3;1>5<4290;w)=<1;12?M51l2B89l5fe483>>ob>3:17b<=b;29?xd51:0;6>4?:1y'767=::;0D>8k;I16e>ob=3:17dk9:188k74e2900qo<73;297?6=8r.8?<4<1:J02a=O;o4?::a6=2=8381<7>t$212>4653A9=h6F<5`9ja1<722e9>o4?::a6=3=8391<7>t$212>67<@:5<53;294~"4;809?<5G37f8L63f3`o>6=44id494?=h:;h1<75rb365>5<4290;w)=<1;12?M51l2B89l5fe483>>ob>3:17b<=b;29?xd5<>0;6?4?:1y'767=9980D>8k;I16e>ob<3:17b<=b;29?xd5<10;6>4?:1y'767=;81C?;j4H27b?lc22900eh850;9l67d=831vn?:6:180>5<7s-98=7<<1:J02a=O;o4?::a6ac=8381<7>t$212>4653A9=h6F<5`9ja1<722e9>o4?::a6a`=8381<7>t$212>4653A9=h6F<5`9ja1<722e9>o4?::a6`6=83>1<7>t$212>64<@:5<4290;w)=<1;005>N4>m1C?8o4id794?=nm?0;66a=2c83>>{e0<0;6>4?:1y'767=::;0D>8k;I16e>ob=3:17dk9:188k74e2900qo69:180>5<7s-98=7<<1:J02a=O;o4?::a<2<72:0;6=u+323974=O;?n0D>;n;hg6>5<>{e000;6>4?:1y'767=;81C?;j4H27b?lc22900eh850;9l67d=831vn5o50;194?6|,:9:6>?4H24g?M52i2cn97>5;hg5>5<5<4290;w)=<1;005>N4>m1C?8o4id794?=nm?0;66a=2c83>>{e0j0;6>4?:1y'767=::;0D>8k;I16e>ob=3:17dk9:188k74e2900qoo4?::a6a5=8381<7>t$212>4653A9=h6F<5`9ja1<722e9>o4?::a6a2=8391<7>t$212>67<@:5<52;294~"4;80:5<53;294~"4;808=6F<6e9K70g>i5:k0;66sm2e594?5=83:p(>=>:238L60c3A9>m6gj5;29?lc12900c?50z&074<5;81C?;j4H27b?lc22900eh850;9l67d=831vn?mm:181>5<7s-98=7??2:J02a=O;5<7s-98=7<<1:J02a=O;o4?::a6fb=8391<7>t$212>67<@:5<53;294~"4;809?<5G37f8L63f3`o>6=44id494?=h:;h1<75rb3ge>5<4290;w)=<1;005>N4>m1C?8o4id794?=nm?0;66a=2c83>>{e:o:1<7<50;2x 65628:97E=9d:J01d=nm=0;66a=2c83>>{e:o;1<7<50;2x 65628:97E=9d:J01d=nm=0;66a=2c83>>{e:o81<7=50;2x 6562:;0D>8k;I16e>ob=3:17dk9:188k74e2900qo?l50;9~f6`b29096=4?{%105?77:2B8:i5G34c8m`2=831d>?l50;9~f6`a29096=4?{%105?77:2B8:i5G34c8m`2=831d>?l50;9~f16729096=4?{%105?77:2B8:i5G34c8m`2=831d>?l50;9~f16629096=4?{%105?77:2B8:i5G34c8m`2=831d>?l50;9~f165290?6=4?{%105?44:2B8:i5G34c8m`3=831bi;4?::kf3?6=3f89n7>5;|`746<72=0;6=u+323977=O;?n0D>;n;hg6>5<>i5:k0;66sm2g794?4=83:p(>=>:021?M51l2B89l5fe583>>i5:k0;66sm2g;94?4=83:p(>=>:021?M51l2B89l5fe583>>i5:k0;66sm2gc94?5=83:p(>=>:238L60c3A9>m6gj5;29?lc12900c?7>50z&074<68;1C?;j4H27b?lc32900c?7>50z&074<68;1C?;j4H27b?lc32900c?7>50z&074<68;1C?;j4H27b?lc32900c?50z&074<5;81C?;j4H27b?lc22900eh850;9l67d=831vn?hi:180>5<7s-98=7=>;I15`>N4=h1bi84?::kf2?6=3f89n7>5;|`045<72:0;6=u+323974=O;?n0D>;n;hg6>5<6<729q/?>?52238L60c3A9>m6gj5;29?lc12900c?50z&074<492B8:i5G34c8m`3=831bi;4?::m16g<722wi>;:50;194?6|,:9:6>?4H24g?M52i2cn97>5;hg5>5<;n;hg6>5<7<729q/?>?51108L60c3A9>m6gj4;29?j45j3:17pl=6`83>6<729q/?>?52238L60c3A9>m6gj5;29?lc12900c?50z&074<492B8:i5G34c8m`3=831bi;4?::m16g<722wi>;m50;194?6|,:9:6?=>;I15`>N4=h1bi84?::kf2?6=3f89n7>5;|`11g<72:0;6=u+323974=O;?n0D>;n;hg6>5<>i5:k0;66sm24f94?4=83:p(>=>:021?M51l2B89l5fe583>>i5:k0;66sm24g94?4=83:p(>=>:021?M51l2B89l5fe583>>i5:k0;66sm24d94?5=83:p(>=>:312?M51l2B89l5fe483>>ob>3:17b<=b;29?xd5>90;6>4?:1y'767=::;0D>8k;I16e>ob=3:17dk9:188k74e2900qo<91;297?6=8r.8?<4<1:J02a=O;o4?::a634=8391<7>t$212>7563A9=h6F<5`9ja0<722cn:7>5;n01f?6=3ty<6=4={_5897>d2l?0q~650;0xZ==::031i85rs883>7}Y12795k4j5:pe?6=:rTj70`36g1=m<1vi4?:3y]`>;51;0n96s|e;296~Xb348397k:;|qe>5<5sWl01?l>:d78yv772909wS??;<0752z\25>;5?m0n:6s|1383>7}Y9;16>985e79~w42=838pR<:4=3ce>`09;296~X612795:4j6:p5d<72;qU=l5228f9a3=z{8h1<7;50:0n:6s|1d83>7}Y9l16>o;5e79~w4`=838pR`301?8j:d7897`22l>01?hn:d78970?2l?01?;k:d68yv102909w098:31f?84aj3o?7p}73;296~;?;388i63{t0=0;6?u2828:2>;?k389n6s|8483>7}:0<09>o528b8f1>{t0?0;6?u287816g=:000n:6s|8683>7}:0>09>o528`8f1>{t010;6?u289816g=:0h0n:6s|8883>7}:0009>o528c8f1>{t0h0;6?u28`816g=:0k0n:6s|8c83>7}:0k09>o528b8f2>{t0l0;6<301?;8:6;897ce2>301?89:6;8921=?016>;h5789><6<01279;;489:?1g3<01279o:489:?1g=<01279o4489:?1gc<01279h=489:?1`<<01279hn489:?130?750;6x960b2l801?=;:9d8973021l01?km:9d8yv44<3:1>v3=35817`=::5<5s488876k;<003?45j2wx>>850;0x97512;8i70<<7;g5?xu5;o0;6?uQ22d897>72;8i7p}=4183>7}Y:=:01?69:30a?xu5<:0;6?uQ251897>c2;8i7p}=4583>7}Y:=>01?7<:30a?xu5<<0;6>uQ2578Z725348?57<=b:p610=838p1?:9:30a?84313o>7p}=4683>7}::==1>?l4=36;>`052z?10=<5:k16>975e79~w72f2909wS<;a:?1=d<5:k1v?:m:181[43j279m=4=2c9~w72d2909wS<;c:?1e3<5:k1v?:k:181[43l279mn4=2c9~w72b2909wS<;e:?1f7<5:k1v?:i:181[43n279n54=2c9~w736290?wS<:1:?12`278jh4j4:?1bf8950;4x97302;9n70<9e;g4?82503o>70=je;g6?85an3o?70?l4}r06e?6=:r799:47d:?127<5:k1v?;m:181842j389n63=5g8f2>{t:74e348==7k:;|q11a<72;q6>8j523`897072l?0q~<:e;296~;5=l09>o522729a3=z{;?m6=4={<06b?45j279:?4j5:p636=838p1?8?:30a?84193o=7p}=6083>7}::?;1>?l4=341>`052z?11<;5><0n:6s|27494?5|V;<=70<96;00a>;5>10n:6s|27594?4|5;<=6484=34`>74e3ty9:54?:3y>63>=:;h01?8n:d48yv4113:1>v3=68816g=::?h1i;5rs34b>5<5s48=m7<=b:?12f;l50;0x970e2;8i70<9c;g5?xu5>m0;6:u22e`9a3=::?o1>?l4=352>`0<5;=26h84=3ff>`2<51<1i8522e79a1=z{;7z?12c<5;l16>:j5e49>62c=m=16>5o5e49>6=d=m=16>495e49>6<>=m=16>4j5e49>6l=5e49>6d2=m=16>l75e49>6dg=m=16>lh5e49>6g6=m=16>o;5e49>6g0=m=16>4>5e49>6<7=m=16>5=5e49>6=2=m=16>985e49>611=m=1v?9?:181841n33=70<82;01f>{t:>;1<774e348<>7k9;|q136<72;q6>:=522g891662l>0q~<84;297~;5?:0<563;5?<09>o5rs355>5<5s48<:7<:950;0x971120<01?9n:30a?xu5?10;6?u226:967d<5;=j6h;4}r04=?6=:r79;44=2c9>62g=m?1v?9l:181840l389n63=818f1>{t:>n1<774e348:h523`897>72l<0q~<72;296~;50:09>o522949a0=z{;286=4={<0;0?45j279484j6:p6=2=838p1?6::30a?84?>3o=7p}=8883>7}::1k1>?l4=3:g>`352z?15m5e79~w7>e2909w0<7c;01f>;50m0n:6s|29d94?4|5;3;6?6<7=:;h01?7=:d48yv4>93:1>v3=93816g=::091i;5rs3;5>5<5s482;7<=b:?1=d4950;0x97??2;8i70<69;g5?xu5110;6?u228;967d<5;3j6h84}r0:g?6=:r795i4=2c9>6d6=m<1v?7k:18184>m389n63=9g8f2>{t:0o1<774e348j<7k9;|q1e7<72;q6>l=523`897g12l?0q~o522`79a3=z{;k?6=4={<0b1?45j279m;4j6:p6d>=838p1?o6:30a?84fk3o>7p}=a883>7}::hk1>?l4=3ca>`052z?1eg<5:k16>lm5e79~w7gb2909w0;5j;0n96s|2`d94?4|5;h;6?6g7=:;h01?l=:d48yv4e<3:1>v3=b4816g=::k21i85rs3`6>5<5s48i:7<=b:?1f22wx>o850;0x97d02;8i700q~2wx>n650;6x97e020<01?m7:31f?84003o>70`3<5;?h6h84}r0`e?6=:r79o4466:?1g`<5:k1v?mm:18184dj389n63=cb8f2>{t:ji1<774e348hh7k9;|q1ga<72;q6>nj523`897eb2l<0q~;5l;0n:63=ce8f1>{t:m:1<7:t=3a;><0<5;n;6?=j;<046h84}r0g5?6=:r79h=466:?1`=<5:k1v?j=:18184c:389n63=d78f1>{t:m91<774e348o:7k9;|q1`1<72;q6>i:523`897b02l?0q~o522e59a3=z{;n=6=4={<0g2?45j279h54j5:p6a1=838p1?j8:30a?84c03o=7p}=d883>2}::>915;522bd9=3=::m31>>k4=351>`3<51?1i8522779a0=::5<4s48o5779;<0gf?45j279?:4j5:p6ae=83hp1?jl:31f?84cj3o>70<81;g6?84013o>70>2l?01?j;:d4897032l?01?8m:d78973e2l<01?;l:d78yv4cl3:1>v3=db8:2>;5m809>o5rs3ff>5<5s48oi7<=b:?1a5ih50;0x97ba2;8i70<=4j4:?1gf7}::lh14i522g1967d52z?1ac<5:k16>k=5e49~w7`72909w0;5n;0n96s|2g394?4|5;l:6?6c4=:;h01?h<:d48yv4a<3:1;v3=dg8f0>;?>3o=70;5>00n863=5c8f1>{t:o?1<774e348mi7k:;|q1b3<72;q6>k8523`897`02l<0q~09>o522g:9a0=z{;l26=4={<0e=?45j279jh4j6:p6cg=838p1?hn:30a?84a>3o>7p}=fc83>7}::oh1>?l4=3de>`352z?1bf<5:k16>kh5e79~w7`c2909w0;5n10n:6s|2gg94?4|5;ln6?6c`=:;h01?h9:d48yv5783:1>v3<01816g=::o=1i85rs221>5<3sW9;>63=ee8f2>;5n90n863=f`8f2>{t;9h1<79t^22a?84bl3o>7068:d7897b52l?01?mm:d6897`62l>01>>?:d78yv5?;3:1:vP<829>05c=m?16?k?5e49>073=m=16?hl5e59>054=m?1v>l?:181[5e8278n=4=3d9~w6d52909w0=m0;;5?85e;389n6s|3e494?4|V:n=70=jd;g7?xu4m10;6?uQ3d:896c>2;8i7p}7}:;l31i9523dg967d52z?0ag<5:k16?hk5e79~w6cd2909w0=jc;01f>;4ml0n;6s|3df94?4|5:oo6?523`896`62l<0q~=id;296~X4nm168==523`8yv5am3:1>v35<5s49mj7<=b:?74750;0x91672;8i70:?3;g6?xu3880;6?u2413967d<5=:86h94}r636?6=:r7?055=m?1v9>n:181[27i27?:4j4:p074=838pR9<=;<617?45j2wx8?:50;0x91442l>019<7:30a?xu3:<0;6?u2437967d<5=836h84}r612?6=:r7?>;4=2c9>07>=m>1v9<8:181825?389n63;298f<>{t<=31<7;t^56:?827m3o>70=i0;g7?825>3o?70=jc;g7?x{e;>31<7?9:969=5}O;=>:03`?!7c;39:h6g7e;29?l7603:17d?:6;29?l77<3:17d?<8;29?j72?3:17d=73;29?l132900c<;l:188m6b12900e2900e9??:188k45f2900c:188m7d>2900c>m<:188k42a2900ch4?::m0=g<722h8:h4?:083>5}#;:;1i>5G37f8L63f3fo96=44}c1:f?6==3:1N4>m1C?8o4i9f94?=n0o0;66g89;29?l?12900c?=j:188yg56:3:197>50z&0745;h:e>5<31<75f9783>>i5;l0;66sm2d83>0<729q/?>?5dg9K73b<@:?j7d6k:188m=`=831b;44?::k:2?6=3f88i7>5;|`:0?6=;3:1N4>m1C?8o4i6;94?=n1?0;66a=3d83>>{e:;=1<7=50;2x 6562mn0D>8k;I16e>o013:17d79:188k75b2900qo?;f;291?6=8r.8?<4kf:J02a=O;5;h;5>5<N4>m1C?8o4i9f94?=n0o0;66g89;29?l?12900c?=j:188yg7el3:197>50z&0745<55;294~"4;80oj6F<6e9K70g>o013:17d79:188k75b2900qo?85;291?6=8r.8?<4kf:J02a=O;5;h;5>5<5<4290;w)=<1;fg?M51l2B89l5f7883>>o>>3:17b<4?:1y'767=lm1C?;j4H27b?l1>2900e4850;9l66c=831vn<=n:180>5<7s-98=7jk;I15`>N4=h1b;44?::k:2?6=3f88i7>5;|`2g4<72:0;6=u+3239`a=O;?n0D>;n;h5:>5<3883>6<729q/?>?5de9K73b<@:?j7d96:188m<0=831d>>k50;9~f47029086=4?{%105?bc3A9=h6F<5`9'b5<73`=26=44i8494?=h::o1<75rb23f>5<4290;w)=<1;fg?M51l2B89l5+f183?l1>2900e4850;9l66c=831vn><=:180>5<7s-98=7jk;I15`>N4=h1/j=4?;h5:>5<6F<6e9K70g1<75`23`94?=zj8>o6=4=:183!5493;;>6F<6e9K70g1<75`23`94?=zj8hm6=4=:183!5493;;>6F<6e9K70g1<75`23`94?=zj:3n6=4=:183!5493;;>6F<6e9K70g1<75`23`94?=zj::1<7=50;2x 6562;9:7E=9d:J01d=nm<0;66gj6;29?j45j3:17pl<2g83>7<729q/?>?51108L60c3A9>m6gj4;29?j45j3:17pl<3183>6<729q/?>?5309K73b<@:?j7dk::188m`0=831d>?l50;9~f6e029086=4?{%105?563A9=h6F<5`9ja0<722cn:7>5;n01f?6=3th8o54?:383>5}#;:;1==<4H24g?M52i2cn87>5;n01f?6=3th8o44?:383>5}#;:;1==<4H24g?M52i2cn87>5;n01f?6=3th8ol4?:283>5}#;:;1?<5G37f8L63f3`o>6=44id494?=h:;h1<75rb2aa>5<4290;w)=<1;12?M51l2B89l5fe483>>ob>3:17b<=b;29?xd6=l0;6>4?:1y'767=;81C?;j4H27b?lc22900eh850;9l67d=831vn<;i:181>5<7s-98=7??2:J02a=O;5<7s-98=7=>;I15`>N4=h1bi84?::kf2?6=3f89n7>5;|`061<72;0;6=u+3239554<@:5;|`063<72:0;6=u+3239667<@:5<53;294~"4;808=6F<6e9K70g>i5:k0;66sm33:94?4=83:p(>=>:021?M51l2B89l5fe583>>i5:k0;66sm33;94?4=83:p(>=>:021?M51l2B89l5fe583>>i5:k0;66sm33c94?5=83:p(>=>:238L60c3A9>m6gj5;29?lc12900c?50z&074<492B8:i5G34c8m`3=831bi;4?::m16g<722wi??m50;194?6|,:9:6?=>;I15`>N4=h1bi84?::kf2?6=3f89n7>5;|`06a<72:0;6=u+323974=O;?n0D>;n;hg6>5<6=4<:183!549388=6F<6e9K70g>i5:k0;66sm33294?5=83:p(>=>:238L60c3A9>m6gj5;29?lc12900c?50z&074<5;81C?;j4H27b?lc22900eh850;9l67d=831vn>o?:180>5<7s-98=7=>;I15`>N4=h1bi84?::kf2?6=3f89n7>5;|`0e4<72;0;6=u+3239554<@:5;|`0e7<72:0;6=u+3239667<@:5<57>52;294~"4;80:5<m7>52;294~"4;80:5<n7>54;294~"4;809??5G37f8L63f3`o>6=44id494?=nm>0;66a=2c83>>{e9<;1<7=50;2x 6562:;0D>8k;I16e>ob=3:17dk9:188k74e2900qo?:2;296?6=8r.8?<4>039K73b<@:?j7dk;:188k74e2900qo?:3;296?6=8r.8?<4>039K73b<@:?j7dk;:188k74e2900qo?:4;297?6=8r.8?<4<1:J02a=O;o4?::a503=8391<7>t$212>7563A9=h6F<5`9ja0<722cn:7>5;n01f?6=3th:o>4?:283>5}#;:;1?<5G37f8L63f3`o>6=44id494?=h:;h1<75rb0ab>5<5290;w)=<1;336>N4>m1C?8o4id694?=h:;h1<75rb0aa>5<5290;w)=<1;336>N4>m1C?8o4id694?=h:;h1<75rb0a`>5<5290;w)=<1;336>N4>m1C?8o4id694?=h:;h1<75rb0ag>5<5290;w)=<1;336>N4>m1C?8o4id694?=h:;h1<75rb0af>5<5290;w)=<1;336>N4>m1C?8o4id694?=h:;h1<75rb0ae>5<5290;w)=<1;336>N4>m1C?8o4id694?=h:;h1<75rb0f3>5<5290;w)=<1;336>N4>m1C?8o4id694?=h:;h1<75rb0f2>5<4290;w)=<1;005>N4>m1C?8o4id794?=nm?0;66a=2c83>>{e9j>1<7=50;2x 6562:;0D>8k;I16e>ob=3:17dk9:188k74e2900qo?l5;290?6=8r.8?<4<2:J02a=O;5;n01f?6=3th:o;4?:283>5}#;:;1?<5G37f8L63f3`o>6=44id494?=h:;h1<75rb0a4>5<4290;w)=<1;005>N4>m1C?8o4id794?=nm?0;66a=2c83>>{e9j21<7:50;2x 6562:80D>8k;I16e>ob=3:17dk9:188m`1=831d>?l50;9~f4e>29086=4?{%105?4492B8:i5G34c8m`3=831bi;4?::m16g<722wi=;<50;194?6|,:9:6>?4H24g?M52i2cn97>5;hg5>5<;n;hg7>5<;n;hg7>5<N4>m1C?8o4id794?=nm?0;66a=2c83>>{e9?h1<7=50;2x 6562:;0D>8k;I16e>ob=3:17dk9:188k74e2900qo?9c;296?6=8r.8?<4>039K73b<@:?j7dk;:188k74e2900qo?9d;296?6=8r.8?<4>039K73b<@:?j7dk;:188k74e2900qo?9e;296?6=8r.8?<4>039K73b<@:?j7dk;:188k74e2900qo?9f;297?6=8r.8?<4=309K73b<@:?j7dk::188m`0=831d>?l50;9~f40429086=4?{%105?563A9=h6F<5`9ja0<722cn:7>5;n01f?6=3th::94?:283>5}#;:;1?<5G37f8L63f3`o>6=44id494?=h:;h1<75rb046>5<4290;w)=<1;005>N4>m1C?8o4id794?=nm?0;66a=2c83>>{e9?<1<7:50;2x 6562:80D>8k;I16e>ob=3:17dk9:188m`1=831d>?l50;9~f40029086=4?{%105?4492B8:i5G34c8m`3=831bi;4?::m16g<722wi=:950;194?6|,:9:6>?4H24g?M52i2cn97>5;hg5>5<;n;hg6>5<6F<6e9K70g1<75`23`94?=zj8=j6=4=:183!5493;;>6F<6e9K70g1<75`23`94?=zj8=i6=4<:183!54939:7E=9d:J01d=nm<0;66gj6;29?j45j3:17pl>7b83>6<729q/?>?5309K73b<@:?j7dk::188m`0=831d>?l50;9~f41c290?6=4?{%105?553A9=h6F<5`9ja0<722cn:7>5;hg4>5<N4>m1C?8o4id794?=nm?0;66a=2c83>>{e9=91<7<50;2x 65628:97E=9d:J01d=nm=0;66a=2c83>>{e9=>1<7<50;2x 65628:97E=9d:J01d=nm=0;66a=2c83>>{e9=?1<7=50;2x 6562;9:7E=9d:J01d=nm<0;66gj6;29?j45j3:17pl>4783>7<729q/?>?51108L60c3A9>m6gj4;29?j45j3:17pl>4683>7<729q/?>?51108L60c3A9>m6gj4;29?j45j3:17pl>4983>7<729q/?>?51108L60c3A9>m6gj4;29?j45j3:17pl>4883>6<729q/?>?5309K73b<@:?j7dk::188m`0=831d>?l50;9~f42f29096=4?{%105?77:2B8:i5G34c8m`2=831d>?l50;9~f45c290?6=4?{%105?553A9=h6F<5`9ja0<722cn:7>5;hg4>5<N4>m1C?8o4id794?=nm?0;66gj7;29?j45j3:17pl>3g83>6<729q/?>?5309K73b<@:?j7dk::188m`0=831d>?l50;9~f42729086=4?{%105?4492B8:i5G34c8m`3=831bi;4?::m16g<722wi=9?50;194?6|,:9:6>?4H24g?M52i2cn97>5;hg5>5<;n;hg6>5<>i5:k0;66sm1`g94?5=83:p(>=>:238L60c3A9>m6gj5;29?lc12900c?7>50z&074<68;1C?;j4H27b?lc32900c?3:1>7>50z&074<68;1C?;j4H27b?lc32900c?50z&074<5;81C?;j4H27b?lc22900eh850;9l67d=831vn5<7s-98=7??2:J02a=O;5<7s-98=7??2:J02a=O;5<7s-98=7??2:J02a=O;5<7s-98=7=>;I15`>N4=h1bi84?::kf2?6=3f89n7>5;|`2ff<72;0;6=u+3239554<@:5;|`2ec<72=0;6=u+323977=O;?n0D>;n;hg6>5<>i5:k0;66sm1c294?2=83:p(>=>:208L60c3A9>m6gj5;29?lc12900eh950;9l67d=831vn:180>5<7s-98=7=>;I15`>N4=h1bi84?::kf2?6=3f89n7>5;|`2f7<72:0;6=u+3239667<@:5<53;294~"4;808=6F<6e9K70g>i5:k0;66sm1c694?2=83:p(>=>:311?M51l2B89l5fe483>>ob>3:17dk8:188k74e2900qo=>4;296?6=8r.8?<4>039K73b<@:?j7dk;:188k74e2900qo=>5;296?6=8r.8?<4>039K73b<@:?j7dk;:188k74e2900qo=>6;296?6=8r.8?<4>039K73b<@:?j7dk;:188k74e2900qo=>7;296?6=8r.8?<4>039K73b<@:?j7dk;:188k74e2900qo=>8;297?6=8r.8?<4<1:J02a=O;o4?::a74?=8391<7>t$212>7563A9=h6F<5`9ja0<722cn:7>5;n01f?6=3th8=l4?:583>5}#;:;1??5G37f8L63f3`o>6=44id494?=nm>0;66a=2c83>>{e;8h1<7=50;2x 6562;9:7E=9d:J01d=nm<0;66gj6;29?j45j3:17p}=0;296~X58279<7<h522d817`=:;;<1i85214;9a1=:9jn1i9521b69a0=:9?k1i85217a9a1=:9>31i95215:9a1=:9=31i;521cc9a1=:9kh1i;523049a1=z{;l1<7o5rs6694?e|V>>01>?=:848943020<01<:i:84896?b2l>01<;j:d4896402l<01>o>:d68943f2l>01<;<:d6894102l?01>?8:d68yv>b290:8vP7e:?0=g<01278=?489:?1a?1>343?6:74=304>2?<58>m6:74=074>2?<58ho6:74=06a>2?<58=>6:74=3293<=:9hi1;45212c93<=:9j;1;45214a93<=:9:31;45210593<=:;8o1;45233093<=z{0>1<7=t=86966c<5::1i85214`9a3=z{0?1<7?l4}r330?6=:rT:<9521059=3=z{8;<6=4:{<323?44m278>94j4:?06527:ol4j4:?22=`3<58?n6h;4=204>`3<58=<6h84=23b>`052z\27==:9:315;5rs01:>5<5s4;857<o50;6xZ45f34;8m7<3b83>7}:9:i1>?l4=061>`052z?27a<5:k16=9>5e49~w45b2909w0?;6<90n:6s|12d94?4|589m6?516=:;h01<:>:d78yv7393:1>v3>40816g=:9=81i85rs060>5<5s4;??7<=b:?27a56c=m<1v<:8:181873?389n63>3d8f3>{t9=21<774e34;?=7k9;|q20<<72;q6=97523`8945a2l?0q~?;a;296~;6o5212d9a3=z{8>i6=4={<37f?44m27:844j5:p51e=838p1<:m:848942c2;8i7p}>4g83>7}Y9=l01<:i:31f?xu6=90;6?u215d9?l4}r365?6=:r7:9<4=2c9>502=m<1v<;=:181872:389n63>558f2>{t9<91<774e34;>97k9;|q211<72;q6=8:523`894322l?0q~?:6;290~X6=?16=8<5e59>52d=m<16?<75e49~w4302909wS?:7:?212<5;l1v<;7:181872?32o70?:b;01f>{t9<31<774e34;>n7k:;|q21d<72;q6=8o523`8943e2l=0q~?:c;297~X6=j16=8m522g894052l?0q~?:d;293~;6>909>o521b79a3=:9?h1i8521569a1=:9=?1i;521c79a1=:9k=1i;5rs07f>5<5s4;>i7<=b:?22580;6?u214a9=3=:9?=1>?l4}r356?6=:r7::?4=2c9>532=m<1v<8<:181871;389n63>648f2>{t9?>1<774e34;=;7k:;|q220<72;q6=;;523`894012l<0q~?96;296~;6>?09>o521759a3=z{8<36=4={<356`83>7}:9?k1>?l4=046>`352z?22g<5:k16=;=5e49~w40d2909w0?9c;01f>;6>:0n:6s|17f94?4|5853c=:;h01<89:d58yv71n3:1>v3>6g816g=:9?>1i;5rs056>5<4s4;<97<7683>7}:9>21>?l4=05a>`052z?23<<5:k16=:m5e49~w41>2909w0?8a;01f>;6?j0n:6s|16c94?4|58=i6?52e=:;h01<9k:d58yv7fk3:18vP>ab9>5de=::o01b5816g=z{8kn6=4={<3ba?45j27:n94j6:p5d`=838p17p}>b183>7}:9k:1>?l4=0`1>`052z?2f4<5:k16=o:5e69~w4d52909w0?m2;01f>;6j:0n96s|1c194?4|58h86?5g3=:;h013:1>v3>b7816g=:9hl1i:5rs0`4>5<5s4;i;7<=b:?2f52wx=o650;0x94d?2;8i70?m0;g6?xu6j00;6?u21c;967d<58h;6h94}r3ae?6=:r7:nl4=2c9>5g5=m?1vb08f1>{t9ki1<774e34;i=7k9;|q2fa<72;q6=oj522g894de2l?0q~?me;296~;6jm02:63>bg816g=z{8i:6=4<{_3`5>;6k809?h521b19a0=z{8i96=4={<3`5??134;h57<=b:p5f5=838p13o>7p}>c583>7}:9j>1>?l4=0a4>`352z?2g0<5:k16=n95e79~w4e12909w0?l6;01f>;6k00n96s|1b594?4|58i<6?5f>=:;h01v3>c`816g=:9m;1i85rs0aa>5<5s4;hn7<=b:?2`42wx=nm50;0x94ed2;8i70?l4;g5?xu6km0;6?u21bf967d<58i>6h;4}r3`a?6=:r7:oh4=2c9>5f3=m>1vc98f1>{t9m:1<774e34;h47k8;|q2`4<72;q6=i?523`894e12l<0q~<=7;291~;5m33=70<=7;00a>;6jm03h63>4c8;`>;483o=7p}=2983>6}::;=15;521679n1>?l4}r01=?6=1r78:h4j2:?0=ga34;?j76i;<363?>a34;ih76i;<37f?>a34;<976i;|q1f<<72;qU>o74=2a:>`27>56z?057<5;l16=8h5e59>77>=m=16?l>5e49>507=m?16=:o5e59~w6742909w0=>2;:g?856j389n6s|30694?4|5:;?6?743=:;h01>?7:d48yv56>3:1>v3<17816g=:;8k1i:5rs234>5<5s49:;7<=b:?05g2wx?<650;0x967?2;8i70=>9;g5?xu4900;6?u230;967d<5:;j6h;4}r12e?6=:r78=l4=2c9>74d=m<1v>?j:1824~;49l09?h5233d9a1=:;;31i9523329a0=:9jh1i9521bd9a1=:9?31i95217f9a1=:9>21i;5212a9a3=:9==1i95215c9a1=:9ho1i;521c;9a1=:9ki1i9523079a1=z{:;m6=4={<12a??13499=7<=b:p776=838p1>46|5;:15;52330966c<5:9;6h;4=205>`0<5:8j6h;4=202>`3<58i86h84=0f3>`2<58<96h84=04f>`2<58=36h;4=060>`2<58>>6h;4=0`5>`2<58h<6h;4=237>`252z?067<>>278>84=2c9~w6432909w0==4;01f>;4:h0n:6s|33494?4|5:8=6?:4?:3y>771=:;h01>v3<29816g=:;;h1i;5rs20:>5<5s49957<=b:?06a2wx??o50;0x964f2;8i70==5;g6?xu4:k0;6?u233`967d<5:8h6h84}r11g?6=:r78>n4=2c9>77b=m<1v>{t;;o1<774e3498<7k9;|q0<6<72;qU?5=4=2a;>`252z\0=g=:;0h1>>k4}r1:`?6=:r785o466:?0=`<5:k1v>7i:18185>j32o70=n2;01f>{t;h:1<774e349j>7k:;|q0e4<72;q6?l?523`896g52l<0q~=l3;296~X4k:16?n:523`8yv5d>3:1>v3;4kk09>o5rs2a4>5<5s49h;7<=b:?0gg7fd=m?1v>j9:180[5c>27:8i4j4:?20350;1xZ17734;ij7k;;<3a6484=2a4>`0<58in6h:4=04a>`0m6*<308f5>"6l:08=i5`42g94?=n9<<1<75`3c694?=n9<=1<75f39194?=h?=0;66gkb;29?l4293:17dl;:180>5<7s-98=7jk;I15`>N4=h1b;44?::k:2?6=3f88i7>5;|`0N4>m1C?8o4$g294>o013:17d79:188k75b2900qo:5}#;:;1==<4H24g?M52i2cn87>5;n01f?6=3th<:7>53;294~"4;809?<5G37f8L63f3`o>6=44id494?=h:;h1<75rb2a94?4=83:p(>=>:021?M51l2B89l5fe583>>i5:k0;66sm3cg94?4=83:p(>=>:021?M51l2B89l5fe583>>i5:k0;66sm45:94?4=83:p(>=>:021?M51l2B89l5fe583>>i5:k0;66sm3883>7<729q/?>?51108L60c3A9>m6gj4;29?j45j3:17pl7<729q/?>?51108L60c3A9>m6gj4;29?j45j3:17pl7<729q/?>?51108L60c3A9>m6gj4;29?j45j3:17pl1<729q/?>?5339K73b<@:?j7dk::188m`0=831bi:4?::m16g<722wi89:50;194?6|,:9:6>?4H24g?M52i2cn97>5;hg5>5<;n;hg7>5<N4>m1C?8o4id794?=nm?0;66a=2c83>>{e:l91<7<50;2x 65628:97E=9d:J01d=nm=0;66a=2c83>>{e:l>1<7<50;2x 65628:97E=9d:J01d=nm=0;66a=2c83>>{e:l?1<7<50;2x 65628:97E=9d:J01d=nm=0;66a=2c83>>{e:l<1<7<50;2x 65628:97E=9d:J01d=nm=0;66a=2c83>>{e:l=1<7<50;2x 65628:97E=9d:J01d=nm=0;66a=2c83>>{e:l21<7=50;2x 6562:;0D>8k;I16e>ob=3:17dk9:188k74e2900qoho50;694?6|,:9:6><4H24g?M52i2cn97>5;hg5>5<<1i85rs2c94?4|5:21;45238816g=z{:h1<7o5rs6694?2|V>>01:8523`896dd2l<019:;:d78yv122909w09::30a?8112l<0q~jm:187[be349i8796;<60a?1>34926h:4}rgg>5<4sWoo70=mb;g7?823<3o=7p}>4g83>7}Y9=l01?k8:d68yv72>3:1>vP>579>6`>=m<1v<;8:181[72?279i>4j4:p607=838pR?;>;<0f1?c33ty9:;4?:3y]630<5;o?6h:4}r0a=?6=:rT9n4522d49a1=z{;o96=4<{<1ae?c334>?97k;;<0fe?45j2wx>h=50;0x97c42;8i706`?=m>1v?k9:18184b>389n63=e`8f1>{t:l=1<774e348nm7k8;|q1a=<72;q6>h6523`897c>2l<0q~o522dc9a3=z{:286=4;{_1;7>;3;l09?i52748f0>;4k3o?7p}7}Y;k>01>l;:31f?xu4j00;6?u23cg9a1=:;ki1>?l4}r1ae?6=:r78nl4=2c9>7ge=m<1v>lm:18185ej389n63{t;kn1<7<0<5:hn6?k4=51f>75b3ty?8>4?:3y>01>=m=16898523`8yv23<3:1>v3;45816g=:<=<1i85rs566>5<5s4>?97<=b:?7032wx89950;0x915b20<019:7:30a?x{e;>21<7?9:c;9g0}O;=>:03a?!7c;39:h6a>1983>>o3;l0;66a<8883>>o4j=0;66a>0583>>of93:17d:?5;29?j75m3:17d6?:188m43d2900e:o50;9l7a0=831b=9k50;9j2f<722e?==4?::k2g5<722c:o<4?::k0=d<722e8nk4?::m0gf<722e9<7>5;n61=?6=3k9=i7>51;294~"4;80n?6F<6e9K70g5<2290;w)=<1;fe?M51l2B89l5f8e83>>o?n3:17d96:188m<0=831d>>k50;9~fgd=8391<7>t$212>ab<@:5<;n;h5:>5<8k;I16e>o013:17d79:188k75b2900qo??4;297?6=8r.8?<4kd:J02a=O;t$212>ab<@:5<7>53;294~"4;80oh6F<6e9K70g31<75f9783>>i5;l0;66sm16294?5=83:p(>=>:ef8L60c3A9>m6g89;29?l?12900c?=j:188yg>529086=4?{%105?bc3A9=h6F<5`9j3<<722c2:7>5;n00a?6=3th?==4?:283>5}#;:;1hi5G37f8L63f3`=26=44i8494?=h::o1<75rb2f5>5<4290;w)=<1;fg?M51l2B89l5f7883>>o>>3:17b<4?:1y'767=lm1C?;j4H27b?l1>2900e4850;9l66c=831vn>li:180>5<7s-98=7jk;I15`>N4=h1b;44?::k:2?6=3f88i7>5;|`g2?6=;3:1N4>m1C?8o4i6;94?=n1?0;66a=3d83>>{e;8k;I16e>o013:17d79:188k75b2900qo?>8;290?6=8r.8?<4ke:J02a=O;5;n00a?6=3th:>h4?:583>5}#;:;1hh5G37f8L63f3`2m6=44i6;94?=n1?0;66a=3d83>>{e100;6>4?:1y'767=lm1C?;j4H27b?!`7291b;44?::k:2?6=3f88i7>5;|`2<`<72:0;6=u+3239`a=O;?n0D>;n;%d3>5=n?00;66g66;29?j44m3:17pl>8g83>6<729q/?>?5de9K73b<@:?j7)h?:19j3<<722c2:7>5;n00a?6=3th:594?:283>5}#;:;1hi5G37f8L63f3-l;6=5f7883>>o>>3:17b<4?:1y'767=lm1C?;j4H27b?!`7291b;44?::k:2?6=3f88i7>5;|`2=a<72:0;6=u+3239`a=O;?n0D>;n;%d3>5=n?00;66g66;29?j44m3:17pl>9d83>6<729q/?>?5de9K73b<@:?j7)h?:19j3<<722c2:7>5;n00a?6=3th:m84?:283>5}#;:;1hi5G37f8L63f3-l;6<5f7883>>o>>3:17b<>d4?:0;6>4?:1y'767=;?i0D>8k;I16e>ob=3:17dk9:188k74e2900q~78:181[?0349{t;9i1<7;n;%105?7c=2c2;7>5;h;;>5<5<4290;w)=<1;15g>N4>m1C?8o4id794?=nm?0;66a=2c83>>{t1>0;6?uQ969>725=m?1v4650;0xZ<><5:=86h;4}r13g?6=:rT853;397~N4=h1/?>?51e78m<1=831b554?::m04f<722h8;>4?:283>5}#;:;1?;m4H24g?M52i2cn97>5;hg5>5<5<5sW3<70=83;g5?xu>03:1>vP68:?036?l50;9~f41529096=4?{%105?77:2B8:i5G34c8m`2=831d>?l50;9~f41429096=4?{%105?77:2B8:i5G34c8m`2=831d>?l50;9~f41329086=4?{%105?563A9=h6F<5`9ja0<722cn:7>5;n01f?6=3th8o?4?:283>5}#;:;1>>?4H24g?M52i2cn97>5;hg5>5<;n;hg7>5<;n;hg7>5<;n;hg7>5<;n;hg7>5<;n;hg7>5<5<5290;w)=<1;336>N4>m1C?8o4id694?=h:;h1<75rbcg94?5=83:p(>=>:238L60c3A9>m6gj5;29?lc12900c?29096=4?{%105?77:2B8:i5G34c8m`2=831d>?l50;9~fgg=8391<7>t$212>67<@:5<6=4=:183!5493;;>6F<6e9K70g1<75`23`94?=zjk<1<7=50;2x 6562:;0D>8k;I16e>ob=3:17dk9:188k74e2900qoom:181>5<7s-98=7??2:J02a=O;?4H24g?M52i2cn97>5;hg5>5<5<4290;w)=<1;12?M51l2B89l5fe483>>ob>3:17b<=b;29?xd40k0;6?4?:1y'767=9980D>8k;I16e>ob<3:17b<=b;29?xd6090;6?4?:1y'767=9980D>8k;I16e>ob<3:17b<=b;29?xd6080;6?4?:1y'767=9980D>8k;I16e>ob<3:17b<=b;29?xd60;0;694?:1y'767=;;1C?;j4H27b?lc22900eh850;9ja2<722e9>o4?::a5d1=8391<7>t$212>7563A9=h6F<5`9ja0<722cn:7>5;n01f?6=3th:m54?:383>5}#;:;1==<4H24g?M52i2cn87>5;n01f?6=3th:m44?:283>5}#;:;1>>?4H24g?M52i2cn97>5;hg5>5<N4>m1C?8o4id794?=nm?0;66a=2c83>>{e9hh1<7=50;2x 6562;9:7E=9d:J01d=nm<0;66gj6;29?j45j3:17pl>a183>6<729q/?>?5309K73b<@:?j7dk::188m`0=831d>?l50;9~f4g629096=4?{%105?77:2B8:i5G34c8m`2=831d>?l50;9~f4g529096=4?{%105?77:2B8:i5G34c8m`2=831d>?l50;9~f4g429086=4?{%105?563A9=h6F<5`9ja0<722cn:7>5;n01f?6=3th:m94?:283>5}#;:;1?<5G37f8L63f3`o>6=44id494?=h:;h1<75rb0;5>5<5290;w)=<1;336>N4>m1C?8o4id694?=h:;h1<75rb0;4>5<5290;w)=<1;336>N4>m1C?8o4id694?=h:;h1<75rb0;;>5<4290;w)=<1;12?M51l2B89l5fe483>>ob>3:17b<=b;29?xd6100;6>4?:1y'767=::;0D>8k;I16e>ob=3:17dk9:188k74e2900qo?6a;297?6=8r.8?<4<1:J02a=O;o4?::a5t$212>7563A9=h6F<5`9ja0<722cn:7>5;n01f?6=3th:5<4?:283>5}#;:;1>>?4H24g?M52i2cn97>5;hg5>5<;n;hg7>5<N4>m1C?8o4id794?=nm?0;66gj7;29?j45j3:17pl;3883>0<729q/?>?5329K73b<@:?j7dk::188m`0=831bi:4?::kf5;|`77d<72;0;6=u+3239554<@:5;|`77g<72;0;6=u+3239554<@:5;|`77f<72:0;6=u+323974=O;?n0D>;n;hg6>5<5;|`:g?6=;3:1N4>m1C?8o4id794?=nm?0;66a=2c83>>{e1m0;6?4?:1y'767=9980D>8k;I16e>ob<3:17b<=b;29?xd>m3:1?7>50z&074<492B8:i5G34c8m`3=831bi;4?::m16g<722wi5k4?:283>5}#;:;1?<5G37f8L63f3`o>6=44id494?=h:;h1<75rb`294?5=83:p(>=>:312?M51l2B89l5fe483>>ob>3:17b<=b;29?xd4lm0;6>4?:1y'767=::;0D>8k;I16e>ob=3:17dk9:188k74e2900qo=ke;296?6=8r.8?<4>039K73b<@:?j7dk;:188k74e2900qo=kf;297?6=8r.8?<4<1:J02a=O;o4?::a7`6=8381<7>t$212>4653A9=h6F<5`9ja1<722e9>o4?::a7`7=8391<7>t$212>67<@:5<7>53;294~"4;808=6F<6e9K70g>i5:k0;66sm3d194?5=83:p(>=>:312?M51l2B89l5fe483>>ob>3:17b<=b;29?xd4m=0;694?:1y'767=::80D>8k;I16e>ob=3:17dk9:188m`1=831d>?l50;9~f6c229086=4?{%105?563A9=h6F<5`9ja0<722cn:7>5;n01f?6=3th?=:4?:283>5}#;:;1>>?4H24g?M52i2cn97>5;hg5>5<;n;hg7>5<N4>m1C?8o4id794?=nm?0;66a=2c83>>{e<8k1<7<50;2x 65628:97E=9d:J01d=nm=0;66a=2c83>>{e<8h1<7=50;2x 6562:;0D>8k;I16e>ob=3:17dk9:188k74e2900qo:>c;297?6=8r.8?<4<1:J02a=O;o4?::a04b=8391<7>t$212>7563A9=h6F<5`9ja0<722cn:7>5;n01f?6=3th?=h4?:583>5}#;:;1>><4H24g?M52i2cn97>5;hg5>5<0<729q/?>?5329K73b<@:?j7dk::188m`0=831bi:4?::kf5;|`774<72;0;6=u+3239554<@:5;|`777<72;0;6=u+3239554<@:5;|`776<72;0;6=u+3239554<@:5;|`771<72;0;6=u+3239554<@:5;|`770<72:0;6=u+323974=O;?n0D>;n;hg6>5<>i5:k0;66sm42594?2=83:p(>=>:208L60c3A9>m6gj5;29?lc12900eh950;9l67d=831vn<=?:181>5<7s-98=7??2:J02a=O;:181>5<7s-98=7??2:J02a=O;5<7s-98=7??2:J02a=O;5<7s-98=7??2:J02a=O;5<7s-98=7??2:J02a=O;5<7s-98=7??2:J02a=O;5<7s-98=7=;;I15`>N4=h1bi84?::kf2?6=3`o<6=44id:94?=nm00;66a=2c83>>{e9:=1<7:50;2x 6562;997E=9d:J01d=nm<0;66gj6;29?lc02900c?7>50z&074<68;1C?;j4H27b?lc32900c?3:1>7>50z&074<68;1C?;j4H27b?lc32900c?7>50z&074<68;1C?;j4H27b?lc32900c?7>50z&074<68;1C?;j4H27b?lc32900c?7>50z&074<68;1C?;j4H27b?lc32900c?7>50z&074<68;1C?;j4H27b?lc32900c?7>50z&074<68;1C?;j4H27b?lc32900c?50z&074<492B8:i5G34c8m`3=831bi;4?::m16g<722wi=5j50;:94?6|,:9:6>84H24g?M52i2cn97>5;hg5>5<>ob13:17dkn:188m`d=831d>?l50;9~f4>2290?6=4?{%105?44:2B8:i5G34c8m`3=831bi;4?::kf3?6=3f89n7>5;|`10?6==3:1;n;hg6>5<>ob03:17b<=b;29?xd5>3:1?7>50z&074<492B8:i5G34c8m`3=831bi;4?::m16g<722wi>:4?:383>5}#;:;1==<4H24g?M52i2cn87>5;n01f?6=3th947>52;294~"4;80:5<6F<6e9K70g1<75`23`94?=zj;k1<7<50;2x 65628:97E=9d:J01d=nm=0;66a=2c83>>{e:k0;6>4?:1y'767=;81C?;j4H27b?lc22900eh850;9l67d=831vn?m50;794?6|,:9:6>=4H24g?M52i2cn97>5;hg5>5<>i5:k0;66sm2e83>6<729q/?>?52238L60c3A9>m6gj5;29?lc12900c?;I15`>N4=h1bi84?::kf2?6=3f89n7>5;|`24c<72;0;6=u+3239554<@:5;|`255<72;0;6=u+3239554<@:5;|`254<72:0;6=u+323974=O;?n0D>;n;hg6>5<6F<6e9K70g1<75`23`94?=zj8;86=4=:183!5493;;>6F<6e9K70g1<75`23`94?=zj8;?6=4=:183!5493;;>6F<6e9K70g1<75`23`94?=zj8;>6=4=:183!5493;;>6F<6e9K70g1<75`23`94?=zj8;=6=4=:183!5493;;>6F<6e9K70g1<75`23`94?=zj8:<6=4<:183!54939:7E=9d:J01d=nm<0;66gj6;29?j45j3:17pl>0983>6<729q/?>?5309K73b<@:?j7dk::188m`0=831d>?l50;9~f46>290=6=4?{%105?533A9=h6F<5`9ja0<722cn:7>5;hg4>5<>i5:k0;66sm11c94?5=83:p(>=>:312?M51l2B89l5fe483>>ob>3:17b<=b;29?xd68k0;6>4?:1y'767=::;0D>8k;I16e>ob=3:17dk9:188k74e2900qo??c;297?6=8r.8?<4<1:J02a=O;o4?::a55b=8391<7>t$212>7563A9=h6F<5`9ja0<722cn:7>5;n01f?6=3th:5}#;:;1>><4H24g?M52i2cn97>5;hg5>5<>i5:k0;66sm39d94?4=83:p(>=>:021?M51l2B89l5fe583>>i5:k0;66sm38294?4=83:p(>=>:021?M51l2B89l5fe583>>i5:k0;66sm38394?4=83:p(>=>:021?M51l2B89l5fe583>>i5:k0;66sm38094?4=83:p(>=>:021?M51l2B89l5fe583>>i5:k0;66sm38194?4=83:p(>=>:021?M51l2B89l5fe583>>i5:k0;66sm38694?5=83:p(>=>:312?M51l2B89l5fe483>>ob>3:17b<=b;29?xd41<0;6>4?:1y'767=;81C?;j4H27b?lc22900eh850;9l67d=831vn>79:187>5<7s-98=7==;I15`>N4=h1bi84?::kf2?6=3`o<6=44o30a>5<53;294~"4;808=6F<6e9K70g>i5:k0;66sm3e294?5=83:p(>=>:238L60c3A9>m6gj5;29?lc12900c?50z&074<5;81C?;j4H27b?lc22900eh850;9l67d=831vn>j=:180>5<7s-98=7=>;I15`>N4=h1bi84?::kf2?6=3f89n7>5;|``3?6=:3:1;n;hg7>5<5<4290;w)=<1;12?M51l2B89l5fe483>>ob>3:17b<=b;29?xddj3:1>7>50z&074<68;1C?;j4H27b?lc32900c??l50;9~ffb=8381<7>t$212>4653A9=h6F<5`9ja1<722e9>o4?::ag`<72;0;6=u+3239554<@:5;|``b?6=;3:1N4>m1C?8o4id794?=nm?0;66a=2c83>>{el90;6>4?:1y'767=;81C?;j4H27b?lc22900eh850;9l67d=831vni?50;194?6|,:9:6?=>;I15`>N4=h1bi84?::kf2?6=3f89n7>5;|``N4>m1C?8o4id794?=nm?0;66gj7;29?j45j3:17pll9;297?6=8r.8?<4=309K73b<@:?j7dk::188m`0=831d>?l50;9~fdb=8381<7>t$212>4653A9=h6F<5`9ja1<722e9>o4?::ae`<72=0;6=u+3239664<@:=>:021?M51l2B89l5fe583>>i5:k0;66smb183>6<729q/?>?5309K73b<@:?j7dk::188m`0=831d>?l50;9~fg7=8391<7>t$212>67<@:5<>i5:k0;66s|2183>6}Y:916>=4=3d9>6f>4?:3y>65<>>27997<=b:p61<72;q6>94=2c9>60;4?:3y>63<5:k16>o4j5:p62<72;q6>:4=2c9>6g2wx>54?:3y>6=<5:k16>n4j6:p6<<72;q6>44=2c9>6fl4?:3y>6d<5:k16>n4j8:p6g<72;q6>o4=2c9>6an4?:3y>6f<5:k16>i4j6:p6a<72;q6>i4=2c9>602wx:n4?:6y]2f=:9181i;521`:9a1=:9h:1i;52278f1>;6980n:63{t?h0;6<>t^6c8976=?016==:5789>52c=?016=i<5789>526=?0164?489:?:=?1>34;3i796;<3;b?1>34;28796;<3:g?1>34;2h796;<3:a?1>34;j9796;<1;f?c33ty3<7>54z\;4>;3:00<56372;;5?8ge2l>0q~6=:18a8>52;9n70?70;g7?87f?3o=70?n2;g7?87>13o>70?62;g7?8?c2l>01>k?:d68917f2l>01<>n:d7896>c2l<0q~76:1808?>2;9n707j:d7896b62l?0q~7n:1818?>20<01l>523`8yv?e2909w07m:30a?8?b2l<0q~7l:1818?d2;8i707i:d78yv?c2909w07k:30a?8?a2l<0q~7j:1818?b2;8i70o?:d78yv?a2909w07i:30a?8g72l<0q~o>:187[g634n=6484=b59a1=:im0n86s|a483>d}:i009?h52bg8:3>;d;3o=70:<9;g4?82483o>70:<1;g7?87483o?70mn:d789fd=m=16mk4j4:ped<720q6m4489:?01a<0127:>h489:?25=<0127o:796;<`a>2?<5k=1;452b284=>;fj389n6s|ab83>7}:i002:63m2;01f>{tim0;6?u2ae816g=:j90n:6s|ad83>7}:il09>o52b08f1>{tio0;6?u2ag816g=:j80n:6s|b183>7}:j909>o52b38f1>{tj80;6?u2b0816g=:j;0n:6s|a783>=}:j:09?h52bg8:<>;d;3o>70:01lk5e49~wg2=838p1o=5979>f3<5:k1vo;50;0x9g3=:;h01o85e79~wd1=833p1o9522g89f6=1116o84j5:?77<4j4:?``?c334kn6h84}r`;>5<5s4h<6484=cc967d74e34hj6h84}rc;>5<><5=9i6h:4=510>`2<589=6h84=bc9a3=:kj0n863ne;g4?xuek3:1>v3mb;;5?8db2;8i7p}md;296~;el389n63me;g5?xud:3:1?v3l0;;4?8e42;8i70m::d48yve32909w0m>:8589f3=:;h0q~m9:1878dc2l>01o75e59>f0gc2wxo54?:3y>g=<5:k16o44j6:pgd<72;q6ol4=2c9>`4gg<5:k16h=4j5:pgf<72;q6on4=2c9>`52wxoi4?:3y>ga<5:k16o54j5:pg`<72;q6oh4=2c9>g=gc<5:k16o44j5:p`5<72;q6h=4=2c9>`42wxh<4?:3y>`4<5:k16o54j6:p`7<72;q6nk4<0b9>f34?:3y>g5<48j16nl4j5:p`1<72;q6o<4<0b9>f``3<5;l16ok4j5:?a4?c23ty:<94?:4y]552<58:?6?=j;<60=?c134>8<7k8;<33=?c13ty:<84?:3y>552=1?16==k523`8yv77>3:1>v3>07816g=:99o1i;5rs024>5<5s4;;;7<=b:?24d2wx==650;0x946?2;8i70??b;g6?xu6800;6?u211;967d<58:i6h84}r33e?6=:r7:55e=m?1v<>m:181877j389n63>0d8f3>{t99i1<774e34;;h7k9;|q24a<72;q6==j523`8946b2l?0q~??f;296~;68o09>o521159a0=z{8;;6=4={<324?45j27:<:4j6:p547=838p1:30a?87703o>7p}>1383>7}:9881>?l4=02;>`052z?256<5:k16==75e49~w4732909w0?>4;01f>;6800n;6s|10794?4|58;>6?540=:;h01<>6:d;8yv7603:19vP>199>54>=::o01<79:d6894?62l?01<>i:d68yv7613:1>v3>198:2>;69h09>o5rs00f>5<2sW;9i63>2d817`=:90=1i9521839a3=:98:1i95rs00e>5<5s4;9i779;<303?45j2wx=>>50;0x94572;8i70?<6;g6?xu6;80;6?u2123967d<589=6h94}r306?6=:r7:??4=2c9>560=m11v<=<:181874;389n63>378f=>{t9:>1<774e34;8;7k:;|q270<72;q6=>;523`894502l=0q~?<6;296~;6;?09>o521259a3=z{8>n6=4={_37a>;4m<0n96s|14a94?4|V8?h70?80;;5?xu6?90;69u2162966c<58=86h:4=2fe>`3<5=;26h;4}r345?6=0r7:;94=2c9>5=7=m=16=l95e49>5d7=m=16>:4j4:?2575=b=m<16?i>5e79~w41a2909w0?72;01f>;60=0n86s|19294?4|582;6?5=7=:;h01<6=:d58yv7?;3:1>v3>7d8:2>;60<09>o5rs0:7>5<5s4;387<=b:?22wx=5850;0x94>12;8i70?7d;g5?xu60>0;6?u2195967d<582o6h94}r3;5=b=m11v<66:18187?1389n63>8e8fe>{t91k1<774e34;3h7k6;|q2c2lh0q~?7c;296~;60j09>o521979a0=z{82o6=4={<3;`?45j27:484j7:p5=c=83?p1<6j:31f?87fj3o>70?75;g5?84>2l>018d8:2>;60o09?h5219;9a1=::10n863>148f0>{t90:1<7<0<58386?o521819a2=z{83?6=4l{<3:0?44m278o?4j5:?2=d4j6:?:f?c?349n?7k:;<62`?c234;3m7k;;<07>`3<58;?6h:4=02`>`3<5:386h:4}r3:1?6=:r7:59466:?2=g<5:k1v<79:18187>>389n63>998f1>{t90=1<774e34;247k9;|q2==<72;q6=46523`894?>2l<0q~?69;296~;61009>o5218c9a3=z{83j6=4={<3:e?45j27:5o4j6:p5jk:d7891702l?01<67:d68972=m?16==j5e49>7<4=m=1v<7k:18:87>k33=70?6d;00a>;>j3o=70=kd;g5?826?3o=70?77;g7?8432l201<>9:d7896?72l>0q~?6e;29=~;61m02:63>9d817`=:1k0n963;39k0n963>878f0>;5<3o<70??6;g5?85>93o?7p}>9g83>7}:90o15;521`6967d52z?2e5<5:k16=l:5e49~w4g62909w0?n1;01f>;6i:0n96s|1`094?4|58k96?4?:3y>5d5=:;h01a4817`=:9hk1i8521`29a0=:1j0n963;3900n:63>8c8f0>;60j0n963=6;g5?84f2l>01:d7894742l>01>6i:d68yv7f>3:1>v3>a48:2>;6ik09>o5rs0c4>5<5s4;j;7<=b:?2e<5dd=m?1v;<3g6??13ty:h?4?:2y>5a4=::o01<9=:d689u234f966c<5=926h;4=513>`>i7>52z?01a<>>2789k4=2c9~w6>>290?wS=79:?0<<<5;l16=<658g9>57c=0o1v>6n:18685?13=270:>0;5:?85c>3=270=mf;5:?85?j389n6s|39a94?4|5:226484=2:f>74e3ty84i4?:3y>7=b=:;h01>7;:d78yv5?n3:1>v3<8g816g=:;0>1i;5rs2;3>5<5s492<7<=b:?0=36h84}r1:7?6=:r785>4=2c9>7=c=m?1v>7;:18185><389n63<978f2>{t;0?1<774e3492:7k8;|q0=3<72;q6?48523`896>b2l?0q~=6a;296~X41h16=li:84896e52;8i7p}7}Y;ji01>mk:30a?xu4ko0;6?u23bf9a1=:;m81>?l4}r1g4?6=:r78h=4=2c9>7a7=m?1v>j>:18185c9389n63{t;m<1<7=t^2f5?85c>388i63{t;mi1<7`2<5:o>6?o523d39a3=z{:nm6=4={<1gb?45j278i?4j5:p7`6=838p1>k?:30a?85b:3o=7p}7}:;l;1>?l4=2g0>`07>52z?0a7<5:k16?h:5e69~w6c42909w0=j3;01f>;4m=0n96s|3d694?4|5:o?6?7a0=1?16?h9523`8yv27=3:1>vP;049>563=m=1v9??:180[26827?==4=3d9>04>=m=1v9?9:18182593o?70:>f;01f>{t<8=1<774e34>:i7k9;|q75=<72;q68<6523`8917e2l<0q~:>9;296~;39009>o5240a9a0=z{=;j6=4={<62e?45j27?=n4j6:p04d=838p19?m:30a?826l3o=7p};1b83>7}:<8i1>?l4=53f>`1:h7>52z?75a<5:k168e;01f>;39o0n:6s|43294?4|5=;;6484=502>74e3ty?>44?:2y]07?<5=826?=j;<305?c33ty?>i4?:3y>07?=1?168?k523`8yv25n3:1>v3;288;`>;3;>09>o5rs513>5<5s4>8<7<=b:?773?50;0x91562;8i70:<5;g6?xu3;;0;6?u2420967d<5=9>6h84}r607?6=:r7??>4=2c9>061=m<1v9=;:181824<389n63;368f3>{t<:?1<774e34>8:7k9;|q773<72;q68>8523`891502l<0q~:<8;296~;3:l0n863;3e816g=z{=926=4={<60=?45j27??i4j5:p06g=838p19=n:30a?824k3o>7p};3c83>7}:<:h1>?l4=51`>`08o7>52z?77f<5:k168>j5e79~w15b2909wS:9::18;>3e=>oqC?8o4$212><6<,8n86>?k;n13e?6=3`2n6=44o222>5<j0;66l<6d83>4<729q/?>?5e29K73b<@:?j7bk=:188yg53i3:197>50z&0745;h:e>5<31<75f9783>>i5;l0;66sm35494?3=83:p(>=>:ed8L60c3A9>m6*i0;28m=b=831b4k4?::k4=?6=3`3=6=44o31f>5<7>55;294~"4;80oj6F<6e9K70g<,o:1<6g7d;29?l>a2900e:750;9j=3<722e9?h4?::a76b=83?1<7>t$212>a`<@:>o013:17d79:188k75b2900qo?ja;291?6=8r.8?<4kf:J02a=O;5;h;5>5<N4>m1C?8o4i9f94?=n0o0;66g89;29?l?12900c?=j:188yg7bn3:197>50z&0745<55;294~"4;80oj6F<6e9K70g>o013:17d79:188k75b2900qo?i1;291?6=8r.8?<4kf:J02a=O;5;h;5>5<N4>m1C?8o4i9f94?=n0o0;66g89;29?l?12900c?=j:188yg7a;3:197>50z&0745<55;294~"4;80oj6F<6e9K70g>o013:17d79:188k75b2900qo?i5;291?6=8r.8?<4kf:J02a=O;5;h;5>5<N4>m1C?8o4i9f94?=n0o0;66g89;29?l?12900c?=j:188yg7bk3:197>50z&0745<55;294~"4;80oj6F<6e9K70g>o013:17d79:188k75b2900qo?je;291?6=8r.8?<4kf:J02a=O;5;h;5>5<N4>m1C?8o4i9f94?=n0o0;66g89;29?l?12900c?=j:188yg54>3:197>50z&0745<55;294~"4;80oj6F<6e9K70g>o013:17d79:188k75b2900qojn:180>5<7s-98=7jk;I15`>N4=h1b;44?::k:2?6=3f88i7>5;|`g=?6=;3:1N4>m1C?8o4i6;94?=n1?0;66a=3d83>>{e;h<1<7=50;2x 6562mn0D>8k;I16e>o013:17d79:188k75b2900qo:?9;297?6=8r.8?<4kd:J02a=O;;n;h5:>5<6=4<:183!5493no7E=9d:J01d=n?00;66g66;29?j44m3:17pli3;297?6=8r.8?<4kd:J02a=O;50:k4=?6=3`3=6=44o31f>5<>k50;9~f63729086<4<{I16e>"4;80:h85f9683>>o>03:17b=?c;29?g50;3:1?7>50z&074<4>j1C?;j4H27b?lc22900eh850;9l67d=831v4950;0xZ<1<5:=86h84}r;;>5<5sW3370=83;g6?xu48j0;6?uQ31a896142;8i7psm35d94?5=939pD>;n;%105?7c=2c2;7>5;h;;>5<5<4290;w)=<1;15g>N4>m1C?8o4id794?=nm?0;66a=2c83>>{t1>0;6?uQ969>725=m?1v4650;0xZ<><5:=86h;4}r13g?6=:rT86}O;=>:0f6?l?02900e4650;9l75e=831i?:=50;194?6|,:9:6>8l;I15`>N4=h1bi84?::kf2?6=3f89n7>5;|q:3?6=:rT2;63<728f2>{t110;6?uQ999>725=m<1v>>l:181[57k278;>4=2c9~yg7a13:1?7?53zJ01d=#;:;1=i;4i8594?=n110;66a<0b83>>d4?:0;6>4?:1y'767=;?i0D>8k;I16e>ob=3:17dk9:188k74e2900q~78:181[?0349{t;9i1<75<42808wE=:a:&074<6l<1b5:4?::k:5;c147?6=;3:1;n;hg6>5<74e3twi=k950;195?5|@:?j7)=<1;3g1>o>?3:17d77:188k66d2900n>9<:180>5<7s-98=7=9c:J02a=O;o4?::p=2<72;qU5:523619a3=z{021<74>:2yK70g<,:9:65<91<7=50;2x 6562:?278;>4j6:p==<72;qU55523619a0=z{::h6=4={_13g>;4?:09>o5r}c035?6=;3;1?vF<5`9'767=9m?0e4950;9j==<722e85<0278;>4j5:p75e=838pR>>l;<147?45j2wvn?>?:180>4<4sA9>m6*<3082`0=n1>0;66g68;29?j57k3:17o=83;297?6=8r.8?<4<6b9K73b<@:?j7dk::188m`0=831d>?l50;9~w<1=838pR494=250>`07p}<0b83>7}Y;9i01>9<:30a?x{e9ol1<7=51;1xL63f3-98=7?k5:k:3?6=3`336=44o22`>5<>i5:k0;66s|9683>7}Y1>16?:=5e79~w<>=838pR464=250>`352z\04f=:;>91>?l4}|`2b`<72:0:6>uG34c8 65628n>7d78:188m<>=831d?=m50;9a725=8391<7>t$212>60d3A9=h6F<5`9ja0<722cn:7>5;n01f?6=3ty2;7>52z\:3>;4?:0n:6s|9983>7}Y1116?:=5e49~w66d2909wS=?c:?036<5:k1vqo?id;297?7=;rB89l5+32395a3>i48j0;66l<7283>6<729q/?>?537a8L60c3A9>m6gj5;29?lc12900c?52z\:<>;4?:0n96s|31a94?4|V::h70=83;01f>{zj8lh6=4<:080M52i2.8?<4>d49j=2<722c247>5;n13g?6=3k953;294~"4;808:n5G37f8L63f3`o>6=44id494?=h:;h1<75rs8594?4|V0=01>9<:d48yv??2909wS77;<147?c23ty8>l:188f61429086=4?{%105?51k2B8:i5G34c8m`3=831bi;4?::m16g<722wx5:4?:3y]=2=:;>91i;5rs8:94?4|V0201>9<:d78yv57k3:1>vP<0b9>725=:;h0qpl>f`83>6<62:qC?8o4$212>4b23`3<6=44i8:94?=h;9i1<75m36194?5=83:p(>=>:24`?M51l2B89l5fe483>>ob>3:17b<=b;29?xu>?3:1>vP67:?0362wx554?:3y]===:;>91i85rs22`>5<5sW9;o63<72816g=zukk86=4=:183!5493;;>6F<6e9K70g1<75`23`94?=zjh>1<7=50;2x 6562:;0D>8k;I16e>ob=3:17dk9:188k74e2900qo=:9;297?6=8r.8?<4<1:J02a=O;o4?::a752=8381<7>t$212>4653A9=h6F<5`9ja1<722e9>o4?::a653=8391<7>t$212>67<@:5<53;294~"4;808=6F<6e9K70g>i5:k0;66sm21;94?5=83:p(>=>:238L60c3A9>m6gj5;29?lc12900c?50z&074<492B8:i5G34c8m`3=831bi;4?::m16g<722wi>=j50;194?6|,:9:6>?4H24g?M52i2cn97>5;hg5>5<N4>m1C?8o4id794?=nm?0;66a=2c83>>{e:8;1<7=50;2x 6562:;0D>8k;I16e>ob=3:17dk9:188k74e2900qo<>3;297?6=8r.8?<4<1:J02a=O;o4?::a643=8391<7>t$212>67<@:5<53;294~"4;808=6F<6e9K70g>i5:k0;66sm20;94?5=83:p(>=>:238L60c3A9>m6gj5;29?lc12900c?7>50z&074<68;1C?;j4H27b?lc32900c?7>50z&074<68;1C?;j4H27b?lc32900c?50z&074<492B8:i5G34c8m`3=831bi;4?::m16g<722wi?9650;094?6|,:9:6<>=;I15`>N4=h1bi94?::m16g<722wi?9750;194?6|,:9:6>?4H24g?M52i2cn97>5;hg5>5<;n;hg7>5<N4>m1C?8o4id794?=nm?0;66a=2c83>>{e;:l1<7<50;2x 65628:97E=9d:J01d=nm=0;66a=2c83>>{e;=:1<7<50;2x 65628:97E=9d:J01d=nm=0;66a=2c83>>{e;=;1<7=50;2x 6562:;0D>8k;I16e>ob=3:17dk9:188k74e2900qo=:2;297?6=8r.8?<4<1:J02a=O;o4?::a702=8391<7>t$212>67<@:5<6F<6e9K70g1<75`23`94?=zj8:;6=4<:183!54939:7E=9d:J01d=nm<0;66gj6;29?j45j3:17pli2;290?6=8r.8?<4<2:J02a=O;5;n01f?6=3th8?54?:283>5}#;:;1?<5G37f8L63f3`o>6=44id494?=h:;h1<75rb21:>5<5290;w)=<1;336>N4>m1C?8o4id694?=h:;h1<75rb21b>5<5290;w)=<1;336>N4>m1C?8o4id694?=h:;h1<75rb21a>5<4290;w)=<1;12?M51l2B89l5fe483>>ob>3:17b<=b;29?xd4;j0;6>4?:1y'767=;81C?;j4H27b?lc22900eh850;9l67d=831vn5<7s-98=7=8;I15`>N4=h1bi84?::kf2?6=3`o<6=44id:94?=nm00;66gja;29?lce2900ehm50;9l67d=831vn5<7s-98=7=<;I15`>N4=h1bi84?::kf2?6=3`o<6=44id:94?=h:;h1<75rb0g4>5<5290;w)=<1;336>N4>m1C?8o4id694?=h:;h1<75rb0g;>5<4290;w)=<1;12?M51l2B89l5fe483>>ob>3:17b<=b;29?xd6m00;6>4?:1y'767=;81C?;j4H27b?lc22900eh850;9l67d=831vnk750;094?6|,:9:6<>=;I15`>N4=h1bi94?::m16g<722wijl4?:383>5}#;:;1==<4H24g?M52i2cn87>5;n01f?6=3thmn7>53;294~"4;808=6F<6e9K70g>i5:k0;66smfb83>6<729q/?>?5309K73b<@:?j7dk::188m`0=831d>?l50;9~fcb=8391<7>t$212>7563A9=h6F<5`9ja0<722cn:7>5;n01f?6=3thm97>54;294~"4;808>6F<6e9K70g>ob?3:17b<=b;29?xda>3:1?7>50z&074<5;81C?;j4H27b?lc22900eh850;9l67d=831vn>>9:181>5<7s-98=7??2:J02a=O;>8:181>5<7s-98=7??2:J02a=O;>7:181>5<7s-98=7??2:J02a=O;>6:187>5<7s-98=7==;I15`>N4=h1bi84?::kf2?6=3`o<6=44o30a>5<6F<6e9K70g1<75`23`94?=zj?l1<7<50;2x 65628:97E=9d:J01d=nm=0;66a=2c83>>{e?90;6>4?:1y'767=;81C?;j4H27b?lc22900eh850;9l67d=831vn:?50;194?6|,:9:6>?4H24g?M52i2cn97>5;hg5>5<5<4290;w)=<1;005>N4>m1C?8o4id794?=nm?0;66a=2c83>>{e?:0;6>4?:1y'767=;81C?;j4H27b?lc22900eh850;9l67d=831vn>o6:180>5<7s-98=7=>;I15`>N4=h1bi84?::kf2?6=3f89n7>5;|`0ed<72;0;6=u+3239554<@:5;|`0eg<72;0;6=u+3239554<@:5;|`0ef<72:0;6=u+323974=O;?n0D>;n;hg6>5<>i5:k0;66sm3`g94?5=83:p(>=>:238L60c3A9>m6gj5;29?lc12900c?50z&074<5;81C?;j4H27b?lc22900eh850;9l67d=831v;m50;1xZ3e<5?i1>>k4=629a0=z{?n1<7o5rs7g94?4|5?o1>?l4=629a3=z{?l1<7;1i;5rs6294?4|5>:1>?l4=609a0=z{>;1<781i;5rs6094?4|5>81>?l4=619a3=z{1o1<7?k{_:f?853i3=270=;6;5:?853:3=270=3=270=?1;5:?8bf2>301i75789>7d0=?0168=75789>2f<01278h8489:?e7?1>34l<6:74}rc2>5<5sWk:70j6:848yvg52902w0=<6;:g?857932o70=p1k<523`89cg=m=16jo4j5:?e1?c23tym?7>57z?e7?44m27:<=4j5:?e=?c334l=6h;4=619a0=:;h31i8523`c9a1=z{o>1<7o5rsg794?4|5o?1>?l4=g49a3=z{o=1<79t=g5966c<5ol1i952fb8f1>;a=3o<708j:d68927=m<16?lk5e49~wc>=838p1k95979>ba<5:k1vk750;0x9c?=:;h01kl5e79~wcg=838p1ko523`89ce=m?1vkl50;0x9cd=:;h01kj5e49~wce=838p1km523`89cb=m?1vkk50;0x9c`=:;h01<>?:d48yv7793:1>v3;088:2>;68909>o5rs0g0>5<5s4;n?7<5`5=1?16=h7523`8yv7b=3:1>v3>e4816g=:9l31i85rs0g5>5<5s4;n:7<=b:?2a=5cg=1>16>=;5e79>655=m=16=h95e59~w4bf290?w0?jb;00a>;6nh02463=048f1>;6m<0n96s|1e594?2|58oh6?=j;<3e3???348:;7k:;<3f2?c03ty:h54?:5y>5`b=::o012l?01ed817`=:9o3155521d49a==z{8ni6=4;{<3fb?44m27:jo468:?142p1de83>1}:9o;1>>k4=0dg><><5;:i6h;4=0g6>`?54z?2b7<5;l16=kk5999>65b=m<16=h;5e99~w4ba290?w0?i3;00a>;6no02463=0g8f1>;6m<0nm6s|1d294?2|58l?6?=j;<034???348:=7k:;<3f1?cd3ty:i<4?:5y>5c3=::o01?>>:8:897742l?01f7817`=::98155522079a0=:9l<1i85rs327>5<4s4;mn778;<031?45j279<:4j6:p650=839p1u21gf9=2=::931>?l4=32a>`053z?2b`<>?27965b=m?1v?>l:18087an33<70;58o0n:6s|21g94?5|5;:;6494=32e>74e348:=7k9;|q155<72:q6>=?5969>647=:;h01??<:d48yv46:3:1?v3=038:3>;59:09>o522079a3=z{;;?6=4<{<3e3??0348:97<=b:?1522wx><850;1x94`?20=01??8:30a?84613o=7p}=1983>7}:9o315:5220;967d52z?2ad<>>279<>4=2c9~w77e2909w0?jc;;5?87a?39;o6s|20a94?4|58oo6484=0d;>66d3ty9=i4?:3y>5`c=1?16=k7531a8yv46m3:1>v3>ec8:2>;6nh085<5s4;nj779;<3ef?57k2wx>?>50;0x94`720<01{t:;>1<7<0<5;:;6>>l;|q160<72;q6=k;5979>657=;9i0q~<=6;296~;6n?02:63=03804f=z{;826=4>5z?02`47f:?2b1>>:84896632;8i7p}<0483>7}:;9>1i95231;967d52z?043<5:k16?=75e79~w6602909w0=?7;01f>;4800n96s|31:94?4|5::36?760=::o01>;6:d789c4=m?1v>=8:181854>33=70={t;:21<774e3498o7k:;|q07<<72;q6?>7523`8965e2l?0q~=o5232`9a3=z{:9i6=4={<10f?45j278?n4j6:p764=83?p1>=k:31f?853m33<70=;0;g7?852:3o=70=<8;g6?xu4;l0;6?u232f9=3=:;=;1>?l4}r10b?6=:r78?k4=2c9>717=m<1v>:?:1818538389n63<408f2>{t;:91<7;t=261>75b349?i777;<166?c2349857k;;<133?c33ty88>4?:3y>714=1?16?9;523`8yv53<3:1>v3<45816g=:;=?1i;5rs217>5<2s49?:7<0278994j5:?07d:9:848962>2;8i7p}<4983>7}:;=21>?l4=26:>`055z\04d=:;=k1>>k4=273><><5:936h84=22;>`252z?00d<>>2788i4=2c9~w62d2909w0=;c;01f>;4m6494=271>74e349>87k9;|q016<72;q6?8>5969>702=:;h0q~=:5;296~;4;?:22`?853l3o>7p}<5983>45|58oj65j4=0ga>=b<58om65j4=0d3>=b<58l:65j4=0d1>=b<58l865j4=0d7>=b<58l>65j4=0d5>=b<58oh65j4=0gg>=b<58on65j4=0g0>=b<5:?26?75b34`0<5:ko6h;4}r1bo6:18185f1389n63{t;hk1<774e349jo7k:;|q0eg<72;q6?ll523`896gd2l<0q~=nc;296~;4ij09>o523`f9a3=z{:ko6=4={<1b`?45j278mh4j6:p7dc=838p1>oj:30a?85fn3o=7p}7}Y;m901>j::848yv5c=3:1?v3{t<931<75<5290;w)=<1;336>N4>m1C?8o4id694?=h:;h1<75rb2;;>5<5290;w)=<1;336>N4>m1C?8o4id694?=h:;h1<75rb25g>5<5290;w)=<1;336>N4>m1C?8o4id694?=h:;h1<75rb25f>5<5290;w)=<1;336>N4>m1C?8o4id694?=h:;h1<75rb25e>5<4290;w)=<1;12?M51l2B89l5fe483>>ob>3:17b<=b;29?xd3810;6?4?:1y'767=9980D>8k;I16e>ob<3:17b<=b;29?xd40<0;6?4?:1y'767=mo1C?;j4H27b?lc32900c?7>50z&074?l50;9~f6g329096=4?{%105?ca3A9=h6F<5`9ja1<722e9>o4?::a`0<72;0;6=u+3239ac=O;?n0D>;n;hg7>5<5<5290;w)=<1;ge?M51l2B89l5fe583>>i5:k0;66sm3c:94?4=83:p(>=>:dd8L60c3A9>m6gj4;29?j45j3:17pl7<729q/?>?5eg9K73b<@:?j7dk;:188k74e2900qo:;2;296?6=8r.8?<4jf:J02a=O;5;n01f?6=3th52;294~"4;80nj6F<6e9K70g1<75`23`94?=zj:n36=4=:183!5493om7E=9d:J01d=nm=0;66a=2c83>>{e<=h1<7<50;2x 6562ll0D>8k;I16e>ob<3:17b<=b;29?xd1;3:1>7>50z&074?l50;9~f34=8381<7>t$212>``<@:5;|`55?6=:3:1N4>m1C?8o4id694?=h:;h1<75rb7294?4=83:p(>=>:dd8L60c3A9>m6gj4;29?j45j3:17pl:e;296?6=8r.8?<4jf:J02a=O;5;n01f?6=3th>o7>52;294~"4;80nj6F<6e9K70g1<75`23`94?=zj8k;I16e>ob<3:17b<=b;29?xd2i3:1>7>50z&074?l50;9~f0?=8381<7>t$212>``<@:5;|`6N4>m1C?8o4id694?=h:;h1<75rb4594?4=83:p(>=>:dd8L60c3A9>m6gj4;29?j45j3:17pl:6;296?6=8r.8?<4jf:J02a=O;5;n01f?6=3th=n7>52;294~"4;80nj6F<6e9K70g1<75`23`94?=zj?k1<7<50;2x 6562ll0D>8k;I16e>ob<3:17b<=b;29?xd113:1>7>50z&074?l50;9~f3>=8381<7>t$212>``<@:5;|`53?6=:3:1N4>m1C?8o4id694?=h:;h1<75rb7494?4=83:p(>=>:dd8L60c3A9>m6gj4;29?j45j3:17pl95;296?6=8r.8?<4jf:J02a=O;5;n01f?6=3th>j7>52;294~"4;80nj6F<6e9K70g1<75`23`94?=zj=:=6=4;:183!5493;:h6F<6e9K70g1<75fa083>>i5:k0;66a>0283>>{e?m0;6?4?:1y'767=:;i0D>8k;I16e>ob<3:17b<=b;29?xd4j?0;6?4?:1y'767=:;i0D>8k;I16e>ob<3:17b<=b;29?xd3<90;6?4?:1y'767=:;i0D>8k;I16e>ob<3:17b<=b;29?xd38o0;6?4?:1y'767=:;i0D>8k;I16e>ob<3:17b<=b;29?xd0n3:1>7>50z&074<5:j1C?;j4H27b?lc32900c?7>50z&074<5:j1C?;j4H27b?lc32900c?7>50z&074<5:j1C?;j4H27b?lc32900c?7>50z&074<5:j1C?;j4H27b?lc32900c?7>50z&074<5:j1C?;j4H27b?lc32900c?50z&074<5:m1C?;j4H27b?lc32900el?50;9l67d=831vn>76:181>5<7s-98=7<=c:J02a=O;hl:181>5<7s-98=7<=c:J02a=O;5<7s-98=7<=d:J02a=O;o4?::a7c4=8381<7>t$212>74d3A9=h6F<5`9ja1<722e9>o4?::a72d=8381<7>t$212>74d3A9=h6F<5`9ja1<722e9>o4?::a7=4=8381<7>t$212>74d3A9=h6F<5`9ja1<722e9>o4?::a05e=8381<7>t$212>74d3A9=h6F<5`9ja1<722e9>o4?::a7f6=8381<7>t$212>74d3A9=h6F<5`9ja1<722e9>o4?::a7ag=8391<7>t$212>74c3A9=h6F<5`9ja1<722cj=7>5;n01f?6=3th?>o4?:283>5}#;:;1>?j4H24g?M52i2cn87>5;hc2>5<;n;hg7>5<;n;hg7>5<;n;hg7>5<;n;hg7>5<;n;hg7>5<;n;hg7>5<;n;hg7>5<;n;hg7>5<;n;hg7>5<;n;hg7>5<;n;hg7>5<;n;hg7>5<;n;hg7>5<;n;hg7>5<;n;hg7>5<5<5s49<477>52z?03<<58278;h4j4:p6`<72;q6?:752d9>7=7=m=1v>j50;0xZ6b<5h4=459a1=z{=:1<7=m=1v9?50;0xZ17<5<31i95rs5094?4|V=8018o5e59~w15=838pR9=4=4`9a1=z{=>1<74=759a1=z{<;1<7=m=1v8<50;0xZ04<5?31i95rs4194?4|V<901;o5e59~w02=838pR8:4=7`9a1=z{2=:<=i1;63:5;01f>{t=?0;6>u23649<>;399:c9>01e=j27>57<=b:p1d<72:q6?:85c:?70f?l4}r7`>5<4s49<:7k4=56`>`=:=j09>o5rs4f94?5|5:==6k5245a9b>;2l389n6s|5d83>6}:;><1==5245a955=:=l09>o5rs4d94?4|5=>h6?o7?=;<43>74e3ty==7>53z?033<6<27?8n4>4:?55?45j2wx:?4?:3y>720=9<16:?4=2c9~w35=838p1>99:058935=:;h0q~8;:181823k3;3708;:30a?xu1=3:1>v3;4b82=>;1=389n6s|6783>7}:<=i1=l5267816g=z{?=1<74d<5?=1>?l4}r4;>5<5s4>?o7?l;<4;>74e3ty=57>52z?70f<6l27=57<=b:p2d<72:q6?:851d9>01e=9l16:l4=2c9~w3d=839p1>99:0d8912d28l01;l523`8yv0d2904;c2?85ci3k:70:=b;c2?xu0<3:18v3<77840>;3;4?>0<86s|7`83>7}Y?h16;o4j4:p3g<72;q6?:657`9>3g<5:k1v:m50;0xZ2e<5>n1>?l4}r5g>5<4s49=i7k=;<632?c334=o6h:4}r5f>5<5sW=n709i:30a?xu0n3:1>v3<6g817==:?o0n86s|8183>7}Y09164<4j4:p<4<72;q6?:65819><4<5:k1vl?50;0xZd7<5m?1i95rse794?5|5:=36l?4=256>d7<5m?1>?l4}rfa>5<5sWni70jl:d68yvbd290=w0=86;:f?823k32n70=89;:f?850?3ni70=85;:f?8bd2;8i7p}jd;296~;4??0nh63<768f`>{t99>1<7463349<47??4:p54>=838p1>96:03;?85003;:46s|10g94?4|5:==6289370=88;31a>{t9:k1<745f349<47?;e:p51`=838p1>96:06e?850?3;?j6s|14494?5|5:==6<;9;<14=?72>278;:4>579~w4302909w0=89;363>;4?>0:9:5rs07`>5<5s49<57?:c:?03=<6=j1vc09~w74>2908w0:?6;01f>;4??0?<85236:905352z\17c=::>h1>?l4}r074?6=:rT98=52293967d7>52z\107=::1=1>?l4}r077?6=:rT98>5229:967d52z\101=::1o1>?l4}r071?6=:rT98852286967d52z\10d=::0?1>?l4}r07f?6=:rT98o5228`967d52z\10f=::h;1>?l4}r07`?6=:rT98i522`5967d52z\10`=::hn1>?l4}r07b?6=:rT98k522c1967d=7>52z?033<5=81689m52438yv42:3:1>v3<778117=:;>=1>8?4}r052?6=:r7?8n4=679>721=:?<0q~<8b;296~;37}:<=i1>9=4=3:;>`252z?70f<5<=16>5k5e59~w7?32909w0:;c;071>;51=0n86s|28794?4|5=>h6?:n;<0:1?c33ty95o4?:3y>01e=:=h01?7m:d68yv4f93:1>v3;4b810f=::h;1i95rs3c4>5<5s4>?o7<;d:?1e2lj50;0x912d2;>n7001e=:k301>96:3`:?xu5jl0;6?u236496gc<5:=<6?l6;|q044<72;q689m5310896122:::7p}<0`83>7}:<=i1?=l4=256>66f3ty8;l4?:3y]72g<5:=i6?0q~=8c;296~;4?m09>o5236d9a0=z{:=o6=4={<14a?45j278;k4j6:p7=6=838pR>6?;<1;6?45j2wx?5<50;0x96>62;8i70=72;g7?xu40=0;6?uQ396896>22l>0q~=75;290~;35236;97=5<5:=<6>6<;<1;1?45j2wx?5850;0xZ6>13493;7<=b:p7=>=838p1>97:2::?85??3o?7p}<9683>7}Y;0=01>76:30a?xu4100;6?u238:967d<5:326h:4}r1:f?6=:rT85o5238a967d52z?03<<41k16?4m5e59~w6g42909wS=n3:?0e1{t;h<1<76>o9;<1b3?c33ty8n=4?:3y]7g6<5:h:6?0q~=m5;296~X4j<16?o8523`8yv5e>3:1>v3<7680f1=:;k<1i95rs2`4>5<5sW9i;63{t;k21<76d3349i47<=b:p7g`=838pR>li;<1`4?45j2wx?n>50;0x961?2:hm70=l0;g7?xu4k:0;6?uQ3b1896e22;8i7p}7}:;>31?n=4=2a6>`252z\0gf=:;jo1>?l4}r1`a?6=:r78;547fc=m=1v>j<:181[5c;278h94j4:p7a2=838p1>9::2f0?85c<389n6s|3e594?4|V:n<70=k8;g7?xu4l10;6>u245a97a0<5:=26>j9;<1g349om7<=b:p7ad=838p1>97:2f5?85ci3o?7p}7}Y;ll01>h=:30a?xu4n;0;6?u245a97``<5:l96h:4}r1e=?6=:rT8j4523gc967d52z?70f<4m116?ko5e59~w6`e2909wS=ib:?0bf<5:k1v>hl:181823k3>9>63{t;on1<7h6>hk;<630?c33ty?<84?:3y]053<5=:=6<><;|q742<72;q68=6523`891612h;0q~:?9;296~;4?<0?<45241:9a1=z{=:j6=4={_63e>;38j09>o5rs52`>5<5s4>?o7:?a:?74f;j7<=b:p05`=838p19:l:52g?827n3o?7p};1083>7}Y<8;019?=:d68yv26:3:1?v3;4b8755=:;>318<>4=531>74e3ty?=>4?:3y]045<5=;?6?0q~:=a;296~X3:h168?l523`8yv25k3:1>v3<79876<=:<;h1i95rs51e>5<5sW>8j63;41816g=z{=>;6=4={<143?24m27?8=4j4:p017=838pR9:>;<676?c33ty?8?4?:3y>72>=<:o019:=:30a?xu30q~:;b;290~;4??0?845245a901?<5:=269:6;<67f?45j2wvbk>n:181M52i2wej=l50;0xL63f3tdm51zJ01d=zfo:n6=4>{I16e>{in9l1<7?tH27b?xha990;6;n;|le51<728qC?8o4}od21?6=9rB89l5rng35>5<6sA9>m6saf0594?7|@:?j7p`i1983>4}O;9;295~N4=h1vbk?n:182M52i2wej51zJ01d=zfo;n6=4>{I16e>{in8l1<7?tH27b?xha:90;6;n;|le61<72;qC?8o4}od11?6=:rB89l5rng05>5<5sA9>m6saf3594?5|@:?j7p`i2983>6}O;n4?:2yK70g53zJ01d=zfo8n6=4={I16e>{in;l1<7=tH27b?xha;90;6>uG34c8yk`493:1>vF<5`9~jc552909wE=:a:mb65=838pD>;n;|le71<72;qC?8o4}od01?6=:rB89l5rng15>5<5sA9>m6saf2594?4|@:?j7p`i3983>7}O;l50;1xL63f3tdm?n4?:4yK70g51zJ01d=zfo9n6=4={I16e>{in:l1<7?tH27b?xha<90;6;n;|le01<72;qC?8o4}od71?6=9rB89l5rng65>5<4sA9>m6saf5594?7|@:?j7p`i4983>3}O;53zJ01d=zfo>n6=4<{I16e>{in=l1<7=tH27b?xha=90;69uG34c8yk`293:1?vF<5`9~jc352908wE=:a:mb05=839pD>;n;|le11<72:qC?8o4}od61?6=;rB89l5rng75>5<6sA9>m6saf4594?7|@:?j7p`i5983>4}O;h7>51zJ01d=zfo?n6=4>{I16e>{in90;6;n;|le21<72:qC?8o4}od51?6=:rB89l5rng45>5<5sA9>m6saf7594?5|@:?j7p`i6983>7}O;52zJ01d=zfo{in>:1<7pD>;n;|le30<728qC?8o4}od42?6=9rB89l5rng54>5<6sA9>m6saf6:94?7|@:?j7p`i7883>4}O;52zJ01d=zfo=m6=4>{I16e>{in1:1<7?tH27b?xha080;6vF<5`9~jc>4290:wE=:a:mb=2=838pD>;n;|le<0<728qC?8o4}od;2?6=:rB89l5rng:4>5<6sA9>m6saf9:94?4|@:?j7p`i8883>4}O;52zJ01d=zfo2m6=4>{I16e>{in0:1<7:3:1>vF<5`9~jc?4290:wE=:a:mb<2=838pD>;n;|le=0<728qC?8o4}od:2?6=;rB89l5rng;4>5<6sA9>m6saf8:94?4|@:?j7p`i9883>4}O;52zJ01d=zfo3m6=4>{I16e>{inh:1<7;n;|lee0<72;qC?8o4}odb2?6=9rB89l5rngc4>5<5sA9>m6saf`:94?7|@:?j7p`ia883>6}O;51zJ01d=zfokm6=4={I16e>{ink:1<7?tH27b?xhaj80;6?uG34c8yk`e:3:1=vF<5`9~jcd4290?wE=:a:m515=83;pD>;n;|l201<728qC?8o4}o371?6=9rB89l5rn065>5<6sA9>m6sa15594?7|@:?j7p`>4983>4}O;51zJ01d=zf8>n6=4>{I16e>{i9=l1<7?tH27b?xh6=90;6;n;|l212<728qC?8o4}o36=?6=9rB89l5rn07b>5<6sA9>m6sa14`94?7|@:?j7p`>5b83>4}O;50;3xL63f3td::<4?:0yK70g7>51zJ01d=zf8<86=4>{I16e>{i9?>1<7?tH27b?xh6><0;63:1=vF<5`9~j400290:wE=:a:m53>=83;pD>;n;|l22<<728qC?8o4}o35e?6=9rB89l5rn04a>5<6sA9>m6sa17a94?7|@:?j7p`>6e83>4}O;50;3xL63f3td:;<4?:0yK70g51zJ01d=zf:lj6=4>{I16e>{i;oh1<7?tH27b?xh4nj0;6;n;|l745<728qC?8o4}o635?6=9rB89l5rn521>5<6sA9>m6sa41694?7|@:?j7p`;0483>4}O;8:182M52i2we8=j50;3xL63f3td?;j7>51zJ01d=zf=;<6=4>{I16e>{i<821<7?tH27b?xh3900;6;n;|l75a<728qC?8o4}o62a?6=9rB89l5rn53e>5<6sA9>m6sa43294?7|@:?j7p`;2083>4}O;;4?:0yK70g9;7>51zJ01d=zf=836=4>{I16e>{i<;31<7?tH27b?xh3:h0;6;n;|l76`<728qC?8o4}o61b?6=9rB89l5rn513>5<6sA9>m6sa42394?7|@:?j7p`;3383>4}O;;50;3xL63f3td??;4?:0yK70g8;7>51zJ01d=zf=936=4>{I16e>{i<:31<7?tH27b?xh3;h0;6;n;|l77`<728qC?8o4}o60b?6=9rB89l5rn563>5<6sA9>m6sa45394?7|@:?j7p`;4383>4}O;?;7>51zJ01d=zf=>36=4>{I16e>{i<=31<7?tH27b?xh3;n;|l70`<728qC?8o4}o67b?6=9rB89l5rn573>5<6sA9>m6sa44094?7|@:?j7p`;5283>4}O;>47>51zJ01d=zf=?26=4>{I16e>{i<;n;|l71c<728qC?8o4}o654?6=9rB89l5rn542>5<6sA9>m6sa47094?7|@:?j7p`;6283>4}O;=47>51zJ01d=zf=<26=4>{I16e>{ik0;6;n;|l72c<728qC?8o4}o644?6=9rB89l5rn552>5<6sA9>m6sa46094?7|@:?j7p`;7283>4}O;<47>51zJ01d=zf==26=4>{I16e>{i<>k1<7?tH27b?xh3?k0;66290:wE=:a:m0=2=83;pD>;n;|l7<0<728qC?8o4}o6;2?6=9rB89l5rn5:4>5<6sA9>m6sa49;94?7|@:?j7p`;8c83>4}O;287>51zJ01d=zf=3>6=4>{I16e>{i<0<1<7?tH27b?xh31>0;6;n;|l5f1<728qC?8o4}o4a5<6sA9>m6sa6c`94?7|@:?j7p`9bb83>4}O;51zJ01d=zf?i96=4>{I16e>{i>j91<7?tH27b?xh1k=0;6;n;|l5g=<728qC?8o4}o4`=?6=9rB89l5rn7ab>5<6sA9>m6sa6ba94?7|@:?j7p`9ce83>4}O;50;3xL63f3td=h>4?:0yK70g51zJ01d=zf?n>6=4>{I16e>{i>m=1<7?tH27b?xh1l10;6;n;|l5`f<728qC?8o4}o4g`?6=9rB89l5rn7ff>5<6sA9>m6sa6ed94?7|@:?j7p`9e183>4}O;51zJ01d=zf?o=6=4>{I16e>{i>l=1<7?tH27b?xh1m10;6;n;|l5af<728qC?8o4}o4f`?6=9rB89l5rn7gf>5<6sA9>m6sa6g294?7|@:?j7p`9f083>4}O;51zJ01d=zf?l<6=4>{I16e>{i>o21<7?tH27b?xh1n00;6;n;|l5ba<728qC?8o4}o4ea?6=9rB89l5rn7de>5<6sA9>m6sa71294?7|@:?j7p`80383>4}O;;:182M52i2we;=;50;3xL63f3td<<;4?:0yK70g51zJ01d=zf>:36=4>{I16e>{i?931<7?tH27b?xh08h0;6;n;|l44`<728qC?8o4}o53b?6=9rB89l5rn633>5<6sA9>m6sa70394?7|@:?j7p`81383>4}O;3;295~N4=h1vb:?::182M52i2we;<850;3xL63f3td<=:4?:0yK70g51zJ01d=zf>;26=4>{I16e>{i?8k1<7?tH27b?xh09k0;6;n;|l45c<728qC?8o4}o514?6=9rB89l5rn602>5<6sA9>m6sa73094?7|@:?j7p`82283>4}O;:4?:0yK70g51zJ01d=zf>826=4>{I16e>{i?;k1<7?tH27b?xh0:k0;6;n;|l474<728qC?8o4}o506?6=9rB89l5rn617>5<6sA9>m6sa72794?7|@:?j7p`83783>4}O;51zJ01d=zf>k;6=4>{I16e>{i?h31<7?tH27b?xh0ih0;6;n;|l4e`<728qC?8o4}o5bb?6=9rB89l5rn6`3>5<6sA9>m6sa7c194?7|@:?j7p`8b583>4}O;51zJ01d=zf>hi6=4>{I16e>{iihh1<7?tH27b?xhfij0;6;n;|lbf5<728qC?8o4}oca5?6=9rB89l5rn``1>5<6sA9>m6saac194?7|@:?j7p`nb583>4}O;51zJ01d=zfhho6=4>{I16e>{iiko1<7?tH27b?xhfjo0;6vF<5`9~jde5290:wE=:a:mef5=83;pD>;n;|lbg1<728qC?8o4}oc`1?6=9rB89l5rn`a5>5<6sA9>m6saab594?7|@:?j7p`nc983>4}O;51zJ01d=zfhin6=4>{I16e>{iijl1<7;n;|lb`1<728qC?8o4}ocg1?6=9rB89l5rn`f5>5<6sA9>m6saae594?7|@:?j7p`nd983>4}O;51zJ01d=zfhnn6=4>{I16e>{iiml1<7vF<5`9~jdc5290:wE=:a:me`2=83;pD>;n;|lba0<728qC?8o4}ocf2?6=9rB89l5rn`g4>5<6sA9>m6saad:94?7|@:?j7p`ne883>4}O;51zJ01d=zfhom6=4>{I16e>{iio:1<7?tH27b?xhfn80;6;n;|lbb3<728qC?8o4}oce5<6sA9>m6saagc94?7|@:?j7p`nfc83>4}O;7>51zJ01d=zfk:86=4>{I16e>{ij9>1<7?tH27b?xhe8<0;63:1=vF<5`9~jg60290:wE=:a:mf5>=83;pD>;n;|la4<<728qC?8o4}o`3e?6=9rB89l5rnc2a>5<6sA9>m6sab1a94?7|@:?j7p`m0e83>4}O;i:182M52i2wen<>50;3xL63f3tdi=<4?:0yK70g7>51zJ01d=zfk;86=4>{I16e>{ij8>1<7?tH27b?xhe9<0;63:1=vF<5`9~jg70290:wE=:a:mf4>=83;pD>;n;|la5<<728qC?8o4}o`2e?6=9rB89l5rnc3a>5<6sA9>m6sab0a94?7|@:?j7p`m1e83>4}O;e;295~N4=h1vbo?i:182M52i2wen?>50;3xL63f3tdi><4?:0yK70g7>51zJ01d=zfk886=4>{I16e>{ij;>1<7?tH27b?xhe:<0;63:1=vF<5`9~jg40290:wE=:a:mf7>=83;pD>;n;|la6<<728qC?8o4}o`1e?6=9rB89l5rnc0a>5<6sA9>m6sab3a94?7|@:?j7p`m2e83>4}O;:182M52i2wen><50;3xL63f3tdi?>4?:0yK70g51zJ01d=zfk9=6=4>{I16e>{ij:=1<7?tH27b?xhe;10;6;n;|la7f<728qC?8o4}o`0`?6=9rB89l5rnc1f>5<6sA9>m6sab2d94?7|@:?j7p`m4283>4}O;51zJ01d=zfk>i6=4>{I16e>{ij=i1<7?tH27b?xhe;n;|la14<728qC?8o4}o`66?6=9rB89l5rnc76>5<6sA9>m6sab4494?7|@:?j7p`m5683>4}O;o7>51zJ01d=zfk?o6=4>{I16e>{ij90;6;n;|la21<728qC?8o4}o`51?6=9rB89l5rnc45>5<6sA9>m6sab7594?7|@:?j7p`m6983>4}O;51zJ01d=zfmkn6=4>{I16e>{ilhl1<7?tH27b?xhcj90;6;n;|lgf3<728qC?8o4}ofa3?6=9rB89l5rne`;>5<6sA9>m6sadc;94?7|@:?j7p`kb`83>4}O;51zJ01d=zfmi;6=4>{I16e>{ilj;1<7?tH27b?xhck;0;6;n;|lgg3<728qC?8o4}of`3?6=9rB89l5rnea;>5<6sA9>m6sadb;94?7|@:?j7p`kc`83>4}O;52zJ01d=zfmn;6=4={I16e>{ilm;1<7vF<5`9~jab32909wE=:a:m`a3=838pD>;n;|lg`3<72;qC?8o4}ofg3?6=:rB89l5rnef;>5<5sA9>m6sade;94?4|@:?j7p`kd`83>7}O;52zJ01d=zfmo;6=4={I16e>{ill;1<7vF<5`9~jac3290:wE=:a:m``3=838pD>;n;|lga3<72;qC?8o4}off3?6=:rB89l5rneg;>5<5sA9>m6sadd;94?4|@:?j7p`ke`83>7}O;52zJ01d=zfml;6=4={I16e>{ilo;1<7?tH27b?xhcn:0;6;n;|lgb2<728qC?8o4}ofe5<6sA9>m6sadgc94?7|@:?j7p`kfc83>4}O;51zJ01d=zfl::6=4>{I16e>{im981<7;n;|lf42<728qC?8o4}og3=?6=9rB89l5rnd2b>5<6sA9>m6sae1`94?4|@:?j7p`j0b83>7}O;j:181M52i2wei=h50;0xL63f3tdn==4?:0yK70g7>51zJ01d=zfl;86=4>{I16e>{im8>1<7?tH27b?xhb9<0;63:1=vF<5`9~j`70290:wE=:a:ma4>=83;pD>;n;|lf5d<728qC?8o4}|~DEE|9;km648:2`d3bxFGJr:vLM^t}AB \ No newline at end of file +$5a:4=792;:>6?=:NWWTPR=ZH6:=7>111922?OIX\^1M1<<:9<24>462@D[YY4N<3395;733;91EC^ZT;C\BVD;::0:2k5<:HLSQQ49B8439=2K7=;0:;@>23;3G;97?0M169B877=97?0M1<>>49B8749?2K7>>47>49B8759<2K7>3:4A=1=0>G;<7>0M1;14:C?2;2Pmtz345668m1J@H>Pmtz34565l2KGI=Qbuy23455c3HFNGKM9Ufyu>?015g?DJB8Vg~t=>?09f8EIC7Wds<=>?959BH@7d3HFN=RQ`r1235a=FDL;TSb|?01320>GIL;>0MCJ<4:CM@1286OAD668EKB?<2KX~kj4ARpe[FjlW@D]=:5NSsd\J5eGTzoUecy>?003:?DYA[K6:<374A^DPF976601JSK]M<00==>GXNZH7=>06;@]EWG:6<730MRH\B=36:<=FWOYI0<819:C\BVD;9>427LQISC>2<;?99B[CUE48427LQISC>14;?>89B[CUE4;85n6OPFR@?66<6601JSK]M<31=<>GXNZH7>364A^DPF95902KTJ^L34?:8EZ@TJ5?546OPFR@?2;>GXNZHT==QFBTDg?DYA[KU:=RGMUGf8EZ@TJV;9SDLZFe9B[CUEW89TEO[Id:C\BVDX9=UBNXHk;@]EWGY6=VCIYKj4A^DPFZ71W@H^Ji5N_GQA[41XAK_Mh6OPFR@\5=YNJ\Lo7LQISC]2=ZOE]Oi0MRH\B^3\MGSAl2KTJ^LP21]JFP@c3HUM_OQ=1^KAQCbGXNZHT>RGMUGa8EZ@TJV9TEO[Ic:C\BVDXGh}}ENi6O`uuMF[hs89:;j6O`uuMF[hs89:;=k5NotvLAZkrp9:;;f:ClqqIBWds<=>?5g9BkprHMVg~t=>?0768FDES=2HJOY?:;CC@P7>E58DOE:6M=5LGMf>E5=DOESBLZF29@EF[Vg~t=>?02a8GDUXe|r;<=>;c:ABWZkrp9:;<8m4C@Q\ip~789:=o6MNS^ov|5678>i0OL]Pmtz3456?:2IN?6MCK29@HW5>79GkprHMm1Ocxz@E^mq4567m2NdyyAJ_np34566m2NdyyAJ_np34565m2NdyyAJ_np34564m2NdyyAJ_np34563m2NdyyAJ_np34562m2NdyyAJ_np3456192O>7HHnlm48ACgkd8<0IKocl378AV:76<1N_1?15:GP87823LY7?3:4ER]3a>CTW9U;Sca{0123b>CTW9U;Sca{01235a=B[V:TSl`k0123a>CTW9UTmcj?0122a>CTW9UTmcj?0121a>CTW9UTmcj?0120a>CTW9UTmcj?0127a>CTW9UTmcj?0126a>CTW9UTmcj?01250>CTW8n0I^Q>_^cm`5678l1N_R?P_`lg45679l1N_R?P_`lg4567:=1N_RCTW:UTmcj?012f?@UX;VUjbi>?013f?@UX;VUjbi>?0102b>CTWDkohRQnde2345YJpfxT^h}zlu>2:4`?003:?@UXEhnoSRokd1234ZYffm:;<?0^]bja6788;o7H]PQ^3\kw6789o0I^Q^_0]lv56788l0I^Q^_0]lv56788:m7H]PQ^3\kw6789;:i6K\_P]2[jt789:9i6K\_P]2[jt789:8i6K\_P]2[jt789:?i6K\_P]2[jt789:>i6K\_P]2[jt789:=i6K\_P]2[jt789:Cu=2Oy0=0:;Dp?5;2O53@:97D?=;H01?L553@>97D;=;H41?L133@KH_85FABQ20>OE]O30ECG[SUCWA6=NF_80EB;4INC@Pa=NGHI_S`{w0123a>OHIJ^Taxv?0122b>OHIJ^Taxv?01224c=NGHI_S`{w012354`i6G@ABV\ip~789:=i6G@ABV\ip~789:OHJLXYi6G@BDPQ[kis89::j6G@BDPQ[kis89::=95FOCO7?LIE[?1BCO[IE59JKFU23@EH_<84INAP550;4INAP60=NGJY896G@CR66?LID[h5FOEMMAZiu89:;?h5FOEMMAZiu89:;8h5FOEMMAZiu89:;9h5FOEMMAZiu89:;:h5FOEMMAZiu89:;;h5FOEMMAZiu89:;455FOEMMAlh03@EOCCK}4:KLH5eOHD9Ufyu>?013f?LIK8Vg~t=>?002f?LIK8Vg~t=>?003f?LIK8Vg~t=>?000f?LIK8Vg~t=>?001f?LIK8Vg~t=>?006f?LIK8Vg~t=>?007g?LIK8Vg~t=>?03f8MJJ7Wds<=>?3e9JKI6Xe|r;<=>;d:KLH5Yj}q:;<=;k;HMO4Zkrp9:;<;j4INN3[hs89:;;i5FOM2\ip~789:3h6G@L1]nq}67893?7DAC1b9JKI7Xff~;<=?k;HMO5Zhh|9:;=<;4INNGW<=NGGZYiljv109JKKVUmhnrSRa}012357=NGGZYiljv_^mq45679880EB@_Rdcg}ZYhz9:;?01126>OHFYXnmiwP_np345639;1BCC^]e`fz[Ziu89:;9;5FOQU@W<=NGY]Yiljv109JKUQUmhnrSRa}012357=NGY]Yiljv_^mq45679880EB^XRdcg}ZYhz9:;?01126>OHX^XnmiwP_np34563?2CD^I\JQg9JKWBUMXUjbi>?0133?LIUL[OZSl`k01235461:KLVATBYVg~t=>?00225>OHZMXN]Rczx123447692CD^I\JQ^ov|567888:=6G@REPFUZkrp9:;<<=>1:KLVATBYVg~t=>?00624>OHZMXN]Rczx12347773@EYH_K^_lw{4567;8:0EB\KRDS\ip~789:?==5FOSFQATYj}q:;<=;>0:KLVATBYVg~t=>?0733?LIUL[OZS`{w0123346k4INPT5Zgil9:;<9k4INPT5Zgil9:;<8k4INPT5Zgil9:;<;k4INPT5Zgil9:;<::4INQ3g>OH[9Ujbi>?01f8MJU7Whdo<=>?1e9JKV6Xign;<=>=d:KLW5Yffm:;<==l;HMP4Zkrp9:;Pmtz34562l2CD_=Qbuy23450c3@EXOH[VLXARHId0a8MJUXNZGTJKj=f:KLWZ@TEVLMh?#Fn028MJUXNZGTJKj=-Hl255=NGZUM_@QIFe0.Mk4682CD_RH\M^DE`7+Nf:i0EB]PFRO\BCb4n2CD_RH\M^DE`6+Nf8:0EB]PFRO\BCb4%@d:==5FOR]EWHYANm9&Ec<>0:KLWZ@TEVLMh>#Fn233?LITWOYFSKHk3,Km0460:KLWZ@TEVLMh;#Fn533?LITWOYFSKHk6,Km1f=NGZUM_@QIFe5e?LITWOYFSKHk7,Km55=NGZUM_@QIFe5.Mk7682CD_RH\M^DE`2+Nf;;;7DA\_GQN[C@c?$Ce?<>4INQ\BVKXNOnPmtz3456c3@E^OH]9Ufyu>?016g?LIR8Vg~t=>?04f8MJS7Wds<=>?6e9JKP6Xe|r;<=>8d:KLQ5Yj}q:;<=6k;HMV4Zkrp9:;<4:4INW2g>OH]8Uecy>?00f8MJS6Wge<=>>149JKPBT?2CD[_\JQg9JKRTUMXUfyu>?0133?LIPZ[OZS`{w0123547>2038MJQUZL[Taxv?01227474INUQV@WXe|r;<=>=119JKRTUMXUfyu>?01124>OH_[XN]Rczx12341773@E\^_K^_lw{4567=8:0EBY]RDS\ip~789:===5FOVPQATYj}q:;<=9>0:KLSWTBYVg~t=>?0933?LIPZ[OZS`{w0123===NG^XYI\|;;HQWG0=N[]I:n6G\_GQN[C@c9l1B_RH\M^DE`4+Nfo1B_RH\M^DE`4+Nf8l0E^QISL]EBa7*Ag8i7D]PFRO\BCb5m2CXSK]B_GDg6(Oin2CXSK]B_GDg6(Oi9o1B_RH\M^DE`7+Nf;l0E^QISL]EBa4*Ag9m7D]PFRO\BCb5%@d?j6G\_GQN[C@c:$Ce9o5FS^DPIZIr|9i0E^QISL]Lqq66k2CXSK]B_Nww47b3:d=K\`gn~1??>`9OPlkbz5;:245CThofv97912F_e`k}<3<:?IRnelx7?374LUknaw:3601GXdcjr=7==>JSadoy0;06;MVji`t;?730@Ygbes>;:<=K\`gn~1718:NWmhcu\J;87AZfmdpWGZejxVoz<=>?159OPlkbz]ITo`~Pep234576<2F_e`k}TB]`iuYby9:;?01720>JSadoyXNQlmq]fu5678?20@Ygbes]355=K\`gn~R>Pmtz3456692F_e`k}_1]nq}6789;:=6B[ilgq[5Yj}q:;<=<>1:NWmhcuW9Ufyu>?01125>JSadoyS=Qbuy23452692F_e`k}_1]nq}6789?:=6B[ilgq[5Yj}q:;<=8>1:NWmhcuW9Ufyu>?015;?IRnelxT=45CThofvZ779;1GXdcjr^33[Zgil9:;<<=4LUknawY68VUjbi>?01327>JSadoyS<>P_`lg4567:8;0@Ygbes]24ZYhz9:;<<<4LUknawY68VUd~=>?00;8HQojm{U:=<<4LUknawY69VUjbi>?0130?IRnelxT=?00221>JSadoyS?00021>JSadoyS?00621>JSadoyS?0337?IRnelxT=Rmbp^gr4567;8>0@Ygbes]2[fkwWl{;<=>;159OPlkbzV;To`~Pep234536<2F_e`k}_0]`iuYby9:;<;?;;MVji`tX9Vif|Rk~0123342_bos[`w789:3=95CThofvZ7XkdzTi|>?01;24>JSadoyS;MVji`tX9Vg~t=>?0232?IRnelxT=Rczx12341763E^bah|P1^ov|5678<;:7AZfmdp\5Zkrp9:;<;?>;MVji`tX9Vg~t=>?0632?IRnelxT=Rczx1234=773E^bah|P1^llp56798;0@Ygbes]2[kis89::=>2038HQojm{U:Sca{0122747_omw4566<8;0@Ygbes]2[kis89::9>699OPlkbzV8:=6B[ilgq[7YXign;<=>>2:NWmhcuW;UTmcj?012257=K\`gn~RJSadoyS>QPaof34566:2F_e`k}_2]\ekb789::=?5CThofvZ5XWhdo<=>?299OPlkbzV>:=6B[ilgq[1YXign;<=>>2:NWmhcuW=UTmcj?012257=K\`gn~R:P_`lg4567:11GXdcjr^725>JSadoyS8QPaof34566:2F_e`k}_4]\ekb789::=?5CThofvZ3XWhdo<=>?299OPlkbzV<:=6B[ilgq[3YXign;<=>>2:NWmhcuW?UTmcj?012257=K\`gn~R8P_`lg4567:11GXdcjr^525>JSadoyS:QPaof34566:2F_e`k}_6]\ekb789::=?5CThofvZ1XWhdo<=>?299OPlkbzV2:=6B[ilgq[=YXign;<=>>2:NWmhcuW1UTmcj?012257=K\`gn~R6P_`lg4567:11GXdcjr^;25>JSadoyS4QPaof34566:2F_e`k}_8]\ekb789::=?5CThofvZ?XWhdo<=>?249Okdb6m2Fdmi?P_`lg45679j1FmijP_`fg4567WDrd~R\jstnw8486l2GjhiQPaef3457XEqeyS_k|umv?5586l2GjhiQPaef3457XEqeyS_k|umv?5486k2GjhiQPaef3457XEqeyS_k|umv?6;7d3DkohRQnde2344YJpfxT^h}zlu>0:4eKflmUTmij?013\I}iuW[oxyaz36?3`?HgclVUjhi>?00]N|jtXZly~`y28>0a8IdbcWVkoh=>?1^O{kwYUmzgx1611b9NeabXWhno<=>>_LzlvZTb{|f040>6:Ob`aYXimn;<=?P_`lg45679>1FmijP_`fg4566WVkeh=>?0035?HgclVUjhi>?00]\ekb789;:;6Cnde]\eab789;TSl`k0122540?3058IdbcWVkoh=>?1^]bja678:;::6Cnde]\eab789;TSl`k012752=JimnTSljk0122[Zgil9:;8:1048IdbcWVkoh=>?1^]bja678?;<7@okd^]b`a6788UTmcj?014253=JimnTSljk0122[Zgil9:;;<94M`fg[Zgcl9:;=RQnne234276>2GjhiQPaef3457XWhdo<=>7169NeabXWhno<=>>_^cm`56708;=7@okd^]b`a6788UTmcj?01;23>KflmUTmij?013\[dhc89:2=?5A029M441;N68KGSA=2EIYKK:;NF@WwbIikli0Ccmj_^mq4567l2EeohQPos23457c3FdhiRQ`r123477>30227ZJ33YKYXl5_IO]AQVOCPk1[ECQMURLBI@5?013254=VgZXnmiwPos234575981Zc^\jae{\kw6789;8==5^oRPfeaXg{:;<=<>0:SlWWcflpUd~=>?0233?TiTZlkouRa}0123046?8028UjUUmhnrSb|?012:1>TF494=7_O311<;?WG;980;2;5]A=32:0=UI5;596\N<3<6?WG;;7<0^L2<>048VD:46;<0^L2<>248VD:46=?0^L2;>49QE939=2XJ0;0:;SC?3;3TFE<1YM@M\7:PBIFU7P11YM@M\0Y3;?WGJKZ:S>55]ALAP4]513[KFO^?:;SCNAKcTFELDTc>?013g?WGJMGUd~=>?03:8VDKUmhnrj6\NMSgb`|Yhz9:;<<>4R@OQadb~Wfx;<=>>119QEHTbimsTc>?01024>TFE[ojhtQ`r12346773[KF^hoky^mq4567<8:0^LC]e`fz[jt789:>46\NMRvbvq?POCWEg>TFW9Ufyu>?01f8VDY7Wds<=>?1e9QEZ6Xe|r;<=>=d:PB[5Yj}q:;<==k;SC\4Zkrp9:;<9o4R@]24ZIE]On0^LQ>0^ov|5678l1YMR??_lw{45679l1YMR??_lw{4567:l1YMR??_lw{4567;l1YMR??_lw{4567_N@VB<=UIV;TCO[Ic:PB[4Yj}q:;<=j4R@]2[hs89:;=i5]A^3\ip~789:9h6\N_0]nq}67899o7_OP1^ov|5678=30^LQ=_N@VBf=UIV8Taxv?012g?WGX:Vg~t=>?00f8VDY5Wds<=>?2e9QEZ4Xe|r;<=>Taxv?012g?WGX?00f8VDY3Wds<=>?2e9QEZ2Xe|r;<=>S`{w01237a=UIV?Taxv?0127=>TFW?UDNXHl;SC\2Zkrp9:;TFW>Ufyu>?01f8VDY0Wds<=>?1e9QEZ1Xe|r;<=>=d:PB[2Yj}q:;<==k;SC\3Zkrp9:;<974R@];[JDRNj1YMR6Pmtz3456c3[KT4Rczx12344bTFW1Ufyu>?016:?WGX1VEIYKm4R@]:[hs89:;h6\N_8]nq}6789;o7_OP9^ov|5678;n0^LQ6_lw{4567;m1YMR7Pmtz34563=2XDAN]9;SMNGV713[EFO^<9;SMNGV513[EFO^:8;SMNGV2_02XDAN];X0:8VJKD[=R946\@MBQ7\6>2XDAN]96:PLIFU0j2XXSK]B_GDg5g=U[VLXARHId3`8VVYA[DUMJi=m;SQ\BVKXNOn?i6\\_GQN[C@c<$Cej6\\_GQN[C@c<$Ce=k5]S^DPIZ@Al='Bb?h4RR]EWHYANm>&Ec=i;SQ\BVKXNOn?!D`;b:PP[CUJWOLo9o5]S^DPIZ@Al?o0^^QISL]EBa0*Agl0^^QISL]EBa0*Ag;m7_]PFRO\BCb1%@d9j6\\_GQN[C@c>$Ce?k5]S^DPIZ@Al?'Bb9h4RR]EWHYANm<&Ec;i;SQ\BVKXNOn=!D`9f:PP[CUJWOLo: Ga7c9QWZ@TEVLMh:k4RR]EWHYANm=&Ech4RR]EWHYANm=&Ec?m;SQ\BVKXNOn3i6\\_GQN[C@c0$Cej6\\_GQN[C@c0$Ce=k5]S^DPIZ@Al1'Bb?h4RR]EWHYANm2&Ec=i;SQ\BVKXNOn3!D`;5:Pfea13[ojht?9;Sgb`|413[ojht=9;Sgb`|213[ojht;9;Sgb`|013[ojht98;Sge@jhb991YikJ`nd]\ekb789::=6\jfEmmaZYffm:;<=?i;Sge@jhbWVey<=>?119QacBhflUTc>?01324>TbnMeeiRQ`r12347773[omHb`j_^mq4567;8:0^hhKoog\[jt789:?==5]egFlj`YXg{:;<=;n;SgeBwijZZ:i7_kiFsmnVV66j2XnjK|`mSQ36g=UmoLyc`\\02`8V``AzfgY_=:9;SgeV`w?3[om^hFn038V``UmxUha}Qjq1234442:PfbWcvWjg{Sh?012057=UmoXn}Rmbp^gr4567<880^hh]ep]`iuYby9:;<8k4RddQatYig}:;<2:PfbPt`Wjg{Sh?012057=Umo_ykRmbp^gr4567<880^hhZrf]`iuYby9:;<884Rdqvhq0<[F_YOH;4SV>2:0=T_585;6]X<282:0=T_59586]jnua8W`hsWVey<=>?d:QfjqYXg{:;<=?k;RgmpZYhz9:;Uil[KFO^Y]1b9PjaTFEJY\^<>l;RlgVDKD[^X:=n5\nePBIFUPZ88h7^`kR@O@WRT6;j1Xbi\NMBQTV42d3Zdo^LCLSVP21f=TfmXJAN]XR04a?VhcZHGH_Z\=b:Qm`WGJKZ]Y?o5\nePBIFUPZ=h0_cj]ALAPSW3e3Zdo^LCLSVP5f>Uil[KFO^Y]7c9PjaTFEJY\^5l4SofQEHET_[337^`kRNO@W<=TfmXDAN]>a:Qm`WIJKZ;;m6]adSMNGV76i2Yeh_ABCR31e>Uil[EFO^?74SofQKHET<01Xbi\@MBQ6=>Uil[EFO^86;RlgVJKD[>30_cj]OLAP<<=TfmXDAN]64:VQQ@d<\ZUM_@QIFe3f?QUXNZGTJKj>-Hle?QUXNZGTJKj>-Hl2b>RTWOYFSKHk1,Km6g=S[VLXARHId3g8PVYA[DUMJi<"Iod8PVYA[DUMJi<"Io324>RTWOYFSKHk2,Km55`<\ZUM_@QIFe0.Mk4a3]YTJ^CPFGf1)Lh4n2^XSK]B_GDg6(Oi>99Wmhcu4;437Ygbes>0:2=SadoyS=??;UknawY7WVkeh=>?0038PlkbzV:TSl`k0123547<\`gn~R>P_`lg4567:8;0Xdcjr^2\[dhc89:;?:5[ilgq[4773]cfiQ>_^cm`56788;0Xdcjr^3\[dhc89:;=?269WmhcuW;;;7Ygbes]1[Zgil9:;<?1038PlkbzV8TSl`k012362=SadoyS>??;UknawY4WVkeh=>?0038PlkbzV9TSl`k0123547<\`gn~R=P_`lg4567:;80Xdcjr^Ob`aYXimn;<=>PMymq[Wct}e~7=3<=;UknawYJimnTSljk0123[H~hzVXnxb{<3<16>RnelxTAljk_^cg`5678VGscQ]erwop9599j1_e`k}_Lcg`ZYflm:;<=QPaof34566l2^bah|PM`fg[Zgcl9:;3?>;UknawYXimn;<=>33?f8PlkbzVkeh=>?0d9WmhcuWhdo<=>?1b9VW@TX^@YBNAK<;WA@=>PNM^U_U]K;;WRBvf=QXHxTbbz?013g?SVFzVddx=>?10`8RlbUIDIX[_?l;WkgVDKD[^X:Pnl[KFO^Y]5c9UmaTFEJY\^;l4VhfQEHET_[=i7[gkR@O@WRT?j2\bh_OBCRUQ=0=P[5:596Y\<0<6?RU;:7?0[^2<>59TWZ6c3^YT?e:UP[7YXign;<=>>4:UP[6b<_ZU8SRoad1234`=P[V9TSl`k012354`<_ZUFmijP_`fg4567WDrd~R\jstnw8486n2]XS@okd^]b`a6789UFtb|PRdqvhq:568l0[^QBaef\[dbc89:;S@v`r^Pfwpjs4:4:56Y\_Lcg`ZYflm:;<=QPaof34566i2]XS@okd^]b`a6789UTmcj?01225<=P[VGjhiQPaef3456XWhdo<=>>1`9TWZKflmUTmij?012\[dhc89::=h5XS^]b`a67896:2h5XS^]b`a6789692h5XS^]b`a6789682;5XasrPA4b4Xeo\Idlhz_oydaa119[`hYJageyZh||inl5?]i;87;87U}{b:]b`a67896:2n5Paef3457;994h7Rokd12359766k1Tmij?013?6;d><22o5Paef3457;>7h0Sljk0122828e3Vkoh=>?1=:=f>Yflm:;<<26>29`jq4?2038jDBh}}FNa:lB@jssDL;:i6`NDnwwH@7XGK_M?6`NS69mEVYA[Ki0bL]PFR@\MGSA?2dJ_RG@Be9mEVYNGKUDNXHJa:lBWZOHJf:6`NSnww=>hF[fSC>n;oCPkprXF9;n7cO\otv\jjr789;m7cO\otv\jjr789;:==5aARmvpZhh|9:;=<>i;oCPkprXff~;<=?=f:lBWjssWge<=>>3g9mEVir|Vddx=>?15d8jDUh}}Uecy>?007e?kGTg|~Tbbz?0135b>hF[fSca{01223c=iIZe~xR``t1235=`?01325>hEM[XTJ^LP_np34576:2dII_\PFR@\[jt789;:=?5aBDPQ[CUEWVey<=>>2008jGCUZVLXNRQ`r12356??0133?kDUW@EIS`{w0123546?5028jGTXAFHTaxv?012555=iJ[UBCOQbuy2345133gIJ_45aC@Q\KGSAk2dHM^Qaou2344bj;oFjjICXg{:;<=?9;oFjjJC03gNbbBK>b:lGmkIBWFH^Jh5aDhlLAZgil9:;?4g9m@lhHMVkeh=>?0458jAir|FO37cJ`uuMF5f=iLfCHQ@BTDe?kBh}}ENSl`k012255=iLfCHQnne23447682dOcxz@E^cm`5679;;;7cJ`uuMF[dhc89::?85aIQN1e>hNXE8TEO[I6:lJTI4u<2dGH^64nMFP[CUEl2dGH^QISC]JFP@?3gFO_RG@Bd9mHAUXAFHTCO[IEc9mHAUXAFHdyym4nMFP[dhc89:9h6`CDR]bja678;;o7cBKS^cm`567:;n0bAJ\_`lg4565;m1e@I]Paof34543l2dGH^Qnne23473c3gFO_Road12363bhKLZUjbi>?03;`?kJC[Vddx=>?1e9mHAUXff~;<=?>4:lOS@31:lLr`tkipUecy>?00325>hH~lxgmtQaou23444692dDzh|cax]mkq67889:=6`@vdpoe|Yig}:;<<:8;oPBIIQBk2dYM@BXE^MAQC11e^BCLS0`8jWIJKZUDNXH9;oPLIRC03gXDAZK>b:lQKHQBWFH^J95aUEQ;?kSC[VLXNi5aUEQ\BVDXAK_M46`ZDR]JKGc?1e9mQAUXign;<=?>d:lV@VYffm:;<<j4nTFP[dhc89::8i5aUEQ\ekb789;>h6`ZDR]bja6788n0bXJ\_`lg45660m1eYI]Paof3457>k2d^H^Qaou2344b7c[XE0a8jPQBWhdo<=>?d:lVS@Yffm:;<=?k;oWTAZgil9:;hQEHUTc>?011g?kPJIVUd~=>?05f8jSKFWVey<=>?5e9mRHGXWfx;<=>9d:lUIDYXg{:;<=9k;oTNEZYhz9:;<5m4nWOB[dhc89:;h6`YM@]bja6789;o7cXBA^cm`5678;n0b[CN_`lg4567;m1eZ@OPaof34563l2d]ALQnne2345333g\[M55aVQC\BVDc3g\[MRH\B^MAQC>i==7512g33>b4lj0;6<4>{R:e>6c12;45b8:1}X>:m:182>4<4=mqX4k43d20?!5e;3;o<6*=6e87g==e;mi1<7:m:469ef}O;k;0(>6i:2f`?_112:q96849:|&2gc<4?k1 85<50;9j3d<722e???4?::k744<722e?nn4?::k7<`<722e?4l4?::m4f?6=3f>5;h614?6=3f><:7>5;n61`?6=3f>9?7>5;h;f>5<>o003:17b=i7;29?j5al3:17d::4;29?l25:3:17b:77;29?j24k3:17b::6;29?j2f?3:17b:;0;29?j2313:17d:l5;29?j2603:17b<74;29 70a2;287c<9e;28?j4?:3:1(?8i:3:0?k41m3;07b<61;29 70a2;287c<9e;08?j4>83:1(?8i:3:0?k41m3907b<7f;29 70a2;287c<9e;68?j4?m3:1(?8i:3:0?k41m3?07b<7d;29 70a2;287c<9e;48?j4?k3:1(?8i:3:0?k41m3=07b<77;29 70a2;287c<9e;:8?j4?>3:1(?8i:3:0?k41m3307b<75;29 70a2;287c<9e;c8?j4?93:1(?8i:3:0?k41m3h07d:m:18'63`=;k50:9j0<<72-8=j7:n;o05a?7<3`>36=4+27d90d=i:?o1>65f4683>!41n3>j7c<9e;18?l22290/>;h54`9m63c=<21b894?:%05b?2f3g8=i7;4;h60>5<#:?l18l5a27g92>=n<;0;6)<9f;6b?k41m3=07d:>:18'63`=;k58:9j05<72-8=j7:n;o05a??<3`9m6=4+27d90d=i:?o1m65f3d83>!41n3>j7c<9e;`8?l5c290/>;h54`9m63c=k21b?n4?:%05b?2f3g8=i7j4;h70>5<#:?l18l5a27g9a>=n=;0;6)<9f;6b?k41m3l07d;>:18'63`=;k51198m06=83.9:k4;a:l12`<6921b8k4?:%05b?2f3g8=i7?=;:k7a?6=,;45<3`>o6=4+27d90d=i:?o1=954i5a94?"5>o0?m6`=6d821>=n!41n39?i6`=6d83?>o4h5>l0:76g<4b83>!41n39?i6`=6d81?>d4m90;6<4?:1y'7=`=m91C?ih4H2`2?jba2900qo=j1;295?6=8r.84k4e2900c?hj:188k4272900c?7;:188k63f2900e:=50;9j0=4=831d?;l50;9l55g=831b8n:50;9l6<5=831d>k750;9lad<722c:;7>5$34e>40o0::6`=6d82?>o6<3:1(?8i:048j70b2;10e<<50;&12c<6>2d9:h4<;:k24?6=,;1=2d9:h49;:kg>5<#:?l1=;5a27g93>=nk3:1(?8i:048j70b2110eo4?:%05b?713g8=i774;hc94?"5>o0::6`=6d8b?>o>290/>;h5179m63c=j21b47>5$34e>40!41n3;=7c<9e;f8?l7a290/>;h5179m63c=m21b=h4?:%05b?713g8=i7h4;c1f4?6=93:1N4lo1C?o?4oed94?=zj;<:6=4<:183!5?n3nj7E=kf:J0f4=n?>0;66g63;29?j4093:17pl;7183>0<729q/?5h5db9K7a`<@:h:7)kk:19j5;h54>5<6=4=:183!5?n3on7E=kf:J0f4=nm80;66a=5d83>>{e:0<1<7<50;2x 6>a2lo0D>ji;I1a5>ob93:17b<:e;29?xd51>0;6>4?:1y'7=`=;81C?ih4H2`2?lc52900eh=50;9l60c=831vn?77:180>5<7s-93j7=>;I1gb>N4j81bi?4?::kf7?6=3f8>i7>5;|`126<72:0;6=u+39d974=O;ml0D>l>;hg1>5<>{e;9:1<7<50;2x 6>a2lo0D>ji;I1a5>ob93:17b<:e;29?xd4880;6?4?:1y'7=`=ml1C?ih4H2`2?lc62900c?;j:188yg57:3:197>50z&04?::kf0?6=3`o>6=44o37f>5<5;hg;>5<>{e<>91<7<50;2x 6>a2lo0D>ji;I1a5>ob93:17b<:e;29?xd3?=0;6>4?:1y'7=`=:?>0D>ji;I1a5>ob:3:17dk<:188k73b2900qo:85;297?6=8r.84k4<1:J0`c=O;k;0eh<50;9ja6<722e99h4?::a7g1=83?1<7>t$2:e>65<@:nm7E=m1:kf6?6=3`o86=44id694?=nm<0;66a=5d83>>{e;k31<7=50;2x 6>a2:;0D>ji;I1a5>ob:3:17dk<:188k73b2900qo=ma;297?6=8r.84k4=659K7a`<@:h:7dk=:188m`5=831d>8k50;9~f6de29096=4?{%1;b?cb3A9oj6Ft$2:e>`c<@:nm7E=m1:kf5?6=3f8>i7>5;|`0fa<72;0;6=u+39d9a`=O;ml0D>l>;hg2>5<N4lo1C?o?4id094?=nm:0;66a=5d83>>{e;kl1<7=50;2x 6>a2:;0D>ji;I1a5>ob:3:17dk<:188k73b2900qo=l0;297?6=8r.84k4<1:J0`c=O;k;0eh<50;9ja6<722e99h4?::a7g>=83>1<7>t$2:e>64<@:nm7E=m1:kf6?6=3`o86=44id694?=h:5<5290;w)=7f;gf?M5cn2B8n<5fe083>>i5=l0;66sm2g`94?4=83:p(>6i:dg8L6ba3A9i=6gj1;29?j42m3:17pl=fb83>7<729q/?5h5ed9K7a`<@:h:7dk>:188k73b2900qo><:180>5<7s-93j7<94:J0`c=O;k;0eh<50;9ja6<722e99h4?::a752=8391<7>t$2:e>67<@:nm7E=m1:kf6?6=3`o86=44o37f>5<54;294~"40o08>6F>ob<3:17b<:e;29?xd4>j0;684?:1y'7=`=;:1C?ih4H2`2?lc52900eh=50;9ja1<722cn97>5;n06a?6=3th8;>4?:283>5}#;1l1?<5G3ed8L6d63`o96=44id194?=h:5<5290;w)=7f;gf?M5cn2B8n<5fe083>>i5=l0;66sm36794?4=83:p(>6i:dg8L6ba3A9i=6gj1;29?j42m3:17pl<7783>7<729q/?5h5ed9K7a`<@:h:7dk>:188k73b2900qo=87;296?6=8r.84k4je:J0`c=O;k;0eh?50;9l60c=831vn>97:181>5<7s-93j7kj;I1gb>N4j81bi<4?::m11`<722wi?:750;094?6|,:2m6hk4H2fe?M5e92cn=7>5;n06a?6=3th8;l4?:383>5}#;1l1ih5G3ed8L6d63`o:6=44o37f>5<52;294~"40o0ni6F>i5=l0;66sm37d94?>=83:p(>6i:278L6ba3A9i=6gj2;29?lc42900eh:50;9ja0<722cn:7>5;hg4>5<6<729q/?5h5309K7a`<@:h:7dk=:188m`5=831d>8k50;9~f615290?6=4?{%1;b?553A9oj6F5;hg7>5<N4lo1C?o?4id094?=nm:0;66gj4;29?lc22900eh850;9ja2<722cn47>5;hg:>5<l>;hg1>5<8::181>5<7s-93j7kj;I1gb>N4j81bi<4?::m11`<722wi?;850;094?6|,:2m6hk4H2fe?M5e92cn=7>5;n06a?6=3th8::4?:383>5}#;1l1ih5G3ed8L6d63`o:6=44o37f>5<52;294~"40o0ni6F>{e;?k1<7<50;2x 6>a2lo0D>ji;I1a5>ob93:17b<:e;29?xd4=j0;6?4?:1y'7=`=ml1C?ih4H2`2?lc62900c?;j:188yg52l3:197>50z&04?::kf0?6=3`o>6=44o37f>5<i7>53;294~"40o08=6F>i5=l0;66sm34d94?5=83:p(>6i:347?M5cn2B8n<5fe383>>ob;3:17b<:e;29?xd4>90;694?:1y'7=`=;;1C?ih4H2`2?lc52900eh=50;9ja1<722e99h4?::a737=8391<7>t$2:e>67<@:nm7E=m1:kf6?6=3`o86=44o37f>5<7>53;294~"40o08=6F>i5=l0;66sm11`94?5=83:p(>6i:347?M5cn2B8n<5fe383>>ob;3:17b<:e;29?xd6990;6?4?:1y'7=`=ml1C?ih4H2`2?lc62900c?;j:188yg7693:197>50z&04?::kf0?6=3`o>6=44o37f>5<7>53;294~"40o08=6F>i5=l0;66sm10194?5=83:p(>6i:347?M5cn2B8n<5fe383>>ob;3:17b<:e;29?xd69=0;6?4?:1y'7=`=ml1C?ih4H2`2?lc62900c?;j:188yg76=3:1?7>50z&0=1C?ih4H2`2?lc52900eh=50;9l60c=831vn5<7s-93j7=>;I1gb>N4j81bi?4?::kf7?6=3f8>i7>5;|`252<72:0;6=u+39d974=O;ml0D>l>;hg1>5<0e83>6<729q/?5h52768L6ba3A9i=6gj2;29?lc42900c?;j:188yg77m3:1?7>50z&04?::m11`<722wi==h50;194?6|,:2m6?8;;I1gb>N4j81bi?4?::kf7?6=3f8>i7>5;|q4>5<3sW=01>8l:d0896032l801>8::d38yv>=83>pR55237a9a6=:;?>1i>523749a4=z{00;69uQ9:?03055z\b?85ei3o970=9c;g7?852j3o970?>3;g1?xue290=wSl4=2`b>`5<5:`3<5:<36h?4=030>`5720=m816?8l5e29~wa<72?qUh63jb;g1?85e?3o870=83;g1?852j3o?70?>1;g1?xub290=wSk4=d`9a6=:;k=1i?523619a6=:;n7k8;<325?c33ty:<7>56z\24>;bj3o>70=m7;g6?85003o:70=:b;g5?87693o>7p}>2;29=~X6:279jk4j1:?ff?c1349i57k=;<0ef?c6349<57k>;<16f?c?34;;n7k<;<326?c53ty:87>59z\20>;4890n=63jb;g4?85e13o8702;g0?xu6=3:15vP>5:?1=0;<137?c5349<<7k=;<15=?c634;:;7k=;|q23?6=1rT:;63=978f5>;4880n=63jb;g:?85ek3o:70e;297~X6m278:h4j2:?026>1i<523719a6=z{>91<7=t^61897042l90199<:d38yv>e2908wS6m;<055?1034><<798;|qfe?6=:rTnm63jb;06a>{t99k1<7i6s|11`94?4|58:i6?;j;<321?c53ty:55e=:j:d18yv77l3:1>v3>0e811`=:99l1i?5rs02f>5<5s4;;i7<:e:?24c50;0x94772;?n70?>5;g0?xu6980;6?u2103960c<58:n6h<4}r326?6=:r7:=?4=5d9>55e=m;1vi63>178f6>{t98>1<773b34;::7k<;|q250<72;q6=<;524g894702l90q~?>6;296~;69?099h5211a9a6=z{8;<6=4={<323?42m27:8l50;0x96c72ml0199?:9a8yv4193:1>v3=608134=:<>>1i?5rs341>5<5s48==77<;<057?42m2wx>4=50;0xZ7?4348247<:e:p6<2=839pR?7;;<0:3?42m279554j2:p6<3=838p1?7::37f?84>?3o97p}=9783>7}::0<1>8k4=3;4>`552z\1b<=:;9?1>8k4}r0ee?6=:r79jl4=5d9>755=m:1v?hm:18184aj38>i63<048f6>{t:oi1<773b349;87k=;|q1ba<72;q6>kj524g896632l90q~;=5e39>754=:>>:37f?857:3o>7p}<0283>7}:;991>8k4=226>`552z?041<5=l16?=;5e59~w63f2909wS=:a:?027<5=l1v>;m:181852j38>i63<638f6>{t;73b349==7k<;|q01a<72;q6?8j524g8963a2l90q~=:e;296~;4=l099h523729a1=z{:?m6=4={<16b?42m278:=4j2:p736=838p1>8?:37f?85193o97p}<6083>7}:;?;1>8k4=241>`552z?026<5=l16?;>5e29~w6032909w0=94;06a>;4=o0n>6s|37794?4|5:<>6?;j;<16`?c53ty8:;4?:3y>730=:;k:d18yv51?3:1>v3<66811`=:;5<5s49=47<:e:?01a2;?n70=:e;g1?xu4>h0;6?u237c960c<5:?n6h=4}r15f?6=:rT8:o52360960c52z?02f<5=l16?:<5e29~w60c2909w0=9d;06a>;4>o0n46s|37g94?4|5:73`=:9=:d68yv5083:1>v3<71811`=:;>;1i>5rs252>5<5s49<=7<:e:?03773`=m;1v>99:181850>38>i63<6g8f7>{t;>=1<773b349=j7k;;|q03=<72;q6?:6524g8960a2l?0q~=89;296~;4?0099h5237d9a2=z{:=j6=4={<14e?42m278:k4j6:p7g1=838p1>l8:37f?85e03o87p}7}:;k31>8k4=2a3>`452z?0fd<5=l16?ok5e39~w6de2909w0=mb;06a>;4jl0n?6s|3ca94?4|5:hh6?;j;<1ab?c53ty8ni4?:3y>7gb=:li:d18yv5em3:1>v35rs2`e>5<5s49ij7<:e:?0f=50;0x96e72;?n70=m8;g1?xu3?90;69u24629627<5;336h=4=3db>`7<58;;6h?4}r645?6=:r7?;=47a:?730<5=l1v99=:181820:38>i63;758f7>{t<>91<773b34><97k<;|q731<72;q68::524g891122l80q~:72;297~X30;168:>5929>024=m81v9m;:187[2d<278ni4j1:?01f1q)?lf;14f>o?j3:17b:6f;29?l2783:17b:7a;29?j20i3:17b:=d;29?l142900e>:<:188k10d2900e9;<:188m65>2900e9m;:188m1>c2900e?7<:188k1>02900e?h6:188k7g12900c98::188k7>3290/>;h52918j70b2910c?6=:18'63`=:190b?8j:098k7?6290/>;h52918j70b2;10c?7?:18'63`=:190b?8j:298k7>a290/>;h52918j70b2=10c?6j:18'63`=:190b?8j:498k7>c290/>;h52918j70b2?10c?6l:18'63`=:190b?8j:698k7>0290/>;h52918j70b2110c?69:18'63`=:190b?8j:898k7>2290/>;h52918j70b2h10c?6>:18'63`=:190b?8j:c98m42=83.9:k4>3:l12`<732c:>7>5$34e>45o0:?6`=6d81?>oa290/>;h5129m63c=;21bi7>5$34e>45!41n3;87c<9e;78?le=83.9:k4>3:l12`<132ci6=4+27d956=i:?o1;65fa;29 70a2890b?8j:998m<<72-8=j7?<;o05a??<3`21<7*=6g827>h5>l0j76g8:18'63`=9:1e>;k5b:9j5c<72-8=j7?<;o05a?e<3`;n6=4+27d956=i:?o1h65f1e83>!41n3;87c<9e;g8?l7d290/>;h5129m63c=n21b=o4?:%05b?743g8=i7??;:k2e?6=,;47<3`;26=4+27d956=i:?o1=?54i0:94?"5>o0:?6`=6d827>=n980;6)<9f;30?k41m3;?76l4<729q/?5h5e19K7a`<@:h:7bji:188yg41?3:197>50z&05;h:`>5<=1<75f9283>>i5?80;66sm28;94?3=83:p(>6i:ea8L6ba3A9i=6*jd;28m=g=831b4n4?::k43?6=3`386=44o352>5<55;294~"40o0oo6F>o0?3:17d7<:188k7162900qot$2:e>ag<@:nm7E=m1:&f`?6=1<75f9283>>i5?80;66sm8183>6<729q/?5h5d`9K7a`<@:h:7)kk:19j32<722c2?7>5;n045?6=3th9n;4?:283>5}#;1l1hl5G3ed8L6d63-oo6=5f7683>>o>;3:17b<81;29?xd5j:0;6>4?:1y'7=`=lh1C?ih4H2`2?!cc291b;:4?::k:7?6=3f8<=7>5;|`76a<72:0;6=u+39d9`d=O;ml0D>l>;h54>5<:?50;9~f66029086=4?{%1;b?bf3A9oj6F;1<75rb22;>5<4290;w)=7f;fb?M5cn2B8n<5+ee83?l102900e4=50;9l627=831vn>>6:180>5<7s-93j7jn;I1gb>N4j81/ii4?;h54>5<:?50;9~f67729086=4?{%1;b?bf3A9oj6F;1<75rb23:>5<4290;w)=7f;fb?M5cn2B8n<5+ee83?l102900e4=50;9l627=831vn>?l:180>5<7s-93j7jn;I1gb>N4j81/ii4>;h54>5<>{ea2lo0D>ji;I1a5>ob93:17b<:e;29?xd3>?0;6?4?:1y'7=`=ml1C?ih4H2`2?lc62900c?;j:188yg56j3:1?7>50z&04?::m11`<722wi8>>50;094?6|,:2m6hk4H2fe?M5e92cn=7>5;n06a?6=3th9:44?:383>5}#;1l1ih5G3ed8L6d63`o:6=44o37f>5<53;294~"40o09:95G3ed8L6d63`o96=44id194?=h:5<5290;w)=7f;gf?M5cn2B8n<5fe083>>i5=l0;66sm2c794?4=83:p(>6i:dg8L6ba3A9i=6gj1;29?j42m3:17pl;8c83>6<729q/?5h52768L6ba3A9i=6gj2;29?lc42900c?;j:188yg21l3:1>7>50z&08k50;9~f10b29086=4?{%1;b?41<2B8hk5G3c38m`4=831bi>4?::m11`<722wi??m50;194?6|,:2m6?8;;I1gb>N4j81bi?4?::kf7?6=3f8>i7>5;|`1e`<72=0;6=u+39d977=O;ml0D>l>;hg1>5<>i5=l0;66sm2c394?5=83:p(>6i:238L6ba3A9i=6gj2;29?lc42900c?;j:188yg4e:3:1?7>50z&0=1C?ih4H2`2?lc52900eh=50;9l60c=831vn?l7:180>5<7s-93j7<94:J0`c=O;k;0eh<50;9ja6<722e99h4?::a6g?=8391<7>t$2:e>67<@:nm7E=m1:kf6?6=3`o86=44o37f>5<53;294~"40o09:95G3ed8L6d63`o96=44id194?=h:5<5290;w)=7f;gf?M5cn2B8n<5fe083>>i5=l0;66sm4`194?4=83:p(>6i:dg8L6ba3A9i=6gj1;29?j42m3:17pl;a583>7<729q/?5h5ed9K7a`<@:h:7dk>:188k73b2900qo:n5;291?6=8r.84k4<3:J0`c=O;k;0eh<50;9ja6<722cn87>5;hg6>5<N4lo1C?o?4id394?=h:5<5290;w)=7f;gf?M5cn2B8n<5fe083>>i5=l0;66sm47c94?4=83:p(>6i:dg8L6ba3A9i=6gj1;29?j42m3:17pl;6c83>0<729q/?5h5329K7a`<@:h:7dk=:188m`5=831bi94?::kf1?6=3f8>i7>5;|`1fa<72:0;6=u+39d974=O;ml0D>l>;hg1>5<>{e:kl1<7=50;2x 6>a2:;0D>ji;I1a5>ob:3:17dk<:188k73b2900qo8k50;9~f7ef29086=4?{%1;b?563A9oj6F5;n06a?6=3th9oo4?:383>5}#;1l1ih5G3ed8L6d63`o:6=44o37f>5<53;294~"40o08=6F>i5=l0;66sm2bf94?5=83:p(>6i:347?M5cn2B8n<5fe383>>ob;3:17b<:e;29?xd5l>0;6>4?:1y'7=`=;81C?ih4H2`2?lc52900eh=50;9l60c=831vn?j7:181>5<7s-93j7kj;I1gb>N4j81bi<4?::m11`<722wi>i750;194?6|,:2m6>?4H2fe?M5e92cn>7>5;hg0>5<l>;hg1>5<7<729q/?5h5ed9K7a`<@:h:7dk>:188k73b2900qot$2:e>7033A9oj6F5;n06a?6=3th9i>4?:283>5}#;1l1?<5G3ed8L6d63`o96=44id194?=h:5<5290;w)=7f;gf?M5cn2B8n<5fe083>>i5=l0;66sm2d794?5=83:p(>6i:238L6ba3A9i=6gj2;29?lc42900c?;j:188yg4b>3:1?7>50z&0=1C?ih4H2`2?lc52900eh=50;9l60c=831vn?k6:180>5<7s-93j7=>;I1gb>N4j81bi?4?::kf7?6=3f8>i7>5;|`1ad<72;0;6=u+39d9a`=O;ml0D>l>;hg2>5<N4lo1C?o?4id094?=nm:0;66a=5d83>>{e:li1<7=50;2x 6>a2;6<729q/?5h5309K7a`<@:h:7dk=:188m`5=831d>8k50;9~f7`729096=4?{%1;b?cb3A9oj6Ft$2:e>67<@:nm7E=m1:kf6?6=3`o86=44o37f>5<7>53;294~"40o09:95G3ed8L6d63`o96=44id194?=h:5<4290;w)=7f;12?M5cn2B8n<5fe383>>ob;3:17b<:e;29?xd5n?0;6?4?:1y'7=`=ml1C?ih4H2`2?lc62900c?;j:188yg4a?3:1?7>50z&04?::m11`<722wi>k650;194?6|,:2m6?8;;I1gb>N4j81bi?4?::kf7?6=3f8>i7>5;|`1`5<72:0;6=u+39d974=O;ml0D>l>;hg1>5<>{e:m81<7=50;2x 6>a2:;0D>ji;I1a5>ob:3:17dk<:188k73b2900qo8k50;9~f7e429086=4?{%1;b?563A9oj6F5;n06a?6=3th9o94?:383>5}#;1l1ih5G3ed8L6d63`o:6=44o37f>5<53;294~"40o08=6F>i5=l0;66sm2b494?5=83:p(>6i:347?M5cn2B8n<5fe383>>ob;3:17b<:e;29?xd5010;6>4?:1y'7=`=;81C?ih4H2`2?lc52900eh=50;9l60c=831vn?66:181>5<7s-93j7kj;I1gb>N4j81bi<4?::m11`<722wi>5o50;194?6|,:2m6>?4H2fe?M5e92cn>7>5;hg0>5<l>;hg1>5<>{e;8l1<7<50;2x 6>a2lo0D>ji;I1a5>ob93:17b<:e;29?xd4:90;694?:1y'7=`=;;1C?ih4H2`2?lc52900eh=50;9ja1<722e99h4?::a777=8391<7>t$2:e>7033A9oj6F5;n06a?6=3th3>7>53;294~"40o09:95G3ed8L6d63`o96=44id194?=h:6i:347?M5cn2B8n<5fe383>>ob;3:17b<:e;29?xd?<3:1?7>50z&04?::m11`<722wi484?:383>5}#;1l1ih5G3ed8L6d63`o:6=44o37f>5<5<>i5=l0;66sm30094?5=83:p(>6i:238L6ba3A9i=6gj2;29?lc42900c?;j:188yg56;3:1>7>50z&08k50;9~f67329086=4?{%1;b?563A9oj6F5;n06a?6=3th8=84?:383>5}#;1l1ih5G3ed8L6d63`o:6=44o37f>5<53;294~"40o08=6F>i5=l0;66sm30594?5=83:p(>6i:238L6ba3A9i=6gj2;29?lc42900c?;j:188yg5603:1?7>50z&0=1C?ih4H2`2?lc52900eh=50;9l60c=831vn>>m:181>5<7s-93j7kj;I1gb>N4j81bi<4?::m11`<722wi?=m50;194?6|,:2m6?8;;I1gb>N4j81bi?4?::kf7?6=3f8>i7>5;|`04a<72:0;6=u+39d974=O;ml0D>l>;hg1>5<>i5=l0;66sm33g94?5=83:p(>6i:347?M5cn2B8n<5fe383>>ob;3:17b<:e;29?xd4:o0;6?4?:1y'7=`=ml1C?ih4H2`2?lc62900c?;j:188yg5483:1>7>50z&08k50;9~f65629086=4?{%1;b?563A9oj6F5;n06a?6=3th8??4?:283>5}#;1l1>;:4H2fe?M5e92cn>7>5;hg0>5<N4lo1C?o?4id394?=h:5<5290;w)=7f;gf?M5cn2B8n<5fe083>>i5=l0;66sm46f94?4=83:p(>6i:dg8L6ba3A9i=6gj1;29?j42m3:17pl;7d83>7<729q/?5h5ed9K7a`<@:h:7dk>:188k73b2900qo:8f;290?6=8r.84k4=649K7a`<@:h:7dk=:188m`5=831bi94?::m11`<722wi85>50;694?6|,:2m6><4H2fe?M5e92cn>7>5;hg0>5<1<75`24g94?=zj:9?6=4<:183!5?n38=86F>i5=l0;66sm32794?4=83:p(>6i:dg8L6ba3A9i=6gj1;29?j42m3:17pl<3783>7<729q/?5h5ed9K7a`<@:h:7dk>:188k73b2900qo=<7;290?6=8r.84k4<2:J0`c=O;k;0eh<50;9ja6<722cn87>5;n06a?6=3th9m94?:283>5}#;1l1?<5G3ed8L6d63`o96=44id194?=h:5<4290;w)=7f;050>N4lo1C?o?4id094?=nm:0;66a=5d83>>{e:h21<7=50;2x 6>a2:;0D>ji;I1a5>ob:3:17dk<:188k73b2900qo5<7s-93j7<94:J0`c=O;k;0eh<50;9ja6<722e99h4?::a6dd=8391<7>t$2:e>67<@:nm7E=m1:kf6?6=3`o86=44o37f>5<53;294~"40o09:95G3ed8L6d63`o96=44id194?=h:5<4290;w)=7f;050>N4lo1C?o?4id094?=nm:0;66a=5d83>>{e:0o1<7<50;2x 6>a2lo0D>ji;I1a5>ob93:17b<:e;29?xd51o0;6?4?:1y'7=`=ml1C?ih4H2`2?lc62900c?;j:188yg4f83:1?7>50z&0=1C?ih4H2`2?lc52900eh=50;9l60c=831vn?o>:180>5<7s-93j7=>;I1gb>N4j81bi?4?::kf7?6=3f8>i7>5;|`1e7<72:0;6=u+39d9632<@:nm7E=m1:kf6?6=3`o86=44o37f>5<6fe=m;1v54?:3y]<>;5l00n>6s|9;296~X>348oj7k=;|qb>5<5sWk01?k::d08yvd=838pRo522d`9a7=z{j0;6?uQc:?1b252z\g?84c:3o97p}j:181[c<5;i>6h<4}rd94?4|Vo16>k?5e39~w46=838pR<>4=3:b>`42;296~X6:279454j3:p51<72;qU=9522dd9a6=z{821<7348o;7k<;|q2e?6=:rT:m63=de8f7>{t9k0;6?uQ1c9>6`5=m:1v5<4sW;o70e;296~X6m279j84j3:p5c<72;qU=k522cd9a7=z{>91<79t^618970020901?86:d3897gb2l801>=9:d3897g?2l801?7j:d38yv>72909w06?:352?82483o:7p}71;296~;?83387066:37f?xu?:3:1>v372;06a>;?13o97p}73;296~;?;38>i6376;g0?xu?<3:1>v374;06a>;??3o97p}75;296~;?=38>i6377;g0?xu?>3:1>v376;06a>;?03o97p}77;296~;??38>i6378;g0?xu?03:1>v378;06a>;?13o87p}7b;2954}Y0k16>;95769>616??o5769>6d0=?>16>lh5769><5<0?279n;487:?043<0?278<:487:?04=<0?278<4487:?04c<0?278==487:?05<<0?278=n487:?1f08l50;6x96c72ml01?88:9a897?>21i01>v3=668134=::0l1i<5rs34;>5<5s48=;76n;<05e?42m2wx>;750;0x970>2;?n70<9a;g0?xu5080;6?uQ293897e72;?n7p}=8383>7}Y:1801?m9:37f?xu50<0;6?uQ297897ec2;?n7p}=8783>7}Y:1<01?j<:37f?xu50>0;6>uQ2958Z7>33483n7<:e:p6=>=838p1?67:37f?84?j3o97p}=8883>7}::131>8k4=3:b>`552z?15l5e29~w7>d2909wS<7c:?1`d<5=l1v?6k:181[4?l279i=4=5d9~w7>b2909wS<7e:?1a3<5=l1v?6i:181[4?n279in4=5d9~w7?72909wS<60:?1b7<5=l1v?7>:181[4>9279j54=5d9~w7?42908wS<63:?1e`8k4}r0:g?6=:r795447a:?1e7<5=l1v?7k:18184>l38>i63=a08f6>{t:0o1<773b348j<7k=;|q1=c<72;q6>4h524g897g72l90q~7}::h>1>8k4=3c6>`553z\1e3=::h<1>:?4=3c;>`552z?1e3<>;279mn4=5d9~w7g?2909w0;5ih0n?6s|2`;94?4|5;k26?;j;<0bf?c43ty9ml4?:3y>6dg=:v3=ac811`=::hi1i>5rs3cg>5<0s49:n7k<;<0ba?42m279n<4j3:?1f<;|q1ec<728=p1?oi:352?84el3o9708k4}r0a5?6=:r79n<4=5d9>6g4=m:1v?l<:18184e;38<=63;7d8f5>{t:k>1<7=t=3`0>21<5=8o6:94=3`6>73b3ty9n;4?:3y>6g0=:>;0199k:d38yv4e?3:1>v3=b78:7>;5jh099h5rs3`;>5<5s48i47<:e:?1fdo750;0x97d>2;?n706g`=m:1v?lj:18184en38>i63=c18f7>{t:j81<773b348h:7k=;|q1g6<72;q6>n:524g897e22l90q~7}::ji1>8k4=3ag>`552z?1`5<5=l16>i=5e39~w7b72909w0;5l;0n?6s|2e394?4|5;n96?;j;<0g7?c43ty9h;4?:3y>6a1=:v3=d9811`=::m31i>5rs3f;>5<5s48o57<:e:?1`dim50;0x97bc2;?n706`6=m:1v?k=:18184b;38>i63=e78f6>{t:l91<773b348n97k<;|q1a1<72;q6>h;524g897c12l90q~7}::ll1>8k4=3d1>`452z?1b5<5=l16>k?5e29~w7`72909w0;5n;0n?6s|2g694?4|5;l>6?;j;<0e6c0=:3:1>v3=f6811`=::o21i>5rs3d:>5<5sW8m563;898f5>{t;9<1<7716349;i7k=;|q042<72:q6?=85929>751=:>;01>;48109;<522c:9a7=:;;o1i?5rs22:>5<3s49;57<81:?06420901>>j:37f?xu48k0;6?u231`960c<5::h6h=4}r13g?6=:r7875b=m:1v>>k:181857l38>i63<0d8f7>{t;9l1<7:t=22e>716342?6h=4=231>`5<5::o6h<4}r124?6=o65e29><7?7:37f?xu49;0;6?u2300960c<5:;=6h<4}r127?6=:r78=>4=5d9>740=m:1v>?;:181856<38>i63<168f6>{t;8?1<773b349:;7k<;|q053<72;q6?<8524g8967?2l80q~=>7;296~;49>099h5230:9a6=z{:;26=48{<0a7??4349;j77<;<12=?409279n?4j2:?;6?c5348j97k=;<0b6?c53ty8=l4?:2y>74?=1:16?c;29e~;49j09;<5230`9a7=::k;1i?522c;9a7=:;;:1i>52878f6>;49=0n?63=a58f6>;5ik0n>63=9e8f6>{t;8n1<7<5<5:8:6?;j;|q05`<72;q6?f;296~;49o099h523329a1=z{:8;6=4={<114?42m278><4j3:p77g=83?p1>22l;01>?<:d38966d2l801>=::d38yv55j3:1>v3<2`8:7>;4:j099h5rs20g>5<5s499m76n;<106?42m2wx??k50;0x964b2;?n70=<2;g1?xu4:o0;6?u233d960c<5:9:6h<4}r104?6=:r78?=4=5d9>767=m:1v>=>:181854938>i63<338f7>{t;:91<78t=23e>`7<5191i>523069a7=:;:=1>8k4=3c7>`5<5;k26h?4}r100?6=:r78?94=5d9>761=m:1v>=::181854=38>i63<368f6>{t;:<1<773b3498;7k;;|q07<<72=qU?>74=20`>`5<5:8m6h?4=217>`457z\006=:;;i1i?52858f6>;49;0n>63<0c8f5>;4;90n=63<358f7>{t<9:1<78t^523?82?j3o870:9e;g1?82f:3o:70:98;g2?820n3o87p};2e83>7}Y<;n0198k4}r667?6=:rT?9>5247c9a4=z{=<>6=4={_651>;3>?099h5rs544>5<5s4>=:7k>;<65f?42m2wx8;650;0x910?2;?n70:9b;g0?xu3>00;6?u247;960c<5=03d=m<1v98l:181[21k27?:h4=5d9~w10c2909w0:9d;06a>;3>l0n?6s|46c94?4|V==j70:70;06a>{t<>h1<773b34>0q~:8d;296~;3?m099h524929a7=z{==n6=4={<64a?42m27?4=4j4:p02`=838p199i:37f?82?83o87p};8683>7}Y<1=01967:37f?xu30h0;6?uQ49c891>e2;?n7p};8e83>7}Y<1n019o;:d38yv2>n3:1>vP;9g9>0d6=:7}:1>8k4=5c6>`3h87>55z\7g1=:<1h1i?5247f9a4=:5178;1??6sA9i=6*<8g824==#9jl1?:l4i9`94?=n99>1<75f15294?=nn90;66g>2383>>i6<80;66g;0183>>o0;3:17b?;7;29?l22;3:17d??a;29?l2d<3:17d:7d;29?j75<3:17b?nc;29?l4a13:17b:;0;29?j7413:17b?67;29?j472900c?k50;9l04>=831i?h>50;394?6|,:2m6h>4H2fe?M5e92eoj7>5;|`75=<72<0;6=u+39d9`f=O;ml0D>l>;h:b>5<>o>;3:17b<81;29?xd6<80;6>4?:1y'7=`=lh1C?ih4H2`2?l102900e4=50;9l627=831vn>;?:186>5<7s-93j7jl;I1gb>N4j81/ii4?;h:b>5<>o>;3:17b<81;29?xd5m3:197>50z&05<0;66g63;29?j4093:17pl=3`83>6<729q/?5h5d`9K7a`<@:h:7d98:188m<5=831d>:?50;9~f45>290>6=4?{%1;b?bd3A9oj6F5;h54>5<a2mk0D>ji;I1a5>o0?3:17d7<:188k7162900qo?67;297?6=8r.84k4ka:J0`c=O;k;0e:950;9j=6<722e9;<4?::a572=8391<7>t$2:e>ag<@:nm7E=m1:k43?6=3`386=44o352>5<53;294~"40o0om6F=1<75f9283>>i5?80;66sm15594?5=83:p(>6i:ec8L6ba3A9i=6g87;29?l?42900c?9>:188yg75;3:1?7>50z&04?::m134<722wi===50;194?6|,:2m6io4H2fe?M5e92.nh7>4i6594?=n1:0;66a=7083>>{e9h21<7;50;2x 6>a2mi0D>ji;I1a5>o?i3:17d6l:188m21=831b5>4?::m134<722wi=>;50;794?6|,:2m6im4H2fe?M5e92c3m7>5;h:`>5<=1<75f9283>>i5?80;66sm17294?3=83:p(>6i:ea8L6ba3A9i=6g7a;29?l>d2900e:950;9j=6<722e9;<4?::a72e=8391<7>t$2:e>ag<@:nm7E=m1:&f`?6=1<75f9283>>i5?80;66sm39294?5=83:p(>6i:ec8L6ba3A9i=6*jd;28m21=831b5>4?::m134<722wi89?50;094?6|,:2m6hk4H2fe?M5e92cn=7>5;n06a?6=3th:?:4?:383>5}#;1l1ih5G3ed8L6d63`o:6=44o37f>5<52;294~"40o0ni6F>{e;90;6>4?:1y'7=`=:?>0D>ji;I1a5>ob:3:17dk<:188k73b2900qo=7d;296?6=8r.84k4je:J0`c=O;k;0eh?50;9l60c=831vn>6j:180>5<7s-93j7=>;I1gb>N4j81bi?4?::kf7?6=3f8>i7>5;|`701<72:0;6=u+39d974=O;ml0D>l>;hg1>5<>6=4=:183!5?n3on7E=kf:J0f4=nm80;66a=5d83>>{e<=<1<7<50;2x 6>a2lo0D>ji;I1a5>ob93:17b<:e;29?xd3<>0;6>4?:1y'7=`=;81C?ih4H2`2?lc52900eh=50;9l60c=831vn9:7:180>5<7s-93j7=>;I1gb>N4j81bi?4?::kf7?6=3f8>i7>5;|`20<<72:0;6=u+39d974=O;ml0D>l>;hg1>5<j6=4=:183!5?n3on7E=kf:J0f4=nm80;66a=5d83>>{e9=h1<7=50;2x 6>a2:;0D>ji;I1a5>ob:3:17dk<:188k73b2900qo=72;296?6=8r.84k4je:J0`c=O;k;0eh?50;9l60c=831vn>6;:180>5<7s-93j7<94:J0`c=O;k;0eh<50;9ja6<722e99h4?::a7=3=8391<7>t$2:e>67<@:nm7E=m1:kf6?6=3`o86=44o37f>5<52;294~"40o0ni6F>{e;121<7=50;2x 6>a2:;0D>ji;I1a5>ob:3:17dk<:188k73b2900qo=79;297?6=8r.84k4<1:J0`c=O;k;0eh<50;9ja6<722e99h4?::a7=g=8391<7>t$2:e>7033A9oj6F5;n06a?6=3th84o4?:283>5}#;1l1?<5G3ed8L6d63`o96=44id194?=h:5<4290;w)=7f;050>N4lo1C?o?4id094?=nm:0;66a=5d83>>{e;>o1<7=50;2x 6>a2:;0D>ji;I1a5>ob:3:17dk<:188k73b2900qo=8f;297?6=8r.84k4=659K7a`<@:h:7dk=:188m`5=831d>8k50;9~f17c29086=4?{%1;b?563A9oj6F5;n06a?6=3th?=h4?:383>5}#;1l1ih5G3ed8L6d63`o:6=44o37f>5<:j7>53;294~"40o09:95G3ed8L6d63`o96=44id194?=h:5<5290;w)=7f;gf?M5cn2B8n<5fe083>>i5=l0;66sm15694?4=83:p(>6i:dg8L6ba3A9i=6gj1;29?j42m3:17pl>4483>6<729q/?5h5309K7a`<@:h:7dk=:188m`5=831d>8k50;9~f42129086=4?{%1;b?41<2B8hk5G3c38m`4=831bi>4?::m11`<722wi=>l50;194?6|,:2m6>?4H2fe?M5e92cn>7>5;hg0>5<N4lo1C?o?4id394?=h:5<5290;w)=7f;gf?M5cn2B8n<5fe083>>i5=l0;66sm12g94?5=83:p(>6i:238L6ba3A9i=6gj2;29?lc42900c?;j:188yg74n3:1?7>50z&0=1C?ih4H2`2?lc52900eh=50;9l60c=831vn5<7s-93j7=>;I1gb>N4j81bi?4?::kf7?6=3f8>i7>5;|`2f0<72;0;6=u+39d9a`=O;ml0D>l>;hg2>5<N4lo1C?o?4id394?=h:5<5290;w)=7f;gf?M5cn2B8n<5fe083>>i5=l0;66sm1c:94?4=83:p(>6i:dg8L6ba3A9i=6gj1;29?j42m3:17pl>b883>7<729q/?5h5ed9K7a`<@:h:7dk>:188k73b2900qo?ma;296?6=8r.84k4je:J0`c=O;k;0eh?50;9l60c=831vn5<7s-93j7kj;I1gb>N4j81bi<4?::m11`<722wi=om50;194?6|,:2m6?8;;I1gb>N4j81bi?4?::kf7?6=3f8>i7>5;|`2ec<72:0;6=u+39d974=O;ml0D>l>;hg1>5<50z&04?::m11`<722wi=o<50;194?6|,:2m6?8;;I1gb>N4j81bi?4?::kf7?6=3f8>i7>5;|`2f6<72=0;6=u+39d977=O;ml0D>l>;hg1>5<>i5=l0;66sm1c694?5=83:p(>6i:347?M5cn2B8n<5fe383>>ob;3:17b<:e;29?xd64?:1y'7=`=;81C?ih4H2`2?lc52900eh=50;9l60c=831vn<;<:181>5<7s-93j7kj;I1gb>N4j81bi<4?::m11`<722wi=8:50;094?6|,:2m6hk4H2fe?M5e92cn=7>5;n06a?6=3th:984?:283>5}#;1l1?<5G3ed8L6d63`o96=44id194?=h:5<4290;w)=7f;12?M5cn2B8n<5fe383>>ob;3:17b<:e;29?xd6=>0;6?4?:1y'7=`=ml1C?ih4H2`2?lc62900c?;j:188yg7203:1>7>50z&08k50;9~f43>29096=4?{%1;b?cb3A9oj6Ft$2:e>7033A9oj6F5;n06a?6=3th:8h4?:283>5}#;1l1?<5G3ed8L6d63`o96=44id194?=h:5<4290;w)=7f;12?M5cn2B8n<5fe383>>ob;3:17b<:e;29?xd6=90;6>4?:1y'7=`=:?>0D>ji;I1a5>ob:3:17dk<:188k73b2900qo?:1;290?6=8r.84k4<2:J0`c=O;k;0eh<50;9ja6<722cn87>5;n06a?6=3th:9?4?:283>5}#;1l1>;:4H2fe?M5e92cn>7>5;hg0>5<N4lo1C?o?4id094?=nm:0;66a=5d83>>{e9?91<7=50;2x 6>a2;6583>7<729q/?5h5ed9K7a`<@:h:7dk>:188k73b2900qo?95;296?6=8r.84k4je:J0`c=O;k;0eh?50;9l60c=831vn<89:180>5<7s-93j7=>;I1gb>N4j81bi?4?::kf7?6=3f8>i7>5;|`222<72:0;6=u+39d974=O;ml0D>l>;hg1>5<3:1?7>50z&04?::m11`<722wi=?j50;094?6|,:2m6hk4H2fe?M5e92cn=7>5;n06a?6=3th:>h4?:383>5}#;1l1ih5G3ed8L6d63`o:6=44o37f>5<53;294~"40o09:95G3ed8L6d63`o96=44id194?=h:5<5290;w)=7f;gf?M5cn2B8n<5fe083>>i5=l0;66sm12394?4=83:p(>6i:dg8L6ba3A9i=6gj1;29?j42m3:17pl>3383>7<729q/?5h5ed9K7a`<@:h:7dk>:188k73b2900qo?<3;297?6=8r.84k4<1:J0`c=O;k;0eh<50;9ja6<722e99h4?::a562=8381<7>t$2:e>`c<@:nm7E=m1:kf5?6=3f8>i7>5;|`262<72=0;6=u+39d977=O;ml0D>l>;hg1>5<>i5=l0;66sm13:94?2=83:p(>6i:208L6ba3A9i=6gj2;29?lc42900eh:50;9l60c=831vn<<6:180>5<7s-93j7=>;I1gb>N4j81bi?4?::kf7?6=3f8>i7>5;|`26d<72:0;6=u+39d9632<@:nm7E=m1:kf6?6=3`o86=44o37f>5<53;294~"40o08=6F>i5=l0;66sm13a94?2=83:p(>6i:346?M5cn2B8n<5fe383>>ob;3:17dk;:188k73b2900qo?69;297?6=8r.84k4<1:J0`c=O;k;0eh<50;9ja6<722e99h4?::a5d6=8381<7>t$2:e>`c<@:nm7E=m1:kf5?6=3f8>i7>5;|`2e4<72;0;6=u+39d9a`=O;ml0D>l>;hg2>5<l>;hg1>5<>{e9h>1<7<50;2x 6>a2lo0D>ji;I1a5>ob93:17b<:e;29?xd6i<0;6?4?:1y'7=`=ml1C?ih4H2`2?lc62900c?;j:188yg7f>3:1?7>50z&04?::m11`<722wi=l950;094?6|,:2m6hk4H2fe?M5e92cn=7>5;n06a?6=3th:5l4?:583>5}#;1l1??5G3ed8L6d63`o96=44id194?=nm=0;66a=5d83>>{e90h1<7:50;2x 6>a2:80D>ji;I1a5>ob:3:17dk<:188m`2=831d>8k50;9~f4?d29086=4?{%1;b?563A9oj6F5;n06a?6=3th:5i4?:283>5}#;1l1>;:4H2fe?M5e92cn>7>5;hg0>5<N4lo1C?o?4id094?=nm:0;66a=5d83>>{e90l1<7:50;2x 6>a2;<>7E=kf:J0f4=nm;0;66gj3;29?lc32900c?;j:188yg52:3:1>7>50z&08k50;9~f63429096=4?{%1;b?cb3A9oj6Ft$2:e>`c<@:nm7E=m1:kf5?6=3f8>i7>5;|`010<72;0;6=u+39d9a`=O;ml0D>l>;hg2>5<N4lo1C?o?4id094?=nm:0;66a=5d83>>{e;<=1<7=50;2x 6>a2;1<729q/?5h5339K7a`<@:h:7dk=:188m`5=831bi94?::m11`<722wi?8750;194?6|,:2m6?8;;I1gb>N4j81bi?4?::kf7?6=3f8>i7>5;|q14?6=:rT9<63=0;045>{t:l0;6huQ2d9>6`<5?816?5:5e39>512=m816=o65e09>5d`=m;16=8;5e39>501=m816=;:5e09>564=m816=>=5e29>5d3=m816=l85e29>702=m81v?h50;0x97c=0h16?=4=5d9~w25=83kpR:=4=273><5<589264=4=53a>`7<58>26h=4=2:6>`5<5=;n6h?4=01g>`7<58<96h<4=276>`74z\;f>;3910<;63>40843>;4=90<;63=e;54?8?62>=01?=n:658945>2>=01?>5769>5<1=?>16=?:5769>5de=?>16=995769>575=?>16===5769>5d>=?>16=>;5769>536=?>16?:m5769>7=6=?>1v4?50;1x9<7=:>;01>>5e39>510=m;1v4<50;0x9<7=1:16?5k524g8yv`72909wSh?;<337??43ty:<>4?:4y>555=:>;01>6=:d38961b2l901v3>258:7>;6:j099h5rs005>5<5s4;9:7<:e:?26f44=5d9>57e=m=1v<i63>2c8f6>{t9;h1<773b34;9o7k=;|q26a<72;q6=?j524g894402l80q~?=e;296~;6:l099h521359a1=z{88m6=4={<31b?42m27:>54j3:p566=838p1<=?:37f?87503o97p}>3083>7}:9:;1>8k4=00;>`27>52z?277<5=l16=?l5e29~w4542909w0?<3;06a>;6:00n>6s|12694?4|589?6?;j;<31=?c43ty:?84?:3y>563=:>;01<=<:d08yv74>3:1>v3>348:7>;6;>099h5rs01:>5<5sW;8563>388134=z{89j6=4={<30=?>f34;8j7<:e:p56d=838p1<=m:37f?874m3o97p}>3b83>7}:9:i1>8k4=01f>`552z?27a<5=l16=>h5e29~w45b2909w0?;6;o0n>6s|15294?2|V8>;70?3o970=:7;g1?xu6<80;6?uQ153894262;=:7p}>4383>7}:9=;15>52154960c52z?206<5=l16=9;5e39~w4232909w0?;4;06a>;6<<0n?6s|15794?4|58>>6?;j;<372?c43ty:8:4?:2y]511<58><6?9>;<37`?c53ty:854?:6y>51d=:j6=4={<37e?42m27:8o4j3:p51e=838p1<:8:81894352;?n7p}>4e83>7}:9=n1>8k4=06e>`452z?20`<5=l16=8>5e29~w42a2909w0?;f;06a>;6=;0n>6s|14294?4|58?;6?;j;<365?c43ty:9<4?:3y>507=:v3>52811`=:95<5s4;>87<:e:?21dn6h<4}r363?6=:r7:9:4=5d9>51c=m:1v<;7:181872038>i63>508f6>{t9<31<773b34;>=7k;;|q21d<72;q6=8o524g8942a2l90q~?90;297~;6>909;<521c59a4=:95rs042>5<5s4;=>7<:e:?22=:0;6?u2176960c<58<<6h<4}r350?6=:r7::84=5d9>531=m:1v<8::181871>38>i63>698f6>{t9?<1<773b34;=47k;;|q2=2<72=qU=494=0;4>71634;257k=;<3:e?c43ty:554?:3y>5<1=1:16=4h524g8yv7>13:1>v3>98811`=:90l1i>5rs0;b>5<5s4;2m7<:e:?2=a5m38>i63>9g8f6>{t9h:1<773b34;2m7k=;|q2e4<72;q6=l?524g894?f2l>0q~?n2;296~;6i;099h5218`9a6=z{8k86=4={<3b7?42m27:5o4j2:p5d2=838p1j3o?7p}>a483>7}:9h?1>8k4=0;f>`552z?2e3<5=l16=4m5e39~w4g02909w0?n7;06a>;61j0n?6s|1`:94?4|58k36?9>;<3b2?c53ty:m44?:3y>5d>=1:16=lo524g8yv7fk3:1?vP>ab9>5de=:>;01v3>ab8:7>;6j=099h5rs0cf>5<5s4;ji7<:e:?2f45g2=m;1vi63>b28f7>{t9k91<773b34;i87k<;|q2f0<72;q6=o;524g894dd2l80q~?m6;296~;6j?099h521ca9a6=z{8h<6=4={<3a3?42m27:mk4j3:p5g>=838p1b883>7}:9k31>8k4=0`3>`252z?2fd<5=l16=o=5e39~w4de2909w0?mb;06a>;6j:0n86s|1ca94?4|58hh6?;j;<3a5?c43ty9?l4?:7y>6`<>;279?l4=709>5d>=0h16=>;58`9>754j1:p66d=839p1?=n:818940721k01<87:37f?xu5=k0;65u23d29`c=:<8214n523429388;g>;6i103o63>348;g>;6>903o6s|2g;94?4|V;l270:;6;g2?xu4=90;6;u23429627<58>j6h?4=2:5>`7<5=;o6h<4=01a>`5<58<>6h?4}r165?6=:r789=47a:?01<<5=l1v>;=:181852:38>i63<578f6>{t;<91<773b349>:7k<;|q011<72;q6?8:524g8963?2l>0q~=:5;296~;4=<099h5234;9a6=z{:?=6=4={<162?42m2789:4j3:p701=838p1>;8:37f?85203o97p}<5983>7}:;<21>8k4=27:>`4511y>72e=:>;01>6k:d3896>02l;01>9j:d0894d12l;012l901;<:d38yv50l3:1>v3<7b8:7>;4?o099h5rs25f>5<5s4950;3384720901>6?:352?85?m3o970=74;g0?85?03o970=8f;g1?87fm3o870?mb;g2?873l3o870?:9;g2?871;3o970?=d;g2?875n3o970?n1;g2?87f:3o970=:2;g2?xu4080;6?u23929=6=:;191>8k4}r1;6?6=:r784?4=5d9>7=>=m:1v>6;:18185?<38>i63<8`8f6>{t;1?1<773b349357k=;|q0<3<72;q6?58524g896>>2l90q~=77;296~;40>099h5239`9a6=z{:236=4={<1;4j2:p7=?=838p1>66:37f?85?i3o87p}<8`83>7}:;1k1>8k4=2:a>`452z?0d2909w0=7d;06a>;40l0n?6s|41294?4|V=:;70:;5;g2?xu3910;6?uQ40:8917?2;=:7p};1`83>7}:<8215>5240`960c:o7>52z?75=d;06a>;39o0n>6s|40g94?4|5=;n6?;j;<62b?c43ty?8=4?:3y]016<5=>:6?;j;|q706<72;q689?5e09>01>=:>6=4={<671?42m27?8:4j2:p010=838p19:9:37f?823?3o87p};4683>7}:<==1>8k4=56;>`5>?7>53z\716=:9:=1i<521229a4=z{=2o6=4<{_6;`>;6ih0n=63>a28f5>{t1<7;t^5a7?871833870:;4;g0?87e13o:70?:6;g0?x{e;o>1<7j51582a~N4j81/?5h5dd9'5f`=;>h0c9lm:188m4272900c9=>:188m4262900e9>?:188k25=831bh54?::k137<722c95>4?::k1b<<722cnm7>5;h0b2?6=3`;857>5;c605?6=;3:1N4lo1C?o?4i6594?=n1:0;66a=7083>>{e;>0;6>4?:1y'7=`=lh1C?ih4H2`2?!cc291b;:4?::k:7?6=3f8<=7>5;|`7fg<72=0;6=u+39d9`a=O;ml0D>l>;h54>5<;1<75rb6694?4=83:p(>6i:dg8L6ba3A9i=6gj1;29?j42m3:17pl85;297?6=8r.84k4=659K7a`<@:h:7dk=:188m`5=831d>8k50;9~f6d=8381<7>t$2:e>`c<@:nm7E=m1:kf5?6=3f8>i7>5;|`77g<72;0;6=u+39d9a`=O;ml0D>l>;hg2>5<N4lo1C?o?4id394?=h:6i:dg8L6ba3A9i=6gj1;29?j42m3:17pl;3683>6<729q/?5h5309K7a`<@:h:7dk=:188m`5=831d>8k50;9~f15?29096=4?{%1;b?cb3A9oj6Ft$2:e>67<@:nm7E=m1:kf6?6=3`o86=44o37f>5<h=7>54;294~"40o08>6F>ob<3:17b<:e;29?xd4::0;6>4?:1y'7=`=:?>0D>ji;I1a5>ob:3:17dk<:188k73b2900qo==4;297?6=8r.84k4<1:J0`c=O;k;0eh<50;9ja6<722e99h4?::a773=8381<7>t$2:e>`c<@:nm7E=m1:kf5?6=3f8>i7>5;|`063<72:0;6=u+39d9632<@:nm7E=m1:kf6?6=3`o86=44o37f>5<53;294~"40o08=6F>i5=l0;66sm33:94?5=83:p(>6i:347?M5cn2B8n<5fe383>>ob;3:17b<:e;29?xd4:00;6>4?:1y'7=`=;81C?ih4H2`2?lc52900eh=50;9l60c=831v>950;0x961=:>;01:;5e39~w6?=838p1>95769>7=<5=l1v>o50;0x961=1:16?o4=5d9~w25=83>pR:=4=67960c<5=9<6h<4=5a2>`573b34=>6h=4}rf;>5<3sWn370:<1;54?82ej3=<70=7:d38yvcf2908wSkn;<60h=7k;;|q27<<72;qU=>74=200>`552z\205=:;;?1i<5rs062>5<5sW;?=63<278f6>{t:>81<77}Y:0901><;:d08yv4f>3:1>vP=a79>772=m:1v?h6:181[4a1278>>4j2:p774=839p19=8:d1891e62l801><6:37f?xu4::0;6?u2331960c<5:836h<4}r110?6=:r78>94=5d9>771=m;1v><::181855=38>i63<278f7>{t;;<1<773b3499;7k<;|q062<72;q6??9524g8964?2l90q~==8;296~;4:1099h5233;9a6=z{=:;6=4;{_634>;3jk09;=52758f5>;4j3o:7p};3083>7}Y<:;019=>:352?xu3;?0;6?u242`9a4=:<:31>8k4}r603?6=:r7??:4=5d9>06?=m;1v9=7:181824038>i63;388f7>{t<:k1<7<5<5=9i6?;j;|q7fg<72;qU8ol4=5`a>7163ty?o=4?:3y>0f5=m8168n?524g8yv2d:3:1>v3;bc8:7>;3k:099h5r}c1e1?6=9?0i57m:{I1a5>"40o0:<:5+1bd972d5<5<>o30;0;66a>1983>>o0l3:17d?;7;29?l1?2900c9;<:188m45?2900e;l50;9l0=b=831b=ll50;9j5de=831b8<950;9l06e=831d89750;9l65<722e?m;4?::`0a5<7280;6=u+39d9a5=O;ml0D>l>;nfe>5<j:7>55;294~"40o0oo6F>o0?3:17d7<:188k7162900qol7:180>5<7s-93j7jn;I1gb>N4j81b;:4?::k:7?6=3f8<=7>5;|`a0?6=;3:1N4lo1C?o?4i6594?=n1:0;66a=7083>>{ej90;6>4?:1y'7=`=lh1C?ih4H2`2?l102900e4=50;9l627=831vnl850;194?6|,:2m6io4H2fe?M5e92c<;7>5;h;0>5<5<4290;w)=7f;fb?M5cn2B8n<5f7683>>o>;3:17b<81;29?xda83:1?7>50z&04?::m134<722wi=;750;194?6|,:2m6io4H2fe?M5e92c<;7>5;h;0>5<N4lo1C?o?4i6594?=n1:0;66a=7083>>{e9a2mk0D>ji;I1a5>o0?3:17d7<:188k7162900qo9i:180>5<7s-93j7jn;I1gb>N4j81b;:4?::k:7?6=3f8<=7>5;|`7l>;h54>5<0;66g63;29?j4093:17pl;0783>6<729q/?5h5d`9K7a`<@:h:7d98:188m<5=831d>:?50;9~f15d29086=4?{%1;b?bf3A9oj6F5;n045?6=3tho?7>53;294~"40o0om6F=1<75f9283>>i5?80;66sm3c694?5=83:p(>6i:ec8L6ba3A9i=6g87;29?l?42900c?9>:188yg77<3:187>50z&05;|`25=<72=0;6=u+39d9`g=O;ml0D>l>;h:`>5<=1<75f9283>>i5?80;66sm9783>6<729q/?5h5d`9K7a`<@:h:7)kk:19j32<722c2?7>5;n045?6=3th:;44?:283>5}#;1l1hl5G3ed8L6d63-oo6=5f7683>>o>;3:17b<81;29?xd6?h0;6>4?:1y'7=`=lh1C?ih4H2`2?!cc291b;:4?::k:7?6=3f8<=7>5;|`23c<72:0;6=u+39d9`d=O;ml0D>l>;%gg>5=n?>0;66g63;29?j4093:17pl>8683>6<729q/?5h5d`9K7a`<@:h:7)kk:19j32<722c2?7>5;n045?6=3th:454?:283>5}#;1l1hl5G3ed8L6d63-oo6=5f7683>>o>;3:17b<81;29?xd6000;6>4?:1y'7=`=lh1C?ih4H2`2?!cc291b;:4?::k:7?6=3f8<=7>5;|`2=5<72:0;6=u+39d9`d=O;ml0D>l>;%gg>4=n?>0;66g63;29?j4093:17plme;297?7=;rB8n<5+39d95a71<75f9483>>i46<729q/?5h53eg8L6ba3A9i=6gj2;29?lc42900c?;j:188yv?32909wS7;;<1f1?c43ty297>52z\:1>;4m<0n>6s|35c94?4|V:>j70=j5;06a>{zjkn1<7=51;1xL6d63-93j7?k1:k:0?6=3`3>6=44o26b>5<6=4<:183!5?n39oi6F>i5=l0;66s|9583>7}Y1=16?h;5e29~w<3=838pR4;4=2g6>`452z\00d=:;l?1>8k4}|`ag?6=;3;1?vF5<=278i84j2:p71g=838pR>:n;<1f1?42m2wvn9:n:181>5<7s-93j7kj;I1gb>N4j81bi<4?::m11`<722wi=8j50;094?6|,:2m6hk4H2fe?M5e92cn=7>5;n06a?6=3th:9h4?:383>5}#;1l1ih5G3ed8L6d63`o:6=44o37f>5<j7>53;294~"40o08=6F>i5=l0;66sm42d94?5=83:p(>6i:347?M5cn2B8n<5fe383>>ob;3:17b<:e;29?xd4j?0;6?4?:1y'7=`=ml1C?ih4H2`2?lc62900c?;j:188yg77>3:1>7>50z&08k50;9~f10329096=4?{%1;b?cb3A9oj6Ft$2:e>`c<@:nm7E=m1:kf5?6=3f8>i7>5;|`7eg<72;0;6=u+39d9a`=O;ml0D>l>;hg2>5<5<5290;w)=7f;gf?M5cn2B8n<5fe083>>i5=l0;66smbc83>6<729q/?5h5309K7a`<@:h:7dk=:188m`5=831d>8k50;9~fg0=8381<7>t$2:e>`c<@:nm7E=m1:kf5?6=3f8>i7>5;|`a3?6=;3:1N4lo1C?o?4id094?=nm:0;66a=5d83>>{ej;0;6?4?:1y'7=`=ml1C?ih4H2`2?lc62900c?;j:188ygd429086=4?{%1;b?563A9oj6F5;n06a?6=3thj47>52;294~"40o0ni6Fa2:;0D>ji;I1a5>ob:3:17dk<:188k73b2900qom=:180>5<7s-93j7=>;I1gb>N4j81bi?4?::kf7?6=3f8>i7>5;|`74=<72;0;6=u+39d9a`=O;ml0D>l>;hg2>5<N4lo1C?o?4id394?=h:5<5290;w)=7f;gf?M5cn2B8n<5fe083>>i5=l0;66sm17f94?2=83:p(>6i:208L6ba3A9i=6gj2;29?lc42900eh:50;9l60c=831vn<7=:180>5<7s-93j7<94:J0`c=O;k;0eh<50;9ja6<722e99h4?::a5<5=8381<7>t$2:e>`c<@:nm7E=m1:kf5?6=3f8>i7>5;|`2=1<72:0;6=u+39d9632<@:nm7E=m1:kf6?6=3`o86=44o37f>5<53;294~"40o08=6F>i5=l0;66sm18494?5=83:p(>6i:347?M5cn2B8n<5fe383>>ob;3:17b<:e;29?xd60k0;6>4?:1y'7=`=;81C?ih4H2`2?lc52900eh=50;9l60c=831vn<6l:181>5<7s-93j7kj;I1gb>N4j81bi<4?::m11`<722wi=5j50;094?6|,:2m6hk4H2fe?M5e92cn=7>5;n06a?6=3th:4h4?:283>5}#;1l1?<5G3ed8L6d63`o96=44id194?=h:5<4290;w)=7f;12?M5cn2B8n<5fe383>>ob;3:17b<:e;29?xd6080;6?4?:1y'7=`=ml1C?ih4H2`2?lc62900c?;j:188yg7?:3:1>7>50z&08k50;9~f4>429086=4?{%1;b?563A9oj6F5;n06a?6=3th:494?:283>5}#;1l1>;:4H2fe?M5e92cn>7>5;hg0>5<N4lo1C?o?4id094?=nm:0;66a=5d83>>{e91<1<7=50;2x 6>a2;7b83>6<729q/?5h52768L6ba3A9i=6gj2;29?lc42900c?;j:188yg70l3:1>7>50z&08k50;9~f41b290?6=4?{%1;b?553A9oj6F5;hg7>5<N4lo1C?o?4id094?=nm:0;66gj4;29?lc22900c?;j:188yg2e?3:1>7>50z&08k50;9~f1d?29096=4?{%1;b?cb3A9oj6Ft$2:e>67<@:nm7E=m1:kf6?6=3`o86=44o37f>5<im7>53;294~"40o08=6F>i5=l0;66sm9983>0<729q/?5h52748L6ba3A9i=6gj2;29?lc42900eh:50;9ja0<722e99h4?::a=<<72:0;6=u+39d974=O;ml0D>l>;hg1>5<a2lo0D>ji;I1a5>ob93:17b<:e;29?xd>j3:1?7>50z&04?::m11`<722wi5n4?:283>5}#;1l1?<5G3ed8L6d63`o96=44id194?=h:6i:347?M5cn2B8n<5fe383>>ob;3:17b<:e;29?xd3=h0;6>4?:1y'7=`=:?>0D>ji;I1a5>ob:3:17dk<:188k73b2900qo::b;296?6=8r.84k4je:J0`c=O;k;0eh?50;9l60c=831vn9;l:180>5<7s-93j7=>;I1gb>N4j81bi?4?::kf7?6=3f8>i7>5;|`71a<72;0;6=u+39d9a`=O;ml0D>l>;hg2>5<N4lo1C?o?4id094?=nm:0;66a=5d83>>{e<a2:;0D>ji;I1a5>ob:3:17dk<:188k73b2900qo:90;297?6=8r.84k4=659K7a`<@:h:7dk=:188m`5=831d>8k50;9~f106290?6=4?{%1;b?41=2B8hk5G3c38m`4=831bi>4?::kf0?6=3f8>i7>5;|`727<72:0;6=u+39d974=O;ml0D>l>;hg1>5<>i5=l0;66sm48794?4=83:p(>6i:dg8L6ba3A9i=6gj1;29?j42m3:17pl;9783>6<729q/?5h5309K7a`<@:h:7dk=:188m`5=831d>8k50;9~f1?029096=4?{%1;b?cb3A9oj6F=8391<7>t$2:e>67<@:nm7E=m1:kf6?6=3`o86=44o37f>5<257>53;294~"40o08=6F>i5=l0;66sm48c94?5=83:p(>6i:347?M5cn2B8n<5fe383>>ob;3:17b<:e;29?xd31k0;694?:1y'7=`=:??0D>ji;I1a5>ob:3:17dk<:188m`2=831d>8k50;9~f1?d29086=4?{%1;b?563A9oj6F5;n06a?6=3th?mi4?:483>5}#;1l1?>5G3ed8L6d63`o96=44id194?=nm=0;66gj5;29?j42m3:17pl;ad83>7<729q/?5h5ed9K7a`<@:h:7dk>:188k73b2900qo:nf;296?6=8r.84k4je:J0`c=O;k;0eh?50;9l60c=831vn9l?:181>5<7s-93j7kj;I1gb>N4j81bi<4?::m11`<722wi8o?50;094?6|,:2m6hk4H2fe?M5e92cn=7>5;n06a?6=3th?n?4?:283>5}#;1l1?<5G3ed8L6d63`o96=44id194?=h:5<4290;w)=7f;050>N4lo1C?o?4id094?=nm:0;66a=5d83>>{e1<7:50;2x 6>a2:80D>ji;I1a5>ob:3:17dk<:188m`2=831d>8k50;9~f47f29096=4?{%1;b?cb3A9oj6Ft$2:e>`c<@:nm7E=m1:kf5?6=3f8>i7>5;|`25f<72;0;6=u+39d9a`=O;ml0D>l>;hg2>5<N4lo1C?o?4id394?=h:5<5290;w)=7f;gf?M5cn2B8n<5fe083>>i5=l0;66sm10d94?4=83:p(>6i:dg8L6ba3A9i=6gj1;29?j42m3:17pl>2183>3<729q/?5h5359K7a`<@:h:7dk=:188m`5=831bi94?::kf1?6=3`o=6=44o37f>5<54;294~"40o09:85G3ed8L6d63`o96=44id194?=nm=0;66a=5d83>>{e9?l1<7<50;2x 6>a2lo0D>ji;I1a5>ob93:17b<:e;29?xd6?80;6?4?:1y'7=`=ml1C?ih4H2`2?lc62900c?;j:188yg70:3:1>7>50z&08k50;9~f41429096=4?{%1;b?cb3A9oj6Ft$2:e>`c<@:nm7E=m1:kf5?6=3f8>i7>5;|`230<72;0;6=u+39d9a`=O;ml0D>l>;hg2>5<N4lo1C?o?4id394?=h:5<4290;w)=7f;12?M5cn2B8n<5fe383>>ob;3:17b<:e;29?xd6?10;654?:1y'7=`=;<1C?ih4H2`2?lc52900eh=50;9ja1<722cn97>5;hg5>5<>i5=l0;66sm16294?2=83:p(>6i:346?M5cn2B8n<5fe383>>ob;3:17dk;:188k73b2900qo<;:186>5<7s-93j7<96:J0`c=O;k;0eh<50;9ja6<722cn87>5;hg6>5<5<4290;w)=7f;12?M5cn2B8n<5fe383>>ob;3:17b<:e;29?xd5?3:1>7>50z&08k50;9~f7>=8381<7>t$2:e>`c<@:nm7E=m1:kf5?6=3f8>i7>5;|`1=?6=:3:1N4lo1C?o?4id394?=h:6i:dg8L6ba3A9i=6gj1;29?j42m3:17pl=b;297?6=8r.84k4<1:J0`c=O;k;0eh<50;9ja6<722e99h4?::a6f<72<0;6=u+39d976=O;ml0D>l>;hg1>5<>ob=3:17b<:e;29?xd5l3:1?7>50z&0=1C?ih4H2`2?lc52900eh=50;9l60c=831vn?;50;194?6|,:2m6?8;;I1gb>N4j81bi?4?::kf7?6=3f8>i7>5;|`e6?6=;3:1l>;hg1>5<a2lo0D>ji;I1a5>ob93:17b<:e;29?xdak3:1>7>50z&08k50;9~fcb=8391<7>t$2:e>67<@:nm7E=m1:kf6?6=3`o86=44o37f>5<>{eno0;6?4?:1y'7=`=ml1C?ih4H2`2?lc62900c?;j:188yg7783:1>7>50z&08k50;9~f46629096=4?{%1;b?cb3A9oj6Ft$2:e>`c<@:nm7E=m1:kf5?6=3f8>i7>5;|`e7?6=;3:1N4lo1C?o?4id094?=nm:0;66a=5d83>>{en=0;6>4?:1y'7=`=;81C?ih4H2`2?lc52900eh=50;9l60c=831vnk;50;494?6|,:2m6>:4H2fe?M5e92cn>7>5;hg0>5<1<75fe483>>ob>3:17b<:e;29?xda>3:1?7>50z&0=1C?ih4H2`2?lc52900eh=50;9l60c=831vnk950;194?6|,:2m6?8;;I1gb>N4j81bi?4?::kf7?6=3f8>i7>5;|`eN4lo1C?o?4id094?=nm:0;66a=5d83>>{en00;6>4?:1y'7=`=:?>0D>ji;I1a5>ob:3:17dk<:188k73b2900qohn:187>5<7s-93j7<95:J0`c=O;k;0eh<50;9ja6<722cn87>5;n06a?6=3th?5}#;1l1>;:4H2fe?M5e92cn>7>5;hg0>5<N4lo1C?o?4id394?=h:5<5290;w)=7f;gf?M5cn2B8n<5fe083>>i5=l0;66sm41g94?4=83:p(>6i:dg8L6ba3A9i=6gj1;29?j42m3:17pl;0g83>7<729q/?5h5ed9K7a`<@:h:7dk>:188k73b2900qo:>0;296?6=8r.84k4je:J0`c=O;k;0eh?50;9l60c=831vn9?>:180>5<7s-93j7<94:J0`c=O;k;0eh<50;9ja6<722e99h4?::a044=8391<7>t$2:e>67<@:nm7E=m1:kf6?6=3`o86=44o37f>5<:?7>54;294~"40o08>6F>ob<3:17b<:e;29?xd38k0;6>4?:1y'7=`=;81C?ih4H2`2?lc52900eh=50;9l60c=831vn9:k:180>5<7s-93j7=>;I1gb>N4j81bi?4?::kf7?6=3f8>i7>5;|`70`<72:0;6=u+39d9632<@:nm7E=m1:kf6?6=3`o86=44o37f>5<?j7>53;294~"40o08=6F>i5=l0;66smc583>7<729q/?5h5ed9K7a`<@:h:7dk>:188k73b2900qom8:180>5<7s-93j7=>;I1gb>N4j81bi?4?::kf7?6=3f8>i7>5;|``N4lo1C?o?4id394?=h:6i:dg8L6ba3A9i=6gj1;29?j42m3:17plla;296?6=8r.84k4je:J0`c=O;k;0eh?50;9l60c=831vnnl50;094?6|,:2m6hk4H2fe?M5e92cn=7>5;n06a?6=3thho7>53;294~"40o08=6F>i5=l0;66smce83>6<729q/?5h5309K7a`<@:h:7dk=:188m`5=831d>8k50;9~ffc=8391<7>t$2:e>7033A9oj6F5;n06a?6=3thh97>54;294~"40o08>6F>ob<3:17b<:e;29?xdd>3:1?7>50z&0=1C?ih4H2`2?lc52900eh=50;9l60c=831vnlo50;094?6|,:2m6hk4H2fe?M5e92cn=7>5;n06a?6=3thjn7>54;294~"40o09:85G3ed8L6d63`o96=44id194?=nm=0;66a=5d83>>{eij0;6?4?:1y'7=`=ml1C?ih4H2`2?lc62900c?;j:188yggc29086=4?{%1;b?563A9oj6F5;n06a?6=3thji7>53;294~"40o08=6F>i5=l0;66smag83>6<729q/?5h52768L6ba3A9i=6gj2;29?lc42900c?;j:188yv472908wS716348h6h<4}r00>5<5s48;64=4=37960c73b348>6h<4}r05>5<5s48=6?;j;<0a>`473b348i6h=4}r0;>5<5s4836?;j;<0`>`573b348h6h:4}r0b>5<5s48j6?;j;<0`>`373b348o6h<4}r0`>5<5s48h6?;j;<0g>`573b348>6h=4}r4a>5<0sW;3o:70?7b;g0?8412l801kj5e29>01`=m;1v:650;33[1?348;6:94=g2932=:9?31;:521cf932=:9;>>3=<70?89;54?870i3=<70?8f;54?87??3=<70?78;54?87?13=<70?60;54?82703o:7p}8d;290~X0l27?m;487:?4b??434k36h?4}r5e>5;<35f?c634;2>7k<;<3;`?c634;387k=;<34`?c6343j6h?4=57g>`7<5=3<6h?4=g49a7=:<9k1i>5rs8494?5|50<1>:?4=8`9a7=:<=o1i?5rs8594?4|50<15>529e811`=z{021<75rs8;94?4|5031>8k4=8a9a7=z{0k1<75rs8`94?4|50h1>8k4=8f9a7=z{0i1<75rs8g94?2|V0o01i=5929>g1;|qb6?6=ir7j:7<81:?ag??334i;6h=4=5`5>`2<5=ko6h<4=5cf>`7<58;j6h?4=b59a7=:k10n=63nc;g2?xuf?3:15v3n6;54?85e<3=<70?>8;54?877<3=<70j<:6589g>=?>16n9487:?a4?1034k36?;j;|qb=?6=:r7j:77<;73b3tyjm7>52z?be?42m27jh7k<;|qbf?6=:r7jn7<:e:?ba?c53tyjo7>52z?bg?42m27ji7k<;|qb`?6=:r7jh7<:e:?bb?c53tyji7>52z?ba?42m27jj7k<;|qb7?6=0r7i<7<81:?ag??234i;6h<4=5`4>`7<5=h:6h?4=03`>`7<5jh1i<52ac8f6>{tj80;6?u2b18:7>;e;38>i6s|b383>7}:j;099h52b28f7>{ti=0;64u2b58134=:jm02963l2;g1?82e>3o>70:nd;g0?82fn3o:70?>d;g2?8ef2l;01ll5e29~wg3=838p1o:5929>f2<5=l1vo850;0x9g0=:0g6=m816=?>5e29>g2;`2<5<5kh1>8k4}r`b>5<5s4hj6?;j;<`a>`5<2<5j:1>8k4=b09a6=z{j;1<7;e:3o:70m9:37f?xud<3:1>v3l4;06a>;dk3o87p}l5;296~;d=38>i63l6;g0?xud?3:1>v3l7;06a>;dm3o97p}l8;296~;d038>i63ld;g1?xud13:1>v3l9;06a>;dl3o87p}la;296~;di38>i63l5;g1?xudj3:1>v3lb;06a>;d=3o?7p}lc;296~;dk38>i63l6;g1?xudl3:1>v3ld;06a>;dm3o87p}le;296~;dm38>i63l5;g0?xudn3:1>v3mc;17e>;e;3o97p}k0;296~;el39?m63m7;g1?xuc93:1>v3me;17e>;ej3o97p}k3;297~;c;38<=63lc;g1?8gc2l80q~h?:186[`734l;6?9>;<6a2?c434>jh7k;;`5<5<5ok1>8k4}rd1>5<5s4l96?;j;`573b34l=6h=4}rd7>5<5s4l?6?;j;`46=4={73b34l<6h=4}rd5>5<5s4l=6?;j;`573b34lj6h:4}rd;>5<5s4l36?;j;`573b34lj6h<4}rda>5<5s4li6?;j;`473b34l86h=4}rdg>5<5s4lo6?;j;`473b34l?6h=4}rde>5<5s4lm6?;j;`452z?245<5=l16j84j4:p557=838p1<>>:37f?8`22l?0q~??2;296~;68;099h52f48f2>{t99>1<7;t^027?877<38<=63>808f5>;6?j0n>63ib;g2?xu68<0;6?u21169=6=:99<1>8k4}r32`5<5oi1i<5rs03:>5<5s4;:477<;<315?42m2wx=576=m<1vi63>218f2>{t98o1<773b34;9=7k=;|q25c<72;q6=0q~?=0;296~;6:9099h521339a6=z{8936=4={_30<>;3>;0n>6s|15594?4|V8><70?:b;;0?xu6=k0;69u214`9627<58?n6h?4=57`>`4<5=3=6h<4}r36g?6=0r7:9k4=5d9>53e=m816=4<5e39>5=e=m816>:4j1:?ea?c634>;m7k=;<67`?c53ty:9i4?:3y>50b=:v3>5d811`=:95rs04:>5<4s4;=57<81:?23=6c83>7}:9?h1>8k4=04g>`452z?22f<5=l16=;j5e59~w40b2909w0?99;;0?870838>i6s|17d94?4|58527=:v3>73811`=:9>21i95rs050>5<5s4;52>=m11v<98:181870?38>i63>718f6>{t9>21<773b34;<<7k;;|q23<<72w0?89;;0?870i38<=63>758f5>;503o:70??1;g2?xu6?k0;6?u216c9=6=:9>o1>8k4}r34g?6=:r7:;n4=5d9>52c=m;1v<9k:181870l38>i63>7d8f0>{t9>l1<7mt=05e>71634>8j7k=;<3;1?c534;`3<5=<;6h<4=5;b>`4<58=>6h?4=369a7=:99:1i<52f98f6>;3990n=6s|19294?4|58=m64=4=0:5>73b3ty:4<4?:3y>5=7=:v3>83811`=:9191i>5rs0:0>5<5s4;3?7<:e:?2<132;?n70?75;g0?xu60<0;6?u2197960c<582=6h=4}r3;3?6=ir7:4:4=709>06`=m:16=585e39>==4j1:?10?c434l26h<4=52e>`759z?2<2<>;27:454=709>==`759z?2<=<>;27:444=709>==`752z?2<<<>;27:4k4=5d9~w4>e2909w0?7b;06a>;60o0n>6s|19a94?4|582h6?;j;<3;a?c53ty:4i4?:3y>5=b=:v3>8d811`=:91l1i>5rs0;3>5>o7k<;<6:2?c434;<:7k>;<343?c5348=6h=4=3c9a4=:nm0n>63if;g2?827k3o:7p}>9083>7}:90:15>52184960c7>52z?2=7<5=l16=4:5e39~w4?42909w0?63;06a>;61=0n?6s|18694?4|583?6?;j;<3:1?c43ty:584?:3y>5<3=:vP>ac9>0v3;3i?03o6s|3c694?5|5:h?6?9>;<6a2?c534>jh7k:;|q0f0<72;q6?o:5929>7g0=:;30m0<;63;52843>;3;j0<;63;09811`=z{=:26=4={<632??434>;n7<:e:p05g=838p19>n:37f?82693o97p};0b83>7}:<9i1>8k4=532>`5;h7>52z?74a<5=l168<=5e39~w16b2909w0:?e;06a>;39;0n>6s|41d94?4|5=:m6?;j;<626?c43ty?==4?:3y>046=:m:d18yv2693:1>v3;10811`=:<891i>5rs531>5<5s4>:>7<:e:?7560;6?uQ405894612l;0q~:<1;296~X3;816={t<=31<7i6s|45a94?4|5=>j6h?4=56e>73b3ty?8i4?:3y>01b=:v3;4d811`=:<=l1i>5rs570>5<4sW>>?63;528134=:<5<5s4>=87k>;<656?42m2wx88o50;0x913f2;?n70:91;g0?xu3=k0;6?u244`960c<5=?n6h=4}r66g?6=:r7?9n4=5d9>00`=m;1v9;k:181822l38>i63;5g8f7>{t<73b34>=<7k<;|q71c<72;q688h524g891062l>0q~:90;296~;3>9099h524739a7=z{=<:6=4={<655?42m27?:?4j3:p035=838p19;<:81891032;?n7p};8383>7}Y<18010=b=:>;0197::d38yv2>;3:1>v3;9d8f5>;31j099h5rs5;7>5<5s4>287<:e:?7=g0038>i63;9`8f7>{t<031<773b34>2n7k;;|q7=d<72;q684o524g891?e2l80q~:6b;296~;31k099h5248a9a6=z{=3o6=4={<6;`??434>2i7<:e:p0d0=839pR9o9;<6b2?40927:=o4j1:p0dg=838p19o9:81891ge2;?n7p};ab83>7}:jh7>52z?7ea<5=l168o=5e39~w1gb2909w0:ne;06a>;3j;0n>6s|4`d94?4|5=km6?;j;<6a6?c43ty?n=4?:3y>0g6=:v3;b0811`=:1i95rs5`1>5<5s4>i>7<:e:?7f68k4}r6a2?6=:r7?n;4=5d9>0gg=m;1v9l8:18182e?38>i63;b88f6>{t73b34>i57k<;|q7f<<72;q68o7524g891df2l90q~:mb;296~X3jk16?o85e09~yg5a:3:1i7li:b5xL6d63-93j76k;%3`b?50j2e88?4?::k;f?6=3`9>m7>5;n105<k0;66g;c583>>i5?;0;66g=9283>>d4m90;6<4?:1y'7=`=m91C?ih4H2`2?jba2900qo=lf;297?6=8r.84k4ka:J0`c=O;k;0(hj50:k43?6=3`386=44o352>5<53;294~"40o0om6F:188yg5d13:1?7>50z&05;h;0>5<N4lo1C?o?4$df94>o0?3:17d7<:188k7162900qo?i6;291?6=8r.84k4kc:J0`c=O;k;0e5o50;9j5;h;0>5<N4lo1C?o?4i9c94?=n0j0;66g87;29?l?42900c?9>:188yg44>3:197>50z&05<7>55;294~"40o0oo6F>o0?3:17d7<:188k7162900qo<=e;291?6=8r.84k4kc:J0`c=O;k;0e5o50;9j5;h;0>5<N4lo1C?o?4i9c94?=n0j0;66g87;29?l?42900c?9>:188yg45>3:197>50z&05<7>55;294~"40o0oo6F>o0?3:17d7<:188k7162900qo<>e;291?6=8r.84k4kc:J0`c=O;k;0e5o50;9j5;h;0>5<N4lo1C?o?4i9c94?=n0j0;66g87;29?l?42900c?9>:188yg5f93:197>50z&05;h:`>5<=1<75f9283>>i5?80;66sm38f94?3=83:p(>6i:ea8L6ba3A9i=6*jd;28m=g=831b4n4?::k43?6=3`386=44o352>5<55;294~"40o0oo6Fd2900e:950;9j=6<722e9;<4?::a7<2=83?1<7>t$2:e>ae<@:nm7E=m1:&f`?6>o0?3:17d7<:188k7162900qoj8:180>5<7s-93j7jn;I1gb>N4j81b;:4?::k:7?6=3f8<=7>5;|`g2?6=;3:1N4lo1C?o?4i6594?=n1:0;66a=7083>>{e<;91<7=50;2x 6>a2mk0D>ji;I1a5>o0?3:17d7<:188k7162900qo:76;297?6=8r.84k4ka:J0`c=O;k;0e:950;9j=6<722e9;<4?::a2g<72:0;6=u+39d9`d=O;ml0D>l>;h54>5<0;66g63;29?j4093:17pl>dd83>6<729q/?5h5d`9K7a`<@:h:7d98:188m<5=831d>:?50;9~f13529086=4?{%1;b?bf3A9oj6F5;n045?6=3th:i;4?:483>5}#;1l1hn5G3ed8L6d63`2j6=44i9a94?=n?>0;66g63;29?j4093:17pl>eg83>0<729q/?5h5db9K7a`<@:h:7d6n:188m=e=831b;:4?::k:7?6=3f8<=7>5;|`07=<72<0;6=u+39d9`f=O;ml0D>l>;h:b>5<>o>;3:17b<81;29?xd4<;0;684?:1y'7=`=lj1C?ih4H2`2?l>f2900e5m50;9j32<722c2?7>5;n045?6=3th:o=4?:283>5}#;1l1hl5G3ed8L6d63-oo6=5f7683>>o>;3:17b<81;29?xd6k=0;6>4?:1y'7=`=lh1C?ih4H2`2?!cc291b;:4?::k:7?6=3f8<=7>5;|`0e2<72:0:6>uG3c38 6>a28n:7d7;:188m<3=831d?9o50;9a7`3=8391<7>t$2:e>6bb3A9oj6F5;n06a?6=3ty287>52z\:0>;4m<0n?6s|9483>7}Y1<16?h;5e39~w62f2909wS=;a:?0a0<5=l1vqo=n6;297?7=;rB8n<5+39d95a71<75f9483>>i46<729q/?5h53eg8L6ba3A9i=6gj2;29?lc42900c?;j:188yv?32909wS7;;<1f1?c43ty297>52z\:1>;4m<0n>6s|35c94?4|V:>j70=j5;06a>{zj:k>6=4<:080M5e92.84k4>d09j=1<722c297>5;n17e?6=3k9n97>53;294~"40o08hh5G3ed8L6d63`o96=44id194?=h:01>k::d18yv?22909wS7:;<1f1?c53ty88l4?:3y]71g<5:o>6?;j;|a7a2=8391=7=tH2`2?!5?n3;o=6g64;29?l?22900c>:n:188f6c229086=4?{%1;b?5cm2B8hk5G3c38m`4=831bi>4?::m11`<722wx594?:3y]=1=:;l?1i>5rs8794?4|V0?01>k::d08yv53i3:1>vP<4`9>7`3=:6<62:qC?o?4$2:e>4b63`3?6=44i8794?=h;=k1<75m3d794?5=83:p(>6i:2ff?M5cn2B8n<5fe383>>ob;3:17b<:e;29?xu><3:1>vP64:?0a05<5sW9?m637>53;397~N4j81/?5h51e38m<2=831b584?::m00d<722h8i84?:283>5}#;1l1?ik4H2fe?M5e92cn>7>5;hg0>5<5<5sW3?70=j5;g0?xu>=3:1>vP65:?0a0"40o0:h<5f9583>>o>=3:17b=;a;29?g5b=3:1?7>50z&06h=4}r;6>5<5sW3>70=j5;g1?xu4l>;%1;b?7c92c287>5;h;6>5<j6=44b2g6>5<4290;w)=7f;1ga>N4lo1C?o?4id094?=nm:0;66a=5d83>>{t1=0;6?uQ959>7`3=m:1v4;50;0xZ<3<5:o>6h<4}r17e?6=:rT88l523d7960c6}O;k;0(>6i:0f2?l?32900e4;50;9l71g=831i?h;50;194?6|,:2m6>jj;I1gb>N4j81bi?4?::kf7?6=3f8>i7>5;|q:0?6=:rT2863{t1<0;6?uQ949>7`3=m;1v>:n:181[53i278i84=5d9~yg43:3:1?7?53zJ0f4=#;1l1=i?4i8694?=n1<0;66a<4`83>>d4m<0;6>4?:1y'7=`=;mo0D>ji;I1a5>ob:3:17dk<:188k73b2900q~7;:181[?3349n97k<;|q:1?6=:rT2963{t;=k1<7i6srb360>5<42808wE=m1:&05;c1f1?6=;3:1l>;hg1>5<1<773b3twi>9:50;195?5|@:h:7)=7f;3g5>o><3:17d7::188k62f2900n>k::180>5<7s-93j7=ke:J0`c=O;k;0eh<50;9ja6<722e99h4?::p=1<72;qU59523d79a6=z{0?1<74>:2yK7g7<,:2m6;h;7>5<a2:nn7E=kf:J0f4=nm;0;66gj3;29?j42m3:17p}64;296~X><278i84j3:p=0<72;qU58523d79a7=z{:>j6=4={_17e>;4m<099h5r}c072?6=;3;1?vF5<=278i84j2:p71g=838pR>:n;<1f1?42m2wvn?=k:180>4<4sA9i=6*<8g82`4=n1=0;66g65;29?j53i3:17o=j5;297?6=8r.84k48k50;9~w<2=838pR4:4=2g6>`56=4={_;6?85b=3o97p}<4`83>7}Y;=k01>k::37f?x{e::o1<7=51;1xL6d63-93j7?k1:k:0?6=3`3>6=44o26b>5<6=4<:183!5?n39oi6F>i5=l0;66s|9583>7}Y1=16?h;5e29~w<3=838pR4;4=2g6>`452z\00d=:;l?1>8k4}|`17f<72:0:6>uG3c38 6>a28n:7d7;:188m<3=831d?9o50;9a7`3=8391<7>t$2:e>6bb3A9oj6F5;n06a?6=3ty287>52z\:0>;4m<0n?6s|9483>7}Y1<16?h;5e39~w62f2909wS=;a:?0a0<5=l1vqoo?:181>5<7s-93j7kj;I1gb>N4j81bi<4?::m11`<722wim<4?:283>5}#;1l1?<5G3ed8L6d63`o96=44id194?=h:5<4290;w)=7f;12?M5cn2B8n<5fe383>>ob;3:17b<:e;29?xd4<80;6?4?:1y'7=`=ml1C?ih4H2`2?lc62900c?;j:188yg46k3:1>7>50z&08k50;9~f77c29086=4?{%1;b?563A9oj6F5;n06a?6=3th9>=4?:383>5}#;1l1ih5G3ed8L6d63`o:6=44o37f>5<53;294~"40o08=6F>i5=l0;66sm23694?4=83:p(>6i:dg8L6ba3A9i=6gj1;29?j42m3:17pl=2483>6<729q/?5h5309K7a`<@:h:7dk=:188m`5=831d>8k50;9~f74?29096=4?{%1;b?cb3A9oj6Ft$2:e>67<@:nm7E=m1:kf6?6=3`o86=44o37f>5<52;294~"40o0ni6F7<729q/?5h5ed9K7a`<@:h:7dk>:188k73b2900qo<<1;297?6=8r.84k4<1:J0`c=O;k;0eh<50;9ja6<722e99h4?::a662=8381<7>t$2:e>`c<@:nm7E=m1:kf5?6=3f8>i7>5;|`170<72:0;6=u+39d974=O;ml0D>l>;hg1>5<>{e::31<7=50;2x 6>a2:;0D>ji;I1a5>ob:3:17dk<:188k73b2900qo?i5;297?6=8r.84k4=659K7a`<@:h:7dk=:188m`5=831d>8k50;9~f4`529096=4?{%1;b?cb3A9oj6Ft$2:e>67<@:nm7E=m1:kf6?6=3`o86=44o37f>5<52;294~"40o0ni6F6<729q/?5h5309K7a`<@:h:7dk=:188m`5=831d>8k50;9~f73?29086=4?{%1;b?563A9oj6F5;n06a?6=3th99;4?:283>5}#;1l1?<5G3ed8L6d63`o96=44id194?=h:5<4290;w)=7f;12?M5cn2B8n<5fe383>>ob;3:17b<:e;29?xd5=;0;6>4?:1y'7=`=;81C?ih4H2`2?lc52900eh=50;9l60c=831vn?;?:180>5<7s-93j7=>;I1gb>N4j81bi?4?::kf7?6=3f8>i7>5;|`10`<72:0;6=u+39d974=O;ml0D>l>;hg1>5<h6=4<:183!5?n39:7E=kf:J0f4=nm;0;66gj3;29?j42m3:17pl=4`83>6<729q/?5h5309K7a`<@:h:7dk=:188m`5=831d>8k50;9~f72?29086=4?{%1;b?563A9oj6F5;n06a?6=3th8h<4?:283>5}#;1l1?<5G3ed8L6d63`o96=44id194?=h:5<4290;w)=7f;12?M5cn2B8n<5fe383>>ob;3:17b<:e;29?xd4kk0;6>4?:1y'7=`=;81C?ih4H2`2?lc52900eh=50;9l60c=831vn>m8:181>5<7s-93j7kj;I1gb>N4j81bi<4?::m11`<722wi?n650;194?6|,:2m6>?4H2fe?M5e92cn>7>5;hg0>5<N4lo1C?o?4id094?=nm:0;66a=5d83>>{e;m21<7=50;2x 6>a2:;0D>ji;I1a5>ob:3:17dk<:188k73b2900qo=n3;296?6=8r.84k4je:J0`c=O;k;0eh?50;9l60c=831vn>o;:180>5<7s-93j7=>;I1gb>N4j81bi?4?::kf7?6=3f8>i7>5;|`0=c<72;0;6=u+39d9a`=O;ml0D>l>;hg2>5<N4lo1C?o?4id094?=nm:0;66a=5d83>>{e;0h1<7<50;2x 6>a2lo0D>ji;I1a5>ob93:17b<:e;29?xd41j0;6>4?:1y'7=`=;81C?ih4H2`2?lc52900eh=50;9l60c=831vn>79:181>5<7s-93j7kj;I1gb>N4j81bi<4?::m11`<722wi?4950;094?6|,:2m6hk4H2fe?M5e92cn=7>5;n06a?6=3th8554?:283>5}#;1l1?<5G3ed8L6d63`o96=44id194?=h:5<4290;w)=7f;12?M5cn2B8n<5fe383>>ob;3:17b<:e;29?xd4ik0;6>4?:1y'7=`=;81C?ih4H2`2?lc52900eh=50;9l60c=831vn5<7s-93j7kj;I1gb>N4j81bi<4?::m11`<722wi=nj50;194?6|,:2m6>?4H2fe?M5e92cn>7>5;hg0>5<N4lo1C?o?4id094?=nm:0;66gj4;29?j42m3:17pl<4483>6<729q/?5h5309K7a`<@:h:7dk=:188m`5=831d>8k50;9~f62129096=4?{%1;b?cb3A9oj6Ft$2:e>`c<@:nm7E=m1:kf5?6=3f8>i7>5;|`00=<72:0;6=u+39d974=O;ml0D>l>;hg1>5<26=4<:183!5?n39:7E=kf:J0f4=nm;0;66gj3;29?j42m3:17pl>e183><<729q/?5h5379K7a`<@:h:7dk=:188m`5=831bi94?::kf1?6=3`o=6=44id594?=nm10;66gj9;29?j42m3:17pl>e083>6<729q/?5h5309K7a`<@:h:7dk=:188m`5=831d>8k50;9~f4c529096=4?{%1;b?cb3A9oj6Ft$2:e>67<@:nm7E=m1:kf6?6=3`o86=44o37f>5<53;294~"40o08=6F>i5=l0;66sm1d794?5=83:p(>6i:238L6ba3A9i=6gj2;29?lc42900c?;j:188yg54j3:1?7>50z&04?::m11`<722wi?>m50;094?6|,:2m6hk4H2fe?M5e92cn=7>5;n06a?6=3th8?i4?:383>5}#;1l1ih5G3ed8L6d63`o:6=44o37f>5<53;294~"40o08=6F>i5=l0;66sm32d94?5=83:p(>6i:238L6ba3A9i=6gj2;29?lc42900c?;j:188yg7aj3:1>7>50z&08k50;9~f76529096=4?{%1;b?cb3A9oj6Ft$2:e>`c<@:nm7E=m1:kf5?6=3f8>i7>5;|`141<72;0;6=u+39d9a`=O;ml0D>l>;hg2>5<N4lo1C?o?4id394?=h:5<5290;w)=7f;gf?M5cn2B8n<5fe083>>i5=l0;66sm21594?4=83:p(>6i:dg8L6ba3A9i=6gj1;29?j42m3:17pl=0983>7<729q/?5h5ed9K7a`<@:h:7dk>:188k73b2900qo5<7s-93j7kj;I1gb>N4j81bi<4?::m11`<722wi=kj50;094?6|,:2m6hk4H2fe?M5e92cn=7>5;n06a?6=3th:jh4?:383>5}#;1l1ih5G3ed8L6d63`o:6=44o37f>5<59;294~"40o08:6F>ob<3:17dk::188m`0=831bi:4?::kf5<55;294~"40o08?6F>ob<3:17dk::188k73b2900qot$2:e>`c<@:nm7E=m1:kf5?6=3f8>i7>5;|`2g2<72;0;6=u+39d9a`=O;ml0D>l>;hg2>5<N4lo1C?o?4id094?=nm:0;66a=5d83>>{e9j31<7=50;2x 6>a2:;0D>ji;I1a5>ob:3:17dk<:188k73b2900qo?la;297?6=8r.84k4=659K7a`<@:h:7dk=:188m`5=831d>8k50;9~f4e5290?6=4?{%1;b?553A9oj6F5;hg7>5<l>;hg1>5<>{e:9i1<7<50;2x 6>a2lo0D>ji;I1a5>ob93:17b<:e;29?xd58m0;6?4?:1y'7=`=ml1C?ih4H2`2?lc62900c?;j:188yg47m3:1>7>50z&08k50;9~f76a29086=4?{%1;b?41<2B8hk5G3c38m`4=831bi>4?::m11`<722wi><>50;194?6|,:2m6>?4H2fe?M5e92cn>7>5;hg0>5<l>;hg1>5<e983>7<729q/?5h5ed9K7a`<@:h:7dk>:188k73b2900qo?j9;296?6=8r.84k4je:J0`c=O;k;0eh?50;9l60c=831vn5<7s-93j7kj;I1gb>N4j81bi<4?::m11`<722wi=hl50;694?6|,:2m6><4H2fe?M5e92cn>7>5;hg0>5<1<75`24g94?=zj8oh6=4<:183!5?n38=86F>i5=l0;66sm1df94?5=83:p(>6i:238L6ba3A9i=6gj2;29?lc42900c?;j:188yg7bm3:1?7>50z&0=1C?ih4H2`2?lc52900eh=50;9l60c=831vn;j50;094?6|,:2m6hk4H2fe?M5e92cn=7>5;n06a?6=3th=i7>52;294~"40o0ni6Fa2:;0D>ji;I1a5>ob:3:17dk<:188k73b2900qo9?:180>5<7s-93j7=>;I1gb>N4j81bi?4?::kf7?6=3f8>i7>5;|`45?6=;3:1l>;hg1>5<81<7=50;2x 6>a2:;0D>ji;I1a5>ob:3:17dk<:188k73b2900qo:=6;297?6=8r.84k4<1:J0`c=O;k;0eh<50;9ja6<722e99h4?::a071=8381<7>t$2:e>`c<@:nm7E=m1:kf5?6=3f8>i7>5;|`76=<72;0;6=u+39d9a`=O;ml0D>l>;hg2>5<N4lo1C?o?4id094?=nm:0;66a=5d83>>{e<;k1<7=50;2x 6>a2;6<729q/?5h5309K7a`<@:h:7dk=:188m`5=831d>8k50;9~f14d29086=4?{%1;b?41<2B8hk5G3c38m`4=831bi>4?::m11`<722wi>::50;794?6|,:2m6>=4H2fe?M5e92cn>7>5;hg0>5<1<75fe483>>i5=l0;66sm26;94?4=83:p(>6i:dg8L6ba3A9i=6gj1;29?j42m3:17pl=7`83>7<729q/?5h5ed9K7a`<@:h:7dk>:188k73b2900qo<8b;296?6=8r.84k4je:J0`c=O;k;0eh?50;9l60c=831vn?9l:181>5<7s-93j7kj;I1gb>N4j81bi<4?::m11`<722wi>:j50;094?6|,:2m6hk4H2fe?M5e92cn=7>5;n06a?6=3th9;h4?:283>5}#;1l1>;:4H2fe?M5e92cn>7>5;hg0>5<N4lo1C?o?4id094?=nm:0;66a=5d83>>{e:1:1<7=50;2x 6>a2:;0D>ji;I1a5>ob:3:17dk<:188k73b2900qo<85;297?6=8r.84k4=659K7a`<@:h:7dk=:188m`5=831d>8k50;9~f71129086=4?{%1;b?41<2B8hk5G3c38m`4=831bi>4?::m11`<722wi>:950;194?6|,:2m6>?4H2fe?M5e92cn>7>5;hg0>5<l>;hg1>5<6<729q/?5h5309K7a`<@:h:7dk=:188m`5=831d>8k50;9~f77129096=4?{%1;b?cb3A9oj6Ft$2:e>7033A9oj6F5;n06a?6=3th9=54?:583>5}#;1l1??5G3ed8L6d63`o96=44id194?=nm=0;66a=5d83>>{e:831<7=50;2x 6>a2;52z?5f??434=96?;j;|q5`?6=:r7=h7<:e:?5b?c43ty=i7>52z?5a?42m27<<7k<;|q5b?6=:r7=j7<:e:?45?c53ty<<7>52z?44?42m27<=7k<;|q45?6=:r7<=7<:e:?46?c43ty59z\47>;4l80n>63;4kk0n>63;58k0n=63>ec8f6>;5?>0n>63=148f6>{t0k0;6??t^9`896ea2>=01>ml:65896e>2>=01>m::65894`12>=01=01?==:658974b2>=01?=01?<=:658977b2>=01??n:65896g62>=01>7k:65896?>2>=01>7;:6589a1=?>16h;487:?766<0?27?4;487:?5f?10348<>798;<3ga?1034>>>798;<3f2?1034;nj798;<10798;<3`4?1034;h8798;|q:a?6=:rT2i63k6;;0?xu>n3:1mv3<398;e>;4<;03m63<958;e>;4i803m63<9e8;e>;41003m63n1;06a>;4j90n?63>bg8f6>;59=0n>6s|a183>7}:i9099h52a08f7>{tl=0;6>u2d68:7>;c>38<=63n0;g2?xuc=3:1>v3k7;045>;f93o97p}>bd83>1}:9kl1>8k4=0a4>`7<58i36h<4=0a1>`458z?2g5<5?816=nj5e39>5f0=m816=n=5e39>37;4j2:?762c383>7}:9j81>8k4=0a0>`558z?2g1<5?816=nm5e09>5f?=m;16=n<5e59>2a;|q2g0<72;q6=n:5929>5fg=:=838p1c883>7}:9j31>8k4=0ab>`552z?2gf<5=l16=nj5e29~w4eb290:;59j0n=63=218f5>;5:=0n=63=298f5>;5:j0n=63=318f5>;5;=0n=63=398f5>;6n<0n?63>f38f5>;6n10n=63>ce811`=::9o1i<521d:9a4=:9lh1i>5rs0ff>5<5s4;oi7<81:?2fc6h<4}r3f5?6=:r7:i<4=5d9>5`2=m;1vi63>e28f7>{t9l91<773b34;n87k<;|q2a1<72;q6=h:524g894c22l90q~?k2;293~;6m?09;<5222a9=1=::=21i>521d09a4=::981i<521dc9a4=::>i1i<5rs0g4>5<5s4;n:77<;<3fa?42m2wx=h650;0x94c?2;?n70?jc;g1?xu6m00;6?u21d;960c<58oh6h=4}r3fe?6=:r7:il4=5d9>5`b=m:1vi63>ed8f7>{t9li1<773b34;nh7k=;|q2aa<72;q6=hj524g894cb2l80q~?k5;292~;6mo09;<5222a9=0=::=21i?521d29a7=:9oh1i<5226f9a4=z{8n86=4:{<3e4?409279?i465:?11dl47a:?163?47a:?15`;5;l02963>e28f6>;6nl0n=6s|1g594?4|58l=64=4=0d:>73b3ty:j54?:3y>5c>=:5<5s4;mn7<:e:?2bc656=m<1vi63=008f6>{t:9:1<773b348;=7k<;|q147<72;q6>=<524g894`a2l90q~::37f?87an3o=7p}=0783>7}::9<1>8k4=0de>`152z?142<5=l16=kh5e99~w76?2909w0;6no0n56s|21;94?4|5;:26?;j;<034?c53ty95``=1:16><<524g8yv47j3:1>v3=0c811`=::9l1i?5rs32`>5<5s48;o7<:e:?14c=j50;0x976c2;?n70<>0;g0?xu58l0;6?u221g960c<5;;:6h=4}r03b?6=:r79646=m;1v???:181846838>i63=108f6>{t:8;1<773b348:>7k<;|q156<72:q6=h858`9>5``=0h16><7524g8yv46<3:1>v3=15811`=::831i?5rs336>5<5s48:97<:e:?152<850;0x97712;?n70<>8;g7?xu59>0;6?u2205960c<5;;36h<4}r0264?=m:1v;563>e18f7>;58:0n=6s|20`94?4|5;;j64=4=33g>73b3ty9=n4?:3y>64e=:d983>0}::;81>:?4=362><3<5;>n6h<4=0g3>`2<5;:?6h?4}r017?6=:r79>?463:?160<5=l1v?<;:181845<38>i63=248f7>{t9m31<7;t=305>716348?>77:;<064?c534;n<7k9;<032?c63ty9>:4?:3y>670=1:16>?7524g8yv4503:1>v3=29811`=::;31i>5rs0fb>5<2s489m7<81:?106<>=2799?4j2:?2a57}::;i1>8k4=30g>`555z?16`<5?816>9:5949>602=m;16=h>5e69>651=m81v?{t:::1<773b3488=7k<;|q2`f<72><52638972220?01?;9:d0894c72l301?>6:d38yv44;3:1>v3=338:7>;5;<099h5rs317>5<5s48887<:e:?1707}:::<15>5222;960c52z?17=<5=l16>>75e29~w7202908w0<{t:=31<7=t=363><2<5;>h6h=4=36b>73b3ty98o4?:2y>617=1=16>9k5e29>61e=:;55<4s48??77;;<066?c4348><7<:e:p607=839p1?:;:86897332l901?;=:37f?xu5=:0;6>u22579=1=::<<1i>52246960c97>53z?103<><279954j3:?113<5=l1v?;8:180844l33?70<:a;g0?842038>i6s|24;94?4|5;9n64:4=37b>73b3ty99o4?:01x96c72ml01o>:9a896?c21i01>76:9a896?321i01=7:9a8962521i0q~<82;297~X5?;16>:<52638971b2l80q~<83;296~;5?;02?63=79811`=z{;=?6=4={<040?42m279;h4j3:p623=838p1?9::37f?840>3o87p}=7783>7}::><1>8k4=354>`552z?132<5=l16>:65e39~w71>2909w0<89;06a>;5?o0n>6s|26c94?4|5;=j6?;j;<04b?c43ty9;o4?:3y>62d=:v3=7b811`=::1:1i?5rs35g>5<5s48:k50;0x971b2;?n70<86;g1?xu5?o0;6?u226d960c<5;=>6h<4}r0;4?6=:r794=4=5d9>62>=m:1v?7<:181[4>;279;44j1:p76>=838pR>=7;<10o50;0x96262l;01>=i:37f?xu4;k0;6?u232`960c<5:9m6h<4}r10g?6=:r78?n4=5d9>76c=m;1v>=k:181854l38>i63<3d8f7>{t;:o1<773b3498j7k<;|q005<72;q6?>65929>717=:>6=4={<171?42m278844j2:p710=838p1>:9:37f?85303o97p}<4683>7}:;==1>8k4=26;>`552z?00=<5=l16?975e29~w63f290?wS=:a:?14f;|q0=5<72?q6?4:5263896g220>01>78:d3896g>2l901>:::d08965d2l;0q~=65;296~;41=02?63<99811`=z{:3=6=4={<1:2?42m278554j2:p7<1=838p1>78:37f?85>03o87p}<9083>0}:;031>:?4=2c6><3<5:k26h<4=265>`7<5:9i6h<4}r1:e?6=:r7854463:?0=f<5=l1v>7m:18185>j38>i63<9b8f7>{t;081<7;t=2;g>716349j:77:;<1bf?c5349?;7k>;<10`?c63ty85h4?:3y>7524g8yv5>n3:1>v3<9g811`=:;h:1i>5rs2;0>5<3s49j=7<81:?0e2<>=278884j3:?07go;:37f?xu4i:0;6?u23`1960c<5:k?6h=4}r1bi6s|3`a94?4|5:k>6>:n;<1:g?c53ty8mi4?:3y>7d0=;=k01>o?:d08yv5fm3:1>v31i?5rs2ce>5<1s49i<7<:e:?2b04j1:?0=c7}:;j?15>523b:960c52z?0g2<5=l16?n65e29~w6e5290?w0=l9;045>;4l;02963;5?=0n?6s|3bc94?4|5:i264=4=2aa>73b3ty8o>4?:5y>7fe=:>;01>j<:87896b?2l801?9;:d68yv5dl3:1>v3;4kl099h5rs2a7>5<4s49hj7<81:?0`1<>=279;94j5:p7a6=838p1>mi:81896b62;?n7p}6}:;m9159523e4960c<5:n36h=4}r1g3?6=:r78h9464:?0`=<5=l1v>j6:18185c:39?m63{t;mk1<762f349hi7k<;|q0`g<72;q6?i:535c896b62l90q~=j7;296~;5;j088l522009a7=z{:o36=4={<00`?53i27:j>4j2:p7`?=838p1?=j:26b?87a13o97p}7}:::l1?9o4=33g>`452z?105<4??5e39~w6cd2909w0<;1;17e>;5:<0n>6s|3df94?4|5;>96>:n;<01=?c53ty8ih4?:3y>615=;=k01?v3=45800d=:::;1i?5rs2d3>5<5s48?97=;a:?170j70<<9;g1?xu3::0;68uQ431891442;=:708j:d3891412l9019v3;228:7>;3:j099h5rs505>5<5s4>9:7<:e:?76f44=5d9>07g=m:1v9i63;2c8f7>{t<;h1<773b34>9o7k<;|q715<72;qU88>4=571><5>>7>53z?717<5?816=n<5e29>07>=m81v969:181[2?>27?4;4=709~w1e32909wS:l4:?13ghj:181>5<7s-93j7kj;I1gb>N4j81bi<4?::m11`<722wi8<;50;094?6|,:2m6hk4H2fe?M5e92cn=7>5;n06a?6=3th8jl4?:383>5}#;1l1ih5G3ed8L6d63`o:6=44o37f>5<52;294~"40o0ni6F7<729q/?5h5ed9K7a`<@:h:7dk>:188k73b2900qo:?2;296?6=8r.84k4jc:J0`c=O;k;0eh?50;9l60c=831vn96i:181>5<7s-93j7kl;I1gb>N4j81bi<4?::m11`<722wi8??50;094?6|,:2m6hm4H2fe?M5e92cn=7>5;n06a?6=3tho>7>52;294~"40o0no6Fa2li0D>ji;I1a5>ob93:17b<:e;29?xd3;<0;6?4?:1y'7=`=mj1C?ih4H2`2?lc62900c?;j:188yg2293:1>7>50z&08k50;9~f1da29096=4?{%1;b?cd3A9oj6Fl>;hg2>5<5<5290;w)=7f;g`?M5cn2B8n<5fe083>>i5=l0;66sm44794?4=83:p(>6i:da8L6ba3A9i=6gj1;29?j42m3:17pl;c783>7<729q/?5h5eb9K7a`<@:h:7dk>:188k73b2900qo8=:181>5<7s-93j7kl;I1gb>N4j81bi<4?::m11`<722wi:<4?:383>5}#;1l1in5G3ed8L6d63`o:6=44o37f>5<>{e=o0;6?4?:1y'7=`=mj1C?ih4H2`2?lc62900c?;j:188yg3c29096=4?{%1;b?cd3A9oj6Fl>;hg2>5<5<5290;w)=7f;g`?M5cn2B8n<5fe083>>i5=l0;66sm5`83>7<729q/?5h5eb9K7a`<@:h:7dk>:188k73b2900qo;6:181>5<7s-93j7kl;I1gb>N4j81bi<4?::m11`<722wi954?:383>5}#;1l1in5G3ed8L6d63`o:6=44o37f>5<>{e=?0;6?4?:1y'7=`=mj1C?ih4H2`2?lc62900c?;j:188yg3229096=4?{%1;b?cd3A9oj6Fl>;hg2>5<5<5290;w)=7f;g`?M5cn2B8n<5fe083>>i5=l0;66sm6883>7<729q/?5h5eb9K7a`<@:h:7dk>:188k73b2900qo87:181>5<7s-93j7kl;I1gb>N4j81bi<4?::m11`<722wi::4?:383>5}#;1l1in5G3ed8L6d63`o:6=44o37f>5<>{e><0;6?4?:1y'7=`=mj1C?ih4H2`2?lc62900c?;j:188yg0329096=4?{%1;b?cd3A9oj6Fl>;hg2>5<5<5290;w)=7f;g`?M5cn2B8n<5fe083>>i5=l0;66sm49194?2=83:p(>6i:02:?M5cn2B8n<5fe083>>o>m3:17b<:e;29?jca2900qo:<3;296?6=8r.84k4=5g9K7a`<@:h:7dk>:188k73b2900qo:md;296?6=8r.84k4=5g9K7a`<@:h:7dk>:188k73b2900qo:7c;296?6=8r.84k4=5g9K7a`<@:h:7dk>:188k73b2900qo9l:181>5<7s-93j7<:f:J0`c=O;k;0eh?50;9l60c=831vn96>:181>5<7s-93j7<:f:J0`c=O;k;0eh?50;9l60c=831vn998:181>5<7s-93j7<:f:J0`c=O;k;0eh?50;9l60c=831vn95<7s-93j7<:f:J0`c=O;k;0eh?50;9l60c=831vn9<;:181>5<7s-93j7<:f:J0`c=O;k;0eh?50;9l60c=831vn9>;:180>5<7s-93j7<90:J0`c=O;k;0eh?50;9j=`<722e99h4?::a040=8381<7>t$2:e>73a3A9oj6Ft$2:e>73a3A9oj6Ft$2:e>7073A9oj6F5;n06a?6=3th?:k4?:383>5}#;1l1>8h4H2fe?M5e92cn=7>5;n06a?6=3th8j54?:383>5}#;1l1>8h4H2fe?M5e92cn=7>5;n06a?6=3th8jk4?:383>5}#;1l1>8h4H2fe?M5e92cn=7>5;n06a?6=3th?444?:383>5}#;1l1>8h4H2fe?M5e92cn=7>5;n06a?6=3th??i4?:383>5}#;1l1>8h4H2fe?M5e92cn=7>5;n06a?6=3th?9:4?:283>5}#;1l1>;>4H2fe?M5e92cn=7>5;h;f>5<l>;hg2>5<96=4=:183!5?n38>j6Fi6=4=:183!5?n38>j6Fj6Fj6Fj6Fj6Fj6Fj6Fj6Fj6F6=4=:183!5?n38>j6Fj6Fj6Fj6Fj6F76<5:lj6h?4}r01>5<5s49m:752z?0b3<5m278jh4j1:p7f<72;qU?n52558f5>{t;m0;6?uQ3e9>107}Y;o169:4j1:p05<72;qU8=52598f5>{t<80;6?uQ409>1<7}Y<:169o4j1:p01<72;qU89525b8f5>{t<<0;6?uQ449>1a7}Y<>169k4j1:p0=<72;qU8552618f5>{t<00;6?uQ489>24;0n=6s|4b83>7}Y4j1:p0a<72;qU8i52658f5>{t20?0n=6s|5183>7}Y=916::4j1:p14<72;qU9<52698f5>{t=;0;6?uQ539>2<4?:3y]16=:>h0n=6s|5583>6}:;o91;63;c684?8332;?n7p}:5;297~;4n:0370:l7;:8903=:13<5=l1v8950;1x96`42h168n95a:?63?42m2wx954?:2y>7c5=j27?o:4m;<7;>73b3ty>57>53z?0b6h;7m4=4;960c`=:{t=j0;6>u23g19b>;3k>0m70;l:37f?xu2l3:1?v3;3k>0:<63:d;06a>{t=l0;6?u24b5954=:=l099h5rs4d94?5|5:l86<<4=5a4>44<58k4}r43>5<4s49m?7?;;<6`3?7334<;6?;j;|q55?6=:r78j>4>5:?55?42m2wx:?4?:3y>7c5=9>16:?4=5d9~w35=838p19m8:0:8935=:v3;c682e>;1=38>i6s|6783>7}:4e<5?=1>8k4}r4;>5<5s4>h;7?k;<4;>73b3ty=57>53z?0b6<6m27?o:4>e:?5=?42m2wx:l4?:2y>7c5=9o168n951g9>2d<5=l1v;l50;5x96`22?h01>h=:7`891722l;019>;:8g891?620o019;8:8g891g?20o0q~9<:18685a;3=870:l7;50?85a>3=870=i4;50?85a:3=87p}88;296~X0027<57k>;|q4=?6=:r78j8488:?4=?42m2wx;o4?:3y]3g=:?j099h5rs6a94?4|5:o:6?8m;<5`>`7m3:1>vP6e:?g6?c63tyo>7>53z?0b0<>m278j?46e:?g6?42m2wxh54?:3y]`==:l00n=6s|d883>3}:;o914o524b59`g<5:l?6ho4}rd3>5<5s49m:7h?;<1e1?`73ty:<94?:3y>7c0=99>01>h::027?xu68h0;6?u23g1955g<5:l=6<>n;|q25=<72;q6?k85130896`228;37p}>2583>7}:;o<1=?:4=2d6>45?3ty:?44?:3y>7c0=9:301>h;:01:?xu6<90;6>u23g19516<5:l=6<:?;<1e0?7382wx=9?50;0x96`128>:70=i4;375>{t9==1<7420349m97?;7:p5<1=838p1>h9:0;4?85a=3;jn6s|1`a94?4|5:l=68l50;0x96c72ml0196<:d38yv42k3:1?v3;82811`=:;o9185<4=2d6>1>53ty9;?4?:3y>7c2=:>801>h=:351?xu5080;6?uQ293897de2;?n7p}=8383>7}Y:1801?m>:37f?xu50=0;6?uQ296897e02;?n7p}=8483>7}Y:1?01?m7:37f?xu50?0;6?uQ294897eb2;?n7p}=8683>7}Y:1=01?j;:37f?xu50j0;6?uQ29a897b22;?n7p}=8e83>7}Y:1n01?jm:37f?xu50l0;6?uQ29g897c62;?n7p}=8g83>7}Y:1l01?k8:37f?xu5190;6?uQ282897cc2;?n7p}=9083>7}Y:0;01?h<:37f?xu51:0;6?u23g196<5<5=i<6?7<;|q1=1<72:q6?k=5286896`32;3870=i2;0:7>{t:h<1<77g1349m877}:5<4=3a2>`752z?7g2<50=16>n95e09~w7e?2909w0:l7;0;1>;5k10n=6s|2bg94?4|5=i<6?69;<0`a?c63ty9h94?:3y>0f1=:1=01?j;:d38yv4c=3:1>v3;c6815<5s4>h;7<7d:?1`gh?50;0x91e02;2n700;6?u24b596=`<5;o<6h?4}r0f`?6=:r7?o:4=919>6`b=m81v?h<:18182d?382=63=f28f5>{t:o31<7=t=2d0>7`>34>h;7;4n;088?5rs27b>5<5s49m?7=:a:?0b7<4=h1v>8m:18185a;39=n63;4n1099h5rs2d;>5<5s49mo7<:e:?0b=52z?0b`<5=l16?kh5e09~w1662909wS:?1:?747;4n=0?<=52410960c;?7>52z\746=:<9>1>8k4}r631?6=:r78j84;079>052=m81v9?;:181[26<27?=;4=5d9~w1712909w0:>5;06a>;39?0n=6s|40:94?4|V=;370:>9;06a>{t<831<717?34>:57k>;|q765<72;qU8?>4=502>`79=7>52z?0b0<39>168??524g8yv25;3:1>vP;229>072=:>524369a4=z{=8o6=4={_61`>;3:l099h5rs50f>5<5s4>h;7:=d:?76`<50;0xZ15534>8?7<:e:p065=838p1>h;:512?824;3o:7p};3583>7}Y<:>019=::d38yv24=3:1>v38k4}r60g?6=:rT??n5242f960c8h7>52z?0b0<3;j168>j5e09~w1272909wS:;0:?707<5=l1v9:=:18185a>3>?<63;438f5>{t<=31<7i6s|45`94?4|5:l>69:6;<67f?c63ty?9=4?:3y]006<5=?:6h?4}r665?6=:r78j?4;519>007=:;4n?0?9>52447960c>:7>52z\713=:<<=1>8k4}r66001=m81v98l:181[21k27?:k4=5d9~w10a2909w0:l7;65g>;3>o0n=6s|46494?4|V===70:87;06a>{t<>=1<710234><;7k>;|q73=<72;qU8:64=55:>73b3ty?;44?:3y>0f1=<0l01996:d38yv20i3:1>vP;7`9>0=7=:0?;l524939a4=z{=296=4={_6;6>;30:0nj6s|49694?4|5=2>6?;j;<6;7??b3ty?4;4?:3y>7c4=<1<0196::d38yv2??3:1>vP;869>0=?=:0?4:5249;9a4=z{=2j6=4={_6;e>;30j099h5rs5:`>5<5s4>h;7:7a:?7b34>3j7k>;|q7{t<0:1<7938>i6s|48094?4|5:l>696k;<6:5?c63ty?m:4?:3y]0d1<5=k36?;j;|q7e<<72;q6?k;54`4891g?2l;0q~:mc;296~X3jj168oj524g8yv2el3:1>v35<5sW>ii63;bg8f5>{t1de34>ij7<:e:p0f3=838pR9m:;<6`2?c63ty?o;4?:4y>7c5=019m8:5a7?85a>3>h8638k4}|l2553=838pD>l>;|l2550=838pD>l>;|l2551=838pD>l>;|l255>=83;pD>l>;|l255?=83;pD>l>;|l255g=83;pD>l>;|l255d=83;pD>l>;|l255e=83;pD>l>;|l255b=83;pD>l>;|l255c=83;pD>l>;|l255`=83;pD>l>;|l2546=83;pD>l>;|l2547=83;pD>l>;|l2544=83;pD>l>;|l2545=83;pD>l>;|l2542=83;pD>l>;|l2543=83;pD>l>;|l2540=83;pD>l>;|l2541=83;pD>l>;|l254>=83;pD>l>;|l254?=83;pD>l>;|l254g=83;pD>l>;|l254d=83;pD>l>;|l254e=83;pD>l>;|l254b=83;pD>l>;|l254c=83;pD>l>;|l254`=839pD>l>;|l2576=839pD>l>;|l2577=839pD>l>;|l2574=839pD>l>;|l2575=839pD>l>;|l2572=839pD>l>;|l2573=839pD>l>;|l2570=839pD>l>;|l2571=839pD>l>;|l257>=839pD>l>;|l257?=838pD>l>;|l257g=839pD>l>;|l257d=839pD>l>;|l257e=838pD>l>;|l257b=838pD>l>;|l257c=838pD>l>;|l257`=838pD>l>;|l2566=838pD>l>;|l2567=838pD>l>;|l2564=838pD>l>;|l2565=838pD>l>;|l2562=839pD>l>;|l2563=839pD>l>;|l2560=839pD>l>;|l2561=83l>;|l256>=83;pD>l>;|l256?=838pD>l>;|l256g=83;pD>l>;|l256d=83;pD>l>;|l256e=83;pD>l>;|l256b=838pD>l>;|l256c=83;pD>l>;|l256`=839pD>l>;|l2516=83;pD>l>;|l2517=83l>;|l2514=839pD>l>;|l2515=839pD>l>;|l2512=839pD>l>;|l2513=839pD>l>;|l2510=839pD>l>;|l2511=839pD>l>;|l251>=839pD>l>;|l251?=83>pD>l>;|l251g=839pD>l>;|l251d=839pD>l>;|l251e=839pD>l>;|l251b=839pD>l>;|l251c=83;pD>l>;|l251`=839pD>l>;|l2506=839pD>l>;|l2507=83;pD>l>;|l2504=83;pD>l>;|l2505=83;pD>l>;|l2502=83;pD>l>;|l2503=83;pD>l>;|l2500=83;pD>l>;|l2501=83;pD>l>;|l250>=83;pD>l>;|l250?=83;pD>l>;|l250g=83;pD>l>;|l250d=83;pD>l>;|l250e=83;pD>l>;|l250b=839pD>l>;|l250c=83>pD>l>;|l250`=839pD>l>;|l2536=838pD>l>;|l2537=838pD>l>;|l2534=839pD>l>;|l2535=838pD>l>;|l2532=838pD>l>;|l2530=838pD>l>;|l2531=838pD>l>;|l253>=838pD>l>;|l253?=838pD>l>;|l253g=838pD>l>;|l253d=838pD>l>;|l253e=83>pD>l>;|l253b=839pD>l>;|l253c=839pD>l>;|l253`=839pD>l>;|l2526=839pD>l>;|l2527=839pD>l>;|l2524=83;pD>l>;|l2525=83;pD>l>;|l2522=83;pD>l>;|l2523=83;pD>l>;|l2520=83;pD>l>;|l2521=83;pD>l>;|l252>=83;pD>l>;|l252?=83>pD>l>;|l252g=83;pD>l>;|l252d=838pD>l>;|l252e=83;pD>l>;|l252b=83;pD>l>;|l252c=83;pD>l>;|l252`=838pD>l>;|l25=6=83;pD>l>;|l25=7=838pD>l>;|l25=4=83;pD>l>;|l25=5=838pD>l>;|l25=2=83;pD>l>;|l25=3=838pD>l>;|l25=0=83;pD>l>;|l25=1=838pD>l>;|l25=>=83;pD>l>;|l25=?=838pD>l>;|l25=g=83;pD>l>;|l25=d=838pD>l>;|l25=e=83;pD>l>;|l25=b=838pD>l>;|l25=c=83;pD>l>;|l25=`=838pD>l>;|l25<6=83;pD>l>;|l25<7=838pD>l>;|l25<4=83;pD>l>;|l25<5=839pD>l>;|l25<2=83;pD>l>;|l25<3=838pD>l>;|l25<0=83;pD>l>;|l25<1=838pD>l>;|l25<>=83;pD>l>;|l25l>;|l25l>;|l25l>;|l25l>;|l25l>;|l25l>;|l25<`=83;pD>l>;|l25d6=838pD>l>;|l25d7=83;pD>l>;|l25d4=838pD>l>;|l25d5=83;pD>l>;|l25d2=838pD>l>;|l25d3=83;pD>l>;|l25d0=839pD>l>;|l25d1=83;pD>l>;|l25d>=838pD>l>;|l25d?=83;pD>l>;|l25dg=838pD>l>;|l25dd=83;pD>l>;|l25de=838pD>l>;|l25db=83;pD>l>;|l25dc=838pD>l>;|l25d`=83;pD>l>;|l25g6=83?pD>l>;|l2g6<728qC?o?4}o3`0?6=9rB8n<5rn0a6>5<6sA9i=6sa1b494?7|@:h:7p`>c683>4}O;k;0qc?l8;295~N4j81vb51zJ0f4=zf8io6=4>{I1a5>{i9jo1<7?tH2`2?xh6l90;6l>;|l2`3<728qC?o?4}o3g5<6sA9i=6sa1ec94?7|@:h:7p`>dc83>4}O;k;0qc?kd;295~N4j81vb51zJ0f4=zf8o86=4>{I1a5>{i9l>1<7?tH2`2?xh6m<0;63:1=vF=83;pD>l>;|l2a<<728qC?o?4}o3fe?6=9rB8n<5rn0ga>5<6sA9i=6sa1da94?7|@:h:7p`>ee83>4}O;k;0qc?je;295~N4j81vb50;3xL6d63td:j<4?:0yK7g751zJ0f4=zf8l?6=4>{I1a5>{i9o?1<7?tH2`2?xh6n?0;6l>;|l2bd<728qC?o?4}o3ef?6=9rB8n<5rn0d`>5<6sA9i=6sa1gf94?7|@:h:7p`>fd83>4}O;k;0qc?if;295~N4j81vb?>?:182M5e92we>=?50;3xL6d63td951zJ0f4=zf;:?6=4>{I1a5>{i:9?1<7?tH2`2?xh58?0;6l>;|l14d<728qC?o?4}o03f?6=9rB8n<5rn32`>5<6sA9i=6sa21f94?7|@:h:7p`=0d83>4}O;k;0qc:9e;295~N4j81vb98i:182M5e92we8:>50;3xL6d63td?;<4?:0yK7g7<>7>51zJ0f4=zf==86=4>{I1a5>{i<>>1<7?tH2`2?xh3?<0;63:1=vFl>;|l73d<728qC?o?4}o64f?6=9rB8n<5rn5:2>5<6sA9i=6sa49094?7|@:h:7p`;8283>4}O;k;0qc:7b;295~N4j81vb96l:182M5e92we85j50;3xL6d63td?4h4?:0yK7g73j7>51zJ0f4=zf=3;6=4>{I1a5>{i<0;1<7?tH2`2?xh31;0;6;3:1=vFl>;|l7=2<728qC?o?4}o6:5<6sA9i=6sa48c94?7|@:h:7p`;9c83>4}O;k;0qc:6c;295~N4j81vb97k:182M5e92we84k50;3xL6d63td?5k4?:0yK7g7j<7>51zJ0f4=zf=k:6=4>{I1a5>{il>;|l7e2<728qC?o?4}o6b5<6sA9i=6sa4`c94?7|@:h:7p`;ac83>4}O;k;0qc:nc;295~N4j81vb9ok:182M5e92we8lk50;3xL6d63td?mk4?:0yK7g7i<7>51zJ0f4=zf=h:6=4>{I1a5>{il>;|l7f2<728qC?o?4}o6a5<6sA9i=6sa4cc94?7|@:h:7p`;bc83>4}O;k;0qc:mc;295~N4j81vb9lk:182M5e92we8ok50;3xL6d63td?nk4?:0yK7g7h<7>51zJ0f4=zf=i:6=4>{I1a5>{il>;|l7g=<728qC?o?4}o6`=?6=9rB8n<5rn5ab>5<6sA9i=6sa4b`94?7|@:h:7p`;cb83>4}O;k;0qc:ld;295~N4j81vb9mj:182M5e92we8nh50;3xL6d63td?h=4?:0yK7g7o=7>51zJ0f4=zf=n96=4>{I1a5>{il>;|l7`=<728qC?o?4}o6g=?6=9rB8n<5rn5fb>5<6sA9i=6sa4e`94?7|@:h:7p`;db83>4}O;k;0qc:kd;295~N4j81vb9jj:182M5e92we8ih50;3xL6d63td?i=4?:0yK7g7n=7>51zJ0f4=zf=o96=4>{I1a5>{il>;|l7a`<728qC?o?4}o6fb?6=9rB8n<5rn5d3>5<6sA9i=6sa4g394?7|@:h:7p`;f283>4}O;k;0qc:i5;295~N4j81vb9h9:182M5e92we8k950;3xL6d63td?j54?:0yK7g7m57>51zJ0f4=zf=ln6=4>{I1a5>{i290:wE=m1:m34e=83;pD>l>;|l45a<728qC?o?4}o52b?6=9rB8n<5rn600>5<6sA9i=6sa73794?7|@:h:7p`82783>4}O;k;0qc9=7;295~N4j81vb:<7:182M5e92we;?750;3xL6d63td<>l4?:0yK7g751zJ0f4=zf>8h6=4>{I1a5>{i?;n1<7?tH2`2?xh0:l0;6l>;|l477<728qC?o?4}o507?6=9rB8n<5rn617>5<6sA9i=6sa72794?7|@:h:7p`83683>4}O;k;0qc9<8;295~N4j81vb:=6:182M5e92we;>o50;3xL6d63td51zJ0f4=zf>9m6=4>{I1a5>{i?=:1<7?tH2`2?xh0<80;6l>;|l403<728qC?o?4}o573?6=9rB8n<5rn66;>5<6sA9i=6sa75;94?7|@:h:7p`84`83>4}O;k;0qc9;b;295~N4j81vb::l:182M5e92we;9j50;3xL6d63td<8h4?:0yK7g751zJ0f4=zf>?;6=4>{I1a5>{i?<;1<7?tH2`2?xh0=;0;6l>;|l413<728qC?o?4}o563?6=9rB8n<5rn67;>5<6sA9i=6sa74;94?7|@:h:7p`85`83>4}O;k;0qc9:c;295~N4j81vb:;k:182M5e92we;8k50;3xL6d63td<9k4?:0yK7g751zJ0f4=zf><:6=4>{I1a5>{i??81<7?tH2`2?xh0>:0;6l>;|l422<728qC?o?4}o555<6sA9i=6sa77c94?7|@:h:7p`86c83>4}O;k;0qc99c;295~N4j81vb:8j:182M5e92we;;h50;3xL6d63td<;=4?:0yK7g751zJ0f4=zf>=96=4>{I1a5>{i?>91<7?tH2`2?xh0?=0;6l>;|l43=<728qC?o?4}o54=?6=9rB8n<5rn65b>5<6sA9i=6sa76`94?7|@:h:7p`87b83>4}O;k;0qc98d;295~N4j81vb:9j:182M5e92we;:h50;3xL6d63td<4<4?:0yK7g77>51zJ0f4=zf>286=4>{I1a5>{i?1>1<7?tH2`2?xh00<0;63:1=vF0290:wE=m1:m3=>=83;pD>l>;|l4<<<728qC?o?4}o5;e?6=9rB8n<5rn6:a>5<6sA9i=6sa79a94?7|@:h:7p`88e83>4}O;k;0qc97e;295~N4j81vb:6i:182M5e92we;4>50;3xL6d63td<5<4?:0yK7g77>51zJ0f4=zf>386=4>{I1a5>{i?0>1<7?tH2`2?xh01<0;6>3:1=vF=83;pD>l>;|l4=g<728qC?o?4}o5:g?6=9rB8n<5rn6;g>5<6sA9i=6sa78g94?7|@:h:7p`8a183>4}O;k;0qc9n1;295~N4j81vb:o=:182M5e92we;l=50;3xL6d63td51zJ0f4=zf1:96=4>{I1a5>{i0991<7?tH2`2?xh?8<0;67n3:1=vFl>;|l;57<728qC?o?4}o:27?6=9rB8n<5rn937>5<6sA9i=6sa80794?7|@:h:7p`71983>4}O;k;0qc6>9;295~N4j81vb5?n:182M5e92we451zJ0f4=zfk:n6=4>{I1a5>{ij9l1<7?tH2`2?xhe990;6l>;|la51<728qC?o?4}o`21?6=9rB8n<5rnc35>5<6sA9i=6sab0594?7|@:h:7p`m1c83>4}O;k;0qcl>c;295~N4j81vbo?k:182M5e92wen51zJ0f4=zfk8:6=4>{I1a5>{ij;81<7?tH2`2?xhe:=0;6?uG3c38ykd5=3:1=vFl>;|la6=<728qC?o?4}o`1=?6=9rB8n<5rnc0b>5<6sA9i=6sab3`94?7|@:h:7p`m2b83>7}O;k;0qcl=d;295~N4j81vbo51zJ0f4=zfk996=4={I1a5>{ij:91<7?tH2`2?xhe;=0;6vFl>;|la7=<728qC?o?4}o`0=?6=9rB8n<5rnc1b>5<6sA9i=6sab2`94?7|@:h:7p`m3b83>4}O;k;0qclh50;3xL6d63tdi8=4?:0yK7g751zJ0f4=zfk>96=4={I1a5>{ij=91<7=83;pD>l>;|la0<<728qC?o?4}o`7e?6=9rB8n<5rnc6a>5<6sA9i=6sab5a94?7|@:h:7p`m4e83>4}O;k;0qcl;e;295~N4j81vbo:i:182M5e92wen8>50;3xL6d63tdi9<4?:0yK7g7>7>51zJ0f4=zfk?86=4>{I1a5>{ij<>1<7?tH2`2?xhe=<0;63:1=vFl>;|la1g<728qC?o?4}o`6g?6=9rB8n<5rnc7g>5<6sA9i=6sab4g94?7|@:h:7p`m5g83>4}O;k;0qcl90;295~N4j81vbo8>:182M5e92wen;<50;3xL6d63tdi:84?:0yK7g751zJ0f4=zfk<<6=4>{I1a5>{ij?21<7?tH2`2?xhe>00;6l>;|la2a<728qC?o?4}o`5a?6=9rB8n<5rnc4e>5<6sA9i=6sab6294?7|@:h:7p`m7083>4}O;k;0qcl82;295~N4j81vbo9<:182M5e92wen::50;3xL6d63tdi;84?:0yK7g751zJ0f4=zfk=<6=4>{I1a5>{ij>21<7?tH2`2?xhe?00;6l>;|la3a<728qC?o?4}o`4a?6=9rB8n<5rnc5e>5<6sA9i=6sab9294?7|@:h:7p`m8083>4}O;k;0qcl72;295~N4j81vbo6<:182M5e92wen5:50;3xL6d63tdi484?:0yK7g751zJ0f4=zfk2<6=4>{I1a5>{ij121<7?tH2`2?xhe000;6e290:wE=m1:mf=e=83;pD>l>;|la5<6sA9i=6sab8294?7|@:h:7p`m9283>4}O;k;0qcl64;295~N4j81vbo7::182M5e92wen4850;3xL6d63tdi554?:0yK7g751zJ0f4=zfk3j6=4>{I1a5>{ij0h1<7?tH2`2?xhe1j0;6l3:1=vFl>;|lae5<728qC?o?4}o`b5?6=9rB8n<5rncc1>5<6sA9i=6sab`494?7|@:h:7p`ma983>4}O;k;0qcln9;295~N4j81vboon:182M5e92wenlm50;3xL6d63tdimi4?:0yK7g751zJ0f4=zfkkm6=4>{I1a5>{ijk:1<7?tH2`2?xhej80;6l>;|laf0<728qC?o?4}o`a5<6sA9i=6sabcc94?7|@:h:7p`mbc83>4}O;k;0qclmc;295~N4j81vbolk:182M5e92wenok50;3xL6d63tdink4?:0yK7g751zJ0f4=zfki:6=4>{I1a5>{ijj91<7?tH2`2?xhek=0;6l>;|lag=<728qC?o?4}o``=?6=9rB8n<5rncab>5<6sA9i=6sabb`94?7|@:h:7p`mcb83>4}O;k;0qclld;295~N4j81vbomj:182M5e92wennh50;3xL6d63tdih=4?:0yK7g787>51zJ0f4=zfo?>6=4>{I1a5>{in<<1<7?tH2`2?xha=>0;6290:wE=m1:mb0b=83;pD>l>;|le1`<728qC?o?4}od6b?6=9rB8n<5rng43>5<6sA9i=6saf7394?7|@:h:7p`i6383>4}O;k;0qch93;295~N4j81vbk8;:182M5e92wej;;50;3xL6d63tdm:;4?:0yK7g751zJ0f4=zfo<36=4>{I1a5>{in?31<7?tH2`2?xha>h0;6l>;|le2`<728qC?o?4}od5b?6=9rB8n<5rng53>5<6sA9i=6saf6394?7|@:h:7p`i7383>4}O;k;0qch83;295~N4j81vbk9;:181M5e92wej:;50;3xL6d63tdm;;4?:0yK7g751zJ0f4=zfo=36=4>{I1a5>{in>31<7?tH2`2?xha?h0;6l>;|le3`<728qC?o?4}od4b?6=9rB8n<5rng:3>5<6sA9i=6saf9394?4|@:h:7p`i8383>4}O;k;0qch73;295~N4j81vbk6;:182M5e92wej5;50;3xL6d63tdm4;4?:0yK7g751zJ0f4=zfo236=4>{I1a5>{in131<7?tH2`2?xha0h0;6d290:wE=m1:mb=b=83;pD>l>;|le<`<728qC?o?4}od;b?6=9rB8n<5rng;3>5<6sA9i=6saf8394?7|@:h:7p`i9383>4}O;k;0qch63;295~N4j81vbk7;:182M5e92wej4;50;3xL6d63tdm5;4?:0yK7g751zJ0f4=zfo336=4>{I1a5>{in031<7?tH2`2?xha1h0;6j3:1=vFl>;|le=`<728qC?o?4}od:b?6=9rB8n<5rngc3>5<6sA9i=6saf`394?4|@:h:7p`ia383>4}O;k;0qchn3;295~N4j81vbko;:181M5e92wejl;50;3xL6d63tdmm;4?:0yK7g752zJ0f4=zfok36=4>{I1a5>{inh31<7?tH2`2?xhaih0;6?uG3c38yk`fj3:1=vFl>;|lee`<728qC?o?4}odbb?6=9rB8n<5rng`3>5<5sA9i=6safc394?7|@:h:7p`ib383>4}O;k;0qchm3;296~N4j81vbkl;:182M5e92wejo;50;3xL6d63tdmn;4?:3yK7g751zJ0f4=zfoh36=4>{I1a5>{ink31<7vFl>;|lef`<72;qC?o?4}odab?6=:rB8n<5rnga3>5<5sA9i=6safb394?4|@:h:7p`ic383>7}O;k;0qchl3;295~N4j81vbkm::182M5e92wejn850;3xL6d63tdmo:4?:0yK7g751zJ0f4=zfoi26=4>{I1a5>{injk1<7?tH2`2?xhakk0;6l>;|legc<728qC?o?4}odg4?6=9rB8n<5rngf2>5<6sA9i=6safe694?7|@:h:7p`id483>4}O;k;0qchk6;295~N4j81vbkj8:182M5e92weji650;3xL6d63tdmh44?:0yK7g751zJ0f4=zfonh6=4>{I1a5>{inmn1<7?tH2`2?xhall0;6l>;|lea1<728qC?o?4}odf1?6=9rB8n<5rngg5>5<5sA9i=6safd594?7|@:h:7p`ie983>4}O;k;0qchj9;296~N4j81vbkkn:182M5e92wejhl50;3xL6d63tdmin4?:3yK7g751zJ0f4=zfoon6=4>{I1a5>{inll1<7vFl>;|leb1<728qC?o?4}ode1?6=:rB8n<5rngd5>5<6sA9i=6safg594?7|@:h:7p`if983>7}O;k;0qchi9;295~N4j81vbkhn:181M5e92wejkl50;3xL6d63tdmjn4?:3yK7g751zJ0f4=zfoln6=4={I1a5>{inol1<71<71<7?tH2`2?xh688?1<7?tH2`2?xh688=1<7?tH2`2?x{zuIJHw<;?e;g34cd000wKLOu?}ABSxFG \ No newline at end of file diff --git a/cpld/XC95144XL/WarpSE.ngd b/cpld/XC95144XL/WarpSE.ngd index 6541cf3..b86a480 100644 --- a/cpld/XC95144XL/WarpSE.ngd +++ b/cpld/XC95144XL/WarpSE.ngd @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6e -$5fb5=23-;B8<;4$0K770=#9@?>96*>N506?!7I<=?0(<@;8008 Wcqazcdb7.>.37:$!><.V;B8 X9G>9S964&^3M01Y302,T=C:7_53844=69;1:>7AZTQWW>WG;980;2<>4178JJUSS2H69?76111915?OIX\^1M1<>:0<20>442@D[YY4N_GQA875=97l0?7GAPTV9WR:4284>7L2>0?78E9766<1J0<<15:C?56823H6:83;4A=36:0=F48<596O316<6?D:607?0M1?6>59B84823H69<394A=02>4823H69=3;4A=01:2=F4;9143;4A=00:1=F4;4?7L2<>59B81833H6>295N<7<7?D:06=1J050;;@>::1=FDL:>7LBJ0L78EIC7[j1J@H>Pmtz3456c3HFNGKM9Ufyu>?016g?DJB8Vg~t=>?04f8EIC7Wds<=>?6e9BH@6Xe|r;<=>8d:COA5Yj}q:;<=6k;@NF4Zkrp9:;<4:4AMG2g>GKM8UTc>?00f8EIC6WVey<=>>129BJA2GIL>>0MCJ74:CPvcb24;?>89B[CUE488556OPFR@?568>3HUM_O2>4?;8EZ@TJ5;>245N_GQA840912KTJ^L316<:?DYA[K6:4374A^DPF97>611JSK]M<0<:?DYA[K69<374A^DPF946601JSK]M<30=f>GXNZH7>>4>>89B[CUE4;9546OPFR@?6;>GXNZH7:364A^DPF91902KTJ^L38?:8EZ@TJ535h6OPFR@\55YNJ\Lo7LQISC]25ZOE]On0MRH\B^31[LDRNm1JSK]M_01\MGSAl2KTJ^LP15]JFP@c3HUM_OQ>5^KAQCbGXNZHT=5QFBTDg?DYA[KU:5RGMUGa8EZ@TJV;TEO[Id:C\BVDX:9UBNXHk;@]EWGY59VCIYKj4A^DPFZ45W@H^Ji5N_GQA[75XAK_Mo6OPFR@\6ZOE]Oi0MRH\B^1\MGSAk2KTJ^LP4^KAQCeGXNZHT:RGMUGa8EZ@TJV=TEO[Ic:C\BVDX0VCIYKm4A^DPFZ?XAK_M:6O`uuMFa>Gh}}ENS`{w0123b>Gh}}ENS`{w01235c=Fg|~DIRczx12347`0NLM[5:@BGQ723KKHX?;4B@AWv6=E]O;;7O[FLE]WEWAB\HXHD55MUR]JJCI63J>0O<8B9:A22HYNJ\L=7NE?E{90OL]m;BCP[hs89:;o6MNS^ov|56788i0OL]Pmtz34565k2IJ_Rczx12346eEF[Vg~t=>?04a8GDUXe|r;<=>9c:ABWZkrp9:;<:m4C@Q\ip~789:3>6MJ3:AOO6=DD[90OCZ6;BMNILRSMM=0O_KNTDF1?FU43J^G:6Mce`p2b>Ekmhx:SRoad12344=Cm2NYOR]ZCBGPWCSK?2Njxl2?>69Geqg;97<0Hb{{ODf8@jssGLUd~=>?0d9GkprHMVey<=>?1d9GkprHMVey<=>?2d9GkprHMVey<=>?3d9GkprHMVey<=>?4d9GkprHMVey<=>?5d9GkprHMVey<=>?609F1>CAief=7HHnlm35?@@fde8>7H]30?78AV:66<1N_1<15:GP86833LYTh5JS^2\[dhc89:;?h5JS^2\[dhc89:;8h5JS^2\[dhc89:;9h5JS^2\[dhc89:;:95JS^3g?@UX9VUjbi>?01g8AVY6WVkeh=>?00g8AVY6WVkeh=>?0368AVY5l2OXS?QPaof3456b3LYT>RQnne23457b3LYT>RQnne2345433LYT?i5JS^1\[dhc89:;i6K\_2]\ekb789::i6K\_2]\ekb789:9=k5JS^Ob`aYXimn;<=>PMymq[Wct}e~7=3?i;DQ\IdbcWVkoh=>?0^O{kwYUmzgx1<11g9FWZKflmUTmij?012\I}iuW[oxyaz33?3:?@UXEhnoSRokd1234ZYffm:;<=?n;DQ\IdbcWVkoh=>?0^]bja6789;:56K\_Lcg`ZYflm:;<=QPaof34576i2OXS@okd^]b`a6789UTmcj?0132`>CTWXU:Sb|?012f?@UXYV;Tc>?013e?@UXYV;Tc>?0133b>CTWXU:Sb|?01225`=B[V[T=Ra}01236`=B[V[T=Ra}01237`=B[V[T=Ra}01230`=B[V[T=Ra}01231`=B[V[T=Ra}01232`=B[V[T=Ra}01233`=B[V[T=Ra}0123<`=B[V[T=Ra}0123=`=B[VUjhi>?01>2:`=B[VUjhi>?01>1:`=B[VUjhi>?01>0:==BPYKEHHJ>1:G[TDHCMMUIOIQIIMG20>C_XHDOIIQLOOQWVDOI\Z;:7HV_AOFF@ZOTMVLB@H84E^KAQC449Fv979<2OyS=:4Es]20>@DDB30JNBD_H@VB7=AL:1MHN:4FEAF0>@CKY90JI^=;GD;?CV@I@DBX55IRNO\QF@43OYI=6I<;FLG5>O53@:97D?=;H01?L553@>97D;=;H41?L133@KH_85FABQ20>OE]O80EC64IODFVWCC12CEEY][AUG4?LHN\Z^H46GAIUQWG4c2:KMMQUXNZGTJKj>-Hl1a>OIA]YTJ^CPFGf154=NF@^XSK]B_GDg6(Oi9;1BBDZ\_GQN[C@c:$Ce=<<4IOKWWZ@TEVLMh?#Fn331?LHN\ZUM_@QIFe0.Mk56:2CEEY]PFRO\BCb5%@d?=?5FNHVP[CUJWOLo> Ga5d9JJLRTWOYFSB{{3g9JJLRTWOYFSB{{30d8MKOS[VLXARAzt2024>OIA]YTo`~Pep23465h5FO@AW[hs89:;?h5FO@AW[hs89:;8h5FO@AW[hs89:;9h5FO@AW[hs89:;:h5FO@AW[hs89:;;h5FO@AW[hs89:;4h5FO@AW[hs89:;5;5FO@AWv3=NGKOY^h5FOCGQVZhh|9:;=k5FOCGQVZhh|9:;=<:4IN@N0>OHJZ<0EBLZFD48MJEHEY>0EBM\5:KLGV713@EH_<>9;HM@W4713@EH_<<9;HM@W4513@EH_<:9;HM@W4323@EH_?;4INAP70=NGJY?96G@CR76?LID[??0EBM\749JKFU?=2CDO^79;HMGKKCc3@EOCCKPos2345cl;HMO4Zkrp9:;h6G@L1]nq}67898o7DAC0^ov|5678:n0EBB?_lw{4567Pmtz34562l2CD@=Qbuy23450c3@EGOHD8i0EBB>_omw4566l2CD@?0031?LIIX[ojhtQPos234546:2CDB]\jae{\[jt789:8=?5FOORQadb~WVey<=>?4008MJHWZlkouRQ`r123400?0031?LIW_[ojhtQPos234546:2CD\Z\jae{\[jt789:8;6G@REPFUc=NG[NYI\Qnne2345773@EYH_K^_`lg456798:0EB\KRDS\ekb789:9==5FOSFQATYffm:;<==i;HMQ@WCVWds<=>?119JKWBUMXUfyu>?01325>OHZMXN]Rczx123446692CD^I\JQ^ov|56788;:=6G@REPFUZkrp9:;<<<>1:KLVATBYVg~t=>?00125>OHZMXN]Rczx123442682CD^I\JQ^ov|5678;;;7DA]DSGR[hs89:;?<>4INPGV@WXe|r;<=>;119JKWBUMXUfyu>?01724>OHZMXN]Rczx12343773@EYH_K^_lw{4567?8:0EB\KRDS\ip~789:3==5FOSFQATYj}q:;<=77;HMQ@WCVz?1BC_K^r69JKWCVz8=0EB\JQs04?LIUMXx896G@RV3g?LIU_8Ujbi>?01g8MJTP9Vkeh=>?00g8MJTP9Vkeh=>?03g8MJTP9Vkeh=>?02g8MJTP9Vkeh=>?05g8MJTP9Vkeh=>?04g8MJTP9Vkeh=>?07g8MJTP9Vkeh=>?0668MJU7k2CD_=Qnne2345bOH[9Ujbi>?011`?LIT8Vg~t=>?0e9JKV6Xe|r;<=>>e:KLW5Yj}q:;<=??d:KLW5Yj}q:;<=j4INQ3[hs89:;8i5FOR2\ip~789:>h6G@S1]nq}6789n0EB]?_lw{45670m1BC^>Pmtz3456>k2CD_RH\M^DE`4eOH[VLXARHId3/Jj46m4INQ\BVKXNOn8j6G@S^DPIZ@Al:'Bb<>4INQ\BVKXNOn8!D`>119JKVYA[DUMJi="Io024>OH[VLXARHId2/Jj6773@EXSK]B_GDg7(Oi<8:0EB]PFRO\BCb4%@d>==5FOR]EWHYANm9&Ec8l;HMP[CUJWOLo8n5FOR]EWHYANm?h7DA\_GQN[C@c>o1BC^QISL]EBa0*Ag;;7DA\_GQN[C@c>$Ce=<>4INQ\BVKXNOn=!D`=119JKVYA[DUMJi8"Io124>OH[VLXARHId7/Jj1773@EXSK]B_GDg2(Oi=j1BC^QISL]EBa1a3@EXSK]B_GDg3(Oi991BC^QISL]EBa1*Ag;:<6G@S^DPIZ@Al>'Bb???;HMP[CUJWOLo; Ga3028MJUXNZGTJKj8-Hl755=NGZUM_@QIFe5.Mk313@E_U]K;;HMV4f=NG\:Taxv?012g?LIR8Vg~t=>?00g8MJS7Wds<=>?11g8MJS7Wds<=>?10g8MJS7Wds<=>?13g8MJS7Wds<=>?12g8MJS7Wds<=>?15g8MJS7Wds<=>?14f8MJS7Wds<=>?2e9JKP6Xe|r;<=>0EB[>c:KLQ4Yig}:;<OH_[XN]k5FOVPQATYj}q:;<=??;HMTVWCVWds<=>?1038MJQUZL[Taxv?0122447>3038MJQUZL[Taxv?01220470:KLSWTBYVg~t=>?0533?LIPZ[OZS`{w0123146?999JKRTUMXxn7FKJP^JJAWGUKAS<7AGMR@PZ2>JHIMOO?6B@C69OKBODIEk0@]CPXNP1[4?`9OPlkbz5;;2l5CThofv9766h1GXdcjr=31:<=K\`gn~1?19:NWmhcu4;427AZfmdp?7;?89OPlkbz5?556B[ilgq838>3E^bah|37?;8HQojm{63245CThofv9?902F_e`k}TB30?IRnelx_ORmbp^gr45679=1GXdcjrUA\ghvXmx:;<=?>4:NWmhcu\JUha}Qjq12347733E^bah|[C^antZcv89:;?<:4LUknawRDWjg{Sh?012751=K\`gn~YMPclr\at6789?37AZfmdp\4==K\`gn~R?6;MVji`tX9930@Ygbes]25<=K\`gn~R?=8:NWmhcuW;20@Ygbes]0<>JSadoyS964LUknawY202F_e`k}_7:8HQojm{U<46B[ilgq[=>?01]N|jtXZly~`y2=>318HQojm{UFmijP_`fg4567WDrd~R\jstnw8685;2F_e`k}_Lcg`ZYflm:;<=QBxnp\V`urd}6?2?=4LUknawYJimnTSljk0123[H~hzVXnxb{<4<17>JSadoyS@okd^]b`a6789UFtb|PRdqvhq:16;90@Ygbes]NeabXWhno<=>?_LzlvZTb{|f0:0=3:NWmhcuWDkohRQnde2345YJpfxT^h}zlu>;:75?01]Qavsk|V:Tbbz?01225a=K\`gn~RCnde]\eab789:TSl`k01235`=K\`gn~RCnde]\eab789:TSl`k012354bP_`lg45639l1GXdcjr^Ob`aYXimn;<=>P_`lg456398n0@Ygbes]NeabXWhno<=>?_^cm`567=8o0@Ygbes]NeabXWhno<=>?_^cm`567=8;o7AZfmdp\IdbcWVkoh=>?0^]bja678?;n7AZfmdp\IdbcWVkoh=>?0^]bja678?;:h6B[ilgq[HgclVUjhi>?01]\ekb789=:i6B[ilgq[HgclVUjhi>?01]\ekb789=:=i5CThofvZKflmUTmij?012\[dhc89:3=h5CThofvZKflmUTmij?012\[dhc89:3=2:NWmhcuWVkoh=>?0=2=56=K\`gn~RQnde2345:687;87AZfmdp\[dbc89:;0?01>26;753E^bah|P_`fg4567484:>6B[ilgq[Zgcl9:;<1<1139OPlkbzVUjhi>?01>0:442:NWmhcuWVkoh=>?0=4=57=K\`gn~RQnde2345:06880@Ygbes]\eab789:743?=;MVji`tXWhno<=>?<8<6?Iifl8o0@bok1^]bja6789i0Ald`rWgqwliik2Gbbb|Yesqjkk4IE]OO>7BJLSsf8KAETzVkeh=>?0d9L@FUuWhdo<=>?129LJ@4IU<:1DYY:4Ooafg>IiklUTc>?01f8KkebWVey<=>?1e9LjfcXWfx;<=>=1:R`?U(5889:<<=PL29S555V6?:1[=5=4P0;1?U443Y8;?6^=229S66587]<:3:R136=W:190\?7=;Q10?U57;2Z8>>5_3218T6243Y9>?6^<629S724<;Q627>V3::1[8>=4P540?U37;2Z>>>5_5218T0243Y?>?6^:629S1=5V19:1[:>=4P760?U02;2Z=:>5_6608T25:87]9=3:R476=W?=90\:8<;Q547>V00:1[;4<4P918T=643Y2:?6^7229S<055_9518T<343Y3=?6^6729SEA2TF48:546\N<0394;02:0=UI58596\N<2<5?WG;;7;=7_O33?05?WG;;79=7_O33?66?WG;<7?0^L2:>49QE909=2XJ0:0:;SC?<;35]AL78VDKD[>1YM@M\0Y:8VDKD[9R:46\NMBQ3\7>i;SCNAKYXign;<=>>d:PBI@HXWfx;<=>j;SCNAKYXg{:;<=?j;SCNAKYXg{:;<=0:PBIWcflpUd~=>?0533?WGJZlkouRa}01231==UIDYmz6;SCNWqgu|8?0^L]JLe9QEVCKWds<=>?e:PBW@JXe|r;<=>>e:PBW@JXe|r;<=>=5:PBWw`?3[KX~kQA089QEVtaWG::96\NSspg?WGTz{Ufyu>?01g8VDUuzVg~t=>?00g8VDUuzVg~t=>?03g8VDUuzVg~t=>?02;8VDY7WFH^Jn5]A^2\ip~789:o7_OP0^ov|56788n0^LQ?_lw{4567:m1YMR>Pmtz34564l2XJS=Qbuy23452f3[KT==Q@BTDg?WGX99Ufyu>?01g8VDY68Vg~t=>?00g8VDY68Vg~t=>?03g8VDY68Vg~t=>?02g8VDY68Vg~t=>?05c8VDY69VEIYK74R@]2[JDRNj1YMR?Pmtz3456c3[KT=Rczx12344bTFW8Ufyu>?016:?WGX:VEIYKm4R@]1[hs89:;h6\N_3]nq}6789;o7_OP2^ov|5678;n0^LQ=_lw{4567;m1YMRQ@BTD:?WGX?0e9QEZ3Xe|r;<=>>d:PB[0Yj}q:;<=j4R@]6[hs89:;845]A^4\KGSAk2XJS;Qbuy2345bTFW?Ufyu>?011g?WGX>Vg~t=>?05;8VDY0WFH^Jn5]A^5\ip~789:o7_OP7^ov|56788n0^LQ8_lw{4567:m1YMR9Pmtz34564l2XJS:Qbuy23452>3[KT4RAMUGa8VDY?Wds<=>?d:PB[=Yj}q:;<=?k;SC\TFW0Ufyu>?010g?WGX1Vg~t=>?02f8VDY>Wds<=>?4b9QAUJFKLUDGLW:;SMNGV084RNO@W11S?55]OLAP0]213[EFO^;9;SMNGV013[EFO^9<;SQWf>TTWOYFSKHk1c9QWZ@TEVLMh?l4RR]EWHYANm9i7_]PFRO\BCb3m2XXSK]B_GDg0(Oin2XXSK]B_GDg0(Oi9o1Y_RH\M^DE`1+Nf;l0^^QISL]EBa2*Ag9m7_]PFRO\BCb3%@d?n6\\_GQN[C@c=k1Y_RH\M^DE`3c$Ce;o5]S^DPIZ@Al>o0^^QISL]EBa1*Agl0^^QISL]EBa1*Ag;i7_]PFRO\BCb?m2XXSK]B_GDg<(Oin2XXSK]B_GDg<(Oi9o1Y_RH\M^DE`=+Nf;l0^^QISL]EBa>*Ag9m7_]PFRO\BCb?%@d?96\jae{5?Wcflp;=7_kndx05?Wcflp9=7_kndx65?Wcflp?=7_kndx45?Wcflp==7_kndx:4?WcaLfdn==5]egFlj`YXign;<=>>1:PfbAiimVUjbi>?013e?WcaLfdnSRa}012355=UmoNdbhQPos23457682XnjIaae^]lv5678;;;7_kiDnlf[Ziu89:;?<>4RddGkkcXWfx;<=>;119QacBhflUTc>?017b?WcaN{ef^^>m;SgeBwijZZ::o6\jfGpliWU799i0^hhIrnoQW576k2XnjK|`mSQ357dTbnOxda_]?8c9Qac@ugdXX<484RddQat>>f:PfbWcvWge<=>>1e9QacTbyVey<=>?e:PfbWcvWfx;<=>>e:PfbWcvWfx;<=>=e:PfbWcvWfx;<=>;6:PfbPt`02XnjX|hIo48V`urd}90_HZ:;RU?5;g<[^6:2RGMUG78WR:56h1X[1<1_H@VB2=T_591=3;4SV>0:d=T_595SDLZF59Pakrd3ZoexRQ`r1234a=Tmg~TSb|?0122`>Ubf}UTc>?010g?Vci|VUd~=>?02f8W`hsWVey<=>?4e9PakrXWfx;<=>:d:QfjqYXg{:;<=8k;RgmpZYhz9:;<:j4Sdlw[Ziu89:;4l5\nePBIFUPZ81_?6Z]I99WVLUSI]O?7Y\ZEc9WWZ@TEVLMhh5[S^DPIZ@Al;'Bbk5[S^DPIZ@Al;'Bb0g9WWZ@TEVLMh?#Fn3d8PVYA[DUMJi<"Io1e?QUXNZGTJKj=-Hl7b>RTWOYFSKHk2,Km1c=S[VLXARHId3/Jj3`<\ZUM_@QIFe0.Mk1a3]YTJ^CPFGf1)Lh?n2^XSK]B_GDg6(Oi1k1__RH\M^Mvp5e<\ZUM_@Q@uu22g>RTWOYFSB{{0368P\VB02^bah|30?:8Plkbz5;546Zfmdp?6;><\`gn~1=17:Vji`tSK880XdcjrUA\ghvXmx:;<=?<;UknawRDWjg{Sh?012256=SadoyXNQlmq]fu5678;;87YgbesV@[fkwWl{;<=><129Wmhcu\JUha}Qjq12341743]cfiZL_bos[`w789:>;6Zfmdp\446<\`gn~R>P_`lg4567981_e`k}_1]\ekb789::=<5[ilgq[5YXign;<=>=109WmhcuW9UTmcj?01203>RnelxT=<>4ThofvZ7XWhdo<=>?109WmhcuW8UTmcj?012254=SadoyS=239WmhcuWDkohRQnde2345YJpfxT^h}zlu>2:74<\`gn~RCnde]\eab789:TAua}_Sgpqir;:7897Ygbes]NeabXWhno<=>?_LzlvZTb{|f0>0>c:Vji`tXEhnoSRokd1234ZYffm:;<=?k;UknawYJimnTSljk0123[Zgil9:;<>038PlkbzVUjhi>?01>1:47<\`gn~RQnde2345:46m1_e`k}_`lg4567m2^bah|Paof34566>2_EY^KKa:WPAWYFD@KXo6[\ES]UMVOEDL90ZNM6;WKFSZR^XL>0Z]O}c:TSEwYig}:;<n6XfdSCNGVQU>k1]ei\NMBQTV2d<^`nYM@M\WS:a?SocZHGH_Z\66:UbvuUB9m1SEAGAX,ZGF%6)9)Y_YO.?.0"BWFON;2RD^95WOS05?]USD@H<7U][_FLG3>^T\V\HO85W_NLF1>^X\[C=7UQUESM5?]beW@ni7Ujg_QpjiLhqk2RodR^}ilVzt``0VH\@3:amp7=d{:1mo:4in`n0>ohjz30bLJ`uuNF4`=iIMe~xAK?_N@VB46=109mEAir|EO;Sb|?0120=>hFLf@H?n;oCGkprKM8;n7cOKotvOA4YHJ\L87cO\7:lBWZ@TJj1eM^QISC]JFP@03gKXSDAMd:lBWZOHJVEIYKKn;oCP[LIEg|~=7cO\otv:?kGTg|~TB=o4n@QlqqYI88o0bL]`uu]mkq6788l0bL]`uu]mkq6788;:<6`NSnww[kis89::==h4n@QlqqYig}:;<<>4g9mEVir|Vddx=>?14d8jDUh}}Uecy>?004e?kGTg|~Tbbz?0134b>hF[fSca{0122?0032?kDBZ[UM_OQPos2344753gHN^_QISC]\kw6788;:>6`MESP\BVDXWfx;<=?=139mF@TUWOYISRa}01227<=iJLXYSDAMe:lAAWTXAFHTEO[I7:lACZOHJj1eNJQFOC]JFP@03gHYSDAMc:lAVZOHJVEIYKh4nCP\MJDXe|r;<=>>0:lAVZOHJVg~t=>?0033?kDUW@EIS`{w0123646:<6`MR^KLFZkrp9:;<8??;o@Q[LIEWds<=>?6028jGTXAFHTaxv?01240>hDIZ30bNO\_N@VBf=iKHYTbbz?013g?kEF[Vddx=>?1048jARFKBk0bIZNCJ]EWG`hC\HI@Sl`k0123b>hC\HI@Sl`k01235c=iL]KHGRoad12347`2dOecBJb:lGmkJBWFH^Ji5aDhlOAZiu89:;i6`KioNF[jt789:::6`KioMF3>hCagEN=o5aDhlLAZIE]Oo0bIgaOD]bja6789l0bIgaOD]bja6789;m7cJfnNG\ekb789:9j6`KioMF[dhc89:;?k5aDhlLAZgil9:;<9h4nEkmK@Yffm:;<=;8;oFlqqIB02dOcxz@E0a8jAir|FOTCO[If:lGkprHMVkeh=>?1028jAir|FOTmcj?013255=iLfCHQnne23444682dOcxz@E^cm`5679:?0bD^C2`9mMUJ5W@H^J;5aIQN1v1=iDMY37cBKS^DPFa=iDMYTJ^LPICWE<>hKLZUBCOk4nMFP[LIEWFH^JHl4nMFP[LIEg|~h7cBKS^cm`567:m1e@I]Paof34546l2dGH^Qnne23474c3gFO_Road12366bhKLZUjbi>?034g?kJC[Vkeh=>?26f8jIBTWhdo<=>=8e9mHAUXign;<=<6c:lO@VYig}:;<hHM=1eCH?;;oMF6==iGLUDNXH7;oMuawjfq8:0bBxjrmcz[kis89::=<5aOwgqhdXff~;<=?>109mKscudhsTbbz?013154=iGoy`lwPnnv34574981eC{k}l`{\jjr789;?;6`]ALNTAf=iZHGG[HQ@BTD4?kTFE\]No6`]ALWTAZIE]O>0b_O\5:lQEV723gXJ_?;4nSCP70=iZHY?96`]AR76?kTF[?30b_O\_N@VB1=iZLYi7c\JS^KLFP@Bk2dYI^Qaou2344bhUGD]N=o5aRNOTAZIE]O>0bXJ\8:lV@VYA[Kn0bXJ\_GQA[LDRN11eYI]PIN@f?kSC[VCDNRAMUGGa?kSC[VCDNb{{c:lV@VYffm:;<?1068jPQB=2d^[H?l;oWTAZgil9:;?00f8jSKFWVey<=>?2e9mRHGXWfx;<=>hQXH20b[^N_GQA`>hQXHUM_OQ@BTD;?kPWIVCDNi5aVQC\MJDXAK_Mo6`YP@]bja6789n0b[^N_`lg45679m1eZ]OPaof34565l2d]\LQnne23455d3g\[MR``t1235a=i^YKTbbz?01327>hPM>1e[HQISCa8jRCXNZHTEO[I8:ld`gsndm90~lc7;ya:156d8;0tn7:156{hqw689':<55wimkm|ph602rgbuQnuum\4Z~cj&ixx#vmceCDu7dm<1KLu=j9;D90?7|[h91?ko52709562e99=1j9;n{o1e3?7hn:341>453j8:<6k::d:Q2b0<3l=0<6<=;b024>c2?12Yj>7:k4;59562e99=1j96m;e1f=?6=93;p_l=53gc9634=9:>i==95f57b?!>?2=n=7Eo6;wVf:m1159b13f3-9on7?i7:T0b3<5s|8?o7?4u36g>5=z,;km69j8;%ga>5=#m00?h45+e`8265=e;l31<7:m:`69f7}O;m30(>oj:2g:?_>>2:q:<7?<:069y!7a;392m6%;8e83>>i?l3:17b:13:17b:65;29?j>a2900c96::188m14f2900c96>:188k15?2900c95<5<5<<6=44o542>5<5<5<5<#:k;1>o84n3`3>5=6=4+2c396g05<#:k;1>o84n3`3>7=54o3a1>5<#:k;1>o84n3`3>1=5<#:k;1>o84n3`3>3=5<#:k;1>o84n3`3>==5<#:k;1>o84n3`3>d=m6`=b183?>o213:1(?l>:4c8j7d72810e8650;&1f4<2i2d9n=4=;:k63?6=,;h:68o4n3`3>6=h5j90?76g:4;29 7d62>7>5$3`2>0gm6`=b18;?>o283:1(?l>:4c8j7d72010e9h50;&1f4<2i2d9n=4n;:k7a?6=,;h:68o4n3`3>g=h5j90h76g;c;29 7d627>5$3`2>0gm6`=b1824>=n>90;6)o?55`9m6g6=9:10e8j50;&1f4<2i2d9n=4>4:9j1f<72-8i=7;n;o0a4?7232c>:7>5$3`2>0g66;o0a4?6<3`93;7>5$3`2>6>>3g8i<7?4;h1;1?6=,;h:6>66;o0a4?4<3k9ni7>51;294~"4il0mi6F6>hm;%3e0?2c02emh7>5$2d6>73d32wi?hh50;394?6|,:kn6>jn;I1f`>N4l01/?k;52458 4`32=n37b<91;29 6`22;?h76sm3gf94?7d2:;1?kuG3e;8 6gb2h>0V5751z32>x"6n:085l5f9g83>>i4;=0;66a>7483>>i5k>0;66a<9783>>o?;3:17d:7d;29?j74l3:17d:k1;29?j4d>3:17b==f;29?j7703:17d<::18'6g7=:=1e>o>50:9j66<72-8i=7<;;o0a4?7<3`896=4+2c3961=i:k:1>65f2183>!4e938?7co?5259m6g6=<21b=i4?:%0a5?433g8i<7;4;h3`>5<#:k;1>95a2c292>=n9k0;6)o>58:9j5<<72-8i=7<;;o0a4??<3`;36=4+2c3961=i:k:1m65f1683>!4e938?7co?5259m6g6=k21b=84?:%0a5?433g8i<7j4;h0g>5<#:k;1>95a2c29a>=n:j0;6)h::2da?!7a<3>o46aid;29 6`22;?h76sm24694?5=:3>p(>oj:g68L6cc3A9o56*>f587`==n0h0;66gn8;29?j4e;3:17or.8mh4i7:&2b1<3l11d>o=50;9j6g4=831bm54?::kb4?6=3`2j6=44bg594?0=83:p(>h::g;8m46e290/?k;511`8?l?b290/?k;59d98m<`=83.8j846f:9j7=2=83.8j84<8598m65d290/?k;532a8?j42k3:1(>h::37`?>{e;o:1<7?50;2x 6`22;?<7b<91;29 6`22;?h76s|a983>7}Yi116j:4>0c9~w=g=838pR5o4=g59=c=z{h:1<752738yxd5290:6=4?{%1g6`e3-;m87:k8:me`?6=,:l>6?;l;:p6g5=838pR?l<;<0a7?4e;2wxm54?:3y]e==::k91m55rs9c94?4|V1k01?l<:9c8yv3=839p1?l<:3`1?84e;3k;70<5fe9'7a>=k2wvn99m:186>7<1s-9ji7h9;I1f`>N4l01/==j5e:&2b1<3l11b5h4?::kb4?6=3`2j6=44i`:94?=h:k91<75m2c194?0=83:p(>h::g;8m46e290/?k;511`8?l?b290/?k;59d98m<`=83.8j846f:9j7=2=83.8j84<8598m65d290/?k;532a8?j42k3:1(>h::37`?>{e;o81<7?50;2x 6`22:li7b<:c;29 6`22;?h76s|2c194?4|V;h870{ti10;6?uQa99>6g5=99h0q~o?:181[g7348i?7=52;294~"4il0:=k5G3df8L6b>3-9m9768;%3e0?2c02cmj7>5$2d6>46e32e99<4?:%1e1?42k2B8j954}c0`=?6=:3:1j6;%1e1?>03-;m87:k8:keb?6=,:l>6<>m;:m114<72-9m97<:c:J0b1=53;294~"4il0?<6F6>h4$0d7>1b?3`;;<7>5$2d6>46e32c:<<4?:%1e1?77j21d>8?50;&0b0<5=j10qoh::2d8 4`32=n37d??0;29 6`228:i76g>0083>!5a=3;;n65`24394?"4n<099n54}c062?6=;3:1N4mm1C?i74$2d6>6`<,8l?69j7;h334?6=,:l>6<>m;:k244<72-9m97??b:9l607=83.8j84=5b98yg54=3:1>7>50z&0e`<69o1C?hj4H2f:?!5a=32<7)?i4;6g<>oan3:1(>h::02a?>i5=80;6)=i5;06g>N4n=10qo=<6;296?6=8r.8mh4>1g9K7`b<@:n27)=i5;:4?!7a<3>o46gif;29 6`228:i76a=5083>!5a=38>o6F7>50z&0e`<69o1C?hj4H2f:?!5a=32<7)?i4;6g<>oan3:1(>h::02a?>i5=80;6)=i5;06g>N4n=10qo=<8;291?6=8r.8mh4;2:J0aa=O;m30(>h::2d8 4`32=n37d??0;29 6`228:i76g>0083>!5a=3;;n65f11094?"4n<0:5<#;o?1==l4;n065?6=,:l>6?;l;:a55?=83=1<7>t$2cf>12<@:oo7E=k9:&0b0<4n2.:j94;d99j556=83.8j84>0c98m466290/?k;511`8?l77:3:1(>h::02a?>o68:0;6)=i5;33f>=n99>1<7*6=4+3g7955d<3f8>=7>5$2d6>73d32wi8:j50;094?6|,:kn6N4l01/?k;5869'5c2=:18'7c3=:h;;:a02c=8381<7>t$2cf>47a3A9nh6F1/=k:54e:8mc`=83.8j84>0c98k736290/?k;524a8L6`332wi8:h50;194?6|,:kn6?;6;I1f`>N4l01/?k;524:8 4`32=n37d??0;29 6`228:i76g>0083>!5a=3;;n65`24394?"4n<099n54}c6;4?6=;3:1N4mm1C?i74$2d6>6`<,8l?69j7;h334?6=,:l>6<>m;:k244<72-9m97??b:9l607=83.8j84=5b98yg5483:1>7>50z&0e`<69o1C?hj4H2f:?!5a=32<7)?i4;6g<>oan3:1(>h::02a?>i5=80;6)=i5;06g>N4n=10qo=<1;296?6=8r.8mh4>1g9K7`b<@:n27)=i5;:4?!7a<3>o46gif;29 6`228:i76a=5083>!5a=38>o6F7>50z&0e`<69o1C?hj4H2f:?!5a=32<7)?i4;6g<>oan3:1(>h::02a?>i5=80;6)=i5;06g>N4n=10qo=<3;296?6=8r.8mh4>1g9K7`b<@:n27)=i5;:4?!7a<3>o46gif;29 6`228:i76a=5083>!5a=38>o6F50z&0e`<5=01C?hj4H2f:?!5a=38>46*>f587`==n99:1<7*=7>5$2d6>73d32wi?>o50;194?6|,:kn69>4H2gg?M5c12.8j8450;&0b0<68k10e<>>:18'7c3=99h07b<:1;29 6`22;?h76sm32`94?2=83:p(>oj:538L6cc3A9o56*"6n=0?h55f11294?"4n<0:5<#;o?1==l4;h336?6=,:l>6<>m;:m114<72-9m97<:c:9~f6ba290>6=4?{%1ba?253A9nh6Fh::02a?>o68;0;6)=i5;33f>=n9991<7*5}#;ho18=5G3df8L6b>3-9m97=i;%3e0?2c02c:<=4?:%1e1?77j21b==?50;&0b0<68k10c?;>:18'7c3=:6<729q/?lk524;8L6cc3A9o56*18i64i023>5<#;o?1==l4;h335?6=,:l>6<>m;:m114<72-9m97<:c:9~f6c429096=4?{%1ba?76n2B8ii5G3e;8 6`221=0(h::37`?M5a<21vn>k;:181>5<7s-9ji7?>f:J0aa=O;m30(>h::958 4`32=n37dhi:18'7c3=99h07b<:1;29 6`22;?h7E=i4:9~f6c229096=4?{%1ba?76n2B8ii5G3e;8 6`221=0(h::37`?M5a<21vn>k9:180>5<7s-9ji7:?;I1f`>N4l01/?k;53g9'5c2=?:18'7c3=99h07d??1;29 6`228:i76a=5083>!5a=38>o65rb2g4>5<3290;w)=ne;62?M5bl2B8h45+3g797c=#9o>18i64i023>5<#;o?1==l4;h335?6=,:l>6<>m;:k247<72-9m97??b:9l607=83.8j84=5b98yg5b03:1?7>50z&0e`<382B8ii5G3e;8 6`22:l0(h::02a?>o6880;6)=i5;33f>=h:<;1<7*54;294~"4il0?=6F6>h4$0d7>1b?3`;;<7>5$2d6>46e32c:<<4?:%1e1?77j21b==<50;&0b0<68k10c?;>:18'7c3=:3d83>6<729q/?lk5419K7`b<@:n27)=i5;1e?!7a<3>o46g>0183>!5a=3;;n65f11394?"4n<0:5<#;o?1>8m4;|`200<72<0;6=u+3`g907=O;ln0D>j6;%1e1?5a3-;m87:k8:k245<72-9m97??b:9j557=83.8j84>0c98m465290/?k;511`8?l77;3:1(>h::02a?>i5=80;6)=i5;06g>=zj8>=6=4<:183!5fm3>;7E=jd:J0`<=#;o?1?k5+1g690a>5$2d6>46e32e99<4?:%1e1?42k21vn<:8:180>5<7s-9ji7<:9:J0aa=O;m30(>h::37;?!7a<3>o46g>0183>!5a=3;;n65f11394?"4n<0:5<#;o?1>8m4;|`20=<72;0;6=u+3`g954`<@:oo7E=k9:&0b05}#;ho1=8?50;&0b0<5=j1C?k:4;|`20d<72:0;6=u+3`g960?<@:oo7E=k9:&0b0<5=11/=k:54e:8m467290/?k;511`8?l7793:1(>h::02a?>i5=80;6)=i5;06g>=zj8>i6=4<:183!5fm3>;7E=jd:J0`<=#;o?1?k5+1g690a>5$2d6>46e32e99<4?:%1e1?42k21vn<:l:180>5<7s-9ji7:?;I1f`>N4l01/?k;53g9'5c2=?:18'7c3=99h07d??1;29 6`228:i76a=5083>!5a=38>o65rb01e>5<4290;w)=ne;63?M5bl2B8h45+3g797c=#9o>18i64i023>5<#;o?1==l4;h335?6=,:l>6<>m;:m114<72-9m97<:c:9~f42729086=4?{%1ba?273A9nh6Fh::02a?>i5=80;6)=i5;06g>=zj8>:6=4<:183!5fm38>56F6?;7;%3e0?2c02c:<=4?:%1e1?77j21b==?50;&0b0<68k10c?;>:18'7c3=:4383>6<729q/?lk5419K7`b<@:n27)=i5;1e?!7a<3>o46g>0183>!5a=3;;n65f11394?"4n<0:5<#;o?1>8m4;|`206<72:0;6=u+3`g960?<@:oo7E=k9:&0b0<5=11/=k:54e:8m467290/?k;511`8?l7793:1(>h::02a?>i5=80;6)=i5;06g>=zj8>?6=4<:183!5fm38>56F6?;7;%3e0?2c02c:<=4?:%1e1?77j21b==?50;&0b0<68k10c?;>:18'7c3=:8;297~X60278i?4>019>511=99:0q~?6:180[7>349n>7??1:?202<6881v>;<371?7782wx=n4?:2y]5f=:;ml1==>4=066>4663ty:h7>54z\2`>;6800:<=523ed9554<58>>6<>=;|q2a?6=088244=:;l;1==>4=066>4643ty9<7>56z\14>;4;<0mj63>088247=:;:;1jk523ed9555<58>96<>?;|q16?6=?rT9>63<378eb>;6800:<>523209bc=:;l;1==?4=01f>46734;?:7??0:p66<721qU>>522b:9bc=:;:21==>4=02:>463349857??0:?0a1009>517=99:0q~<::184[42348h57hi;<103?`a34;;57??5:?0764if:?20d<6891v5=50;1xZ=5<5;?=6<>>;<64a?`a3ty2j7>53z\:b>;5==03m63;7c8;e>{t9921<7=6s|12f94?4|V89o70?;4;065>{t9:o1<773634;?m7??1:p56`=838p1<=i:372?87383;;=6s|15294?4|58>;6?;>;<375?7792wx=9?50;0x94262;?:70?;2;335>{t9=81<773634;??7??0:p515=838p1<:<:372?873<3;;=6s|15794?4|58>>6?;>;<374?7782wx=9850;0x94212;?:70?{t9==1<773634;?n7??0:p51>=838p1<:7:372?873j3;;=6s|15;94?4|58>26?;>;<37g?7792wx=9o50;0x942f2;?:70?;4;334>{t9=h1<773634;8j7??1:p51e=838p1<:l:372?873;3;;=6s|16794?4|V8=>70=j0;065>{t:<>1<77d434>1}Y:j<01?mm:372?85b>3;;<63>478244=z{;i<6=4<{_0`3>;5kh099<522b`955652z?1g=<5=816>no51128yv4d13:1>v3=c88114=::jk1==?4}r11b?6=:rT8>k5232`960752z?075<5=816?>751138yv5493:1>v3<308114=:;:h1==>4}r106?6=:r78??4=509>76g=99:0q~=<3;296~;4;:099<5232c955754z\071=::<<1==>4=21;>73634;?o7??0:p763=838p1>=::372?85403;;=6s|32494?4|5:9=6?;>;<10950;0x96502;?:70=<8;337>{t;:31<77363498n7??1:p76g=838p1>=n:372?854j3;;>6s|38494?5|V:3=70=je;dg?820j3k;7p}7}:;ml1>8?4=2g3>4663ty8i<4?:3y>7`7=:<;01>k7:023?xu4m;0;6?u23d09607<5:o=6<>>;|q0a6<72;q6?h=5243896c028::7p}7}:;l>1>8?4=2g4>4673ty8i84?:3y>7`3=:<;01>k8:021?xu4m?0;6?u23d49607<5:o36<>>;|q0a2<72;q6?h95243896c728:97p}7}:;l21>8?4=2g3>4673ty?;o4?:5y>02d=:k901?mm:022?85483lm70?;9;de?xu3?j0;6?u246`9=`=:<1:1>8?4}r64`?6=:r7?;i4=509>02`=99;0q~:8e;296~;3?l099<52492955752z?73c<5=81685>51128yv2?l3:1?vP;8e9>02d=i1168:j5fg9~w1b62908wS:k1:?0a03-9ji77s+1g197>i3?>0;66g;5d83>>o4?00;66g;d083>>o3110;66g=c783>>i31;0;66g<2g83>>i5lk0;66a;7183>>i5j>0;6)h5j90;76a=b483>!4e938i:6`=b182?>i5k=0;6)h5j90976a=c283>!4e938i:6`=b180?>i5k;0;6)h5j90?76a=c083>!4e938i:6`=b186?>i5k90;6)h5j90=76a=bg83>!4e938i:6`=b184?>i5jh0;6)h5j90376a=b883>!4e938i:6`=b18:?>i5j10;6)h5j90j76a=b583>!4e938i:6`=b18a?>o5:3:1(?l>:338j7d72910e?>50;&1f4<592d9n=4>;:k2a?6=,;h:6??4n3`3>7=h5j90876g>c;29 7d62;;0b?l?:598m4d=83.9n<4=1:l1f5<232c:m7>5$3`2>77o603:1(?l>:338j7d72110e<950;&1f4<592d9n=46;:k22?6=,;h:6??4n3`3>d=h5j90i76g=d;29 7d62;;0b?l?:b98m7e=83.9n<4=1:l1f55$3`2>77o513:1(?l>:338j7d728:07d<7:18'6g7=:81e>o>51098m71=83.9n<4=1:l1f5<6:21b>;4?:%0a5?463g8i<7?<;:k2b?6=,;h:6??4n3`3>42<3k9ni7>51;294~"4il0mi6F6>hm;%3e0?2c02emh7>5$2d6>73d32wi>8j50;796?0|,:kn6k84H2gg?M5c12.:5;hc3>5<>i5j:0;66l=b283>3<729q/?k;5f89j55d=83.8j84>0c98m7}Y:k901?l<:37`?xuf03:1>vPn8:?1f6<68k1vl>50;0xZd6<5;h86>=l;|q:a?6=:rT2i63=b28:a>{t0h0;6?uQ8`9>6g5=1o1vkk50;0x97d42:2?70=i2;06g>{zj;ih6=4::385!5fm3l=7E=jd:J0`<=#99n1i6*>f587`==n1l0;66gn0;29?l>f2900el650;9l6g5=831i>o=50;494?6|,:l>6k74i02a>5<#;o?1==l4;h;f>5<#;o?15h54i8d94?"4n<02j65f39694?"4n<084954i21`>5<#;o?1?>m4;n06g?6=,:l>6?;l;:a7c4=83;1<7>t$2d6>6`e3f8>o7>5$2d6>73d32wx>o=50;0xZ7d4348i?7<:c:pe=<72;qUm5522c1955d7}Y1l16>o=59d9~w=g=838pR5o4=3`0><`2B8ii5G3e;8 4`32=n37d7j:188md6=831b4l4?::kb5;c0a7?6=>3:1o68k0;6)=i5;33f>=n1l0;6)=i5;;f?>o>n3:1(>h::8d8?l5?<3:1(>h::2:7?>o4;j0;6)=i5;10g>=h:7>51;294~"4n<08jo5`24a94?"4n<099n54}r0a7?6=:rT9n>522c1960e7}Yi916>o=532a8yv?b2909wS7j;<0a7??b3ty3m7>52z\;e>;5j:02j6s|fd83>7}::k91?5:4=2d1>73d3twi>il50;196?2|,:kn6k:4H2gg?M5c12.:j94;d99j5;n0a7?6=3k8i?7>55;092~"4il0m;6*>f587`==h:k91<75f2c094?=ni10;66gn0;29?l>f2900nk950;494?6|,:l>6k74i02a>5<#;o?1==l4;h;f>5<#;o?15h54i8d94?"4n<02j65f39694?"4n<084954i21`>5<#;o?1?>m4;n06g?6=,:l>6?;l;:a7c6=83;1<7>t$2d6>7303f8==7>5$2d6>73d32wxm54?:3y]e==:n>0:4=g5976e7>52z\1f7=:n>08495rs3`0>5<5sW8i?63i7;06g>{t;mk1<7;?4}|`1>5<6290;w)=k8;;8 6gb2oo0(>h::2da?!7a<3>o46aid;29 6`22;?h76s|2c194?4|V;h870{ti10;6?uQa99>6g5=i11v5o50;0xZ=g<5;h865o4}r794?5|5;h86?l=;<0a7?g73481ji5+3e:9g>{zj1<1<7=52;6x 6gb2o>0D>kk;I1g=>"6n=0?h55f8`83>>of03:17bo<50;9je=<722cj<7>5;h:b>5<m:18'7c3=99h07d7j:18'7c3=1l10e4h50;&0b0<>n21b?5:50;&0b0<40=10e>=l:18'7c3=;:i07b<:c;29 6`22;?h76sm3g294?7=83:p(>h::374?j4193:1(>h::37`?>{ti10;6?uQa99>b2<68k1v5o50;0xZ=g<5o=15k5rs`294?4|Vh:01k9532a8yv4e:3:1>vP=b39>b2<40=1v?l<:181[4e;27m;7<:c:p7ag=838p1k959d9>7c6=:?;0qpl=:182>5<7s-9o4774$2cf>cc<,:l>6>hm;%3e0?2c02emh7>5$2d6>73d32wx>o=50;0xZ7d4348i?75;n0a7?6=3k8i?7>55;092~"4il0m;6*>f587`==h:k91<75f2c094?=ni10;66gn0;29?l>f2900nk950;494?6|,:l>6k74i02a>5<#;o?1==l4;h;f>5<#;o?15h54i8d94?"4n<02j65f39694?"4n<084954i21`>5<#;o?1?>m4;n06g?6=,:l>6?;l;:a7c6=83;1<7>t$2d6>7303f8==7>5$2d6>73d32wxm54?:3y]e==:n>0:4=g5976e7>52z\1f7=:n>08495rs3`0>5<5sW8i?63i7;06g>{t;mk1<7;?4}|`1>5<6290;w)=k8;;8 6gb2oo0(>h::2da?!7a<3>o46aid;29 6`22;?h76s|2c194?4|V;h870{ti10;6?uQa99>6g5=i11v5o50;0xZ=g<5;h865o4}r794?5|5;h86?l=;<0a7?g73481ji5+3e:9g>{zj0>1<7=52;6x 6gb2o>0D>kk;I1g=>"68m0n7)?i4;6g<>o?i3:17do7:188k7d42900n?l<:186>7<1s-9ji7h8;%3e0?2c02e9n>4?::k1f7<722cj47>5;hc3>5<3<729q/?k;5f89j55d=83.8j84>0c98m:18'7c3=:{ti90;6?uQa19>b2<4;j1v?l=:181[4e:27m;7=74:p6g5=838pR?l<;73d3ty8hl4?:3y>b2<>m278j=4=609~yg4=83;1<7>t$2f;><=#;ho1jh5+3g797cd<,8l?69j7;ndg>5<#;o?1>8m4;|q1f6<72;qU>o=4=3`0>7d43tyj47>52z\b<>;5j:0j46s|8`83>7}Y0h16>o=58`9~w0<72:q6>o=52c0897d42h:01?4id:&0`=hl50;196?2|,:kn6k:4H2gg?M5c12.:5;hc;>5<5<22;0=w)=ne;d4?!7a<3>o46a=b283>>o5j;0;66gn8;29?lg72900e5o50;9ab2<72?0;6=u+3g79b<=n99h1<7*=n1o0;6)=i5;;e?>o40=0;6)=i5;1;0>=n;:i1<7*5}#;o?1>894o342>5<#;o?1>8m4;|qb{t0h0;6?uQ8`9>b2<>n2wxm=4?:3y]e5=:n>08?n5rs3`1>5<5sW8i>63i7;1;0>{t:k91<77}:n>02i634n8:pu22c196g4<5;h86l>4=38e`>"4l10h7psm2d:94?5=:3>p(>oj:g68L6cc3A9o56*>0e8f?!7a<3>o46g7a;29?lg?2900c?l<:188f7d4290>6?49{%1ba?`03-;m87:k8:m1f6<722c9n?4?::kb0;6;4?:1y'7c3=n01b==l50;&0b0<68k10e4k50;&0b0<>m21b5k4?:%1e1??a32c8494?:%1e1?5?<21b?>m50;&0b0<4;j10c?;l:18'7c3=:4<729q/?k;52458k706290/?k;524a8?xuf03:1>vPn8:?e3?77j2wx4l4?:3y]02j6s|a183>7}Yi916j:4<3b9~w7d52909wSo=50;0xZ7d434l<6?;l;|q0`d<72;q6j:46e:?0b5<5>81vqo<50;394?6|,:n3645+3`g9b`=#;o?1?kl4$0d7>1b?3flo6=4+3g7960e<3ty9n>4?:3y]6g5<5;h86?l<;|qb{t0h0;6?uQ8`9>6g5=0h1v84?:2y>6g5=:k801?l<:`2897=8391>7:t$2cf>c2<@:oo7E=k9:&2b1<3l11b4l4?::kb5;c0a7?6==381:v*"6n=0?h55`2c194?=n:k81<75fa983>>of83:17d6n:188fc1=83<1<7>t$2d6>c?=n;1>1<7*o7>5$2d6>73d32wi?k>50;394?6|,:l>6?;8;n055?6=,:l>6?;l;:pe=<72;qUm552f6824g=z{1k1<750;0xZd6<5o=1?>m4}r0a6?6=:rT9n?52f680<1=z{;h86=4={_0a7>;a?38>o6s|3ec94?4|5o=15h523g29637:183!5c0330(>oj:gg8 6`22:li7)?i4;6g<>ial3:1(>h::37`?>{t:k91<77}Yi116>o=5a99~w=g=838pR5o4=3`0>=g7d5348i?7o?;<09ba=#;m21o6srb21g>5<42;0?w)=ne;d7?M5bl2B8h45+11f9a>"6n=0?h55f8`83>>of03:17bo<50;9je=<722cj<7>5;h:b>5<m:18'7c3=99h07d7j:18'7c3=1l10e4h50;&0b0<>n21b?5:50;&0b0<40=10e>=l:18'7c3=;:i07b<:c;29 6`22;?h76sm3g294?7=83:p(>h::374?j4193:1(>h::37`?>{ti10;6?uQa99>b2<68k1v5o50;0xZ=g<5o=15k5rs`294?4|Vh:01k9532a8yv4e:3:1>vP=b39>b2<40=1v?l<:181[4e;27m;7<:c:p7ag=838p1k959d9>7c6=:?;0qpl=:182>5<7s-9o4774$2cf>cc<,:l>6>hm;%3e0?2c02emh7>5$2d6>73d32wx>o=50;0xZ7d4348i?75;n0a7?6=3k8i?7>55;092~"4il0m;6*>f587`==h:k91<75f2c094?=ni10;66gn0;29?l>f2900nk950;494?6|,:l>6k74i02a>5<#;o?1==l4;h;f>5<#;o?15h54i8d94?"4n<02j65f39694?"4n<084954i21`>5<#;o?1?>m4;n06g?6=,:l>6?;l;:a7c6=83;1<7>t$2d6>7303f8==7>5$2d6>73d32wxm54?:3y]e==:n>0:4=g5976e7>52z\1f7=:n>08495rs3`0>5<5sW8i?63i7;06g>{t;mk1<7;?4}|`1>5<6290;w)=k8;;8 6gb2oo0(>h::2da?!7a<3>o46aid;29 6`22;?h76s|2c194?4|V;h870{ti10;6?uQa99>6g5=i11v5o50;0xZ=g<5;h865o4}r794?5|5;h86?l=;<0a7?g73481ji5+3e:9g>{zj:9m6=4<:387!5fm3l?7E=jd:J0`<=#99n1i6*>f587`==n0h0;66gn8;29?j4e;3:17or.8mh4i7:&2b1<3l11d>o=50;9j6g4=831bm54?::kb4?6=3`2j6=44bg594?0=83:p(>h::g;8m46e290/?k;511`8?l?b290/?k;59d98m<`=83.8j846f:9j7=2=83.8j84<8598m65d290/?k;532a8?j42k3:1(>h::37`?>{e;o:1<7?50;2x 6`22;?<7b<91;29 6`22;?h76s|a983>7}Yi116j:4>0c9~w=g=838pR5o4=g59=c=z{h:1<752738yxd5290:6=4?{%1g6`e3-;m87:k8:me`?6=,:l>6?;l;:p6g5=838pR?l<;<0a7?4e;2wxm54?:3y]e==::k91m55rs9c94?4|V1k01?l<:9c8yv3=839p1?l<:3`1?84e;3k;70<5fe9'7a>=k2wvn>:?:180>7<3s-9ji7h;;I1f`>N4l01/==j5e:&2b1<3l11b4l4?::kb5;c0a7?6==381:v*"6n=0?h55`2c194?=n:k81<75fa983>>of83:17d6n:188fc1=83<1<7>t$2d6>c?=n;1>1<7*o7>5$2d6>73d32wi?k>50;394?6|,:l>6?;8;n055?6=,:l>6?;l;:pe=<72;qUm552f6824g=z{1k1<750;0xZd6<5o=1?>m4}r0a6?6=:rT9n?52f680<1=z{;h86=4={_0a7>;a?38>o6s|3ec94?4|5o=15h523g29637:183!5c0330(>oj:gg8 6`22:li7)?i4;6g<>ial3:1(>h::37`?>{t:k91<77}Yi116>o=5a99~w=g=838pR5o4=3`0>=g7d5348i?7o?;<09ba=#;m21o6srb265>5<42;0?w)=ne;d7?M5bl2B8h45+11f9a>"6n=0?h55f8`83>>of03:17bo<50;9je=<722cj<7>5;h:b>5<m:18'7c3=99h07d7j:18'7c3=1l10e4h50;&0b0<>n21b?5:50;&0b0<40=10e>=l:18'7c3=;:i07b<:c;29 6`22;?h76sm3g294?7=83:p(>h::374?j4193:1(>h::37`?>{ti10;6?uQa99>b2<68k1v5o50;0xZ=g<5o=15k5rs`294?4|Vh:01k9532a8yv4e:3:1>vP=b39>b2<40=1v?l<:181[4e;27m;7<:c:p7ag=838p1k959d9>7c6=:?;0qpl=:182>5<7s-9o4774$2cf>cc<,:l>6>hm;%3e0?2c02emh7>5$2d6>73d32wx>o=50;0xZ7d4348i?75;n0a7?6=3k8i?7>55;092~"4il0m;6*>f587`==h:k91<75f2c094?=ni10;66gn0;29?l>f2900nk950;494?6|,:l>6k74i02a>5<#;o?1==l4;h;f>5<#;o?15h54i8d94?"4n<02j65f39694?"4n<084954i21`>5<#;o?1?>m4;n06g?6=,:l>6?;l;:a7c6=83;1<7>t$2d6>7303f8==7>5$2d6>73d32wxm54?:3y]e==:n>0:4=g5976e7>52z\1f7=:n>08495rs3`0>5<5sW8i?63i7;06g>{t;mk1<7;?4}|`1>5<6290;w)=k8;;8 6gb2oo0(>h::2da?!7a<3>o46aid;29 6`22;?h76s|2c194?4|V;h870{ti10;6?uQa99>6g5=i11v5o50;0xZ=g<5;h865o4}r794?5|5;h86?l=;<0a7?g73481ji5+3e:9g>{zj:?;6=4<:387!5fm3l?7E=jd:J0`<=#99n1i6*>f587`==n0h0;66gn8;29?j4e;3:17or.8mh4i7:&2b1<3l11d>o=50;9j6g4=831bm54?::kb4?6=3`2j6=44bg594?0=83:p(>h::g;8m46e290/?k;511`8?l?b290/?k;59d98m<`=83.8j846f:9j7=2=83.8j84<8598m65d290/?k;532a8?j42k3:1(>h::37`?>{e;o:1<7?50;2x 6`22;?<7b<91;29 6`22;?h76s|a983>7}Yi116j:4>0c9~w=g=838pR5o4=g59=c=z{h:1<752738yxd5290:6=4?{%1g6`e3-;m87:k8:me`?6=,:l>6?;l;:p6g5=838pR?l<;<0a7?4e;2wxm54?:3y]e==::k91m55rs9c94?4|V1k01?l<:9c8yv3=839p1?l<:3`1?84e;3k;70<5fe9'7a>=k2wvn>;<:180>7<3s-9ji7h;;I1f`>N4l01/==j5f:&2b1<3l11b4l4?::kb5;c0a7?6==381:v*"6n=0?h55`2c194?=n:k81<75fa983>>of83:17d6n:188fc1=83<1<7>t$2d6>c?=n;1>1<7*o7>5$2d6>73d32wi?k>50;394?6|,:l>6?;8;n055?6=,:l>6?;l;:pe=<72;qUm552f6824g=z{1k1<750;0xZd6<5o=1?>m4}r0a6?6=:rT9n?52f680<1=z{;h86=4={_0a7>;a?38>o6s|3ec94?4|5o=15h523g29637:183!5c0330(>oj:gg8 6`22:li7)?i4;6g<>ial3:1(>h::37`?>{t:k91<77}Yi116>o=5a99~w=g=838pR5o4=3`0>=g7d5348i?7o?;<09ba=#;m21o6srb5;0>5<5290;w)=ne;32b>N4mm1C?i74$2d6>=1<,8l?69j7;hde>5<#;o?1==l4;n065?6=,:l>6?;l;I1e0>=zj=ki6=4=:183!5fm3;:j6F6594$0d7>1b?3`lm6=4+3g7955d<3f8>=7>5$2d6>73d3A9m865rb552>5<5290;w)=ne;32b>N4mm1C?i74$2d6>=1<,8l?69j7;hde>5<#;o?1==l4;n065?6=,:l>6?;l;I1e0>=zj:?96=4<:183!5fm3>;7E=jd:J0`<=#;o?1?k5+1g690a>5$2d6>46e32e99<4?:%1e1?42k21vn9=m:181>5<7s-9ji7?>f:J0aa=O;m30(>h::958 4`32=n37dhi:18'7c3=99h07b<:1;29 6`22;?h7E=i4:9~f73a29096=4?{%1ba?76n2B8ii5G3e;8 6`221=0(h::37`?M5a<21vn?8?:180>5<7s-9ji7<:9:J0aa=O;m30(>h::37;?!7a<3>o46g>0183>!5a=3;;n65f11394?"4n<0:5<#;o?1>8m4;|`1g`<72;0;6=u+3`g954`<@:oo7E=k9:&0b05}#;ho1=8?50;&0b0<5=j1C?k:4;|`7=3<72:0;6=u+3`g960?<@:oo7E=k9:&0b0<5=11/=k:54e:8m467290/?k;511`8?l7793:1(>h::02a?>i5=80;6)=i5;06g>=zj==36=4=:183!5fm3;:j6F6594$0d7>1b?3`lm6=4+3g7955d<3f8>=7>5$2d6>73d3A9m865rb55:>5<4290;w)=ne;06=>N4mm1C?i74$2d6>73?3-;m87:k8:k245<72-9m97??b:9j557=83.8j84>0c98k736290/?k;524a8?xd4>=0;6>4?:1y'7dc=:<30D>kk;I1g=>"4n<09955+1g690a>5$2d6>46e32e99<4?:%1e1?42k21vn?k<:187>5<7s-9ji7:>;I1f`>N4l01/?k;53g9'5c2=?:18'7c3=99h07d??1;29 6`228:i76g>0383>!5a=3;;n65`24394?"4n<099n54}c0f2?6=;3:1N4mm1C?i74$2d6>6`<,8l?69j7;h334?6=,:l>6<>m;:k244<72-9m97??b:9l607=83.8j84=5b98yg4b?3:1?7>50z&0e`<5=01C?hj4H2f:?!5a=38>46*>f587`==n99:1<7*=7>5$2d6>73d32wi>hj50;194?6|,:kn6?;6;I1f`>N4l01/?k;524:8 4`32=n37d??0;29 6`228:i76g>0083>!5a=3;;n65`24394?"4n<099n54}c0fa?6=;3:1N4mm1C?i74$2d6>6`<,8l?69j7;h334?6=,:l>6<>m;:k244<72-9m97??b:9l607=83.8j84=5b98yg4bn3:1?7>50z&0e`<5=01C?hj4H2f:?!5a=38>46*>f587`==n99:1<7*=7>5$2d6>73d32wi8lj50;094?6|,:kn6N4l01/?k;5869'5c2=:18'7c3=:h;;:a0dc=8381<7>t$2cf>47a3A9nh6F1/=k:54e:8mc`=83.8j84>0c98k736290/?k;524a8L6`332wi8lh50;094?6|,:kn6N4l01/?k;5869'5c2=:18'7c3=:h;;:a0g6=83?1<7>t$2cf>14<@:oo7E=k9:&0b0<4n2.:j94;d99j556=83.8j84>0c98m466290/?k;511`8?l77:3:1(>h::02a?>o68:0;6)=i5;33f>=h:<;1<7*52;294~"4il0:=k5G3df8L6b>3-9m9768;%3e0?2c02cmj7>5$2d6>46e32e99<4?:%1e1?42k2B8j954}c640?6=:3:1j6;%1e1?>03-;m87:k8:keb?6=,:l>6<>m;:m114<72-9m97<:c:J0b1=<97>52;294~"4il0:=k5G3df8L6b>3-9m9768;%3e0?2c02cmj7>5$2d6>46e32e99<4?:%1e1?42k2B8j954}c642?6==3:1N4mm1C?i74$2d6>6`<,8l?69j7;h334?6=,:l>6<>m;:k244<72-9m97??b:9j554=83.8j84>0c98m464290/?k;511`8?j4293:1(>h::37`?>{e:o81<7=50;2x 6gb2=:0D>kk;I1g=>"4n<08j6*>f587`==n99:1<7*=7>5$2d6>73d32wi>k=50;094?6|,:kn6N4l01/?k;5869'5c2=:18'7c3=:h;;:a6c2=8391<7>t$2cf>16<@:oo7E=k9:&0b0<4n2.:j94;d99j556=83.8j84>0c98m466290/?k;511`8?j4293:1(>h::37`?>{e:o?1<7=50;2x 6gb2;?27E=jd:J0`<=#;o?1>864$0d7>1b?3`;;<7>5$2d6>46e32c:<<4?:%1e1?77j21d>8?50;&0b0<5=j10qoh::2d8 4`32=n37d??0;29 6`228:i76g>0083>!5a=3;;n65`24394?"4n<099n54}c134?6=:3:1j6;%1e1?>03-;m87:k8:keb?6=,:l>6<>m;:m114<72-9m97<:c:J0b1=53;294~"4il0?<6F6>h4$0d7>1b?3`;;<7>5$2d6>46e32c:<<4?:%1e1?77j21d>8?50;&0b0<5=j10qo=?2;297?6=8r.8mh4=589K7`b<@:n27)=i5;06<>"6n=0?h55f11294?"4n<0:5<#;o?1==l4;n065?6=,:l>6?;l;:a75e=8391<7>t$2cf>16<@:oo7E=k9:&0b0<4n2.:j94;d99j556=83.8j84>0c98m466290/?k;511`8?j4293:1(>h::37`?>{e;9n1<7<50;2x 6gb28;m7E=jd:J0`<=#;o?14:5+1g690a>oj:528L6cc3A9o56*"6n=0?h55f11294?"4n<0:5<#;o?1==l4;n065?6=,:l>6?;l;:a75`=8391<7>t$2cf>73>3A9nh6Fh::02a?>o6880;6)=i5;33f>=h:<;1<7*7>53;294~"4il0?<6F6>h4$0d7>1b?3`;;<7>5$2d6>46e32c:<<4?:%1e1?77j21d>8?50;&0b0<5=j10qo=>3;296?6=8r.8mh4>1g9K7`b<@:n27)=i5;:4?!7a<3>o46gif;29 6`228:i76a=5083>!5a=38>o6F50z&0e`<382B8ii5G3e;8 6`22:l0(h::02a?>o6880;6)=i5;33f>=h:<;1<7*53;294~"4il09945G3df8L6b>3-9m97<:8:&2b1<3l11b==>50;&0b0<68k10e<>>:18'7c3=99h07b<:1;29 6`22;?h76sm30:94?5=83:p(>oj:528L6cc3A9o56*"6n=0?h55f11294?"4n<0:5<#;o?1==l4;n065?6=,:l>6?;l;:a74?=8381<7>t$2cf>47a3A9nh6F1/=k:54e:8mc`=83.8j84>0c98k736290/?k;524a8L6`332wi?4H2gg?M5c12.8j8450;&0b0<68k10e<>>:18'7c3=99h07b<:1;29 6`22;?h76sm30`94?5=83:p(>oj:37:?M5bl2B8h45+3g7960><,8l?69j7;h334?6=,:l>6<>m;:k244<72-9m97??b:9l607=83.8j84=5b98yg56m3:1?7>50z&0e`<382B8ii5G3e;8 6`22:l0(h::02a?>o6880;6)=i5;33f>=h:<;1<7*52;294~"4il0:=k5G3df8L6b>3-9m9768;%3e0?2c02cmj7>5$2d6>46e32e99<4?:%1e1?42k2B8j954}c114?6=;3:1N4mm1C?i74$2d6>6`<,8l?69j7;h334?6=,:l>6<>m;:k244<72-9m97??b:9l607=83.8j84=5b98yg5593:1?7>50z&0e`<5=01C?hj4H2f:?!5a=38>46*>f587`==n99:1<7*=7>5$2d6>73d32wi??:50;194?6|,:kn69>4H2gg?M5c12.8j8450;&0b0<68k10e<>>:18'7c3=99h07b<:1;29 6`22;?h76sm33794?4=83:p(>oj:03e?M5bl2B8h45+3g79<2=#9o>18i64igd94?"4n<0:5<#;o?1>8m4H2d7?>{e;;<1<7=50;2x 6gb2=:0D>kk;I1g=>"4n<08j6*>f587`==n99:1<7*=7>5$2d6>73d32wi??950;194?6|,:kn6?;6;I1f`>N4l01/?k;524:8 4`32=n37d??0;29 6`228:i76g>0083>!5a=3;;n65`24394?"4n<099n54}c11e?6=;3:1N4mm1C?i74$2d6>6`<,8l?69j7;h334?6=,:l>6<>m;:k244<72-9m97??b:9l607=83.8j84=5b98yg55j3:1>7>50z&0e`<69o1C?hj4H2f:?!5a=32<7)?i4;6g<>oan3:1(>h::02a?>i5=80;6)=i5;06g>N4n=10qo==c;297?6=8r.8mh4;0:J0aa=O;m30(>h::2d8 4`32=n37d??0;29 6`228:i76g>0083>!5a=3;;n65`24394?"4n<099n54}c11`?6=;3:1j6;%1e1?4202.:j94;d99j556=83.8j84>0c98m466290/?k;511`8?j4293:1(>h::37`?>{e;9?1<7=50;2x 6gb2=:0D>kk;I1g=>"4n<08j6*>f587`==n99:1<7*=7>5$2d6>73d32wi?=850;094?6|,:kn6N4l01/?k;5869'5c2=:18'7c3=:h;;:a751=8391<7>t$2cf>16<@:oo7E=k9:&0b0<4n2.:j94;d99j556=83.8j84>0c98m466290/?k;511`8?j4293:1(>h::37`?>{e;921<7=50;2x 6gb2;?27E=jd:J0`<=#;o?1>864$0d7>1b?3`;;<7>5$2d6>46e32c:<<4?:%1e1?77j21d>8?50;&0b0<5=j10qoh::2d8 4`32=n37d??0;29 6`228:i76g>0083>!5a=3;;n65`24394?"4n<099n54}c0e=?6=:3:1j6;%1e1?>03-;m87:k8:keb?6=,:l>6<>m;:m114<72-9m97<:c:J0b1=53;294~"4il0?<6F6>h4$0d7>1b?3`;;<7>5$2d6>46e32c:<<4?:%1e1?77j21d>8?50;&0b0<5=j10qo"6n=0?h55f11294?"4n<0:5<#;o?1==l4;n065?6=,:l>6?;l;:a6gd=8391<7>t$2cf>16<@:oo7E=k9:&0b0<4n2.:j94;d99j556=83.8j84>0c98m466290/?k;511`8?j4293:1(>h::37`?>{e:ki1<7<50;2x 6gb28;m7E=jd:J0`<=#;o?14:5+1g690a>oj:528L6cc3A9o56*"6n=0?h55f11294?"4n<0:5<#;o?1==l4;n065?6=,:l>6?;l;:a6gc=8391<7>t$2cf>73>3A9nh6Fh::02a?>o6880;6)=i5;33f>=h:<;1<7*97>52;294~"4il0:=k5G3df8L6b>3-9m9768;%3e0?2c02cmj7>5$2d6>46e32e99<4?:%1e1?42k2B8j954}c162?6=:3:1j6;%1e1?>03-;m87:k8:keb?6=,:l>6<>m;:m114<72-9m97<:c:J0b1=;7>54;294~"4il0?=6F6>h4$0d7>1b?3`;;<7>5$2d6>46e32c:<<4?:%1e1?77j21b==<50;&0b0<68k10c?;>:18'7c3=:6<729q/?lk524;8L6cc3A9o56*18i64i023>5<#;o?1==l4;h335?6=,:l>6<>m;:m114<72-9m97<:c:9~f<0=8391<7>t$2cf>73>3A9nh6Fh::02a?>o6880;6)=i5;33f>=h:<;1<7*56F6?;7;%3e0?2c02c:<=4?:%1e1?77j21b==?50;&0b0<68k10c?;>:18'7c3=:h::2d8 4`32=n37d??0;29 6`228:i76g>0083>!5a=3;;n65`24394?"4n<099n54}c;:>5<5290;w)=ne;32b>N4mm1C?i74$2d6>=1<,8l?69j7;hde>5<#;o?1==l4;n065?6=,:l>6?;l;I1e0>=zj0k1<7=50;2x 6gb2=:0D>kk;I1g=>"4n<08j6*>f587`==n99:1<7*=7>5$2d6>73d32wi5o4?:283>5}#;ho18=5G3df8L6b>3-9m97=i;%3e0?2c02c:<=4?:%1e1?77j21b==?50;&0b0<68k10c?;>:18'7c3=:"6n=0?h55f11294?"4n<0:5<#;o?1==l4;n065?6=,:l>6?;l;:a=a<72:0;6=u+3`g960?<@:oo7E=k9:&0b0<5=11/=k:54e:8m467290/?k;511`8?l7793:1(>h::02a?>i5=80;6)=i5;06g>=zj:>26=4<:183!5fm3>;7E=jd:J0`<=#;o?1?k5+1g690a>5$2d6>46e32e99<4?:%1e1?42k21vn>:n:181>5<7s-9ji7?>f:J0aa=O;m30(>h::958 4`32=n37dhi:18'7c3=99h07b<:1;29 6`22;?h7E=i4:9~f62e29086=4?{%1ba?273A9nh6Fh::02a?>i5=80;6)=i5;06g>=zj:>h6=4=:183!5fm3;:j6F6594$0d7>1b?3`lm6=4+3g7955d<3f8>=7>5$2d6>73d3A9m865rb26g>5<4290;w)=ne;63?M5bl2B8h45+3g797c=#9o>18i64i023>5<#;o?1==l4;h335?6=,:l>6<>m;:m114<72-9m97<:c:9~f62b29086=4?{%1ba?273A9nh6Fh::02a?>i5=80;6)=i5;06g>=zj:>m6=4<:183!5fm38>56F6?;7;%3e0?2c02c:<=4?:%1e1?77j21b==?50;&0b0<68k10c?;>:18'7c3=:7<729q/?lk510d8L6cc3A9o56*"6n=0?h55ffg83>!5a=3;;n65`24394?"4n<099n5G3g68?xd4<:0;6>4?:1y'7dc=:<30D>kk;I1g=>"4n<09955+1g690a>5$2d6>46e32e99<4?:%1e1?42k21vn>:;:180>5<7s-9ji7:?;I1f`>N4l01/?k;53g9'5c2=?:18'7c3=99h07d??1;29 6`228:i76a=5083>!5a=38>o65rb266>5<4290;w)=ne;06=>N4mm1C?i74$2d6>73?3-;m87:k8:k245<72-9m97??b:9j557=83.8j84>0c98k736290/?k;524a8?xd4>?0;6>4?:1y'7dc=:<30D>kk;I1g=>"4n<09955+1g690a>5$2d6>46e32e99<4?:%1e1?42k21vn>88:181>5<7s-9ji7?>f:J0aa=O;m30(>h::958 4`32=n37dhi:18'7c3=99h07b<:1;29 6`22;?h7E=i4:9~f60?29096=4?{%1ba?76n2B8ii5G3e;8 6`221=0(h::37`?M5a<21vn>86:180>5<7s-9ji7:?;I1f`>N4l01/?k;53g9'5c2=?:18'7c3=99h07d??1;29 6`228:i76a=5083>!5a=38>o65rb24b>5<4290;w)=ne;06=>N4mm1C?i74$2d6>73?3-;m87:k8:k245<72-9m97??b:9j557=83.8j84>0c98k736290/?k;524a8?xd30?0;6?4?:1y'7dc=98l0D>kk;I1g=>"4n<03;6*>f587`==nno0;6)=i5;33f>=h:<;1<7*07pl;8683>7<729q/?lk510d8L6cc3A9o56*"6n=0?h55ffg83>!5a=3;;n65`24394?"4n<099n5G3g68?xd3010;6?4?:1y'7dc=98l0D>kk;I1g=>"4n<03;6*>f587`==nno0;6)=i5;33f>=h:<;1<7*07pl;8883>7<729q/?lk510d8L6cc3A9o56*"6n=0?h55ffg83>!5a=3;;n65`24394?"4n<099n5G3g68?xd30h0;694?:1y'7dc=:kk;I1g=>"4n<09955+1g690a>5$2d6>46e32c:8?50;&0b0<5=j10qo:7b;290?6=8r.8mh4;1:J0aa=O;m30(>h::2d8 4`32=n37d??0;29 6`228:i76g>0083>!5a=3;;n65f11094?"4n<0:5<#;o?1>8m4;|`02f<72;0;6=u+3`g954`<@:oo7E=k9:&0b05}#;ho1=8?50;&0b0<5=j1C?k:4;|`034<72:0;6=u+3`g905=O;ln0D>j6;%1e1?5a3-;m87:k8:k245<72-9m97??b:9j557=83.8j84>0c98k736290/?k;524a8?xd4?;0;6?4?:1y'7dc=98l0D>kk;I1g=>"4n<03;6*>f587`==nno0;6)=i5;33f>=h:<;1<7*07pl<7283>7<729q/?lk510d8L6cc3A9o56*"6n=0?h55ffg83>!5a=3;;n65`24394?"4n<099n5G3g68?xd4?=0;6?4?:1y'7dc=98l0D>kk;I1g=>"4n<03;6*>f587`==nno0;6)=i5;33f>=h:<;1<7*07pl<7483>6<729q/?lk524;8L6cc3A9o56*18i64i023>5<#;o?1==l4;h335?6=,:l>6<>m;:m114<72-9m97<:c:9~f61129086=4?{%1ba?273A9nh6Fh::02a?>i5=80;6)=i5;06g>=zj:=<6=4<:183!5fm3>;7E=jd:J0`<=#;o?1?k5+1g690a>5$2d6>46e32e99<4?:%1e1?42k21vn>8k:180>5<7s-9ji7:?;I1f`>N4l01/?k;53g9'5c2=?:18'7c3=99h07d??1;29 6`228:i76a=5083>!5a=38>o65rb24f>5<4290;w)=ne;06=>N4mm1C?i74$2d6>73?3-;m87:k8:k245<72-9m97??b:9j557=83.8j84>0c98k736290/?k;524a8?xd4>o0;6>4?:1y'7dc=<91C?hj4H2f:?!5a=39m7)?i4;6g<>o6890;6)=i5;33f>=n99;1<7*5}#;ho18=5G3df8L6b>3-9m97=i;%3e0?2c02c:<=4?:%1e1?77j21b==?50;&0b0<68k10c?;>:18'7c3=:6<729q/?lk524;8L6cc3A9o56*18i64i023>5<#;o?1==l4;h335?6=,:l>6<>m;:m114<72-9m97<:c:9~f7bc29086=4?{%1ba?273A9nh6Fh::02a?>i5=80;6)=i5;06g>=zj;nn6=4=:183!5fm3;:j6F6594$0d7>1b?3`lm6=4+3g7955d<3f8>=7>5$2d6>73d3A9m865rb3fe>5<4290;w)=ne;06=>N4mm1C?i74$2d6>73?3-;m87:k8:k245<72-9m97??b:9j557=83.8j84>0c98k736290/?k;524a8?xd5m90;6>4?:1y'7dc=<91C?hj4H2f:?!5a=39m7)?i4;6g<>o6890;6)=i5;33f>=n99;1<7*5}#;ho1>874H2gg?M5c12.8j84=599'5c2=?:18'7c3=99h07d??1;29 6`228:i76a=5083>!5a=38>o65rb3f3>5<4290;w)=ne;63?M5bl2B8h45+3g797c=#9o>18i64i023>5<#;o?1==l4;h335?6=,:l>6<>m;:m114<72-9m97<:c:9~f7b629086=4?{%1ba?4212B8ii5G3e;8 6`22;?37)?i4;6g<>o6890;6)=i5;33f>=n99;1<7*5}#;ho1=8?50;&0b0<5=j1C?k:4;|`1`6<72;0;6=u+3`g954`<@:oo7E=k9:&0b05}#;ho1>874H2gg?M5c12.8j84=599'5c2=?:18'7c3=99h07d??1;29 6`228:i76a=5083>!5a=38>o65rb3f6>5<4290;w)=ne;06=>N4mm1C?i74$2d6>73?3-;m87:k8:k245<72-9m97??b:9j557=83.8j84>0c98k736290/?k;524a8?xd5l?0;6>4?:1y'7dc=<91C?hj4H2f:?!5a=39m7)?i4;6g<>o6890;6)=i5;33f>=n99;1<7*5}#;ho1>874H2gg?M5c12.8j84=599'5c2=?:18'7c3=99h07d??1;29 6`228:i76a=5083>!5a=38>o65rs0794?4|V8?01>>>:023?xu6>3:1>vP>6:?04`<6891v<950;0xZ41<5:;?6<>?;|q2a;296~X6i278>n4>019~w4d=838pR4673ty:o7>52z\2g>;5nh0:<=5rs0f94?4|V8n01><9:023?xu6m3:1>vP>e:?1fa<6891v>;|q14?6=:rT9<63=bc8244=z{;81<7279jk4>009~w71=838pR?94=22`>4663ty947>52z\1<>;49;0:<<5rs3;94?4|V;301>?7:022?xu5i3:1>vP=a:?05`<6881v?l50;1xZ7d<5::>6<>>;<0en4?:3y]6f=:;;k1==?4}r0g>5<5sW8o70{t0:0;64uQ829><34>019>73e=no16?:?5112897bc28:;703:1>v376;0a7>;4?;0mj6s|9583>7}:1=09n>5242`9bc=z{0?1<78?4=8f9556736343j6<>>;|q:=<<5=8165o4>009~wk3:1>v36c;065>;>l3;;=6s|9g83>44|V0l01?;k:9c897ed21k01>8=:9c897be21k015858`9>6`2=0h165947a:?1agp1>kj:gf8973c2h:01?ml:`2896052h:0q~<:d;296~;5=m09n>522e19bc=z{;?n6=4={<06`??b348=<7<:1:p60`=838p1?;i:372?84183;;=6s|2c694?4|V;h?70{t:k?1<7=6s|2c:94?4|V;h370=?2;065>{t:k31<7=6s|2cc94?5|V;hj7S=63=bd8245=z{;hh6=4={<0ag?429279ni4>009~w7dc2909w0;5jl0:<<5rs3`e>5<5sW8ij63<0g8114=z{;i;6=4={_0`4>;49<099<5rs3a2>5<5sW8h=63<1c8114=z{;i96=4={_0`6>;4:8099<5rs3a0>5<5sW8h?63<268114=z{;i?6=4={_0`0>;4:m099<5rs3a5>5<3sW8h:63=e28244=:<1<1jk523619bc=z{;ih6=49{<0`g?4e;279i>4>039>0g6=99:01999:023?82??3lm70=80;de?xu5km0;6?u22ba9e==::jo1>8?4}r0`b?6=:r79on46e:?1`2<5=81v?j?:18184c838>=63=d58244=z{;n:6=4={<0g5?429279h;4>019~w7b52909w0;5l<0:<=5rs3f0>5<5s48o?7<:1:?1`0<6881v?j;:18184c<38>=63=d68245=z{;n>6=4={<0g1?429279h;4>009~w7b12909w0;5l>0:<<5rs3f;>5<5s48hi7hi;<0ge?4292wx>i750;0x97b>2;?:70{t:mh1<7=t^3fa?84cj38i?63=de8244=z{;nh6=4={<0gf?g?348n=7<:1:p6ab=838p1?jk:372?84cn3;;=6s|2eg94?4|5;nn6?;>;<0f4?7792wx>ih50;0x97ba2;?:70{t:l:1<7736348n=7??1:p6`4=83=p1>;=:022?84b;38>=63=e78244=::lo1==?4=276>c`<50=1==>4=26`>c`516y>6`2=:k901?h=:023?84a;3lm70;4890mj63<0b8245=:;9n1jk523009556<5:;86kh4=23;>467349:57hi;<12a?778278=k4if:?061<68916??;5fg9>77g=99:01>;5jk0:<=522ca9bc=z{;o>6=4={<0f0?g?348n;7<:1:p6`0=838p1?k9:372?84b?3;;=6s|2d:94?4|5;o36?l<;<6;=?`a3ty9i44?:2y>6`>=0h168>658`9>6`g=:<;0q~5249:9bc=z{;oh6=4={<0ff?g?348nj7<:1:p6`b=838p1?kk:372?84bn3;;<6s|2dg94?4|5;on6?;>;<0fb?7792wx>k?50;0x97`52;?:70{t:o81<7736348m87??1:p6c5=838p1?h;:372?84a=3;;=6s|2g594?4|5;l36?;>;<0ef?7782wx>k650;0x97`>2;?:70{t:o31<7736348mn7??1:p6cc=838p1?hi:372?857:3;;<6s|2gd94?4|5::;6?;>;<135?7792wx?=>50;0x96662;?:70=?2;335>{t;9>1<7736349;47??0:p753=838p1>>9:372?857?3;;=6s|31494?4|5::<6?;>;<13{t;9i1<7736349;i7??1:p75b=838p1>>j:372?857n3;;=6s|30394?4|5:;96?;>;<121?7782wx?<<50;0x96742;?:70=>4;335>{t;891<7736349:97??1:p741=838p1>?7:372?856j3;;<6s|30:94?4|5:;26?;>;<12e?7792wx?<750;0x967f2;?:70=>b;335>{t;8n1<77363499=7??0:p74c=838p1>?i:372?85583;;=6s|30d94?4|5:8;6?;>;<115?7792wx??=50;0x96432;?:70==7;334>{t;;>1<77363499:7??1:p773=838p1><9:372?855?3;;=6s|33;94?4|5:8j6?;>;<11`?7782wx??o50;0x964e2;?:70==c;335>{t;;h1<77363499h7??1:p77`=838pR>76b=:k901>:::023?xu4;l0;6>u232f9e==:;:o1>o=4=245>4663ty8?k4?:5y>76c=i116?>h52c1897cc28:;70=96;334>{t;=:1<7:t=263>7d4349>47??0:?1`c<68916>i?51138yv5393:1>v3<418b<>;4<<099<5rs261>5<5s49?>7<:1:?006<6881v>:<:181853;38>=63<458244=z{:>?6=4={<170?429278884>009~w621290?w0=;6;0a7>;>03;;=63<488244=:;=>1==>4}r173?6=hj511389<0=99;0q~=;8;296~;4<>0j463<4g8114=z{:>26=4={<17=?4292788i4>019~w62f2909w0=;a;065>;45<5s49?n7<:1:?00`<6891v>:l:181853k38>=63<4d8244=z{:>o6=4={<17`?4292788k4>019~w62b2909w0=;e;065>;45<0s48n47o7;<172?g?349><7019>6ag=99:01?j;:023?xu4=80;6>u23429e==:;<81>8?4=343>4673ty89>4?:cy>705=:k901>;=:023?84b>3;;<63=ed8245=:;<=1==?4=8c9556<5:>i6<>>;<0g=?778279i=4>019>6a6=99;01?j>:023?xu4==0;6?u23419e==:;<21>8?4}r161?6=:r78984=509>701=99:0q~=:6;296~;4=?099<523459554;7>52z?012<5=816?8651138yv51:3:19v3<6381f6=:100mj63<4`8eb>;4<:0:<=523669bc=z{:<86=4={<156?g?349=87<:1:p733=838p1>8=:8g8960f2;?:7p}<6783>7}:;?<1>8?4=24b>4673ty8::4?:3y>731=:<;01>86:023?xu4>10;6?u237:9607<5:<26<>>;|q02<<72;q6?;752438960f28::7p}<6c83>2}:;<<1jk52968244=:;=h1==>4=24e>736348o57??1:?1``019~w60d2909w0=9c;065>;4?<0:<=5rs24g>5<5s49=h7<:1:?02`<6881v>8j:181851m38>=63<6g8245=z{:=;6=4={<144?429278;84>009~w6162909w0=81;065>;4>m0:<=5rs251>5<5s49<>7<:1:?033<6891v>9<:181850;38>=63<778244=z{:=?6=4={<140?429278:k4>009~w6122909w0=85;065>;4?>0:<<5rs255>5<5s49<:7<:1:?02a<6881v>98:181850?38>=63<6d8245=z{:=26=4;{_14=>;4>=0:<<523759bc=:;>;1==?4}r1;6?6=?rT84?523769556<5021==>4=26:>467349?>7hi;<15n;<6:2?77927?;44>019>0db=no168:=5fg9>0=g=99;0q~:<8;296~X3;1168>652c18yv24i3:1>v3;398b<>;3;k099<5rs57f>5<5sW>>i63;748eb>{t<>:1<7=6s|46094?4|5==:6kh4=555>7363ty?;>4?:3y>025=:<;01999:022?xu3?=0;6?u24669607<5===6<>=;|q730<72;q68:;52438911128:87p};7683>7}Y<>=01996:372?xu3?10;6?u246:9607<5==26<>>;|q7<0<72;qU85;4=5:a>7363ty?4;4?:3y>0=0=:<;0196n:023?xu30>0;6?u24959607<5=2j6<>=;|q7<=<72;q68565243891>e28:;7p};8883>7}:<131>8?4=5:a>4653ty?4l4?:3y>0=g=:<;0196m:022?xu31;0;6?uQ480891?42;?:7p};9483>7}Y<0?01979:372?xu3110;6?uQ48:891ga2ol0q~:na;296~X3ih168ll52438yv2fk3:1>v3;ac8eb>;3j9099<5rs5cg>5<5s4>jh7<:1:?7f5<6881v9oj:18182fm38>=63;b18247=z{=km6=4={<6bb?42927?n=4>029~w1b6290>wS:k1:?7=3<689168:65fg9>0dc=no168::5fg9~yg2783:1=;474;;3M5c12.8mh4>3`9'5c5=;0k0e4h50;9j560=831b=:;50;9j574=831b=8950;9l520=831b8=o50;9j<6<722e:;o4?::k71`<722c:?i4?::k7`4<722c?554?::m21<<722e:i<4?::k06c<722e?8o4?::m22`<722e:on4?::m1a?6=3f9h6=44o501>5<:183!5fm3ln7E=jd:J0`<=#;o?1?kl4$0d7>1b?3flo6=4+3g7960e<3th?>?4?:481>3}#;ho1j;5G3df8L6b>3-;m87:k8:k:a?6=3`k;6=44i9c94?=ni10;66a=b283>>d5j:0;6;4?:1y'7c3=n01b==l50;&0b0<68k10e4k50;&0b0<>m21b5k4?:%1e1??a32c8494?:%1e1?5?<21b?>m50;&0b0<4;j10c?;l:18'7c3=:4<729q/?k;53g`8k73d290/?k;524a8?xu5j:0;6?uQ2c1897d42;?h7p}n8;296~Xf0279n>4>0c9~wd6=838pRl>4=3`0>65d3ty2i7>52z\:a>;5j:02i6s|8`83>7}Y0h16>o=59g9~wcc=838p1?l<:2:7?85a:38>o6srb2:`>5<22;0=w)=ne;d5?M5bl2B8h45+11f9a>"6n=0?h55f9d83>>of83:17d6n:188md>=831d>o=50;9a6g5=83<1<7>t$2d6>c?=n;1>1<7*o7>5$2d6>73d32wi?k<50;394?6|,:l>6>hm;n06g?6=,:l>6?;l;:p6g5=838pR?l<;<0a7?42k2wxm54?:3y]e==::k91==l4}rc3>5<5sWk;70{t1l0;6?uQ9d9>6g5=1l1v5o50;0xZ=g<5;h864h4}rdf>5<5s48i?7=74:?0b7<5=j1vqo=l:186>7<1s-9ji7h9;I1f`>N4l01/=k:54e:8m5<6;:18'7c3=;1>07d=!5a=38>o65rb2d1>5<6290;w)=i5;1ef>i5=j0;6)=i5;06g>=z{;h86=4={_0a7>;5j:099n5rs`:94?4|Vh201?l<:02a?xuf83:1>vPn0:?1f6<4;j1v4k50;0xZ5<5sW2j70v3=b280<1=:;o81>8m4}|`b2?6=;3818v*N4mm1C?i74$0d7>1b?3`2j6=44i`:94?=h:k91<75m2c194?3=:3oj:g58 4`32=n37b3:1o68k0;6)=i5;33f>=n1l0;6)=i5;;f?>o>n3:1(>h::8d8?l5?<3:1(>h::2:7?>o4;j0;6)=i5;10g>=h:51;294~"4n<099:5`27394?"4n<099n54}rc;>5<5sWk370h8:02a?xu?i3:1>vP7a:?e3??a3tyj<7>52z\b4>;a?398o6s|2c094?4|V;h970h8:2:7?xu5j:0;6?uQ2c189c1=:{zj;0;6<4?:1y'7a>=12.8mh4ie:&0b0<4nk1/=k:54e:8kcb=83.8j84=5b98yv4e;3:1>vP=b29>6g5=:k90q~o7:181[g?348i?7o7;|q;e?6=:rT3m63=b28;e>{t=3:1?v3=b281f7=::k91m=522;dg?!5c03i0qpl=4`83>6<52=q/?lk5f59K7`b<@:n27)?i4;6g<>o?i3:17do7:188k7d42900n?l<:186>7<1s-9ji7h8;%3e0?2c02e9n>4?::k1f7<722cj47>5;hc3>5<3<729q/?k;5f89j55d=83.8j84>0c98m:18'7c3=:{ti90;6?uQa19>b2<4;j1v?l=:181[4e:27m;7=74:p6g5=838pR?l<;73d3ty8hl4?:3y>b2<>m278j=4=609~yg4=83;1<7>t$2f;><=#;ho1jh5+3g797cd<,8l?69j7;ndg>5<#;o?1>8m4;|q1f6<72;qU>o=4=3`0>7d43tyj47>52z\b<>;5j:0j46s|8`83>7}Y0h16>o=58`9~w0<72:q6>o=52c0897d42h:01?4id:&0`=5;h:b>5<m:18'7c3=99h07d7j:18'7c3=1l10e4h50;&0b0<>n21b?5:50;&0b0<40=10e>=l:18'7c3=;:i07b<:c;29 6`22;?h76sm3g094?7=83:p(>h::2da?j42k3:1(>h::37`?>{t:k91<7o6s|a983>7}Yi116>o=511`8yvg72909wSo?;<0a7?54k2wx5h4?:3y]=`=::k915h5rs9c94?4|V1k01?l<:8d8yv`b2909w0;4n;099n5r}c342?6==381:v*N4mm1C?i74$0d7>1b?3`3n6=44i`294?=n0h0;66gn8;29?j4e;3:17o64h4;h1;0?6=,:l>6>6;;:k07f<72-9m97=50z&0b0<4nk1d>8m50;&0b0<5=j10q~o=524a8yvg?2909wSo7;<0a7?77j2wxm=4?:3y]e5=::k91?>m4}r;f>5<5sW3n70vP7a:?1f6<>n2wxjh4?:3y>6g5=;1>01>h=:37`?x{e9mn1<7;52;4x 6gb2o<0D>kk;I1g=>"6n=0?h55f9d83>>of83:17d6n:188md>=831d>o=50;9a6g5=83<1<7>t$2d6>c?=n;1>1<7*o7>5$2d6>73d32wi?k<50;394?6|,:l>6>hm;n06g?6=,:l>6?;l;:p6g5=838pR?l<;<0a7?42k2wxm54?:3y]e==::k91==l4}rc3>5<5sWk;70{t1l0;6?uQ9d9>6g5=1l1v5o50;0xZ=g<5;h864h4}rdf>5<5s48i?7=74:?0b7<5=j1vqo?9a;291?4=>r.8mh4i6:J0aa=O;m30(50;9j5;n0a7?6=3k8i?7>56;294~"4n<0m56g>0c83>!5a=3;;n65f9d83>!5a=33n76g6f;29 6`220l07d=74;29 6`22:2?76g<3b83>!5a=398o65`24a94?"4n<099n54}c1e6?6=93:152z\1f6=::k91>8m4}rc;>5<5sWk370{ti90;6?uQa19>6g5=;:i0q~7j:181[?b348i?77j;|q;e?6=:rT3m63=b28:b>{tnl0;6?u22c197=2<5:l96?;l;|a5<2=83?1>78t$2cf>c0<@:oo7E=k9:&2b1<3l11b5h4?::kb4?6=3`2j6=44i`:94?=h:k91<75m2c194?0=83:p(>h::g;8m46e290/?k;511`8?l?b290/?k;59d98m<`=83.8j846f:9j7=2=83.8j84<8598m65d290/?k;532a8?j42k3:1(>h::37`?>{e;o81<7?50;2x 6`22:li7b<:c;29 6`22;?h76s|2c194?4|V;h870{ti10;6?uQa99>6g5=99h0q~o?:181[g7348i?7=18i64i9c94?=ni10;66a=b283>>d5j:0;684=:7y'7dc=n>1/=k:54e:8k7d42900e?l=:188md>=831bm=4?::k;e?6=3kl<6=49:183!5a=3l27d??b;29 6`228:i76g6e;29 6`220o07d7i:18'7c3=1o10e>6;:18'7c3=;1>07d=!5a=38>o65rb2d3>5<6290;w)=i5;063>i5>80;6)=i5;06g>=z{h21<7f34l<64h4}rc3>5<5sWk;70h8:21`?xu5j;0;6?uQ2c089c1=;1>0q~4=b29~wd>=838pRl64=3`0>d>63=b28b4>;52on0(>j7:b9~yg7dk3:1?7<54z&0e`=831d>o=50;9a6g5=83?1>78t$2cf>c1<,8l?69j7;n0a7?6=3`8i>7>5;hc;>5<>da?3:1:7>50z&0b05$2d6><`<3`9387>5$2d6>6>332c8?n4?:%1e1?54k21d>8m50;&0b0<5=j10qo=i0;295?6=8r.8j84=569l637=83.8j84=5b98yvg?2909wSo7;46e3ty3m7>52z\;e>;a?33m7p}n0;296~Xf827m;7=6>33ty9n>4?:3y]6g5<5o=1>8m4}r1ge?6=:r7m;77j;<1e4?4192wvn?4?:083>5}#;m2156*"4n<08jo5+1g690a>52z\1f6=::k91>o=4}rc;>5<5sWk370vP7a:?1f653z?1f6<5j;16>o=5a19>6?`c3-9o47m4}|`21<<72:0969u+3`g9b1=O;ln0D>j6;%3e0?2c02c3m7>5;hc;>5<5<22;0=w)=ne;d4?!7a<3>o46a=b283>>o5j;0;66gn8;29?lg72900e5o50;9ab2<72?0;6=u+3g79b<=n99h1<7*=n1o0;6)=i5;;e?>o40=0;6)=i5;1;0>=n;:i1<7*5}#;o?1>894o342>5<#;o?1>8m4;|qb{t0h0;6?uQ8`9>b2<>n2wxm=4?:3y]e5=:n>08?n5rs3`1>5<5sW8i>63i7;1;0>{t:k91<77}:n>02i634n8:pu22c196g4<5;h86l>4=38e`>"4l10h7psm1d394?5=:3>p(>oj:g68L6cc3A9o56*>f587`==n0h0;66gn8;29?j4e;3:17or.8mh4i7:&2b1<3l11d>o=50;9j6g4=831bm54?::kb4?6=3`2j6=44bg594?0=83:p(>h::g;8m46e290/?k;511`8?l?b290/?k;59d98m<`=83.8j846f:9j7=2=83.8j84<8598m65d290/?k;532a8?j42k3:1(>h::37`?>{e;o:1<7?50;2x 6`22;?<7b<91;29 6`22;?h76s|a983>7}Yi116j:4>0c9~w=g=838pR5o4=g59=c=z{h:1<752738yxd5290:6=4?{%1g6`e3-;m87:k8:me`?6=,:l>6?;l;:p6g5=838pR?l<;<0a7?4e;2wxm54?:3y]e==::k91m55rs9c94?4|V1k01?l<:9c8yv3=839p1?l<:3`1?84e;3k;70<5fe9'7a>=k2wvn<9m:180>7<3s-9ji7h;;I1f`>N4l01/=k:54e:8m=g=831bm54?::m1f6<722h9n>4?:481>3}#;ho1j:5+1g690a>5<>o?i3:17oh8:185>5<7s-9m97h6;h33f?6=,:l>6<>m;:k:a?6=,:l>64k4;h;e>5<#;o?15k54i2:7>5<#;o?1?5:4;h10g?6=,:l>6>=l;:m11f<72-9m97<:c:9~f6`7290:6=4?{%1e1?42?2e9:<4?:%1e1?42k21vl650;0xZd><5o=1==l4}r:b>5<5sW2j70h8:8d8yvg72909wSo?;65d3ty9n?4?:3y]6g4<5o=1?5:4}r0a7?6=:rT9n>52f6811f=z{:nj6=4={;|a6?6=93:1"6n=0?h55`fe83>!5a=38>o65rs3`0>5<5sW8i?63=b281f6=z{h21<7f348i?76n;|q6>5<4s48i?7f=zuk;>47>53;090~"4il0m86F5<50;9j56;294~"4n<0m56g>0c83>!5a=3;;n65f9d83>!5a=33n76g6f;29 6`220l07d=74;29 6`22:2?76g<3b83>!5a=398o65`24a94?"4n<099n54}c1e4?6=93:1{t:k81<77}Y:k901k9524a8yv5ci3:1>v3i7;;f?85a838==6srb383>4<729q/?i659:&0e`o=52c18yvg?2909wSo7;<0a7?g?3ty3m7>52z\;e>;5j:03m6s|5;297~;5j:09n?522c19e5=::3lo7)=k8;a8yxd6;<0;6>4=:5y'7dc=n=1C?hj4H2f:?!77l3o0(f2900el650;9l6g5=831i>o=50;796?0|,:kn6k94$0d7>1b?3f8i?7>5;h0a6?6=3`k36=44i`294?=n0h0;66li7;292?6=8r.8j84i9:k24g<72-9m97??b:9j=`<72-9m977j;:k:b?6=,:l>64h4;h1;0?6=,:l>6>6;;:k07f<72-9m97=50z&0b0<5=>1d>;?50;&0b0<5=j10q~o7:181[g?34l<6<>m;|q;e?6=:rT3m63i7;;e?xuf83:1>vPn0:?e3?54k2wx>o<50;0xZ7d534l<6>6;;|q1f6<72;qU>o=4=g5960e52z?e3??b349m<7<91:~f7<7280;6=u+3e:9=>"4il0mi6*18i64ogf94?"4n<099n54}r0a7?6=:rT9n>522c196g547a:p1?6=;r79n>4=b39>6g5=i916>7hk;%1g1}#;ho1j95G3df8L6b>3-;;h7k4$0d7>1b?3`2j6=44i`:94?=h:k91<75m2c194?3=:3oj:g58 4`32=n37b3:1o68k0;6)=i5;33f>=n1l0;6)=i5;;f?>o>n3:1(>h::8d8?l5?<3:1(>h::2:7?>o4;j0;6)=i5;10g>=h:51;294~"4n<099:5`27394?"4n<099n54}rc;>5<5sWk370h8:02a?xu?i3:1>vP7a:?e3??a3tyj<7>52z\b4>;a?398o6s|2c094?4|V;h970h8:2:7?xu5j:0;6?uQ2c189c1=:{zj;0;6<4?:1y'7a>=12.8mh4ie:&0b0<4nk1/=k:54e:8kcb=83.8j84=5b98yv4e;3:1>vP=b29>6g5=:k90q~o7:181[g?348i?7o7;|q;e?6=:rT3m63=b28;e>{t=3:1?v3=b281f7=::k91m=522;dg?!5c03i0qpl<9g83>6<52=q/?lk5f59K7`b<@:n27)??d;g8 4`32=n37d6n:188md>=831d>o=50;9a6g5=83?1>78t$2cf>c1<,8l?69j7;n0a7?6=3`8i>7>5;hc;>5<>da?3:1:7>50z&0b05$2d6><`<3`9387>5$2d6>6>332c8?n4?:%1e1?54k21d>8m50;&0b0<5=j10qo=i0;295?6=8r.8j84=569l637=83.8j84=5b98yvg?2909wSo7;46e3ty3m7>52z\;e>;a?33m7p}n0;296~Xf827m;7=6>33ty9n>4?:3y]6g5<5o=1>8m4}r1ge?6=:r7m;77j;<1e4?4192wvn?4?:083>5}#;m2156*"4n<08jo5+1g690a>52z\1f6=::k91>o=4}rc;>5<5sWk370vP7a:?1f653z?1f6<5j;16>o=5a19>6?`c3-9o47m4}|`70f<72;0;6=u+3`g954`<@:oo7E=k9:&0b05}#;ho1=8?50;&0b0<5=j1C?k:4;|`2`c<72;0;6=u+3`g954`<@:oo7E=k9:&0b084?:383>5}#;ho1=8?50;&0b0<5=j1C?k:4;|`0a?6=;3:1j6;%1e1?4202.:j94;d99j556=83.8j84>0c98m466290/?k;511`8?j4293:1(>h::37`?>{e;hi1<7<50;2x 6gb28;m7E=jd:J0`<=#;o?14:5+1g690a>oj:528L6cc3A9o56*"6n=0?h55f11294?"4n<0:5<#;o?1==l4;n065?6=,:l>6?;l;:a01`=8391<7>t$2cf>16<@:oo7E=k9:&0b0<4n2.:j94;d99j556=83.8j84>0c98m466290/?k;511`8?j4293:1(>h::37`?>{e<<:1<7<50;2x 6gb28;m7E=jd:J0`<=#;o?14:5+1g690a>oj:03e?M5bl2B8h45+3g79<2=#9o>18i64igd94?"4n<0:5<#;o?1>8m4H2d7?>{e<<81<7=50;2x 6gb2=:0D>kk;I1g=>"4n<08j6*>f587`==n99:1<7*=7>5$2d6>73d32wi88=50;194?6|,:kn69>4H2gg?M5c12.8j8450;&0b0<68k10e<>>:18'7c3=99h07b<:1;29 6`22;?h76sm16f94?5=83:p(>oj:528L6cc3A9o56*"6n=0?h55f11294?"4n<0:5<#;o?1==l4;n065?6=,:l>6?;l;:a52c=8381<7>t$2cf>47a3A9nh6F1/=k:54e:8mc`=83.8j84>0c98k736290/?k;524a8L6`332wi=:h50;194?6|,:kn69>4H2gg?M5c12.8j8450;&0b0<68k10e<>>:18'7c3=99h07b<:1;29 6`22;?h76sm3`394?4=83:p(>oj:03e?M5bl2B8h45+3g79<2=#9o>18i64igd94?"4n<0:5<#;o?1>8m4H2d7?>{e;h91<7=50;2x 6gb2;?27E=jd:J0`<=#;o?1>864$0d7>1b?3`;;<7>5$2d6>46e32c:<<4?:%1e1?77j21d>8?50;&0b0<5=j10qo=n4;297?6=8r.8mh4;0:J0aa=O;m30(>h::2d8 4`32=n37d??0;29 6`228:i76g>0083>!5a=3;;n65`24394?"4n<099n54}c1b1?6=:3:1j6;%1e1?>03-;m87:k8:keb?6=,:l>6<>m;:m114<72-9m97<:c:J0b1=52;294~"4il0:=k5G3df8L6b>3-9m9768;%3e0?2c02cmj7>5$2d6>46e32e99<4?:%1e1?42k2B8j954}c1b3?6=;3:1N4mm1C?i74$2d6>6`<,8l?69j7;h334?6=,:l>6<>m;:k244<72-9m97??b:9l607=83.8j84=5b98yg5f03:1?7>50z&0e`<382B8ii5G3e;8 6`22:l0(h::02a?>o6880;6)=i5;33f>=h:<;1<7*53;294~"4il09945G3df8L6b>3-9m97<:8:&2b1<3l11b==>50;&0b0<68k10e<>>:18'7c3=99h07b<:1;29 6`22;?h76sm3`c94?5=83:p(>oj:528L6cc3A9o56*"6n=0?h55f11294?"4n<0:5<#;o?1==l4;n065?6=,:l>6?;l;:a7d4=8391<7>t$2cf>73>3A9nh6Fh::02a?>o6880;6)=i5;33f>=h:<;1<7*53;294~"4il0?<6F6>h4$0d7>1b?3`;;<7>5$2d6>46e32c:<<4?:%1e1?77j21d>8?50;&0b0<5=j10qo=6e;297?6=8r.8mh4=589K7`b<@:n27)=i5;06<>"6n=0?h55f11294?"4n<0:5<#;o?1==l4;n065?6=,:l>6?;l;:a071=8391<7>t$2cf>16<@:oo7E=k9:&0b0<4n2.:j94;d99j556=83.8j84>0c98m466290/?k;511`8?j4293:1(>h::37`?>{e<;21<7<50;2x 6gb28;m7E=jd:J0`<=#;o?14:5+1g690a>oj:37:?M5bl2B8h45+3g7960><,8l?69j7;h334?6=,:l>6<>m;:k244<72-9m97??b:9l607=83.8j84=5b98yg7003:1>7>50z&0e`<69o1C?hj4H2f:?!5a=32<7)?i4;6g<>oan3:1(>h::02a?>i5=80;6)=i5;06g>N4n=10qo?89;296?6=8r.8mh4>1g9K7`b<@:n27)=i5;:4?!7a<3>o46gif;29 6`228:i76a=5083>!5a=38>o6F50z&0e`<5=h1C?hj4H2f:?!5a=38>46*>f587`==n99:1<7*7>5$2d6>46e32e99<4?:%1e1?42k21vn<9?:180>5<7s-9ji7:?;I1f`>N4l01/?k;53g9'5c2=?:18'7c3=99h07d??1;29 6`228:i76a=5083>!5a=38>o65rb052>5<5290;w)=ne;32b>N4mm1C?i74$2d6>=1<,8l?69j7;hde>5<#;o?1==l4;n065?6=,:l>6?;l;I1e0>=zj8=96=4=:183!5fm3;:j6F6594$0d7>1b?3`lm6=4+3g7955d<3f8>=7>5$2d6>73d3A9m865rb050>5<4290;w)=ne;63?M5bl2B8h45+3g797c=#9o>18i64i023>5<#;o?1==l4;h335?6=,:l>6<>m;:m114<72-9m97<:c:9~f41329086=4?{%1ba?4212B8ii5G3e;8 6`22;?37)?i4;6g<>o6890;6)=i5;33f>=n99;1<7*4?:283>5}#;ho18=5G3df8L6b>3-9m97=i;%3e0?2c02c:<=4?:%1e1?77j21b==?50;&0b0<68k10c?;>:18'7c3=:e`83>7<729q/?lk510d8L6cc3A9o56*"6n=0?h55ffg83>!5a=3;;n65`24394?"4n<099n5G3g68?xd6mk0;6?4?:1y'7dc=98l0D>kk;I1g=>"4n<03;6*>f587`==nno0;6)=i5;33f>=h:<;1<7*07pl>eb83>7<729q/?lk510d8L6cc3A9o56*"6n=0?h55ffg83>!5a=3;;n65`24394?"4n<099n5G3g68?xd6mm0;6?4?:1y'7dc=98l0D>kk;I1g=>"4n<03;6*>f587`==nno0;6)=i5;33f>=h:<;1<7*07pl>ed83>7<729q/?lk510d8L6cc3A9o56*"6n=0?h55ffg83>!5a=3;;n65`24394?"4n<099n5G3g68?xd6mo0;6?4?:1y'7dc=98l0D>kk;I1g=>"4n<03;6*>f587`==nno0;6)=i5;33f>=h:<;1<7*07pl>f183>7<729q/?lk510d8L6cc3A9o56*"6n=0?h55ffg83>!5a=3;;n65`24394?"4n<099n5G3g68?xd6n80;6>4?:1y'7dc=:<30D>kk;I1g=>"4n<09955+1g690a>5$2d6>46e32e99<4?:%1e1?42k21vn5<7s-9ji7:?;I1f`>N4l01/?k;53g9'5c2=?:18'7c3=99h07d??1;29 6`228:i76a=5083>!5a=38>o65rb0g6>5<3290;w)=ne;62?M5bl2B8h45+3g797c=#9o>18i64i023>5<#;o?1==l4;h335?6=,:l>6<>m;:k247<72-9m97??b:9l607=83.8j84=5b98yg7b>3:1?7>50z&0e`<382B8ii5G3e;8 6`22:l0(h::02a?>o6880;6)=i5;33f>=h:<;1<7*53;294~"4il09945G3df8L6b>3-9m97<:8:&2b1<3l11b==>50;&0b0<68k10e<>>:18'7c3=99h07b<:1;29 6`22;?h76sm1d:94?2=83:p(>oj:538L6cc3A9o56*"6n=0?h55f11294?"4n<0:5<#;o?1==l4;h336?6=,:l>6<>m;:m114<72-9m97<:c:9~f4c>29086=4?{%1ba?4212B8ii5G3e;8 6`22;?37)?i4;6g<>o6890;6)=i5;33f>=n99;1<7*5}#;ho18=5G3df8L6b>3-9m97=i;%3e0?2c02c:<=4?:%1e1?77j21b==?50;&0b0<68k10c?;>:18'7c3=:8683>7<729q/?lk510d8L6cc3A9o56*"6n=0?h55ffg83>!5a=3;;n65`24394?"4n<099n5G3g68?xd6010;6?4?:1y'7dc=98l0D>kk;I1g=>"4n<03;6*>f587`==nno0;6)=i5;33f>=h:<;1<7*07pl>8883>6<729q/?lk5419K7`b<@:n27)=i5;1e?!7a<3>o46g>0183>!5a=3;;n65f11394?"4n<0:5<#;o?1>8m4;|`2j6;%1e1?5a3-;m87:k8:k245<72-9m97??b:9j557=83.8j84>0c98k736290/?k;524a8?xd60k0;6?4?:1y'7dc=98l0D>kk;I1g=>"4n<03;6*>f587`==nno0;6)=i5;33f>=h:<;1<7*07pl>8b83>7<729q/?lk510d8L6cc3A9o56*"6n=0?h55ffg83>!5a=3;;n65`24394?"4n<099n5G3g68?xd60m0;6?4?:1y'7dc=98l0D>kk;I1g=>"4n<03;6*>f587`==nno0;6)=i5;33f>=h:<;1<7*07pl>8d83>6<729q/?lk524;8L6cc3A9o56*18i64i023>5<#;o?1==l4;h335?6=,:l>6<>m;:m114<72-9m97<:c:9~f4>529086=4?{%1ba?273A9nh6Fh::02a?>i5=80;6)=i5;06g>=zj8286=4<:183!5fm3>;7E=jd:J0`<=#;o?1?k5+1g690a>5$2d6>46e32e99<4?:%1e1?42k21vn<6;:180>5<7s-9ji7<:9:J0aa=O;m30(>h::37;?!7a<3>o46g>0183>!5a=3;;n65f11394?"4n<0:5<#;o?1>8m4;|`2<0<72=0;6=u+3`g904=O;ln0D>j6;%1e1?5a3-;m87:k8:k245<72-9m97??b:9j557=83.8j84>0c98m465290/?k;511`8?j4293:1(>h::37`?>{e91<1<7=50;2x 6gb2;?27E=jd:J0`<=#;o?1>864$0d7>1b?3`;;<7>5$2d6>46e32c:<<4?:%1e1?77j21d>8?50;&0b0<5=j10qo?66;297?6=8r.8mh4;0:J0aa=O;m30(>h::2d8 4`32=n37d??0;29 6`228:i76g>0083>!5a=3;;n65`24394?"4n<099n54}c3:3?6=;3:1j6;%1e1?4202.:j94;d99j556=83.8j84>0c98m466290/?k;511`8?j4293:1(>h::37`?>{e9021<7<50;2x 6gb28;m7E=jd:J0`<=#;o?14:5+1g690a>oj:03e?M5bl2B8h45+3g79<2=#9o>18i64igd94?"4n<0:5<#;o?1>8m4H2d7?>{e90k1<7=50;2x 6gb2=:0D>kk;I1g=>"4n<08j6*>f587`==n99:1<7*=7>5$2d6>73d32wi=4l50;194?6|,:kn69>4H2gg?M5c12.8j8450;&0b0<68k10e<>>:18'7c3=99h07b<:1;29 6`22;?h76sm18a94?2=83:p(>oj:538L6cc3A9o56*"6n=0?h55f11294?"4n<0:5<#;o?1==l4;h336?6=,:l>6<>m;:m114<72-9m97<:c:9~f43e29086=4?{%1ba?273A9nh6Fh::02a?>i5=80;6)=i5;06g>=zj8<96=4=:183!5fm3;:j6F6594$0d7>1b?3`lm6=4+3g7955d<3f8>=7>5$2d6>73d3A9m865rb040>5<5290;w)=ne;32b>N4mm1C?i74$2d6>=1<,8l?69j7;hde>5<#;o?1==l4;n065?6=,:l>6?;l;I1e0>=zj856F6?;7;%3e0?2c02c:<=4?:%1e1?77j21b==?50;&0b0<68k10c?;>:18'7c3=:6483>7<729q/?lk510d8L6cc3A9o56*"6n=0?h55ffg83>!5a=3;;n65`24394?"4n<099n5G3g68?xd6>?0;6?4?:1y'7dc=98l0D>kk;I1g=>"4n<03;6*>f587`==nno0;6)=i5;33f>=h:<;1<7*07pl>6683>7<729q/?lk510d8L6cc3A9o56*"6n=0?h55ffg83>!5a=3;;n65`24394?"4n<099n5G3g68?xd6>10;6>4?:1y'7dc=<91C?hj4H2f:?!5a=39m7)?i4;6g<>o6890;6)=i5;33f>=n99;1<7*5}#;ho1=8?50;&0b0<5=j1C?k:4;|`21f<72=0;6=u+3`g904=O;ln0D>j6;%1e1?5a3-;m87:k8:k245<72-9m97??b:9j557=83.8j84>0c98m465290/?k;511`8?j4293:1(>h::37`?>{e9kk;I1g=>"4n<08j6*>f587`==n99:1<7*7>5$2d6>46e32e99<4?:%1e1?42k21vn<;j:180>5<7s-9ji7:?;I1f`>N4l01/?k;53g9'5c2=?:18'7c3=99h07d??1;29 6`228:i76a=5083>!5a=38>o65rb07e>5<4290;w)=ne;06=>N4mm1C?i74$2d6>73?3-;m87:k8:k245<72-9m97??b:9j557=83.8j84>0c98k736290/?k;524a8?xd6>90;6>4?:1y'7dc=<91C?hj4H2f:?!5a=39m7)?i4;6g<>o6890;6)=i5;33f>=n99;1<7*5}#;ho1>8o4H2gg?M5c12.8j84=599'5c2=?:18'7c3=99h07d??1;29 6`228:i76g>0383>!5a=3;;n65`24394?"4n<099n54}c3`a?6=;3:1N4mm1C?i74$2d6>6`<,8l?69j7;h334?6=,:l>6<>m;:k244<72-9m97??b:9l607=83.8j84=5b98yg7c=3:1>7>50z&0e`<69o1C?hj4H2f:?!5a=32<7)?i4;6g<>oan3:1(>h::02a?>i5=80;6)=i5;06g>N4n=10qo?k6;296?6=8r.8mh4>1g9K7`b<@:n27)=i5;:4?!7a<3>o46gif;29 6`228:i76a=5083>!5a=38>o6F50z&0e`<5=01C?hj4H2f:?!5a=38>46*>f587`==n99:1<7*=7>5$2d6>73d32wi=i650;094?6|,:kn6N4l01/?k;5869'5c2=:18'7c3=:h;;:a5a?=8381<7>t$2cf>47a3A9nh6F1/=k:54e:8mc`=83.8j84>0c98k736290/?k;524a8L6`332wi=io50;094?6|,:kn6N4l01/?k;5869'5c2=:18'7c3=:h;;:a5ad=8391<7>t$2cf>16<@:oo7E=k9:&0b0<4n2.:j94;d99j556=83.8j84>0c98m466290/?k;511`8?j4293:1(>h::37`?>{e9mi1<7<50;2x 6gb28;m7E=jd:J0`<=#;o?14:5+1g690a>oj:538L6cc3A9o56*"6n=0?h55f11294?"4n<0:5<#;o?1==l4;h336?6=,:l>6<>m;:m114<72-9m97<:c:9~f4b7290?6=4?{%1ba?263A9nh6Fh::02a?>o68;0;6)=i5;33f>=h:<;1<7*53;294~"4il0?<6F6>h4$0d7>1b?3`;;<7>5$2d6>46e32c:<<4?:%1e1?77j21d>8?50;&0b0<5=j10qo?k2;297?6=8r.8mh4=589K7`b<@:n27)=i5;06<>"6n=0?h55f11294?"4n<0:5<#;o?1==l4;n065?6=,:l>6?;l;:a5a5=8391<7>t$2cf>16<@:oo7E=k9:&0b0<4n2.:j94;d99j556=83.8j84>0c98m466290/?k;511`8?j4293:1(>h::37`?>{e9m>1<7:50;2x 6gb2;?j7E=jd:J0`<=#;o?1>864$0d7>1b?3`;;<7>5$2d6>46e32c:<<4?:%1e1?77j21b==<50;&0b0<68k10c?;>:18'7c3=:7<729q/?lk510d8L6cc3A9o56*"6n=0?h55ffg83>!5a=3;;n65`24394?"4n<099n5G3g68?xd40o0;6?4?:1y'7dc=98l0D>kk;I1g=>"4n<03;6*>f587`==nno0;6)=i5;33f>=h:<;1<7*07pl<9183>7<729q/?lk510d8L6cc3A9o56*"6n=0?h55ffg83>!5a=3;;n65`24394?"4n<099n5G3g68?xd4180;6?4?:1y'7dc=98l0D>kk;I1g=>"4n<03;6*>f587`==nno0;6)=i5;33f>=h:<;1<7*07pl<9383>6<729q/?lk5419K7`b<@:n27)=i5;1e?!7a<3>o46g>0183>!5a=3;;n65f11394?"4n<0:5<#;o?1>8m4;|`0=6<72:0;6=u+3`g960?<@:oo7E=k9:&0b0<5=11/=k:54e:8m467290/?k;511`8?l7793:1(>h::02a?>i5=80;6)=i5;06g>=zj:3?6=4;:183!5fm3>:7E=jd:J0`<=#;o?1?k5+1g690a>5$2d6>46e32c:8?50;&0b0<5=j10qo=65;297?6=8r.8mh4=589K7`b<@:n27)=i5;06<>"6n=0?h55f11294?"4n<0:5<#;o?1==l4;n065?6=,:l>6?;l;:p6`<72;qU>h522d81f6=z{:i1<7kt^2a896e=:k901>o<:023?87003lm70?jd;de?87b<3;;<63>888245=:91h1jk5218:9bc=:9?=1jk5217:9557<58nj6kh4=0fa>4663492<7hi;|q0`?6=:r78o77j;<1f>7363ty3?7>5cz\;7>;40j0j463>778b<>;6>l0j463;248eb>;6?m0:<<523`69557<5=836kh4=05:>c`<58=96kh4=0;5>4673492=7hi;|q:b?6=9=qU5k524309;f>32j70<;a;:b?871m32j70?86;:b?87cl32j70?9a;:b?87><32j707i:9c8yvg12908w0o9:3`0?85b28:;70?8a;335>{ti>0;6?u2a78b<>;4im099<5rs001>5<5sW;9>63>348b<>{t9:?1<7;t=016>7d4349j=7hi;<1:`?77927:il4if:?2<2850;0xZ45134>9;7??1:p56b=83019>7d2=99:01<79:022?85><3;;=6s|14594?4|V8?<70?:8;c;?xu6=10;6?u214:96g5<58=;6<>?;|q21<<72=qU=874=07:>7d434;>n7??0:?21f<6881v<;n:18187213k370?91;065>{t973634;==7??1:p50e=838p1<;l:372?872n3;;<6s|14f94?4|58?o6?;>;<36b?7792wx=8k50;0x943b2;?:70?91;336>{t973634;=<7??0:p536=838p1<8?:372?87193;;<6s|17094?4|58<96?;>;<36g?7782wx=;=50;0x94042;?:70?:c;336>{t9?>1<773634;>h7??1:p533=838p1<8::372?872l3;;<6s|17494?4|58<=6?;>;<36`?77:2wx=;950;0x94002;?:70?90;335>{t9?21<773634;>i7??0:p53?=838p1<86:372?872m3;;=6s|17c94?4|58l0;6?uQ17g8940b2;h87p}>6g83>7}:9?o15h52166960752z?235<5=816=:=51128yv7093:1>v3>708114=:9>91==?4}r346?6=:r7:;?4=509>522=99;0q~?83;296~;6?:099<52166955654z\230=:9>;1jk5218c9556<5:386<>?;|q233<72;qU=:84=055>7d43ty:;:4?:3y>520=1l16=:o52438yv7003:1>v3>798114=:9>k1==>4}r34=?6=:r7:;44=509>52g=9980q~?8b;297~X6?k16=:l52c1894>628:;7p}>7b83>2}:9>l1>8?4=0g6>46634;3m7??0:?226009>5a3=no16=i951138yv70l3:1>v3>7e8114=:9>l1==>4}r34a?6=:r7:;h4=509>52`=99;0q~?70;296~;6?k0j463>878114=z{82:6=4={<3;5?42927:4>4>019~w4>52909w0?72;065>;60=0:<<5rs0:0>5<5s4;3?7<:1:?2<3<6891v<6;:18187?<38>=63>848244=z{82>6=4={<3;1?42927:4;4>009~w4>02909w0?77;065>;60l0:<=5rs0:;>5<5s4;347<:1:?2<`<6881v<66:18187?138>=63>858245=z{82j6=4={<3;e?42927:4?4>019~w4>e2909w0?7b;065>;60;0:<<5rs0:`>5<5s4;3o7<:1:?2<0<6891v<6k:18187?l38>=63>848247=z{82n6=4={<3;a?42927:4>4>009~w4?32908w0?64;0a7>;6mj0mj63>888244=z{83>6=4={<3:2?42927:5n4>009~w4?12909w0?67;065>;61h0:<<5rs0;4>5<5s4;247<:1:?2=g<6891v<77:18187>138>=63>9c8244=z{8326=4={<3:e?42927:5n4>019~w4?f2909w0?6b;065>;61j0:5<3sW;ho63>cb81f6=:9jo1==>4=0ae>4663ty:oi4?:3y>5fe=i116=i:52438yv7dm3:1>v3>cd8114=:9m>1==?4}r3`b?6=:r7:ok4=509>5a4=99:0q~?k0;296~;6l9099<521e0955752z?2`4<5=816=i:51108yv7c:3:1>v3>d38114=:9m91==>4}r3g7?6=:r7:h>4=509>5a2=99:0q~?k5;296~;6l<099<521bd955652z?2`3<5=816=nh51108yv7c?3:1>v3>d68114=:9m:1==?4}r3g5a6=99:0q~?k9;296~;6l0099<521e2955452z?2`d<5=816=i=51138yv7cj3:1>v3>dc8114=:9m;1==>4}r3gg?6=:r7:hn4=509>5a7=99;0q~?kd;296~;6lm09n>521e`955652z?2`av3>e08b<>;6m0099<5rs0g0>5<5s4;n?7<:1:?2a3<6891v=63>e68245=z{8o>6=4={<3f1?42927:i:4>009~w4c12909w0?j6;065>;6m00:<=5rs0g4>5<5s4;n;7<:1:?2a=<6881v=63>e88244=z{8oj6=4={<3fe?42927:j<4>019~w4ce2909w0?jb;065>;6n80:<<5rs0g`>5<5s4;no7<:1:?2a1<6881v=63>e48245=z{8on6=4={<3fa?42927:i84>039~w4ca2909w0?jf;065>;6m10:<=5rs0d3>5<5s4;m<7<:1:?2a=<68;1v:18187a938>=63>e78244=z{;>j6=4:{<1`>d><5;>j6?l<;<3g`??b34;=m77j;<1f>4663ty98o4?:2y>61g=i116=4:59d9>5;40j0j<63<3k;7p}<2g83>7}Y;;l019;>:gd8yv5?k3:1:v3<8b81f6=:9>o1jk523`79bc=:<;=1==>4=053>46634;257hi;|q07<3=:<;0q~=7e;296~;40l099<52380955652z?083:1>v3<918114=:;0>1==<4}r1:5?6=:r785<4=509>7<3=99;0q~=62;296~;41;099<52381955752z?0=6<5=816?4:51128yv5><3:1>v3<958114=:;0?1==>4}r1:f?6=99q6?4l52c1896gd2ol01>o9:gd896?c28:;70?jb;de?87bn3lm70?78;de?87?k3lm70?67;335>;6=k0:<<521749bc=:9?31jk521bg9557<58n26kh4=0f`>c`<5:2m6kh4}r1:g?6=:r785o4n8:?0=`<5=81v>7k:18185>l38>=63<9d8244=z{:3m6=4>0z?1a?g?3492j7;6m:0:<<521g29bc=:91;1==?4=0:g>c`<583<6<>?;<356?`a34;=87??0:?2`3019>7=c=no1v>o?:18185>n3k370=n2;065>{t;h;1<7736349j;7??1:p7d5=838p1>o<:372?85f13;;<6s|3`694?4|5:k?6?;>;<1b{t;h<1<7736349jm7??1:p7d1=838p1>o8:372?85f:3;;<6s|3`:94?4|5:k36?;>;<1b=?7792wx?l750;0x96g>2;?:70=na;334>{t;hk1<7736349j>7??1:p7dd=838p1>ol:372?85fl3;;=6s|41c94?4|V=:j70::0;de?xu3:;0;6?uQ430891452;h87p};2583>7}:<;81m55243796079:7>52z?767<>m27?>44=509~w1402909w0:=7;065>;3:00:<=5rs50;>5<5s4>947<:1:?76<<6881v9:m:181[23j27?8n4=509~w12b2909w0:;c;de?822;38>=6s|45d94?4|5=>m6?;>;<667?7782wx88>50;0x91372;?:70::2;334>{t<<;1<773634>>>7??1:p004=838p19;=:372?822;3;;=6s|44g94?5|V=?n70?9c;de?871=3lm7p};9983>6}Y<020101`=99;01f28::7psm3gg94?e=9>09j6;%3e0?2c02c3m7>5;hc;>5<5<22;0=w)=ne;d4?!7a<3>o46a=b283>>o5j;0;66gn8;29?lg72900e5o50;9ab2<72?0;6=u+3g79b<=n99h1<7*=n1o0;6)=i5;;e?>o40=0;6)=i5;1;0>=n;:i1<7*5}#;o?1>894o342>5<#;o?1>8m4;|qb{t0h0;6?uQ8`9>b2<>n2wxm=4?:3y]e5=:n>08?n5rs3`1>5<5sW8i>63i7;1;0>{t:k91<77}:n>02i634n8:pu22c196g4<5;h86l>4=38e`>"4l10h7psm4683>6<52=q/?lk5f59K7`b<@:n27)??d;g8 4`32=n37d6n:188md>=831d>o=50;9a6g5=83?1>78t$2cf>c1<,8l?69j7;n0a7?6=3`8i>7>5;hc;>5<>da?3:1:7>50z&0b05$2d6><`<3`9387>5$2d6>6>332c8?n4?:%1e1?54k21d>8m50;&0b0<5=j10qo=i0;295?6=8r.8j84=569l637=83.8j84=5b98yvg?2909wSo7;46e3ty3m7>52z\;e>;a?33m7p}n0;296~Xf827m;7=6>33ty9n>4?:3y]6g5<5o=1>8m4}r1ge?6=:r7m;77j;<1e4?4192wvn?4?:083>5}#;m2156*"4n<08jo5+1g690a>52z\1f6=::k91>o=4}rc;>5<5sWk370vP7a:?1f653z?1f6<5j;16>o=5a19>6?`c3-9o47m4}|`7g3<72=0968u+3`g9b==O;ln0D>j6;odb>4=#9o>18i64i9c94?=ni10;66g=b383>>i5j:0;66l=b283>0<52?q/?lk5f69'5c2=5;cd4>5<1290;w)=i5;d:?l77j3:1(>h::02a?>o>m3:1(>h::8g8?l?a290/?k;59g98m6>3290/?k;53968?l54k3:1(>h::21`?>i5=j0;6)=i5;06g>=zj:l;6=4>:183!5a=38>;6a=6083>!5a=38>o65rs`:94?4|Vh201k9511`8yv>f2909wS6n;<`7}Y:k801k953968yv4e;3:1>vP=b29>b2<5=j1v>jn:1818`020o01>h?:342?x{e93:1=7>50z&0`=4=b39~wd>=838pRl64=3`0>d>=j2wvn5:50;094?6|,:kn6N4l01/?k;5869'5c2=:18'7c3=:h;;:a<0<72:0;6=u+3`g960?<@:oo7E=k9:&0b0<5=11/=k:54e:8m467290/?k;511`8?l7793:1(>h::02a?>i5=80;6)=i5;06g>=zj=h1<7<50;2x 6gb28;m7E=jd:J0`<=#;o?14:5+1g690a>oj:03e?M5bl2B8h45+3g79<2=#9o>18i64igd94?"4n<0:5<#;o?1>8m4H2d7?>{e7<729q/?lk510d8L6cc3A9o56*"6n=0?h55ffg83>!5a=3;;n65`24394?"4n<099n5G3g68?xd3<;0;6?4?:1y'7dc=98l0D>kk;I1g=>"4n<03;6*>f587`==nno0;6)=i5;33f>=h:<;1<7*07pl;4283>7<729q/?lk510d8L6cc3A9o56*"6n=0?h55ffg83>!5a=3;;n65`24394?"4n<099n5G3g68?xd3<=0;694?:1y'7dc=<81C?hj4H2f:?!5a=39m7)?i4;6g<>o6890;6)=i5;33f>=n99;1<7*=7>5$2d6>73d32wi8nm50;194?6|,:kn69>4H2gg?M5c12.8j8450;&0b0<68k10e<>>:18'7c3=99h07b<:1;29 6`22;?h76sm4bf94?4=83:p(>oj:03e?M5bl2B8h45+3g79<2=#9o>18i64igd94?"4n<0:5<#;o?1>8m4H2d7?>{ekk;I1g=>"4n<08j6*>f587`==n99:1<7*=7>5$2d6>73d32wi?8o50;094?6|,:kn6N4l01/?k;5869'5c2=:18'7c3=:h;;:a70d=8381<7>t$2cf>47a3A9nh6F1/=k:54e:8mc`=83.8j84>0c98k736290/?k;524a8L6`332wi?8m50;094?6|,:kn6N4l01/?k;5869'5c2=:18'7c3=:h;;:a70b=8381<7>t$2cf>47a3A9nh6F1/=k:54e:8mc`=83.8j84>0c98k736290/?k;524a8L6`332wi?8k50;094?6|,:kn6N4l01/?k;5869'5c2=:18'7c3=:h;;:a70`=8391<7>t$2cf>16<@:oo7E=k9:&0b0<4n2.:j94;d99j556=83.8j84>0c98m466290/?k;511`8?j4293:1(>h::37`?>{e;?:1<7:50;2x 6gb2;?j7E=jd:J0`<=#;o?1>864$0d7>1b?3`;;<7>5$2d6>46e32c:<<4?:%1e1?77j21b==<50;&0b0<68k10c?;>:18'7c3=:1<729q/?lk5409K7`b<@:n27)=i5;1e?!7a<3>o46g>0183>!5a=3;;n65f11394?"4n<0:5<#;o?1==l4;n065?6=,:l>6?;l;:p02<72;q68:4=b29><0<6891v9750;0x911=0h16854=509~w1g=838p1995a99>0g<5=81v5=50;6xZ=5<51?1>8?4=567>46634>ho7??0:p<1<72;q6494=509><0<6881vk<50;6xZc4<5=9h65o4=5a5>=g<5=21jk5rs02;>5<4sW;;463;428eb>;3kj0:<<5rs04f>5<5sW;=i63<5d8eb>{t9>?1<77}:;8?4=27e>4663ty89o4?:3y>70d=:<;01>8?:023?xu4=j0;6?u234a9607<5:<;6<>=;|q01a<72;q6?8j52438960628:;7p}<5d83>7}:;8?4=242>4653ty89k4?:3y>70`=:<;01>8?:022?xu4>90;6?u23729607<5:<:6<>>;|q74d<72=qU8=o4=5a5>7d5342?6kh4=5`9bc=z{=9h6=4={_60g>;3;j09n>5rs562>5<5s4>?:7hi;<670?4292wx89<50;0x91252;?:70:;4;334>{t<=91<773634>?87??2:p013=838p19=l:`:891212;?:7p};c783>7}Y8?4}r6`g?6=:r7?on4=509>0fc=99:0q~:ld;296~;3km099<524bg9557hj7>52z?7g3389'5c5=;0k0c<=9:188m1e12900c9??:188m15d2900c<<=:188mg2=831b85j50;9l51b=831b5<4?::k23g<722c3n7>5;n66a?6=3`;=h7>5;h5a>5<5<5<<6=44o577>5<kk;I1g=>"4n<08jo5+1g690a>i=7>55;092~"4il0m:6F5<>of03:17b50z&0b05$2d6><`<3`9387>5$2d6>6>332c8?n4?:%1e1?54k21d>8m50;&0b0<5=j10qo=i2;295?6=8r.8j84vP=b29>6g5=:46f:pb`<72;q6>o=5396896`52;?h7psmcd83>6<52=q/?lk5f59K7`b<@:n27)?i4;6g<>o?i3:17do7:188k7d42900n?l<:186>7<1s-9ji7h8;%3e0?2c02e9n>4?::k1f7<722cj47>5;hc3>5<3<729q/?k;5f89j55d=83.8j84>0c98m:18'7c3=:{ti90;6?uQa19>b2<4;j1v?l=:181[4e:27m;7=74:p6g5=838pR?l<;73d3ty8hl4?:3y>b2<>m278j=4=609~yg4=83;1<7>t$2f;><=#;ho1jh5+3g797cd<,8l?69j7;ndg>5<#;o?1>8m4;|q1f6<72;qU>o=4=3`0>7d43tyj47>52z\b<>;5j:0j46s|8`83>7}Y0h16>o=58`9~w0<72:q6>o=52c0897d42h:01?4id:&0`=1}#;ho1j95G3df8L6b>3-;m87:k8:k;e?6=3`k36=44o3`0>5<i5j:0;66g=b383>>of03:17do?:188m=g=831ij:4?:783>5}#;o?1j45f11`94?"4n<0:!5a=33m76g<8583>!5a=393865f32a94?"4n<08?n54o37`>5<#;o?1>8m4;|`0b5<7280;6=u+3g7960152z\b<>;a?3;;n6s|8`83>7}Y0h16j:46f:pe5<72;qUm=52f6807f=z{;h96=4={_0a6>;a?39386s|2c194?4|V;h870h8:37`?xu4lh0;6?u2f68:a>;4n909:<5r}c094?7=83:p(>j7:89'7dc=nl1/?k;53g`8 4`32=n37bhk:18'7c3=:7}Y:k901?l<:3`0?xuf03:1>vPn8:?1f66}::k91>o<4=3`0>d6<5;0mh6*4=:5y'7dc=n=1C?hj4H2f:?!7a<3>o46g7a;29?lg?2900c?l<:188f7d4290>6?49{%1ba?`03-;m87:k8:m1f6<722c9n?4?::kb0;6;4?:1y'7c3=n01b==l50;&0b0<68k10e4k50;&0b0<>m21b5k4?:%1e1??a32c8494?:%1e1?5?<21b?>m50;&0b0<4;j10c?;l:18'7c3=:4<729q/?k;52458k706290/?k;524a8?xuf03:1>vPn8:?e3?77j2wx4l4?:3y]02j6s|a183>7}Yi916j:4<3b9~w7d52909wSo=50;0xZ7d434l<6?;l;|q0`d<72;q6j:46e:?0b5<5>81vqo<50;394?6|,:n3645+3`g9b`=#;o?1?kl4$0d7>1b?3flo6=4+3g7960e<3ty9n>4?:3y]6g5<5;h86?l<;|qb{t0h0;6?uQ8`9>6g5=0h1v84?:2y>6g5=:k801?l<:`2897j6;%3e0?2c02c3m7>5;hc;>5<5<22;0=w)=ne;d4?!7a<3>o46a=b283>>o5j;0;66gn8;29?lg72900e5o50;9ab2<72?0;6=u+3g79b<=n99h1<7*=n1o0;6)=i5;;e?>o40=0;6)=i5;1;0>=n;:i1<7*5}#;o?1>894o342>5<#;o?1>8m4;|qb{t0h0;6?uQ8`9>b2<>n2wxm=4?:3y]e5=:n>08?n5rs3`1>5<5sW8i>63i7;1;0>{t:k91<77}:n>02i634n8:pu22c196g4<5;h86l>4=38e`>"4l10h7psm2d83>6<52=q/?lk5f59K7`b<@:n27)?i4;6g<>o?i3:17do7:188k7d42900n?l<:186>7<1s-9ji7h8;%3e0?2c02e9n>4?::k1f7<722cj47>5;hc3>5<3<729q/?k;5f89j55d=83.8j84>0c98m:18'7c3=:{ti90;6?uQa19>b2<4;j1v?l=:181[4e:27m;7=74:p6g5=838pR?l<;73d3ty8hl4?:3y>b2<>m278j=4=609~yg4=83;1<7>t$2f;><=#;ho1jh5+3g797cd<,8l?69j7;ndg>5<#;o?1>8m4;|q1f6<72;qU>o=4=3`0>7d43tyj47>52z\b<>;5j:0j46s|8`83>7}Y0h16>o=58`9~w0<72:q6>o=52c0897d42h:01?4id:&0`=5;n0a7?6=3k8i?7>55;092~"4il0m;6*>f587`==h:k91<75f2c094?=ni10;66gn0;29?l>f2900nk950;494?6|,:l>6k74i02a>5<#;o?1==l4;h;f>5<#;o?15h54i8d94?"4n<02j65f39694?"4n<084954i21`>5<#;o?1?>m4;n06g?6=,:l>6?;l;:a7c6=83;1<7>t$2d6>7303f8==7>5$2d6>73d32wxm54?:3y]e==:n>0:4=g5976e7>52z\1f7=:n>08495rs3`0>5<5sW8i?63i7;06g>{t;mk1<7;?4}|`1>5<6290;w)=k8;;8 6gb2oo0(>h::2da?!7a<3>o46aid;29 6`22;?h76s|2c194?4|V;h870{ti10;6?uQa99>6g5=i11v5o50;0xZ=g<5;h865o4}r794?5|5;h86?l=;<0a7?g73481ji5+3e:9g>{zj83o6=4<:387!5fm3l?7E=jd:J0`<=#9o>18i64i9c94?=ni10;66a=b283>>d5j:0;684=:7y'7dc=n>1/=k:54e:8k7d42900e?l=:188md>=831bm=4?::k;e?6=3kl<6=49:183!5a=3l27d??b;29 6`228:i76g6e;29 6`220o07d7i:18'7c3=1o10e>6;:18'7c3=;1>07d=!5a=38>o65rb2d3>5<6290;w)=i5;063>i5>80;6)=i5;06g>=z{h21<7f34l<64h4}rc3>5<5sWk;70h8:21`?xu5j;0;6?uQ2c089c1=;1>0q~4=b29~wd>=838pRl64=3`0>d>63=b28b4>;52on0(>j7:b9~yg7a:3:1?7<54z&0e`=831d>o=50;9a6g5=83?1>78t$2cf>c1<,8l?69j7;n0a7?6=3`8i>7>5;hc;>5<>da?3:1:7>50z&0b05$2d6><`<3`9387>5$2d6>6>332c8?n4?:%1e1?54k21d>8m50;&0b0<5=j10qo=i0;295?6=8r.8j84=569l637=83.8j84=5b98yvg?2909wSo7;46e3ty3m7>52z\;e>;a?33m7p}n0;296~Xf827m;7=6>33ty9n>4?:3y]6g5<5o=1>8m4}r1ge?6=:r7m;77j;<1e4?4192wvn?4?:083>5}#;m2156*"4n<08jo5+1g690a>52z\1f6=::k91>o=4}rc;>5<5sWk370vP7a:?1f653z?1f6<5j;16>o=5a19>6?`c3-9o47m4}|`2j6;%3e0?2c02c3m7>5;hc;>5<5<22;0=w)=ne;d4?!7a<3>o46a=b283>>o5j;0;66gn8;29?lg72900e5o50;9ab2<72?0;6=u+3g79b<=n99h1<7*=n1o0;6)=i5;;e?>o40=0;6)=i5;1;0>=n;:i1<7*5}#;o?1>894o342>5<#;o?1>8m4;|qb{t0h0;6?uQ8`9>b2<>n2wxm=4?:3y]e5=:n>08?n5rs3`1>5<5sW8i>63i7;1;0>{t:k91<77}:n>02i634n8:pu22c196g4<5;h86l>4=38e`>"4l10h7psm9283>6<52=q/?lk5f59K7`b<@:n27)?i4;6g<>o?i3:17do7:188k7d42900n?l<:186>7<1s-9ji7h8;%3e0?2c02e9n>4?::k1f7<722cj47>5;hc3>5<3<729q/?k;5f89j55d=83.8j84>0c98m:18'7c3=:{ti90;6?uQa19>b2<4;j1v?l=:181[4e:27m;7=74:p6g5=838pR?l<;73d3ty8hl4?:3y>b2<>m278j=4=609~yg4=83;1<7>t$2f;><=#;ho1jh5+3g797cd<,8l?69j7;ndg>5<#;o?1>8m4;|q1f6<72;qU>o=4=3`0>7d43tyj47>52z\b<>;5j:0j46s|8`83>7}Y0h16>o=58`9~w0<72:q6>o=52c0897d42h:01?4id:&0`=5;n0a7?6=3k8i?7>55;092~"4il0m;6*>f587`==h:k91<75f2c094?=ni10;66gn0;29?l>f2900nk950;494?6|,:l>6k74i02a>5<#;o?1==l4;h;f>5<#;o?15h54i8d94?"4n<02j65f39694?"4n<084954i21`>5<#;o?1?>m4;n06g?6=,:l>6?;l;:a7c6=83;1<7>t$2d6>7303f8==7>5$2d6>73d32wxm54?:3y]e==:n>0:4=g5976e7>52z\1f7=:n>08495rs3`0>5<5sW8i?63i7;06g>{t;mk1<7;?4}|`1>5<6290;w)=k8;;8 6gb2oo0(>h::2da?!7a<3>o46aid;29 6`22;?h76s|2c194?4|V;h870{ti10;6?uQa99>6g5=i11v5o50;0xZ=g<5;h865o4}r794?5|5;h86?l=;<0a7?g73481ji5+3e:9g>{zj=?n6=4<:387!5fm3l?7E=jd:J0`<=#9o>18i64i9c94?=ni10;66a=b283>>d5j:0;684=:7y'7dc=n>1/=k:54e:8k7d42900e?l=:188md>=831bm=4?::k;e?6=3kl<6=49:183!5a=3l27d??b;29 6`228:i76g6e;29 6`220o07d7i:18'7c3=1o10e>6;:18'7c3=;1>07d=!5a=38>o65rb2d3>5<6290;w)=i5;063>i5>80;6)=i5;06g>=z{h21<7f34l<64h4}rc3>5<5sWk;70h8:21`?xu5j;0;6?uQ2c089c1=;1>0q~4=b29~wd>=838pRl64=3`0>d>63=b28b4>;52on0(>j7:b9~yg2683:1?7<54z&0e`=831d>o=50;9a6g5=83?1>78t$2cf>c1<,8l?69j7;n0a7?6=3`8i>7>5;hc;>5<>da?3:1:7>50z&0b05$2d6><`<3`9387>5$2d6>6>332c8?n4?:%1e1?54k21d>8m50;&0b0<5=j10qo=i0;295?6=8r.8j84=569l637=83.8j84=5b98yvg?2909wSo7;46e3ty3m7>52z\;e>;a?33m7p}n0;296~Xf827m;7=6>33ty9n>4?:3y]6g5<5o=1>8m4}r1ge?6=:r7m;77j;<1e4?4192wvn?4?:083>5}#;m2156*"4n<08jo5+1g690a>52z\1f6=::k91>o=4}rc;>5<5sWk370vP7a:?1f653z?1f6<5j;16>o=5a19>6?`c3-9o47m4}|`702<72:0969u+3`g9b1=O;ln0D>j6;%3e0?2c02c3m7>5;hc;>5<5<22;0=w)=ne;d4?!7a<3>o46a=b283>>o5j;0;66gn8;29?lg72900e5o50;9ab2<72?0;6=u+3g79b<=n99h1<7*=n1o0;6)=i5;;e?>o40=0;6)=i5;1;0>=n;:i1<7*5}#;o?1>894o342>5<#;o?1>8m4;|qb{t0h0;6?uQ8`9>b2<>n2wxm=4?:3y]e5=:n>08?n5rs3`1>5<5sW8i>63i7;1;0>{t:k91<77}:n>02i634n8:pu22c196g4<5;h86l>4=38e`>"4l10h7psmee83>6<52=q/?lk5f59K7`b<@:n27)?i4;6g<>o?i3:17do7:188k7d42900n?l<:186>7<1s-9ji7h8;%3e0?2c02e9n>4?::k1f7<722cj47>5;hc3>5<3<729q/?k;5f89j55d=83.8j84>0c98m:18'7c3=:{ti90;6?uQa19>b2<4;j1v?l=:181[4e:27m;7=74:p6g5=838pR?l<;73d3ty8hl4?:3y>b2<>m278j=4=609~yg4=83;1<7>t$2f;><=#;ho1jh5+3g797cd<,8l?69j7;ndg>5<#;o?1>8m4;|q1f6<72;qU>o=4=3`0>7d43tyj47>52z\b<>;5j:0j46s|8`83>7}Y0h16>o=58`9~w0<72:q6>o=52c0897d42h:01?4id:&0`=5;n0a7?6=3k8i?7>55;092~"4il0m;6*>f587`==h:k91<75f2c094?=ni10;66gn0;29?l>f2900nk950;494?6|,:l>6k74i02a>5<#;o?1==l4;h;f>5<#;o?15h54i8d94?"4n<02j65f39694?"4n<084954i21`>5<#;o?1?>m4;n06g?6=,:l>6?;l;:a7c6=83;1<7>t$2d6>7303f8==7>5$2d6>73d32wxm54?:3y]e==:n>0:4=g5976e7>52z\1f7=:n>08495rs3`0>5<5sW8i?63i7;06g>{t;mk1<7;?4}|`1>5<6290;w)=k8;;8 6gb2oo0(>h::2da?!7a<3>o46aid;29 6`22;?h76s|2c194?4|V;h870{ti10;6?uQa99>6g5=i11v5o50;0xZ=g<5;h865o4}r794?5|5;h86?l=;<0a7?g73481ji5+3e:9g>{zj89=6=4;:386!5fm3l>7E=jd:J0`<=#9o>18i64i`294?=n0h0;66gn8;29?j4e;3:17or.8mh4i7:&2b1<3l11d>o=50;9j6g4=831bm54?::kb4?6=3`2j6=44bg594?0=83:p(>h::g;8m46e290/?k;511`8?l?b290/?k;59d98m<`=83.8j846f:9j7=2=83.8j84<8598m65d290/?k;532a8?j42k3:1(>h::37`?>{e;o:1<7?50;2x 6`22;?<7b<91;29 6`22;?h76s|a983>7}Yi116j:4>0c9~w=g=838pR5o4=g59=c=z{h:1<752738yxd4290:6=4?{%1g6`e3-;m87:k8:me`?6=,:l>6?;l;:p6g5=838pR?l<;<0a7?4e;2wxm54?:3y]e==::k91m55rs`294?4|Vh:01?l<:`28yv>f2909wS6n;<0a7?>f3ty=6=4={<0a7?4e:2786kj4$2f;>a=zuk;?h7>54;091~"4il0m96F5<>i5j:0;66l=b283>0<52?q/?lk5f69'5c2=5;cd4>5<1290;w)=i5;d:?l77j3:1(>h::02a?>o>m3:1(>h::8g8?l?a290/?k;59g98m6>3290/?k;53968?l54k3:1(>h::21`?>i5=j0;6)=i5;06g>=zj:l;6=4>:183!5a=38>;6a=6083>!5a=38>o65rs`:94?4|Vh201k9511`8yv>f2909wS6n;<`7}Y:k801k953968yv4e;3:1>vP=b29>b2<5=j1v>jn:1818`020o01>h?:342?x{e;3:1=7>50z&0`=<5;h86l64}rc3>5<5sWk;70vP7a:?1f652z?1f6<5j;16?7hk;%1g53;090~"4il0m86F>i5j:0;66l=b283>0<52?q/?lk5f69'5c2=5;cd4>5<1290;w)=i5;d:?l77j3:1(>h::02a?>o>m3:1(>h::8g8?l?a290/?k;59g98m6>3290/?k;53968?l54k3:1(>h::21`?>i5=j0;6)=i5;06g>=zj:l;6=4>:183!5a=38>;6a=6083>!5a=38>o65rs`:94?4|Vh201k9511`8yv>f2909wS6n;<`7}Y:k801k953968yv4e;3:1>vP=b29>b2<5=j1v>jn:1818`020o01>h?:342?x{e:3:1=7>50z&0`=<>3-9ji7hj;%1e1?5aj2.:j94;d99lba<72-9m97<:c:9~w7d42909wS<5;h86l64}r:b>5<5sW2j70;5j:0j<63=:gf8 6b?2j1vqo?nd;297?4=k:d9'5c2=4?::`1f6<72<096;u+3`g9b2=#9o>18i64o3`0>5<3`;;n7>5$2d6>46e32c2i7>5$2d6>5$2d6>65d32e99n4?:%1e1?42k21vn>h?:182>5<7s-9m97<:7:m124<72-9m97<:c:9~wd>=838pRl64=g5955d=l;|q1f7<72;qU>o<4=g597=252z\1f6=:n>099n5rs2fb>5<5s4l<64k4=2d3>7063twi>7>51;294~"4l1027)=ne;df?!5a=39mn6*>f587`==hnm0;6)=i5;06g>=z{;h86=4={_0a7>;5j:09n>5rs`:94?4|Vh201?l<:`:8yv>f2909wS6n;<0a7?>f3ty>6=4<{<0a7?4e:279n>4n0:?1>cb<,:n36n5r}c3ba?6=;3818v*N4mm1C?i74$02g>`=#9o>18i64i9c94?=ni10;66a=b283>>d5j:0;684=:7y'7dc=n>1/=k:54e:8k7d42900e?l=:188md>=831bm=4?::k;e?6=3kl<6=49:183!5a=3l27d??b;29 6`228:i76g6e;29 6`220o07d7i:18'7c3=1o10e>6;:18'7c3=;1>07d=!5a=38>o65rb2d3>5<6290;w)=i5;063>i5>80;6)=i5;06g>=z{h21<7f34l<64h4}rc3>5<5sWk;70h8:21`?xu5j;0;6?uQ2c089c1=;1>0q~4=b29~wd>=838pRl64=3`0>d>63=b28b4>;52on0(>j7:b9~yg7e;3:1?7<54z&0e`4?:481>3}#;ho1j:5+1g690a>5<>o?i3:17oh8:185>5<7s-9m97h6;h33f?6=,:l>6<>m;:k:a?6=,:l>64k4;h;e>5<#;o?15k54i2:7>5<#;o?1?5:4;h10g?6=,:l>6>=l;:m11f<72-9m97<:c:9~f6`7290:6=4?{%1e1?42?2e9:<4?:%1e1?42k21vl650;0xZd><5o=1==l4}r:b>5<5sW2j70h8:8d8yvg72909wSo?;65d3ty9n?4?:3y]6g4<5o=1?5:4}r0a7?6=:rT9n>52f6811f=z{:nj6=4={;|a6?6=93:1"6n=0?h55`fe83>!5a=38>o65rs3`0>5<5sW8i?63=b281f6=z{h21<7f348i?76n;|q6>5<4s48i?7f=zuk;in7>53;090~"4il0m86F>i5j:0;66l=b283>0<52?q/?lk5f69'5c2=5;cd4>5<1290;w)=i5;d:?l77j3:1(>h::02a?>o>m3:1(>h::8g8?l?a290/?k;59g98m6>3290/?k;53968?l54k3:1(>h::21`?>i5=j0;6)=i5;06g>=zj:l;6=4>:183!5a=38>;6a=6083>!5a=38>o65rs`:94?4|Vh201k9511`8yv>f2909wS6n;<`7}Y:k801k953968yv4e;3:1>vP=b29>b2<5=j1v>jn:1818`020o01>h?:342?x{e:3:1=7>50z&0`=<>3-9ji7hj;%1e1?5aj2.:j94;d99lba<72-9m97<:c:9~w7d42909wS<5;h86l64}r:b>5<5sW2j70;5j:0j<63=:gf8 6b?2j1vqo?mc;297?4=k:d9'5c2=4?::`1f6<72<096;u+3`g9b2=#9o>18i64o3`0>5<3`;;n7>5$2d6>46e32c2i7>5$2d6>5$2d6>65d32e99n4?:%1e1?42k21vn>h?:182>5<7s-9m97<:7:m124<72-9m97<:c:9~wd>=838pRl64=g5955d=l;|q1f7<72;qU>o<4=g597=252z\1f6=:n>099n5rs2fb>5<5s4l<64k4=2d3>7063twi>7>51;294~"4l1027)=ne;df?!5a=39mn6*>f587`==hnm0;6)=i5;06g>=z{;h86=4={_0a7>;5j:09n>5rs`:94?4|Vh201?l<:`:8yv>f2909wS6n;<0a7?>f3ty>6=4<{<0a7?4e:279n>4n0:?1>cb<,:n36n5r}c3a`?6=;3818v*N4mm1C?i74$02g>`=#9o>18i64i9c94?=ni10;66a=b283>>d5j:0;684=:7y'7dc=n>1/=k:54e:8k7d42900e?l=:188md>=831bm=4?::k;e?6=3kl<6=49:183!5a=3l27d??b;29 6`228:i76g6e;29 6`220o07d7i:18'7c3=1o10e>6;:18'7c3=;1>07d=!5a=38>o65rb2d3>5<6290;w)=i5;063>i5>80;6)=i5;06g>=z{h21<7f34l<64h4}rc3>5<5sWk;70h8:21`?xu5j;0;6?uQ2c089c1=;1>0q~4=b29~wd>=838pRl64=3`0>d>63=b28b4>;52on0(>j7:b9~yg7d<3:1?7<54z&0e`4?:481>3}#;ho1j:5+1g690a>5<>o?i3:17oh8:185>5<7s-9m97h6;h33f?6=,:l>6<>m;:k:a?6=,:l>64k4;h;e>5<#;o?15k54i2:7>5<#;o?1?5:4;h10g?6=,:l>6>=l;:m11f<72-9m97<:c:9~f6`7290:6=4?{%1e1?42?2e9:<4?:%1e1?42k21vl650;0xZd><5o=1==l4}r:b>5<5sW2j70h8:8d8yvg72909wSo?;65d3ty9n?4?:3y]6g4<5o=1?5:4}r0a7?6=:rT9n>52f6811f=z{:nj6=4={;|a6?6=93:1"6n=0?h55`fe83>!5a=38>o65rs3`0>5<5sW8i?63=b281f6=z{h21<7f348i?76n;|q6>5<4s48i?7f=zukn?6=4<:080M5c12.8mh4>f99jed<722cjn7>5;n1;7?6=3k9m57>53;294~"4il08in5G3df8L6b>3-9m97=jb:&2b1<3l11b==>50;&0b0<68k10e<>>:18'7c3=99h07b<:1;29 6`22;?h76s|a`83>7}Yih16?k751138yvge2909wSom;<1e=?7782wx?5=50;0xZ6>4349m57<:1:~fa5=8391=7=tH2f:?!5fm3;m46gna;29?lge2900c>6<:188f6`>29086=4?{%1ba?5bk2B8ii5G3e;8 6`22:oi7)?i4;6g<>o6890;6)=i5;33f>=n99;1<7*52z\be>;4n00:<<5rs``94?4|Vhh01>h6:023?xu40:0;6?uQ391896`>2;?:7psmd383>6<62:qC?i74$2cf>4`?3`kj6=44i``94?=h;191<75m3g;94?5=83:p(>oj:2g`?M5bl2B8h45+3g797`d<,8l?69j7;h334?6=,:l>6<>m;:k244<72-9m97??b:9l607=83.8j84=5b98yvgf2909wSon;<1e=?7792wxmo4?:3y]eg=:;o31==>4}r1;7?6=:rT84>523g;96075}#;ho1=8?50;&0b0<5=j1C?k:4;|`2=4<72;0;6=u+3`g954`<@:oo7E=k9:&0b05}#;ho1=8?50;&0b0<5=j1C?k:4;|`2=6<72:0;6=u+3`g905=O;ln0D>j6;%1e1?5a3-;m87:k8:k245<72-9m97??b:9j557=83.8j84>0c98k736290/?k;524a8?xd34?:1y'7dc=:<30D>kk;I1g=>"4n<09955+1g690a>5$2d6>46e32e99<4?:%1e1?42k21vn>jj:181>5<7s-9ji7?>f:J0aa=O;m30(>h::958 4`32=n37dhi:18'7c3=99h07b<:1;29 6`22;?h7E=i4:9~f45?29096=4?{%1ba?76n2B8ii5G3e;8 6`221=0(h::37`?M5a<21vn98i:181>5<7s-9ji7?>f:J0aa=O;m30(>h::958 4`32=n37dhi:18'7c3=99h07b<:1;29 6`22;?h7E=i4:9~f1g>29096=4?{%1ba?76n2B8ii5G3e;8 6`221=0(h::37`?M5a<21vn9l9:181>5<7s-9ji7?>f:J0aa=O;m30(>h::958 4`32=n37dhi:18'7c3=99h07b<:1;29 6`22;?h7E=i4:9~fa6=8381<7>t$2cf>47a3A9nh6F1/=k:54e:8mc`=83.8j84>0c98k736290/?k;524a8L6`332wih<4?:283>5}#;ho18=5G3df8L6b>3-9m97=i;%3e0?2c02c:<=4?:%1e1?77j21b==?50;&0b0<68k10c?;>:18'7c3=:1g9K7`b<@:n27)=i5;:4?!7a<3>o46gif;29 6`228:i76a=5083>!5a=38>o6Fh::02a?>i5=80;6)=i5;06g>=zjj21<7<50;2x 6gb28;m7E=jd:J0`<=#;o?14:5+1g690a>6<729q/?lk5419K7`b<@:n27)=i5;1e?!7a<3>o46g>0183>!5a=3;;n65f11394?"4n<0:5<#;o?1>8m4;|`aa?6=:3:1j6;%1e1?>03-;m87:k8:keb?6=,:l>6<>m;:m114<72-9m97<:c:J0b1=;7E=jd:J0`<=#;o?1?k5+1g690a>5$2d6>46e32e99<4?:%1e1?42k21vni650;194?6|,:kn69>4H2gg?M5c12.8j8450;&0b0<68k10e<>>:18'7c3=99h07b<:1;29 6`22;?h76sm40094?4=83:p(>oj:03e?M5bl2B8h45+3g79<2=#9o>18i64igd94?"4n<0:5<#;o?1>8m4H2d7?>{e90l1<7<50;2x 6gb28;m7E=jd:J0`<=#;o?14:5+1g690a>oj:03e?M5bl2B8h45+3g79<2=#9o>18i64igd94?"4n<0:5<#;o?1>8m4H2d7?>{e9h;1<7:50;2x 6gb2=;0D>kk;I1g=>"4n<08j6*>f587`==n99:1<7*7>5$2d6>46e32e99<4?:%1e1?42k21vn5<7s-9ji7<:9:J0aa=O;m30(>h::37;?!7a<3>o46g>0183>!5a=3;;n65f11394?"4n<0:5<#;o?1>8m4;|`2g2<72;0;6=u+3`g954`<@:oo7E=k9:&0b05}#;ho1>874H2gg?M5c12.8j84=599'5c2=?:18'7c3=99h07d??1;29 6`228:i76a=5083>!5a=38>o65rb0a:>5<4290;w)=ne;63?M5bl2B8h45+3g797c=#9o>18i64i023>5<#;o?1==l4;h335?6=,:l>6<>m;:m114<72-9m97<:c:9~f4ef29086=4?{%1ba?4212B8ii5G3e;8 6`22;?37)?i4;6g<>o6890;6)=i5;33f>=n99;1<7*5}#;ho18=5G3df8L6b>3-9m97=i;%3e0?2c02c:<=4?:%1e1?77j21b==?50;&0b0<68k10c?;>:18'7c3=:c183>7<729q/?lk510d8L6cc3A9o56*"6n=0?h55ffg83>!5a=3;;n65`24394?"4n<099n5G3g68?xd6k80;6?4?:1y'7dc=98l0D>kk;I1g=>"4n<03;6*>f587`==nno0;6)=i5;33f>=h:<;1<7*07pl>c383>6<729q/?lk5419K7`b<@:n27)=i5;1e?!7a<3>o46g>0183>!5a=3;;n65f11394?"4n<0:5<#;o?1>8m4;|`2g6<72:0;6=u+3`g905=O;ln0D>j6;%1e1?5a3-;m87:k8:k245<72-9m97??b:9j557=83.8j84>0c98k736290/?k;524a8?xd6j<0;6?4?:1y'7dc=98l0D>kk;I1g=>"4n<03;6*>f587`==nno0;6)=i5;33f>=h:<;1<7*07pl>b783>7<729q/?lk510d8L6cc3A9o56*"6n=0?h55ffg83>!5a=3;;n65`24394?"4n<099n5G3g68?xd6j>0;6>4?:1y'7dc=<91C?hj4H2f:?!5a=39m7)?i4;6g<>o6890;6)=i5;33f>=n99;1<7*5}#;ho1>874H2gg?M5c12.8j84=599'5c2=?:18'7c3=99h07d??1;29 6`228:i76a=5083>!5a=38>o65rb0`:>5<4290;w)=ne;63?M5bl2B8h45+3g797c=#9o>18i64i023>5<#;o?1==l4;h335?6=,:l>6<>m;:m114<72-9m97<:c:9~f4df29086=4?{%1ba?4212B8ii5G3e;8 6`22;?37)?i4;6g<>o6890;6)=i5;33f>=n99;1<7*5}#;ho1>874H2gg?M5c12.8j84=599'5c2=?:18'7c3=99h07d??1;29 6`228:i76a=5083>!5a=38>o65rb0`2>5<5290;w)=ne;32b>N4mm1C?i74$2d6>=1<,8l?69j7;hde>5<#;o?1==l4;n065?6=,:l>6?;l;I1e0>=zj8h96=4;:183!5fm3>:7E=jd:J0`<=#;o?1?k5+1g690a>5$2d6>46e32c:8?50;&0b0<5=j10qo:l1;291?6=8r.8mh4;2:J0aa=O;m30(>h::2d8 4`32=n37d??0;29 6`228:i76g>0083>!5a=3;;n65f11094?"4n<0:5<#;o?1==l4;n065?6=,:l>6?;l;:a0f4=8381<7>t$2cf>47a3A9nh6F1/=k:54e:8mc`=83.8j84>0c98k736290/?k;524a8L6`332wi8n=50;094?6|,:kn6N4l01/?k;5869'5c2=:18'7c3=:h;;:a0f2=8391<7>t$2cf>16<@:oo7E=k9:&0b0<4n2.:j94;d99j556=83.8j84>0c98m466290/?k;511`8?j4293:1(>h::37`?>{ekk;I1g=>"4n<08j6*>f587`==n99:1<7*=7>5$2d6>73d32wimh4?:483>5}#;ho1>8l4H2gg?M5c12.8j84=599'5c2=?:18'7c3=99h07d??1;29 6`228:i76g>0383>!5a=3;;n65f11194?"4n<0:5<#;o?1>8m4;|`bb?6=;3:1N4mm1C?i74$2d6>6`<,8l?69j7;h334?6=,:l>6<>m;:k244<72-9m97??b:9l607=83.8j84=5b98ygd729096=4?{%1ba?76n2B8ii5G3e;8 6`221=0(h::37`?M5a<21vno?50;194?6|,:kn69>4H2gg?M5c12.8j8450;&0b0<68k10e<>>:18'7c3=99h07b<:1;29 6`22;?h76smb383>6<729q/?lk5419K7`b<@:n27)=i5;1e?!7a<3>o46g>0183>!5a=3;;n65f11394?"4n<0:5<#;o?1>8m4;|`a7?6=;3:1j6;%1e1?4202.:j94;d99j556=83.8j84>0c98m466290/?k;511`8?j4293:1(>h::37`?>{e864$0d7>1b?3`;;<7>5$2d6>46e32c:<<4?:%1e1?77j21d>8?50;&0b0<5=j10qo:96;296?6=8r.8mh4>1g9K7`b<@:n27)=i5;:4?!7a<3>o46gif;29 6`228:i76a=5083>!5a=38>o6F50z&0e`<382B8ii5G3e;8 6`22:l0(h::02a?>o6880;6)=i5;33f>=h:<;1<7*=47>52;294~"4il0:=k5G3df8L6b>3-9m9768;%3e0?2c02cmj7>5$2d6>46e32e99<4?:%1e1?42k2B8j954}c65=?6=;3:1N4mm1C?i74$2d6>6`<,8l?69j7;h334?6=,:l>6<>m;:k244<72-9m97??b:9l607=83.8j84=5b98yg21i3:1?7>50z&0e`<382B8ii5G3e;8 6`22:l0(h::02a?>o6880;6)=i5;33f>=h:<;1<7*=n7>53;294~"4il09945G3df8L6b>3-9m97<:8:&2b1<3l11b==>50;&0b0<68k10e<>>:18'7c3=99h07b<:1;29 6`22;?h76sm47a94?2=83:p(>oj:37b?M5bl2B8h45+3g7960><,8l?69j7;h334?6=,:l>6<>m;:k244<72-9m97??b:9j554=83.8j84>0c98k736290/?k;524a8?xd3>m0;6>4?:1y'7dc=<91C?hj4H2f:?!5a=39m7)?i4;6g<>o6890;6)=i5;33f>=n99;1<7*5}#;ho1>874H2gg?M5c12.8j84=599'5c2=?:18'7c3=99h07d??1;29 6`228:i76a=5083>!5a=38>o65rb5c3>5<5290;w)=ne;32b>N4mm1C?i74$2d6>=1<,8l?69j7;hde>5<#;o?1==l4;n065?6=,:l>6?;l;I1e0>=zj=k:6=4<:183!5fm3>;7E=jd:J0`<=#;o?1?k5+1g690a>5$2d6>46e32e99<4?:%1e1?42k21vn9o=:181>5<7s-9ji7?>f:J0aa=O;m30(>h::958 4`32=n37dhi:18'7c3=99h07b<:1;29 6`22;?h7E=i4:9~f1g429086=4?{%1ba?273A9nh6Fh::02a?>i5=80;6)=i5;06g>=zj=k?6=4<:183!5fm3>;7E=jd:J0`<=#;o?1?k5+1g690a>5$2d6>46e32e99<4?:%1e1?42k21vn9o::180>5<7s-9ji7<:9:J0aa=O;m30(>h::37;?!7a<3>o46g>0183>!5a=3;;n65f11394?"4n<0:5<#;o?1>8m4;|`7e3<72=0;6=u+3`g960g<@:oo7E=k9:&0b0<5=11/=k:54e:8m467290/?k;511`8?l7793:1(>h::02a?>o68;0;6)=i5;33f>=h:<;1<7*j;7>53;294~"4il0?<6F6>h4$0d7>1b?3`;;<7>5$2d6>46e32c:<<4?:%1e1?77j21d>8?50;&0b0<5=j10qo:m8;291?6=8r.8mh4;2:J0aa=O;m30(>h::2d8 4`32=n37d??0;29 6`228:i76g>0083>!5a=3;;n65f11094?"4n<0:5<#;o?1==l4;n065?6=,:l>6?;l;:a0g?=8381<7>t$2cf>47a3A9nh6F1/=k:54e:8mc`=83.8j84>0c98k736290/?k;524a8L6`332wi8oo50;094?6|,:kn6N4l01/?k;5869'5c2=:18'7c3=:h;;:a0gd=8381<7>t$2cf>47a3A9nh6F1/=k:54e:8mc`=83.8j84>0c98k736290/?k;524a8L6`332wi8om50;094?6|,:kn6N4l01/?k;5869'5c2=:18'7c3=:h;;:a0gb=8391<7>t$2cf>16<@:oo7E=k9:&0b0<4n2.:j94;d99j556=83.8j84>0c98m466290/?k;511`8?j4293:1(>h::37`?>{e864$0d7>1b?3`;;<7>5$2d6>46e32c:<<4?:%1e1?77j21d>8?50;&0b0<5=j10qo:mf;290?6=8r.8mh4;1:J0aa=O;m30(>h::2d8 4`32=n37d??0;29 6`228:i76g>0083>!5a=3;;n65f11094?"4n<0:5<#;o?1>8m4;|`20c<72;0;6=u+3`g954`<@:oo7E=k9:&0b05}#;ho1=8?50;&0b0<5=j1C?k:4;|`214<72;0;6=u+3`g954`<@:oo7E=k9:&0b05}#;ho1=8?50;&0b0<5=j1C?k:4;|`216<72;0;6=u+3`g954`<@:oo7E=k9:&0b05}#;ho1=8?50;&0b0<5=j1C?k:4;|`210<72?0;6=u+3`g906=O;ln0D>j6;%1e1?5a3-;m87:k8:k245<72-9m97??b:9j557=83.8j84>0c98m465290/?k;511`8?l77;3:1(>h::02a?>o68=0;6)=i5;33f>=h:<;1<7*:7>54;294~"4il099l5G3df8L6b>3-9m97<:8:&2b1<3l11b==>50;&0b0<68k10e<>>:18'7c3=99h07d??2;29 6`228:i76a=5083>!5a=38>o65rb0c0>5<5290;w)=ne;32b>N4mm1C?i74$2d6>=1<,8l?69j7;hde>5<#;o?1==l4;n065?6=,:l>6?;l;I1e0>=zj8k>6=4=:183!5fm3;:j6F6594$0d7>1b?3`lm6=4+3g7955d<3f8>=7>5$2d6>73d3A9m865rb0c5>5<5290;w)=ne;32b>N4mm1C?i74$2d6>=1<,8l?69j7;hde>5<#;o?1==l4;n065?6=,:l>6?;l;I1e0>=zj8k<6=4=:183!5fm3;:j6F6594$0d7>1b?3`lm6=4+3g7955d<3f8>=7>5$2d6>73d3A9m865rb0c;>5<5290;w)=ne;32b>N4mm1C?i74$2d6>=1<,8l?69j7;hde>5<#;o?1==l4;n065?6=,:l>6?;l;I1e0>=zj8k26=4=:183!5fm3;:j6F6594$0d7>1b?3`lm6=4+3g7955d<3f8>=7>5$2d6>73d3A9m865rb0cb>5<5290;w)=ne;32b>N4mm1C?i74$2d6>=1<,8l?69j7;hde>5<#;o?1==l4;n065?6=,:l>6?;l;I1e0>=zj8ki6=4<:183!5fm3>;7E=jd:J0`<=#;o?1?k5+1g690a>5$2d6>46e32e99<4?:%1e1?42k21vn5<7s-9ji7::;I1f`>N4l01/?k;53g9'5c2=?:18'7c3=99h07d??1;29 6`228:i76g>0383>!5a=3;;n65f11194?"4n<0:5<#;o?1==l4;h331?6=,:l>6<>m;:k243<72-9m97??b:9l607=83.8j84=5b98yg7f<3:187>50z&0e`<5=h1C?hj4H2f:?!5a=38>46*>f587`==n99:1<7*7>5$2d6>46e32e99<4?:%1e1?42k21vn><50;794?6|,:kn6?;m;I1f`>N4l01/?k;524:8 4`32=n37d??0;29 6`228:i76g>0083>!5a=3;;n65f11094?"4n<0:5<#;o?1==l4;n065?6=,:l>6?;l;:a71<72:0;6=u+3`g905=O;ln0D>j6;%1e1?5a3-;m87:k8:k245<72-9m97??b:9j557=83.8j84>0c98k736290/?k;524a8?xd4=3:1>7>50z&0e`<69o1C?hj4H2f:?!5a=32<7)?i4;6g<>oan3:1(>h::02a?>i5=80;6)=i5;06g>N4n=10qo=9:181>5<7s-9ji7?>f:J0aa=O;m30(>h::958 4`32=n37dhi:18'7c3=99h07b<:1;29 6`22;?h7E=i4:9~f61=8381<7>t$2cf>47a3A9nh6F1/=k:54e:8mc`=83.8j84>0c98k736290/?k;524a8L6`332wi?54?:383>5}#;ho1=8?50;&0b0<5=j1C?k:4;|`0=?6=;3:1N4mm1C?i74$2d6>6`<,8l?69j7;h334?6=,:l>6<>m;:k244<72-9m97??b:9l607=83.8j84=5b98yg5f290>6=4?{%1ba?253A9nh6Fh::02a?>o68;0;6)=i5;33f>=n9991<7*53;294~"4il09945G3df8L6b>3-9m97<:8:&2b1<3l11b==>50;&0b0<68k10e<>>:18'7c3=99h07b<:1;29 6`22;?h76sm3283>6<729q/?lk524;8L6cc3A9o56*18i64i023>5<#;o?1==l4;h335?6=,:l>6<>m;:m114<72-9m97<:c:9~f44329086=4?{%1ba?4212B8ii5G3e;8 6`22;?37)?i4;6g<>o6890;6)=i5;33f>=n99;1<7*i4?:383>5}#;ho1=8?50;&0b0<5=j1C?k:4;|`26`<72;0;6=u+3`g954`<@:oo7E=k9:&0b0k4?:283>5}#;ho18=5G3df8L6b>3-9m97=i;%3e0?2c02c:<=4?:%1e1?77j21b==?50;&0b0<68k10c?;>:18'7c3=:3183>7<729q/?lk510d8L6cc3A9o56*"6n=0?h55ffg83>!5a=3;;n65`24394?"4n<099n5G3g68?xd6;80;6?4?:1y'7dc=98l0D>kk;I1g=>"4n<03;6*>f587`==nno0;6)=i5;33f>=h:<;1<7*07pl>3383>7<729q/?lk510d8L6cc3A9o56*"6n=0?h55ffg83>!5a=3;;n65`24394?"4n<099n5G3g68?xd6;:0;6?4?:1y'7dc=98l0D>kk;I1g=>"4n<03;6*>f587`==nno0;6)=i5;33f>=h:<;1<7*07pl>3583>7<729q/?lk510d8L6cc3A9o56*"6n=0?h55ffg83>!5a=3;;n65`24394?"4n<099n5G3g68?xd6:<0;6>4?:1y'7dc=<91C?hj4H2f:?!5a=39m7)?i4;6g<>o6890;6)=i5;33f>=n99;1<7*;4?:283>5}#;ho18=5G3df8L6b>3-9m97=i;%3e0?2c02c:<=4?:%1e1?77j21b==?50;&0b0<68k10c?;>:18'7c3=:2683>3<729q/?lk5429K7`b<@:n27)=i5;1e?!7a<3>o46g>0183>!5a=3;;n65f11394?"4n<0:5<#;o?1==l4;h337?6=,:l>6<>m;:k241<72-9m97??b:9l607=83.8j84=5b98yg7503:1?7>50z&0e`<5=01C?hj4H2f:?!5a=38>46*>f587`==n99:1<7*=7>5$2d6>73d32wi=?750;194?6|,:kn6?;6;I1f`>N4l01/?k;524:8 4`32=n37d??0;29 6`228:i76g>0083>!5a=3;;n65`24394?"4n<099n54}c31e?6=;3:1N4mm1C?i74$2d6>6`<,8l?69j7;h334?6=,:l>6<>m;:k244<72-9m97??b:9l607=83.8j84=5b98yg75j3:1?7>50z&0e`<5=01C?hj4H2f:?!5a=38>46*>f587`==n99:1<7*=7>5$2d6>73d32wi=?m50;694?6|,:kn6?;n;I1f`>N4l01/?k;524:8 4`32=n37d??0;29 6`228:i76g>0083>!5a=3;;n65f11094?"4n<0:5<#;o?1>8m4;|`751<72:0;6=u+3`g960?<@:oo7E=k9:&0b0<5=11/=k:54e:8m467290/?k;511`8?l7793:1(>h::02a?>i5=80;6)=i5;06g>=zj=;=6=4=:183!5fm3;:j6F6594$0d7>1b?3`lm6=4+3g7955d<3f8>=7>5$2d6>73d3A9m865rb534>5<5290;w)=ne;32b>N4mm1C?i74$2d6>=1<,8l?69j7;hde>5<#;o?1==l4;n065?6=,:l>6?;l;I1e0>=zj=;36=4=:183!5fm3;:j6F6594$0d7>1b?3`lm6=4+3g7955d<3f8>=7>5$2d6>73d3A9m865rb53:>5<5290;w)=ne;32b>N4mm1C?i74$2d6>=1<,8l?69j7;hde>5<#;o?1==l4;n065?6=,:l>6?;l;I1e0>=zj=;j6=4=:183!5fm3;:j6F6594$0d7>1b?3`lm6=4+3g7955d<3f8>=7>5$2d6>73d3A9m865rb53a>5<4290;w)=ne;06=>N4mm1C?i74$2d6>73?3-;m87:k8:k245<72-9m97??b:9j557=83.8j84>0c98k736290/?k;524a8?xd39j0;6>4?:1y'7dc=<91C?hj4H2f:?!5a=39m7)?i4;6g<>o6890;6)=i5;33f>=n99;1<7*5}#;ho18<5G3df8L6b>3-9m97=i;%3e0?2c02c:<=4?:%1e1?77j21b==?50;&0b0<68k10e<>=:18'7c3=99h07b<:1;29 6`22;?h76sm40794?5=83:p(>oj:528L6cc3A9o56*"6n=0?h55f11294?"4n<0:5<#;o?1==l4;n065?6=,:l>6?;l;:a00>=8391<7>t$2cf>16<@:oo7E=k9:&0b0<4n2.:j94;d99j556=83.8j84>0c98m466290/?k;511`8?j4293:1(>h::37`?>{e<<31<7=50;2x 6gb2;?27E=jd:J0`<=#;o?1>864$0d7>1b?3`;;<7>5$2d6>46e32c:<<4?:%1e1?77j21d>8?50;&0b0<5=j10qo::a;297?6=8r.8mh4;0:J0aa=O;m30(>h::2d8 4`32=n37d??0;29 6`228:i76g>0083>!5a=3;;n65`24394?"4n<099n54}cfb>5<5290;w)=ne;32b>N4mm1C?i74$2d6>=1<,8l?69j7;hde>5<#;o?1==l4;n065?6=,:l>6?;l;I1e0>=zjmn1<7=50;2x 6gb2=:0D>kk;I1g=>"4n<08j6*>f587`==n99:1<7*=7>5$2d6>73d32wihh4?:383>5}#;ho1=8?50;&0b0<5=j1C?k:4;|`gb?6=:3:1j6;%1e1?>03-;m87:k8:keb?6=,:l>6<>m;:m114<72-9m97<:c:J0b1=6594$0d7>1b?3`lm6=4+3g7955d<3f8>=7>5$2d6>73d3A9m865rbd394?4=83:p(>oj:03e?M5bl2B8h45+3g79<2=#9o>18i64igd94?"4n<0:5<#;o?1>8m4H2d7?>{em;0;6>4?:1y'7dc=<91C?hj4H2f:?!5a=39m7)?i4;6g<>o6890;6)=i5;33f>=n99;1<7*53;294~"4il0?<6F6>h4$0d7>1b?3`;;<7>5$2d6>46e32c:<<4?:%1e1?77j21d>8?50;&0b0<5=j10qok;:180>5<7s-9ji7<:9:J0aa=O;m30(>h::37;?!7a<3>o46g>0183>!5a=3;;n65f11394?"4n<0:5<#;o?1>8m4;|`gf?6=<3:1N4mm1C?i74$2d6>6`<,8l?69j7;h334?6=,:l>6<>m;:k244<72-9m97??b:9j554=83.8j84>0c98k736290/?k;524a8?xdck3:1?7>50z&0e`<5=01C?hj4H2f:?!5a=38>46*>f587`==n99:1<7*=7>5$2d6>73d32wio=4?:383>5}#;ho1=8?50;&0b0<5=j1C?k:4;|``5?6=<3:1j6;%1e1?4202.:j94;d99j556=83.8j84>0c98m466290/?k;511`8?l77:3:1(>h::02a?>i5=80;6)=i5;06g>=zjj81<7<50;2x 6gb28;m7E=jd:J0`<=#;o?14:5+1g690a>6<729q/?lk5419K7`b<@:n27)=i5;1e?!7a<3>o46g>0183>!5a=3;;n65f11394?"4n<0:5<#;o?1>8m4;|``0?6=;3:1N4mm1C?i74$2d6>6`<,8l?69j7;h334?6=,:l>6<>m;:k244<72-9m97??b:9l607=83.8j84=5b98yge229086=4?{%1ba?4212B8ii5G3e;8 6`22;?37)?i4;6g<>o6890;6)=i5;33f>=n99;1<7*53z\1a>;5m38i?63{t;80;6?u22d8b<>;4;38>=6s|3383>7}:;;099<52328245=z{:>1<74}r16>5<5s49>6?;>;<1:>4663ty8:7>52z?02?429278m7??1:p72<72;q6?:4=509>7d<68;1v>650;0x96>=:<;01>o51118yv5>2909w0=6:372?85e28:;7p}=63{t;k0;6?u23c8114=:;:0:<<5rs6`94?1|V>h01:022?87d?3lm70?mf;335>;4<3;;<63>2g8244=:<4}r:a>5<68rT3n63=e;:b?875:32j70?6d;:b?87a:32j70?7f;:b?8?421k01lm58`9>5db=0h16=lk58`9>5g5=0h16=ol58`9>5ge=0h16=oj58`9>5f2=0h168<<5fg9~w<7=83>pR4?4=5`2>=g<5091m552bd8eb>{t1:0;6ou29281f6=:90l1jk521b49557<58i:6kh4=0`;>46734;i=7hi;<`3>c`<5=<36kh4=5c1>c`<58836<>?;<620?7792wxmn4?:2y>ef<5j:16n<4>019>00?=99:0q~ok:1818gd2h201o=52438yvgb2909w0oj:372?8d628::7p}nf;296~;fn38>=63m2;334>{tj90;6?u2b18114=:j;0:<<5rsc394?4|5k;1>8?4=c1955673634h86<>>;|qa0?6=5fg9~wg>=83kp1om52c189a4=ih16h;4>009>0f7=998019l7:023?82e13lm70?;f;de?8bc28:;70jj:gd89f4=no1voj50;;x9ge=0h16?im58`9>51b=0h16=>858`9>aa=g<5j<14l52bd8114=z{kl1<78?4=b1955773634i?6<>?;|q`6?6=:r7h>7<:1:?`0?7792wxo>4?:3y>g6<5=816o84>019~wf2=838p1n:524389f3=99;0q~l6:18;8e12;h870j=:``89a0=99:019m=:gd891dd2ol01<;>:gd89`7=no16o<4>019~wf1=838p1n85a99>g<<5=81vn650;0x9f>=:<;01n751138yvdf2902w0mn:3`0?8b42hh01i65112891e628:870:m8;335>;3jh0mj63>538eb>;b83lm70m>:022?xudj3:1>v3la;c;?8ec2;?:7p}lc;296~;dk38>=63ld;335>{tjk0;65u2cd81f6=:l=0jn63;c28eb>;3jk0mj63>548244=:lm0:<<52dg8eb>;d93;;>6s|cg83>7}:kl0j463k1;065>{tl90;6?u2d18114=:l80:<<5rse794?5|5m91ml52d78114=:l10:<<5rse594?4|5m>1ml52d98114=z{m31<7:t=e29bc=:kj0mj63l8;de?8bd2;?:7p}ka;296~;ci38>=63j2;335>{tlk0;6?u2dc8114=:lj0:<<5rsef94?4|5mn1>8?4=d6955673634o86<>?;|qgb?6=:r7oj7<:1:?f7?7792wxi=4?:3y>a5<5=816ho4>019~w`7=838p1h?524389ad=9980q~k=:1818c52;?:70jl:023?xub;3:1>v3j3;065>;b<3;;=6s|e583>7}:m=099<52dc8244=z{l?1<74}rg5>5<5s4n86>6<;4673tyn;7>52z?g0?5?;27o=7??0:paa<72:q6ii4=b29>a7<68916o>4>019~w445290>wS?=2:?267<5j:168n?5113891d?28:970?=7;335>{t9;91<7d><588h6?;>;|q261<72;q6=?:52438944d28::7p}>2483>7}:9;?1>8?4=00;>4663ty:>;4?:3y>570=:<;01<<6:023?xu6:>0;6?u21359607<58826<>>;|q26=<72;q6=?652438944f28::7p}>2883>7}:9;31>8?4=00`>4653ty:>l4?:3y>57g=:<;01<?;|q26a<72;q6=?j52438944228:;7p}>2d83>7}:9;o1>8?4=006>4663ty:>k4?:3y>57`=:<;01<<9:023?xu6;90;6?u21229607<588=6<>>;|q274<72;q6=>?52438944028:;7p}>3383>7}:9:81>8?4=004>4653ty:?>4?:3y>565=:<;01<<8:020?xu6;=0;6?u21269607<588<6<>;;|q273<7284=015>7d434;i97hi;<3a4?77827:>i4if:p561=838p1<=9:`:8945?2;?:7p}>4e83>0}Y9=n01<:k:3`0?87e>3lm70?m0;335>;6:l0mj6s|15g94?4|58>o6l64=075>7363ty:8k4?:3y>51`=:<;01<;::023?xu6=90;6?u21429607<58?>6<>=;|q214<72;q6=8?52438943228:87p}>5383>7}:9<81>8?4=076>4633ty:9>4?:3y>505=:<;01<;9:023?xu6==0;6?u21469607<58?=6<>=;|q210<72;q6=8;52438943128::7p}>6e83>7}Y9?n0198k:023?xu6?k0;6?uQ16`894>a2h20q~?7f;290~;60o09n>521809bc=:4=5c2>4673ty:5=4?:9y>5<5=:<;01{t90;1<773634;2?7??0:p5<4=838p1<7=:372?87>;3;;=6s|18f94?5|583o6?l<;<3bg?77827?954>009~w4?b2909w0?n1;065>;6i:0mj6s|18d94?4|583m6?;>;<3b5?7782wx=l>50;0x94g72;?:70?n1;336>{t9h81<7d><58k?6?;>;|q2e6<72;q6=l=5243894ge28::7p}>a483>7}:9h?1>8?4=0c`>4663ty:m;4?:3y>5d0=:<;010;6?u21`59607<58kh6<><;|q2e=<72;q6=l65243894gd28:>7p}>a883>7}:9h31>8?4=0c`>4633ty:ml4?:3y>5dg=:<;01?;|q2ef<72;q6=lm5243894g328:97p}>ae83>0}:9hn1>o=4=0ab>46734;j87??1:?03?`a34;887hi;|q2e`<725dc=:k901=5fg9~w4ga2909w0?ne;c;?87e:38>=6s|1c294?4|58h;6?;>;<3a6?7782wx=o?50;0x94d62;?:70?m2;336>{t9k91<7mt=0`0>7d434>?m7??0:?2f<<68916=o<511389dc=9990198m:023?82f=3;;<63>a88eb>;4:3;;<63>338eb>;6:h0:<=5240c9bc=z{8h?6=4={<3a7?g?34;im7<:1:p5g3=838p1;<3a3?7792wx=o950;0x94d02;?:70?m8;335>{t9k21<773634;i57??1:p5g?=838p1019>e`<68;168;;5112891?a28:;70?n7;de?85528::70?=b;334>;3900mj6s|1ca94??|58hi6l64=0``>7d434kn6<>>;<651?77927?5k4>009>5d0=no16??4>029>572=99:019?8:gd8yv7el3:15v3>bb8b<>;6jm09n>52ad8245=:4=5c0>46734;j97hi;<11>46534;987??1:?75=?;|q2g5<72;q6=n>5243894e528:;7p}>c083>7}:9j;1>8?4=0a1>4663ty:o?4?:3y>5f4=:<;01?;<3ab?77827jj7??0:?722<688168l?5113894gf2ol013lm7p}>c483>7}:9j>1m5521bc960752z?2g3<5=816=n651128yv7d?3:1>v3>c68114=:9j21==?4}r3`5f?=99;0q~?l9;296~;6k0099<521bc955752z\2a5=:4}r3f5?6=:rT:i<521g09e==z{8l96=4<{<3e6?4e;27:5<4if:?bb?7792wx>9k50;0x96cb2on019l>:`28yv5ck3:1?v34=5`;>4643ty8hi4?:3y>7ae=i116?ik52438yv2683:18vP;119>046=:k901<=9:`28942c2h:0q~:>1;291~;39903m63;998;e>;3=l03m63;468;e>;39;099<5rs530>5<5s4>:<7o7;<621?4292wx8<:50;0x91732;?:70:>b;334>{t<8<1<773634>:n7??1:p041=838p19?8:372?826l3;;<6s|40:94?4|5=;36?;>;<62g?7782wx8<750;0x917>2;?:70:>c;335>{t<8k1<773634>:97??1:p04d=838p19?m:372?826l3;;=6s|40a94?4|5=;h6?;>;<62`?77:2wx85;334>{t<;;1<77}Y<:i01<;<:gd8yv23?3:1>vP;469>011=:k90q~:;9;296~;3<>0j463;4`8114=z{=??6=4={_660>;3=<099<5rs574>5<5s4>>97hi;<66e?4292wx88650;0x913?2;?:70::9;335>{t<<31<773634>>m7??1:p00c=839pR9;j;<66a?4e;27?:;4if:p032=838p198i:gd8910c2;?:7p};6483>7}:8?4=54`>4663ty?:;4?:3y>030=:<;01986:022?xu3>>0;6?u24759607<5=?;|q72=<72;q68;652438910f28::7p};6883>7}:8?4=54a>4663ty?:l4?:3y>03g=:<;0198l:021?xu3>k0;6?u247`9607<5=?;|q72f<72;q68;m52438910c28::7p};6d83>7}:<3h7>52z\71jk5rs5;;>5<4sW>2463;9981f6=:5<5s4>j57hi;<6b3?4292wx84h50;0x91?a2;?:70:n6;335>{t73634>j?7??1:p0d7=838p19o>:372?82f<3;;<6s|4`094?4|5=k96?;>;<6b0?7792wx8l=50;0x91g42;?:70:n5;335>{t1<773634>j:7??2:p0d3=838p19o::372?82f>3;;<6s|4`494?4|5=k=6?;>;<6b3?7792wx8l650;0x91??2h2019o6:372?xu3j80;6>uQ4c3891d62;h870?:0;de?xu3j<0;6?u24c39e==:8?4}r6a3?6=:r7?n<46e:?7fc<5=81v9l7:18182e038>=63;bd8245=z{=h26=4={<6a=?42927?ni4>019~w1df2909w0:ma;065>;3jm0:<<5rs5`a>5<5s4>in7<:1:?7fc<6891v9ll:18182ek38>=63;bg8247=z{=ho6=4={<6a`?42927?nh4>009~w1db2909w0:me;065>;3jo0:<<5rs5a3>5<5s4>i:7hi;<6`1?4292wx8n?50;0x91e62;?:70:l5;334>{t73634>h87??0:p0f5=838p19m<:372?82d<3;;=6s|4b694?4|5=i?6?;>;<6`1?7792wx8n850;0xZ1e1349oi7hi;|a7ce=8321:n49fzJ0`<=#;ho1m<5+1g19721<75`43g94?=nj=0;66g;5c83>>i3180;66a8b;29?g5bm3:1=7>50z&0e`ial3:1(>h::37`?>{e;j=1<7;52;4x 6gb2o<0D>kk;I1g=>"68m0n7)?i4;6g<>o>m3:17do?:188m=g=831bm54?::m1f6<722h9n>4?:783>5}#;o?1j45f11`94?"4n<0:!5a=33m76g<8583>!5a=393865f32a94?"4n<08?n54o37`>5<#;o?1>8m4;|`0b7<7280;6=u+3g797cd4?:3y]6g5<5;h86?;l;|qbm279n>46e:p6>3349m>7<:c:~f6e4290>6?49{%1ba?`13A9nh6F5;h:b>5<m:18'7c3=99h07d7j:18'7c3=1l10e4h50;&0b0<>n21b?5:50;&0b0<40=10e>=l:18'7c3=;:i07b<:c;29 6`22;?h76sm3g094?7=83:p(>h::2da?j42k3:1(>h::37`?>{t:k91<7o6s|a983>7}Yi116>o=511`8yvg72909wSo?;<0a7?54k2wx5h4?:3y]=`=::k915h5rs9c94?4|V1k01?l<:8d8yv`b2909w0;4n;099n5r}c1ab?6==381:v*N4mm1C?i74$02g>`=#9o>18i64i8g94?=ni90;66g7a;29?lg?2900c?l<:188f7d4290=6=4?{%1e1?`>3`;;n7>5$2d6>46e32c2i7>5$2d6>5$2d6>65d32e99n4?:%1e1?42k21vn>h=:182>5<7s-9m97=ib:m11f<72-9m97<:c:9~w7d42909wS<5;h86<>m;|qb4?6=:rTj<63=b2807f=z{0o1<7f348i?77i;|qea?6=:r79n>4<859>7c4=:0<52?q/?lk5f79K7`b<@:n27)??d;g8 4`32=n37d7j:188md6=831b4l4?::kb5;c0a7?6=>3:1o68k0;6)=i5;33f>=n1l0;6)=i5;;f?>o>n3:1(>h::8d8?l5?<3:1(>h::2:7?>o4;j0;6)=i5;10g>=h:7>51;294~"4n<08jo5`24a94?"4n<099n54}r0a7?6=:rT9n>522c1960e7}Yi916>o=532a8yv?b2909wS7j;<0a7??b3ty3m7>52z\;e>;5j:02j6s|fd83>7}::k91?5:4=2d1>73d3twi>=j50;796?0|,:kn6k84H2gg?M5c12.:j94;d99j=`<722cj<7>5;h:b>5<m:18'7c3=99h07d7j:18'7c3=1l10e4h50;&0b0<>n21b?5:50;&0b0<40=10e>=l:18'7c3=;:i07b<:c;29 6`22;?h76sm3g094?7=83:p(>h::2da?j42k3:1(>h::37`?>{t:k91<7o6s|a983>7}Yi116>o=511`8yvg72909wSo?;<0a7?54k2wx5h4?:3y]=`=::k915h5rs9c94?4|V1k01?l<:8d8yv`b2909w0;4n;099n5r}c03a?6==381:v*N4mm1C?i74$0d7>1b?3`3n6=44i`294?=n0h0;66gn8;29?j4e;3:17o64h4;h1;0?6=,:l>6>6;;:k07f<72-9m97=50z&0b0<4nk1d>8m50;&0b0<5=j10q~o=524a8yvg?2909wSo7;<0a7?77j2wxm=4?:3y]e5=::k91?>m4}r;f>5<5sW3n70vP7a:?1f6<>n2wxjh4?:3y>6g5=;1>01>h=:37`?x{e:881<7;52;4x 6gb2o<0D>kk;I1g=>"6n=0?h55f9d83>>of83:17d6n:188md>=831d>o=50;9a6g5=83<1<7>t$2d6>c?=n;1>1<7*o7>5$2d6>73d32wi?k<50;394?6|,:l>6>hm;n06g?6=,:l>6?;l;:p6g5=838pR?l<;<0a7?42k2wxm54?:3y]e==::k91==l4}rc3>5<5sWk;70{t1l0;6?uQ9d9>6g5=1l1v5o50;0xZ=g<5;h864h4}rdf>5<5s48i?7=74:?0b7<5=j1vqo<>3;291?4=>r.8mh4i6:J0aa=O;m30(50;9j5;n0a7?6=3k8i?7>56;294~"4n<0m56g>0c83>!5a=3;;n65f9d83>!5a=33n76g6f;29 6`220l07d=74;29 6`22:2?76g<3b83>!5a=398o65`24a94?"4n<099n54}c1e6?6=93:152z\1f6=::k91>8m4}rc;>5<5sWk370{ti90;6?uQa19>6g5=;:i0q~7j:181[?b348i?77j;|q;e?6=:rT3m63=b28:b>{tnl0;6?u22c197=2<5:l96?;l;|a642=83?1>78t$2cf>c0<@:oo7E=k9:&2b1<3l11b5h4?::kb4?6=3`2j6=44i`:94?=h:k91<75m2c194?0=83:p(>h::g;8m46e290/?k;511`8?l?b290/?k;59d98m<`=83.8j846f:9j7=2=83.8j84<8598m65d290/?k;532a8?j42k3:1(>h::37`?>{e;o81<7?50;2x 6`22:li7b<:c;29 6`22;?h76s|2c194?4|V;h870{ti10;6?uQa99>6g5=99h0q~o?:181[g7348i?7=55;092~"4il0m:6F5<>of03:17b50z&0b05$2d6><`<3`9387>5$2d6>6>332c8?n4?:%1e1?54k21d>8m50;&0b0<5=j10qo=i2;295?6=8r.8j84vP=b29>6g5=:46f:pb`<72;q6>o=5396896`52;?h7psm20494?3=:3oj:g48L6cc3A9o56*>f587`==n1l0;66gn0;29?l>f2900el650;9l6g5=831i>o=50;494?6|,:l>6k74i02a>5<#;o?1==l4;h;f>5<#;o?15h54i8d94?"4n<02j65f39694?"4n<084954i21`>5<#;o?1?>m4;n06g?6=,:l>6?;l;:a7c4=83;1<7>t$2d6>6`e3f8>o7>5$2d6>73d32wx>o=50;0xZ7d4348i?7<:c:pe=<72;qUm5522c1955d7}Y1l16>o=59d9~w=g=838pR5o4=3`0><`2B8ii5G3e;8 4`32=n37d7j:188md6=831b4l4?::kb5;c0a7?6=>3:1o68k0;6)=i5;33f>=n1l0;6)=i5;;f?>o>n3:1(>h::8d8?l5?<3:1(>h::2:7?>o4;j0;6)=i5;10g>=h:7>51;294~"4n<08jo5`24a94?"4n<099n54}r0a7?6=:rT9n>522c1960e7}Yi916>o=532a8yv?b2909wS7j;<0a7??b3ty3m7>52z\;e>;5j:02j6s|fd83>7}::k91?5:4=2d1>73d3twi><650;796?0|,:kn6k84H2gg?M5c12.:j94;d99j=`<722cj<7>5;h:b>5<m:18'7c3=99h07d7j:18'7c3=1l10e4h50;&0b0<>n21b?5:50;&0b0<40=10e>=l:18'7c3=;:i07b<:c;29 6`22;?h76sm3g094?7=83:p(>h::2da?j42k3:1(>h::37`?>{t:k91<7o6s|a983>7}Yi116>o=511`8yvg72909wSo?;<0a7?54k2wx5h4?:3y]=`=::k915h5rs9c94?4|V1k01?l<:8d8yv`b2909w0;4n;099n5r}c02=?6==381:v*N4mm1C?i74$0d7>1b?3`3n6=44i`294?=n0h0;66gn8;29?j4e;3:17o64h4;h1;0?6=,:l>6>6;;:k07f<72-9m97=50z&0b0<4nk1d>8m50;&0b0<5=j10q~o=524a8yvg?2909wSo7;<0a7?77j2wxm=4?:3y]e5=::k91?>m4}r;f>5<5sW3n70vP7a:?1f6<>n2wxjh4?:3y>6g5=;1>01>h=:37`?x{e:9l1<7;52;4x 6gb2o<0D>kk;I1g=>"6n=0?h55f9d83>>of83:17d6n:188md>=831d>o=50;9a6g5=83<1<7>t$2d6>c?=n;1>1<7*o7>5$2d6>73d32wi?k<50;394?6|,:l>6>hm;n06g?6=,:l>6?;l;:p6g5=838pR?l<;<0a7?42k2wxm54?:3y]e==::k91==l4}rc3>5<5sWk;70{t1l0;6?uQ9d9>6g5=1l1v5o50;0xZ=g<5;h864h4}rdf>5<5s48i?7=74:?0b7<5=j1vqo<>0;291?4=>r.8mh4i6:J0aa=O;m30(50;9j5;n0a7?6=3k8i?7>56;294~"4n<0m56g>0c83>!5a=3;;n65f9d83>!5a=33n76g6f;29 6`220l07d=74;29 6`22:2?76g<3b83>!5a=398o65`24a94?"4n<099n54}c1e6?6=93:152z\1f6=::k91>8m4}rc;>5<5sWk370{ti90;6?uQa19>6g5=;:i0q~7j:181[?b348i?77j;|q;e?6=:rT3m63=b28:b>{tnl0;6?u22c197=2<5:l96?;l;|a647=83?1>78t$2cf>c0<@:oo7E=k9:&2b1<3l11b5h4?::kb4?6=3`2j6=44i`:94?=h:k91<75m2c194?0=83:p(>h::g;8m46e290/?k;511`8?l?b290/?k;59d98m<`=83.8j846f:9j7=2=83.8j84<8598m65d290/?k;532a8?j42k3:1(>h::37`?>{e;o81<7?50;2x 6`22:li7b<:c;29 6`22;?h76s|2c194?4|V;h870{ti10;6?uQa99>6g5=99h0q~o?:181[g7348i?7=55;092~"4il0m:6F5<>of03:17b50z&0b05$2d6><`<3`9387>5$2d6>6>332c8?n4?:%1e1?54k21d>8m50;&0b0<5=j10qo=i2;295?6=8r.8j84vP=b29>6g5=:46f:pb`<72;q6>o=5396896`52;?h7psm3c194?3=:3oj:g48L6cc3A9o56*>f587`==n1l0;66gn0;29?l>f2900el650;9l6g5=831i>o=50;494?6|,:l>6k74i02a>5<#;o?1==l4;h;f>5<#;o?15h54i8d94?"4n<02j65f39694?"4n<084954i21`>5<#;o?1?>m4;n06g?6=,:l>6?;l;:a7c4=83;1<7>t$2d6>6`e3f8>o7>5$2d6>73d32wx>o=50;0xZ7d4348i?7<:c:pe=<72;qUm5522c1955d7}Y1l16>o=59d9~w=g=838pR5o4=3`0><`2B8ii5G3e;8 4`32=n37d7j:188md6=831b4l4?::kb5;c0a7?6=>3:1o68k0;6)=i5;33f>=n1l0;6)=i5;;f?>o>n3:1(>h::8d8?l5?<3:1(>h::2:7?>o4;j0;6)=i5;10g>=h:7>51;294~"4n<08jo5`24a94?"4n<099n54}r0a7?6=:rT9n>522c1960e7}Yi916>o=532a8yv?b2909wS7j;<0a7??b3ty3m7>52z\;e>;5j:02j6s|fd83>7}::k91?5:4=2d1>73d3twij<4?:281>1}#;ho1j95G3df8L6b>3-;m87:k8:k;e?6=3`k36=44o3`0>5<i5j:0;66g=b383>>of03:17do?:188m=g=831ij:4?:783>5}#;o?1j45f11`94?"4n<0:!5a=33m76g<8583>!5a=393865f32a94?"4n<08?n54o37`>5<#;o?1>8m4;|`0b5<7280;6=u+3g7960152z\b<>;a?3;;n6s|8`83>7}Y0h16j:46f:pe5<72;qUm=52f6807f=z{;h96=4={_0a6>;a?39386s|2c194?4|V;h870h8:37`?xu4lh0;6?u2f68:a>;4n909:<5r}c094?7=83:p(>j7:89'7dc=nl1/?k;53g`8 4`32=n37bhk:18'7c3=:7}Y:k901?l<:3`0?xuf03:1>vPn8:?1f66}::k91>o<4=3`0>d6<5;0mh6*4=:5y'7dc=n=1C?hj4H2f:?!7a<3>o46g7a;29?lg?2900c?l<:188f7d4290>6?49{%1ba?`03-;m87:k8:m1f6<722c9n?4?::kb0;6;4?:1y'7c3=n01b==l50;&0b0<68k10e4k50;&0b0<>m21b5k4?:%1e1??a32c8494?:%1e1?5?<21b?>m50;&0b0<4;j10c?;l:18'7c3=:4<729q/?k;52458k706290/?k;524a8?xuf03:1>vPn8:?e3?77j2wx4l4?:3y]02j6s|a183>7}Yi916j:4<3b9~w7d52909wSo=50;0xZ7d434l<6?;l;|q0`d<72;q6j:46e:?0b5<5>81vqo<50;394?6|,:n3645+3`g9b`=#;o?1?kl4$0d7>1b?3flo6=4+3g7960e<3ty9n>4?:3y]6g5<5;h86?l<;|qb{t0h0;6?uQ8`9>6g5=0h1v84?:2y>6g5=:k801?l<:`28977:t$2cf>c2<@:oo7E=k9:&2b1<3l11b4l4?::kb5;c0a7?6==381:v*"6n=0?h55`2c194?=n:k81<75fa983>>of83:17d6n:188fc1=83<1<7>t$2d6>c?=n;1>1<7*o7>5$2d6>73d32wi?k>50;394?6|,:l>6?;8;n055?6=,:l>6?;l;:pe=<72;qUm552f6824g=z{1k1<750;0xZd6<5o=1?>m4}r0a6?6=:rT9n?52f680<1=z{;h86=4={_0a7>;a?38>o6s|3ec94?4|5o=15h523g29637:183!5c0330(>oj:gg8 6`22:li7)?i4;6g<>ial3:1(>h::37`?>{t:k91<77}Yi116>o=5a99~w=g=838pR5o4=3`0>=g7d5348i?7o?;<09ba=#;m21o6srb5;2>5<42;0?w)=ne;d7?M5bl2B8h45+1g690a>>i5j:0;66l=b283>0<52?q/?lk5f69'5c2=5;cd4>5<1290;w)=i5;d:?l77j3:1(>h::02a?>o>m3:1(>h::8g8?l?a290/?k;59g98m6>3290/?k;53968?l54k3:1(>h::21`?>i5=j0;6)=i5;06g>=zj:l;6=4>:183!5a=38>;6a=6083>!5a=38>o65rs`:94?4|Vh201k9511`8yv>f2909wS6n;<`7}Y:k801k953968yv4e;3:1>vP=b29>b2<5=j1v>jn:1818`020o01>h?:342?x{e:3:1=7>50z&0`=<>3-9ji7hj;%1e1?5aj2.:j94;d99lba<72-9m97<:c:9~w7d42909wS<5;h86l64}r:b>5<5sW2j70;5j:0j<63=:gf8 6b?2j1vqo9m:180>7<3s-9ji7h;;I1f`>N4l01/=k:54e:8m=g=831bm54?::m1f6<722h9n>4?:481>3}#;ho1j:5+1g690a>5<>o?i3:17oh8:185>5<7s-9m97h6;h33f?6=,:l>6<>m;:k:a?6=,:l>64k4;h;e>5<#;o?15k54i2:7>5<#;o?1?5:4;h10g?6=,:l>6>=l;:m11f<72-9m97<:c:9~f6`7290:6=4?{%1e1?42?2e9:<4?:%1e1?42k21vl650;0xZd><5o=1==l4}r:b>5<5sW2j70h8:8d8yvg72909wSo?;65d3ty9n?4?:3y]6g4<5o=1?5:4}r0a7?6=:rT9n>52f6811f=z{:nj6=4={;|a6?6=93:1"6n=0?h55`fe83>!5a=38>o65rs3`0>5<5sW8i?63=b281f6=z{h21<7f348i?76n;|q6>5<4s48i?7f=zuk>>h7>53;090~"4il0m86F5<50;9j56;294~"4n<0m56g>0c83>!5a=3;;n65f9d83>!5a=33n76g6f;29 6`220l07d=74;29 6`22:2?76g<3b83>!5a=398o65`24a94?"4n<099n54}c1e4?6=93:1{t:k81<77}Y:k901k9524a8yv5ci3:1>v3i7;;f?85a838==6srb383>4<729q/?i659:&0e`o=52c18yvg?2909wSo7;<0a7?g?3ty3m7>52z\;e>;5j:03m6s|5;297~;5j:09n?522c19e5=::3lo7)=k8;a8yxd6990;6>4=:5y'7dc=n=1C?hj4H2f:?!77l3o0(f2900el650;9l6g5=831i>o=50;796?0|,:kn6k94$0d7>1b?3f8i?7>5;h0a6?6=3`k36=44i`294?=n0h0;66li7;292?6=8r.8j84i9:k24g<72-9m97??b:9j=`<72-9m977j;:k:b?6=,:l>64h4;h1;0?6=,:l>6>6;;:k07f<72-9m97=50z&0b0<5=>1d>;?50;&0b0<5=j10q~o7:181[g?34l<6<>m;|q;e?6=:rT3m63i7;;e?xuf83:1>vPn0:?e3?54k2wx>o<50;0xZ7d534l<6>6;;|q1f6<72;qU>o=4=g5960e52z?e3??b349m<7<91:~f7<7280;6=u+3e:9=>"4il0mi6*18i64ogf94?"4n<099n54}r0a7?6=:rT9n>522c196g547a:p1?6=;r79n>4=b39>6g5=i916>7hk;%1g1}#;ho1j95G3df8L6b>3-;;h7k4$0d7>1b?3`2j6=44i`:94?=h:k91<75m2c194?3=:3oj:g58 4`32=n37b3:1o68k0;6)=i5;33f>=n1l0;6)=i5;;f?>o>n3:1(>h::8d8?l5?<3:1(>h::2:7?>o4;j0;6)=i5;10g>=h:51;294~"4n<099:5`27394?"4n<099n54}rc;>5<5sWk370h8:02a?xu?i3:1>vP7a:?e3??a3tyj<7>52z\b4>;a?398o6s|2c094?4|V;h970h8:2:7?xu5j:0;6?uQ2c189c1=:{zj;0;6<4?:1y'7a>=12.8mh4ie:&0b0<4nk1/=k:54e:8kcb=83.8j84=5b98yv4e;3:1>vP=b29>6g5=:k90q~o7:181[g?348i?7o7;|q;e?6=:rT3m63=b28;e>{t=3:1?v3=b281f7=::k91m=522;dg?!5c03i0qpl6<62:qC?i74$2cf>4`?3`kj6=44i``94?=h;191<75m3g;94?5=83:p(>oj:2g`?M5bl2B8h45+3g797`d<,8l?69j7;h334?6=,:l>6<>m;:k244<72-9m97??b:9l607=83.8j84=5b98yvgf2909wSon;<1e=?7792wxmo4?:3y]eg=:;o31==>4}r1;7?6=:rT84>523g;96076}O;m30(>oj:0d;?lgf2900ell50;9l7=5=831i?k750;194?6|,:kn6>kl;I1f`>N4l01/?k;53d`8 4`32=n37d??0;29 6`228:i76g>0083>!5a=3;;n65`24394?"4n<099n54}rcb>5<5sWkj70=i9;335>{tik0;6?uQac9>7c?=99:0q~=73;296~X40:16?k752438yxd4kk0;6>4>:2yK7a?<,:kn65<1b?3`;;<7>5$2d6>46e32c:<<4?:%1e1?77j21d>8?50;&0b0<5=j10q~on:181[gf349m57??1:peg<72;qUmo523g;955652z\0<6=:;o31>8?4}|`15f<72:0:6>uG3e;8 6gb28l37don:188mdd=831d?5=50;9a7c?=8391<7>t$2cf>6cd3A9nh6Fh::02a?>o6880;6)=i5;33f>=h:<;1<7*7}Yik16?k751128yv5?;3:1>vP<829>7c?=:<;0qpl=1c83>6<62:qC?i74$2cf>4`?3`kj6=44i``94?=h;191<75m3g;94?5=83:p(>oj:2g`?M5bl2B8h45+3g797`d<,8l?69j7;h334?6=,:l>6<>m;:k244<72-9m97??b:9l607=83.8j84=5b98yvgf2909wSon;<1e=?7792wxmo4?:3y]eg=:;o31==>4}r1;7?6=:rT84>523g;96076}O;m30(>oj:0d;?lgf2900ell50;9l7=5=831i?k750;194?6|,:kn6>kl;I1f`>N4l01/?k;53d`8 4`32=n37d??0;29 6`228:i76g>0083>!5a=3;;n65`24394?"4n<099n54}rcb>5<5sWkj70=i9;335>{tik0;6?uQac9>7c?=99:0q~=73;296~X40:16?k752438yxd5:<0;6>4>:2yK7a?<,:kn65<1b?3`;;<7>5$2d6>46e32c:<<4?:%1e1?77j21d>8?50;&0b0<5=j10q~on:181[gf349m57??1:peg<72;qUmo523g;955652z\0<6=:;o31>8?4}|`161<72:0:6>uG3e;8 6gb28l37don:188mdd=831d?5=50;9a7c?=8391<7>t$2cf>6cd3A9nh6Fh::02a?>o6880;6)=i5;33f>=h:<;1<7*7}Yik16?k751128yv5?;3:1>vP<829>7c?=:<;0qpl=2283>6<62:qC?i74$2cf>4`?3`kj6=44i``94?=h;191<75m3g;94?5=83:p(>oj:2g`?M5bl2B8h45+3g797`d<,8l?69j7;h334?6=,:l>6<>m;:k244<72-9m97??b:9l607=83.8j84=5b98yvgf2909wSon;<1e=?7792wxmo4?:3y]eg=:;o31==>4}r1;7?6=:rT84>523g;9607?4?:282>6}O;m30(>oj:0d;?lgf2900ell50;9l7=5=831i?k750;194?6|,:kn6>kl;I1f`>N4l01/?k;53d`8 4`32=n37d??0;29 6`228:i76g>0083>!5a=3;;n65`24394?"4n<099n54}rcb>5<5sWkj70=i9;335>{tik0;6?uQac9>7c?=99:0q~=73;296~X40:16?k752438yxd5:80;6>4>:2yK7a?<,:kn65<1b?3`;;<7>5$2d6>46e32c:<<4?:%1e1?77j21d>8?50;&0b0<5=j10q~on:181[gf349m57??1:peg<72;qUmo523g;955652z\0<6=:;o31>8?4}|`165<72:0:6>uG3e;8 6gb28l37don:188mdd=831d?5=50;9a7c?=8391<7>t$2cf>6cd3A9nh6Fh::02a?>o6880;6)=i5;33f>=h:<;1<7*7}Yik16?k751128yv5?;3:1>vP<829>7c?=:<;0qpl=1g83>6<62:qC?i74$2cf>4`?3`kj6=44i``94?=h;191<75m3g;94?5=83:p(>oj:2g`?M5bl2B8h45+3g797`d<,8l?69j7;h334?6=,:l>6<>m;:k244<72-9m97??b:9l607=83.8j84=5b98yvgf2909wSon;<1e=?7792wxmo4?:3y]eg=:;o31==>4}r1;7?6=:rT84>523g;96076}O;m30(>oj:0d;?lgf2900ell50;9l7=5=831i?k750;194?6|,:kn6>kl;I1f`>N4l01/?k;53d`8 4`32=n37d??0;29 6`228:i76g>0083>!5a=3;;n65`24394?"4n<099n54}rcb>5<5sWkj70=i9;335>{tik0;6?uQac9>7c?=99:0q~=73;296~X40:16?k752438yxd59m0;6>4>:2yK7a?<,:kn65<1b?3`;;<7>5$2d6>46e32c:<<4?:%1e1?77j21d>8?50;&0b0<5=j10q~on:181[gf349m57??1:peg<72;qUmo523g;955652z\0<6=:;o31>8?4}|`a2?6=:3:1j6;%1e1?>03-;m87:k8:keb?6=,:l>6<>m;:m114<72-9m97<:c:J0b1=;7E=jd:J0`<=#;o?1?k5+1g690a>5$2d6>46e32e99<4?:%1e1?42k21vn>j9:180>5<7s-9ji7:?;I1f`>N4l01/?k;53g9'5c2=?:18'7c3=99h07d??1;29 6`228:i76a=5083>!5a=38>o65rb25a>5<5290;w)=ne;32b>N4mm1C?i74$2d6>=1<,8l?69j7;hde>5<#;o?1==l4;n065?6=,:l>6?;l;I1e0>=zj;836=4<:183!5fm3>;7E=jd:J0`<=#;o?1?k5+1g690a>5$2d6>46e32e99<4?:%1e1?42k21vn?5<7s-9ji7:?;I1f`>N4l01/?k;53g9'5c2=?:18'7c3=99h07d??1;29 6`228:i76a=5083>!5a=38>o65rb30`>5<4290;w)=ne;63?M5bl2B8h45+3g797c=#9o>18i64i023>5<#;o?1==l4;h335?6=,:l>6<>m;:m114<72-9m97<:c:9~f74b29086=4?{%1ba?273A9nh6Fh::02a?>i5=80;6)=i5;06g>=zj;9;6=4<:183!5fm3>;7E=jd:J0`<=#;o?1?k5+1g690a>5$2d6>46e32e99<4?:%1e1?42k21vn?==:180>5<7s-9ji7:?;I1f`>N4l01/?k;53g9'5c2=?:18'7c3=99h07d??1;29 6`228:i76a=5083>!5a=38>o65rb317>5<4290;w)=ne;63?M5bl2B8h45+3g797c=#9o>18i64i023>5<#;o?1==l4;h335?6=,:l>6<>m;:m114<72-9m97<:c:9~f75129086=4?{%1ba?273A9nh6Fh::02a?>i5=80;6)=i5;06g>=zj;936=4<:183!5fm3>;7E=jd:J0`<=#;o?1?k5+1g690a>5$2d6>46e32e99<4?:%1e1?42k21vn?=n:180>5<7s-9ji7:?;I1f`>N4l01/?k;53g9'5c2=?:18'7c3=99h07d??1;29 6`228:i76a=5083>!5a=38>o65rb31`>5<4290;w)=ne;63?M5bl2B8h45+3g797c=#9o>18i64i023>5<#;o?1==l4;h335?6=,:l>6<>m;:m114<72-9m97<:c:9~f74129096=4?{%1ba?76n2B8ii5G3e;8 6`221=0(h::37`?M5a<21vn>m6:181>5<7s-9ji7?>f:J0aa=O;m30(>h::958 4`32=n37dhi:18'7c3=99h07b<:1;29 6`22;?h7E=i4:9~f6ef29086=4?{%1ba?273A9nh6Fh::02a?>i5=80;6)=i5;06g>=zj:i>6=4=:183!5fm3;:j6F6594$0d7>1b?3`lm6=4+3g7955d<3f8>=7>5$2d6>73d3A9m865rb2a5>5<4290;w)=ne;63?M5bl2B8h45+3g797c=#9o>18i64i023>5<#;o?1==l4;h335?6=,:l>6<>m;:m114<72-9m97<:c:9~f6e629096=4?{%1ba?76n2B8ii5G3e;8 6`221=0(h::37`?M5a<21vn>m=:180>5<7s-9ji7:?;I1f`>N4l01/?k;53g9'5c2=?:18'7c3=99h07d??1;29 6`228:i76a=5083>!5a=38>o65rb2``>5<5290;w)=ne;32b>N4mm1C?i74$2d6>=1<,8l?69j7;hde>5<#;o?1==l4;n065?6=,:l>6?;l;I1e0>=zj:ho6=4=:183!5fm3;:j6F6594$0d7>1b?3`lm6=4+3g7955d<3f8>=7>5$2d6>73d3A9m865rb2`f>5<4290;w)=ne;63?M5bl2B8h45+3g797c=#9o>18i64i023>5<#;o?1==l4;h335?6=,:l>6<>m;:m114<72-9m97<:c:9~f6ea29086=4?{%1ba?273A9nh6Fh::02a?>i5=80;6)=i5;06g>=zj:n:6=4<:183!5fm3>;7E=jd:J0`<=#;o?1?k5+1g690a>5$2d6>46e32e99<4?:%1e1?42k21vn5<7s-9ji7?>f:J0aa=O;m30(>h::958 4`32=n37dhi:18'7c3=99h07b<:1;29 6`22;?h7E=i4:9~f47c29086=4?{%1ba?273A9nh6Fh::02a?>i5=80;6)=i5;06g>=zj8:m6=4;:183!5fm3>:7E=jd:J0`<=#;o?1?k5+1g690a>5$2d6>46e32c:8?50;&0b0<5=j10qo=m5;297?6=8r.8mh4;0:J0aa=O;m30(>h::2d8 4`32=n37d??0;29 6`228:i76g>0083>!5a=3;;n65`24394?"4n<099n54}c1a2?6=:3:1j6;%1e1?>03-;m87:k8:keb?6=,:l>6<>m;:m114<72-9m97<:c:J0b1=52;294~"4il0:=k5G3df8L6b>3-9m9768;%3e0?2c02cmj7>5$2d6>46e32e99<4?:%1e1?42k2B8j954}c1aN4mm1C?i74$2d6>6`<,8l?69j7;h334?6=,:l>6<>m;:k244<72-9m97??b:9l607=83.8j84=5b98yg5e13:1?7>50z&0e`<382B8ii5G3e;8 6`22:l0(h::02a?>o6880;6)=i5;33f>=h:<;1<7*59;294~"4il0?:6F6>h4$0d7>1b?3`;;<7>5$2d6>46e32c:<<4?:%1e1?77j21b==<50;&0b0<68k10e<><:18'7c3=99h07d??4;29 6`228:i76g>0483>!5a=3;;n65f11494?"4n<0:5<#;o?1==l4;n065?6=,:l>6?;l;:a65?=83?1<7>t$2cf>14<@:oo7E=k9:&0b0<4n2.:j94;d99j556=83.8j84>0c98m466290/?k;511`8?l77:3:1(>h::02a?>o68:0;6)=i5;33f>=h:<;1<7*52;294~"4il0:=k5G3df8L6b>3-9m9768;%3e0?2c02cmj7>5$2d6>46e32e99<4?:%1e1?42k2B8j954}c03f?6=;3:1N4mm1C?i74$2d6>6`<,8l?69j7;h334?6=,:l>6<>m;:k244<72-9m97??b:9l607=83.8j84=5b98yg47k3:1?7>50z&0e`<382B8ii5G3e;8 6`22:l0(h::02a?>o6880;6)=i5;33f>=h:<;1<7*52;294~"4il0:=k5G3df8L6b>3-9m9768;%3e0?2c02cmj7>5$2d6>46e32e99<4?:%1e1?42k2B8j954}c323?6=:3:1j6;%1e1?>03-;m87:k8:keb?6=,:l>6<>m;:m114<72-9m97<:c:J0b1=53;294~"4il0?<6F6>h4$0d7>1b?3`;;<7>5$2d6>46e32c:<<4?:%1e1?77j21d>8?50;&0b0<5=j10qo?>9;297?6=8r.8mh4;0:J0aa=O;m30(>h::2d8 4`32=n37d??0;29 6`228:i76g>0083>!5a=3;;n65`24394?"4n<099n54}c32e?6=;3:1j6;%1e1?4202.:j94;d99j556=83.8j84>0c98m466290/?k;511`8?j4293:1(>h::37`?>{e9881<7:50;2x 6gb2=;0D>kk;I1g=>"4n<08j6*>f587`==n99:1<7*7>5$2d6>46e32e99<4?:%1e1?42k21vn5<7s-9ji7<:9:J0aa=O;m30(>h::37;?!7a<3>o46g>0183>!5a=3;;n65f11394?"4n<0:5<#;o?1>8m4;|`03a<72;0;6=u+3`g954`<@:oo7E=k9:&0b05}#;ho1=8?50;&0b0<5=j1C?k:4;|`03c<72;0;6=u+3`g954`<@:oo7E=k9:&0b05}#;ho18<5G3df8L6b>3-9m97=i;%3e0?2c02c:<=4?:%1e1?77j21b==?50;&0b0<68k10e<>=:18'7c3=99h07b<:1;29 6`22;?h76sm7e83>7<729q/?lk510d8L6cc3A9o56*"6n=0?h55ffg83>!5a=3;;n65`24394?"4n<099n5G3g68?xd0m3:1>7>50z&0e`<69o1C?hj4H2f:?!5a=32<7)?i4;6g<>oan3:1(>h::02a?>i5=80;6)=i5;06g>N4n=10qo9i:180>5<7s-9ji7:?;I1f`>N4l01/?k;53g9'5c2=?:18'7c3=99h07d??1;29 6`228:i76a=5083>!5a=38>o65rb9294?5=83:p(>oj:528L6cc3A9o56*"6n=0?h55f11294?"4n<0:5<#;o?1==l4;n065?6=,:l>6?;l;:a<4<72:0;6=u+3`g960?<@:oo7E=k9:&0b0<5=11/=k:54e:8m467290/?k;511`8?l7793:1(>h::02a?>i5=80;6)=i5;06g>=zj181<7=50;2x 6gb2=:0D>kk;I1g=>"4n<08j6*>f587`==n99:1<7*=7>5$2d6>73d32wi8>?50;194?6|,:kn69>4H2gg?M5c12.8j8450;&0b0<68k10e<>>:18'7c3=99h07b<:1;29 6`22;?h76sm42094?4=83:p(>oj:03e?M5bl2B8h45+3g79<2=#9o>18i64igd94?"4n<0:5<#;o?1>8m4H2d7?>{e<:91<7<50;2x 6gb28;m7E=jd:J0`<=#;o?14:5+1g690a>oj:528L6cc3A9o56*"6n=0?h55f11294?"4n<0:5<#;o?1==l4;n065?6=,:l>6?;l;:a063=8391<7>t$2cf>73>3A9nh6Fh::02a?>o6880;6)=i5;33f>=h:<;1<7*8:7>53;294~"4il0?<6F6>h4$0d7>1b?3`;;<7>5$2d6>46e32c:<<4?:%1e1?77j21d>8?50;&0b0<5=j10qo:<7;297?6=8r.8mh4=589K7`b<@:n27)=i5;06<>"6n=0?h55f11294?"4n<0:5<#;o?1==l4;n065?6=,:l>6?;l;:p3g<72:qU;o527c81f6=:?o0:<=5rs6a94?4|5>h1m552838114=z{>n1<7l1==?4}r5f>5<5s4=n6?;>;<:3>4663ty52z?4b?429273=7??0:p<5<72;q64=4=509><4<6881v5?50;0x9=7=:<;015<51138yv?a290:hvP6f:?0g247a:?0fc47a:?151f34l;65o4=50f>=g<5=3:65o4=6`914l5rsc694?4|Vk>01k>5a99~wg3=833p1>l<:8g8961?20o01>ln:8g896e020o01>m<:8g896da20o01o95243896b128::70??f;334>{tj?0;6?u2b78114=:j>0:<<5rsdg94?5|5o;1m552f181f6=:j?0mj6s|eg83>7}:n809n>52b68245=z{8:n6=4;{<33b?42927:=:4if:?25=<68916=<<51128yv7683:1;v3>1181f6=:98n1==>4=035>c`<58;86<>?;<:1>46734>8=7??0:?777>;|q251<72>q6=<:52c18947d2ol01638d;de?8>728:;70:<6;334>{t98?1<7d><58;j6?;>;|q253<72;q6=<852438947?28::7p}>1683>7}:98=1>8?4=03:>4663ty:=54?:3y>54>=:<;01>;|q25g<72;q6=1d83>7}:<0;1m55210f960752z?143<5j:16==h51108yv47?3:1>v3=078b<>;58j099<5rs32;>5<5s48;47<:1:?14f<6891v?>6:181847138>=63=0c8245=z{;:j6=4={<03e?429279009~w76e2909w0;58j0:<<5rs0d:>5<2s48;h754>009>670=no16>=o5fg9~w4`c290?w0;59m0jn63=298245=::921==>4}r3ee?6=64g=ik16>>o51128976>28:97p}>fc83>1}::8:1>o=4=33a>dd<5;9h6<>?;<03=?7792wx=km50;1x97762;h870<>c;ca?84713;;?6s|1gg94?2|5;;96?l<;<02a?ge3489m7??0:?14=<6881v;5:j0:<=5221:955454z?151<5j:16>?>5ac9>67c=99:01?>7:027?xu5880;69u220796g5<5;8:6ll4=313>467348;47??3:p654=83>p1??9:3`0?845:3ki70<<2;334>;5810:<85rs320>5<3s48:;7019>65>=99=0q~522369eg=:::<1==>4=32;>4613ty9<84?:5y>64?=:k901?<::``8975?28:;70{t:;=1<7=t=33f>dg<5;836?;>;<01e?7792wx>?750;1x977a2hk01?7363489i7??1:p67b=839p1?<>:`c8974b2;?:70<<0;335>{t:;l1<7=t=301>dg<5;9;6?;>;<006?7792wx>>?50;1x97442hk01?==:372?844<3;;=6s|22194?5|5;8?6lo4=317>7363488:7??1:p663=839p1?<::`c897512;?:70<<8;335>{t::=1<7=t=33b>dg<5;936?;>;<00e?7792wx>>750;1x977e2hk01?=n:372?844k3;;=6s|22`94?4|5;;h6lo4=31`>7363ty9?i4?:3y>65b=i116>?852438yv44m3:1>v3=0g8b<>;59h084>5rs31e>5<5s48:<7o7;<02f?5?;2wx>9>50;0x97762h201??l:2:0?xu5<80;6?u221g9e==::8n1?5=4}r076?6=:r79=?4n8:?15`<40:1v?:<:181846;3k370<>f;1;7>{t:=>1<7d><5;8;6>6<;|q100<72;q6><;5a99>677=;190q~<;6;296~;59?0j463=2380<6=z{;><6=4={<023?g?3489?7=73:p61>=838p1??7:`:897432:287p}=4883>7}::831m55223797=5514y>7`c=nm16?n95a19>7f5=i916?oh5a19>7gg=i916>=j5a19>65c=i916><<5a19>645=i916><:5a19>643=i916><85a19>641=i916><65a19>64?=i916>=h5a19>646=i916>650=i916?o=5a19>72>=i91v>97:181[500278;54=b29~w61f2909w0=88;c;?850j38>=6s|36a94?4|5:=i6kh4=2:3>7363ty8;i4?:3y>72b=:<;01>6?:022?xu4?l0;6?u236g9607<5:2;6<>?;|q03c<72;q6?:h5243896>728:97p}6}:;k91>o=4=2f5>46734;;j7??1:p7g2=838p1>l<:`:896d>2;?:7p}7}:;k?1>8?4=2`:>4673ty8n;4?:3y>7g0=:<;01>l7:023?xu4j>0;6?u23c59607<5:h36<>>;|q0f=<72;q6?o65243896d>28::7p}0}:;kk1>o=4=2aa>dg<5:ho6kh4=2ae>466349i97??0:p7gd=838p1>ln:`:896db2;?:7p}7}:;ki1>8?4=2`f>4673ty8ni4?:3y>7gb=:<;01>lj:022?xu4j90;68u23cd96g5<5:ii6ll4=2ae>467349i:7hi;<14a?`a3ty8o=4?:3y>7g`=i116?n<52438yv5d93:1>v34=b29>7fe=ik16?i?5112896d02ol01>9k:gd8yv5d<3:1>v3;4k?099<5rs2a6>5<5s49h97<:1:?0g3<6881v>l=:186[5?9278o:4=b29>7fb=ik16?o;51138961a2ol0q~=l8;296~;4k>0j463009~w6eb2908w0=lc;cb?85dn38>=63mm:2:0?85d:3;;<6s|3e194?4|5:ih6>6<;<1`2?7782wx?i:50;0x96ec2:2870=la;334>{t;m?1<7?<{<03`??b348;i77j;<026??b348:?77j;<020??b348:977j;<022??b348:;77j;<029i78=7??1:?770<6891v9=?:181825m3k370:<7;065>{t<:;1<773634>8;7??0:p064=838p19==:372?824<3;;<6s|42194?4|5=986?;>;<600?7792wx8>:50;0x91532;?:70:<5;335>{t<:?1<773634>8:7??1:p060=838p19=9:372?824?3;;=6s|44`94?4|V=?i70::d;c;?xu3=m0;6>u244f96g5<58;96<>>;<607?`a3ty?5<4?:3y]0<7<5=3:6?l<;|a05>=8381<7>t$2cf>47a3A9nh6F1/=k:54e:8mc`=83.8j84>0c98k736290/?k;524a8L6`332wi8N4l01/?k;5869'5c2=:18'7c3=:h;;:a052=8381<7>t$2cf>47a3A9nh6F1/=k:54e:8mc`=83.8j84>0c98k736290/?k;524a8L6`332wi8=;50;094?6|,:kn6N4l01/?k;5869'5c2=:18'7c3=:h;;:a050=8391<7>t$2cf>16<@:oo7E=k9:&0b0<4n2.:j94;d99j556=83.8j84>0c98m466290/?k;511`8?j4293:1(>h::37`?>{e<0:1<7<50;2x 6gb28;m7E=jd:J0`<=#;o?14:5+1g690a>oj:02b?M5bl2B8h45+3g79<2=O9:i0(o46gif;29 6`228:i76a=5083>!5a=38>o65rb5;b>5<5290;w)=ne;33e>N4mm1C?i74$2d6>=1<@89h7)?lb;33f>"6n=0?h55ffg83>!5a=3;;n65`24394?"4n<099n54}c61f?6=:3:1j6;%1e1?>03A;8o6*>cc824g=#9o>18i64igd94?"4n<0:5<#;o?1>8m4;|`fg?6=:3:1j6;%1e1?>03A;8o6*>cc824g=#9o>18i64igd94?"4n<0:5<#;o?1>8m4;|`e7?6=:3:1j6;%1e1?>03A;8o6*>cc824g=#9o>18i64igd94?"4n<0:5<#;o?1>8m4;|`705<72;0;6=u+3`g955g<@:oo7E=k9:&0b05<#;o?1==l4;n065?6=,:l>6?;l;:a00e=8381<7>t$2cf>46f3A9nh6F1C=>m4$0aa>46e3-;m87:k8:keb?6=,:l>6<>m;:m114<72-9m97<:c:9~f1ef29096=4?{%1ba?77i2B8ii5G3e;8 6`221=0D<=l;%3`f?77j2.:j94;d99jbc<72-9m97??b:9l607=83.8j84=5b98yg?529096=4?{%1ba?77i2B8ii5G3e;8 6`221=0D<=l;%3`f?77j2.:j94;d99jbc<72-9m97??b:9l607=83.8j84=5b98yg>d29096=4?{%1ba?77i2B8ii5G3e;8 6`221=0D<=l;%3`f?77j2.:j94;d99jbc<72-9m97??b:9l607=83.8j84=5b98yg2183:1>7>50z&0e`<68h1C?hj4H2f:?!5a=32<7E?0c98k736290/?k;524a8?xd3l:0;6?4?:1y'7dc=99k0D>kk;I1g=>"4n<03;6F>3b9'5fd=99h0(h::37`?>{e?;0;6?4?:1y'7dc=99k0D>kk;I1g=>"4n<03;6F>3b9'5fd=99h0(h::37`?>{e?80;6?4?:1y'7dc=99k0D>kk;I1g=>"4n<03;6F>3b9'5fd=99h0(h::37`?>{e?90;6?4?:1y'7dc=99k0D>kk;I1g=>"4n<03;6F>3b9'5fd=99h0(h::37`?>{e>o0;6?4?:1y'7dc=99k0D>kk;I1g=>"4n<03;6F>3b9'5fd=99h0(h::37`?>{e>m0;6?4?:1y'7dc=99k0D>kk;I1g=>"4n<03;6F>3b9'5fd=99h0(h::37`?>{e>j0;6?4?:1y'7dc=99k0D>kk;I1g=>"4n<03;6F>3b9'5fd=99h0(h::37`?>{e>k0;6?4?:1y'7dc=99k0D>kk;I1g=>"4n<03;6F>3b9'5fd=99h0(h::37`?>{e>h0;6?4?:1y'7dc=99k0D>kk;I1g=>"4n<03;6F>3b9'5fd=99h0(h::37`?>{e>00;6?4?:1y'7dc=99k0D>kk;I1g=>"4n<03;6F>3b9'5fd=99h0(h::37`?>{e>10;6?4?:1y'7dc=99k0D>kk;I1g=>"4n<03;6F>3b9'5fd=99h0(h::37`?>{e>>0;6?4?:1y'7dc=99k0D>kk;I1g=>"4n<03;6F>3b9'5fd=99h0(h::37`?>{e>?0;6?4?:1y'7dc=99k0D>kk;I1g=>"4n<03;6F>3b9'5fd=99h0(h::37`?>{e><0;6?4?:1y'7dc=99k0D>kk;I1g=>"4n<03;6F>3b9'5fd=99h0(h::37`?>{e>=0;6?4?:1y'7dc=99k0D>kk;I1g=>"4n<03;6F>3b9'5fd=99h0(h::37`?>{e?h0;6?4?:1y'7dc=99k0D>kk;I1g=>"4n<03;6F>3b9'5fd=99h0(h::37`?>{e?00;6?4?:1y'7dc=99k0D>kk;I1g=>"4n<03;6F>3b9'5fd=99h0(h::37`?>{e?10;6?4?:1y'7dc=99k0D>kk;I1g=>"4n<03;6F>3b9'5fd=99h0(h::37`?>{e?>0;6?4?:1y'7dc=99k0D>kk;I1g=>"4n<03;6F>3b9'5fd=99h0(h::37`?>{e??0;6?4?:1y'7dc=99k0D>kk;I1g=>"4n<03;6F>3b9'5fd=99h0(h::37`?>{e?<0;6?4?:1y'7dc=99k0D>kk;I1g=>"4n<03;6F>3b9'5fd=99h0(h::37`?>{e?=0;6?4?:1y'7dc=99k0D>kk;I1g=>"4n<03;6F>3b9'5fd=99h0(h::37`?>{e?:0;6?4?:1y'7dc=99k0D>kk;I1g=>"4n<03;6F>3b9'5fd=99h0(h::37`?>{e>l0;6?4?:1y'7dc=99k0D>kk;I1g=>"4n<03;6F>3b9'5fd=99h0(h::37`?>{e<1o1<7:52;6x 6gb289i7E=jd:J0`<=#9o>18i64igd94?=nj=0;66a=5083>>i6:80;66l>0`83>7<729q/?lk511c8 6`221=0D<=l;%3`f?77j2.:j94;d99jbc<72-9m97??b:9l607=83.8j84=5b98yg42;3:1?7?53z&0e`<5=:1C=>m4$0aa>73d3-;m87:k8:keb?6=3`h?6=44o372>5<!5a=3k>76a=5083>!5a=38>o6F<9898yv`a2909wShi;<1e5?`a3tyi87>52z\a0>;4n8085:5rs372>5<5sW8>=63=7>52z\114=:99k1>8?4}r315?6=;rT:><5211c9bc=::<91>8?4}rde>5<5sWlm70<:3;de?xue<3:1>vPm4:?116N4l01/?k;5869K56e<,8ii6?;l;%3e0?2c02cmj7>5$2d6>46e32e99<4?:%1e1?42k21vn9=j:181>5<7s-9ji7<:2:J0aa=O;m30(>h::958L45d3-;hn7<:c:&2b1<3l11bjk4?:%1e1?77j21d>8?50;&0b0<5=j10qo:l8;296?6=8r.8mh4=539K7`b<@:n27)=i5;:4?M74k2.:oo4=5b9'5c2=:18'7c3=:7<729q/?lk52408L6cc3A9o56*N6;j1/=nl524a8 4`32=n37dhi:18'7c3=99h07b<:1;29 6`22;?h76sm9183>7<729q/?lk52408L6cc3A9o56*N6;j1/=nl524a8 4`32=n37dhi:18'7c3=99h07b<:1;29 6`22;?h76sm49a94?4=83:p(>oj:371?M5bl2B8h45+3g79<2=O9:i0(o46gif;29 6`228:i76a=5083>!5a=38>o65rb5:1>5<5290;w)=ne;066>N4mm1C?i74$2d6>=1<@89h7)?lb;06g>"6n=0?h55ffg83>!5a=3;;n65`24394?"4n<099n54}c60=?6=:3:1j6;%1e1?>03A;8o6*>cc811f=#9o>18i64igd94?"4n<0:5<#;o?1>8m4;|`76c<72;0;6=u+3`g9604<@:oo7E=k9:&0b05<#;o?1==l4;n065?6=,:l>6?;l;:a05c=8391=7=t$2cf>7343A9nh6F5;h`7>5<5<4290;w)=i5;1:<>oan3:1(>h::02a?>o41>0;6)=i5;c6?>i5=80;6)=i5;06g>N41010q~hi:181[`a349m=7hi;|qa0?6=:rTi863;4n8099<5r}c614?6=:3:1j6;%1e1?>03A;8o6*>cc811f=#9o>18i64igd94?"4n<0:5<#;o?1>8m4;|`7<1<72;0;6=u+3`g9604<@:oo7E=k9:&0b05<#;o?1==l4;n065?6=,:l>6?;l;:a07343A9nh6F5;h`7>5<5<4290;w)=i5;1:<>oan3:1(>h::02a?>o41>0;6)=i5;c6?>i5=80;6)=i5;06g>N41010q~hi:181[`a349m=7hi;|qa0?6=:rTi863;4n8099<5r}c64e?6=:3:1j6;%1e1?>03A;8o6*>cc811f=#9o>18i64igd94?"4n<0:5<#;o?1>8m4;|`747<72;0;6=u+3`g9604<@:oo7E=k9:&0b05<#;o?1==l4;n065?6=,:l>6?;l;:a05?=8381<7>t$2cf>7353A9nh6F1C=>m4$0aa>73d3-;m87:k8:keb?6=,:l>6<>m;:m114<72-9m97<:c:9~f1?329096=4?{%1ba?42:2B8ii5G3e;8 6`221=0D<=l;%3`f?42k2.:j94;d99jbc<72-9m97??b:9l607=83.8j84=5b98yg2303:1>7>50z&0e`<5=;1C?hj4H2f:?!5a=32<7E?0c98k736290/?k;524a8?xd3>;0;6>4>:2y'7dc=:<90D>kk;I1g=>N6;j1/=nl524a8 4`32=n37dhi:188mg2=831d>8?50;9a7c7=8391<7>t$2d6>6??3`lm6=4+3g7955d<3`92;7>5$2d6>d3<3f8>=7>5$2d6>73d3A92565rsgd94?4|Vol01>h>:gd8yvd32909wSl;;<1e5?5>?2wx>8?50;0xZ736349m=7<:1:~f1d429086<4<{%1ba?42;2B8ii5G3e;8L45d3-;hn7<:c:&2b1<3l11bjk4?::ka0?6=3f8>=7>5;c1e5?6=;3:1=n;0=1<7*=h:<;1<7*=7>52z\114=:;o;1>8?4}|`70a<72;0;6=u+3`g9604<@:oo7E=k9:&0b05<#;o?1==l4;n065?6=,:l>6?;l;:a000=8381<7>t$2cf>7353A9nh6F1C=>m4$0aa>73d3-;m87:k8:keb?6=,:l>6<>m;:m114<72-9m97<:c:9~f14429096=4?{%1ba?42:2B8ii5G3e;8 6`221=0D<=l;%3`f?42k2.:j94;d99jbc<72-9m97??b:9l607=83.8j84=5b98yg4ak3:1>7>50z&0e`<5=;1C?hj4H2f:?!5a=32<7E?0c98k736290/?k;524a8?xd5n?0;6?4?:1y'7dc=:<80D>kk;I1g=>"4n<03;6F>3b9'5fd=:h::37`?>{e;;21<7<50;2x 6gb2;?97E=jd:J0`<=#;o?14:5G12a8 4ee2;?h7)?i4;6g<>oan3:1(>h::02a?>i5=80;6)=i5;06g>=zj:896=4=:183!5fm38>>6F6594H01`?!7dj38>o6*>f587`==nno0;6)=i5;33f>=h:<;1<7*52;294~"4il099?5G3df8L6b>3-9m9768;I30g>"6kk099n5+1g690a>5}#;ho1>8<4H2gg?M5c12.8j8477:J27f=#9jh1>8m4$0d7>1b?3`lm6=4+3g7955d<3f8>=7>5$2d6>73d32wi?<>50;094?6|,:kn6?;=;I1f`>N4l01/?k;5869K56e<,8ii6?;l;%3e0?2c02cmj7>5$2d6>46e32e99<4?:%1e1?42k21vn>>n:181>5<7s-9ji7<:2:J0aa=O;m30(>h::958L45d3-;hn7<:c:&2b1<3l11bjk4?:%1e1?77j21d>8?50;&0b0<5=j10qo=?9;296?6=8r.8mh4=539K7`b<@:n27)=i5;:4?M74k2.:oo4=5b9'5c2=:18'7c3=:7<729q/?lk52408L6cc3A9o56*N6;j1/=nl524a8 4`32=n37dhi:18'7c3=99h07b<:1;29 6`22;?h76sm2gf94?4=83:p(>oj:371?M5bl2B8h45+3g79<2=O9:i0(o46gif;29 6`228:i76a=5083>!5a=38>o65rb3d3>5<5290;w)=ne;066>N4mm1C?i74$2d6>=1<@89h7)?lb;06g>"6n=0?h55ffg83>!5a=3;;n65`24394?"4n<099n54}c6`>5<6290;w)=i5;0ba>N4l01C==m4$0aa>46e3-;m:7<6d:k1e`<72-9m97t$2d6>7gb3A9o56F>0b9'5fd=99h0(h::3cf?>{ej6;I33g>"6kk0:51;294~"4n<09mh5G3e;8L46d3-;hn7??b:&2b3<5>=1b>lk50;&0b0<5il10qo;?:182>5<7s-9m9738=96g=ad83>!5a=38ji65rb4394?7=83:p(>h::3cf?M5c12B:6?oj;:a17<7280;6=u+3g796dc<@:n27E??c:&2gg<68k1/=k852758m7gb290/?k;52`g8?xd2;3:1=7>50z&0b0<5il1C?i74H02`?!7dj3;;n6*>f7812==n:ho1<7*:183!5a=38ji6Fm;%3e2?4112c9mh4?:%1e1?4fm21vn8;50;394?6|,:l>6?oj;I1g=>N68j1/=nl511`8 4`12;4<729q/?k;52`g8L6b>3A;;o6*>cc824g=#9o<1>lo4i3cf>5<#;o?1>lk4;|`63?6=93:1l;%3`f?77j2.:j;4=6c9j6dc=83.8j84=ad98yg3?290:6=4?{%1e1?4fm2B8h45G11a8 4ee28:i7)?i6;05g>o5il0;6)=i5;0ba>=zj<31<7?50;2x 6`22;kn7E=k9:J24f=#9jh1==l4$0d5>70b3`8ji7>5$2d6>7gb32wi9o4?:083>5}#;o?1>lk4H2f:?M77k2.:oo4>0c9'5c0=:>;0e?oj:18'7c3=:ho07pl:c;295?6=8r.8j84=ad9K7a?<@8:h7)?lb;33f>"6n?09mo5f2`g94?"4n<09mh54}c7g>5<6290;w)=i5;0ba>N4l01C==m4$0aa>46e3-;m:7t$2d6>7gb3A9o56F>0b9'5fd=99h0(h::3cf?>{e=o0;6<4?:1y'7c3=:ho0D>j6;I33g>"6kk0:51;294~"4n<09mh5G3e;8L46d3-;hn7??b:&2b3<5??1b>lk50;&0b0<5il10qo8>:182>5<7s-9m9738!5a=38ji65rb7094?7=83:p(>h::3cf?M5c12B:6?oj;:a26<7280;6=u+3g796dc<@:n27E??c:&2gg<68k1/=k852868m7gb290/?k;52`g8?xd?j3:1=7>50z&0b0<5il1C?i74H02`?!7dj3;;n6*>f7812c=n:ho1<7*:183!5a=38ji6F6?oj;I1g=>N68j1/=nl524a8 4`12;2j7b4<729q/?k;52`g8L6b>3A;;o6*>cc824g=#9o<1>:>4i3cf>5<#;o?1>lk4;|`a0?6=93:1l;%3`f?77j2.:j;4=739j6dc=83.8j84=ad98yg`5290:6=4?{%1e1?4fm2B8h45G11a8 4ee28:i7)?i6;047>o5il0;6)=i5;0ba>=zj;h?6=4>:183!5a=38ji6F2e9mh4?:%1e1?4fm21vn?l::182>5<7s-9m9738346a=ad83>!5a=38ji65rb3`4>5<6290;w)=i5;0ba>N4l01C==m4$0aa>73d3-;m:7<7f:m1e`<72-9m97i5il0;6)=i5;0ba>=zj;h26=4>:183!5a=38ji6F5<7s-9m97383<6a=ad83>!5a=38ji65rb3`e>5<6290;w)=i5;0ba>N4l01C==m4$0aa>73d3-;m:7<8f:m1e`<72-9m97i5il0;6)=i5;0ba>=zj;i:6=4>:183!5a=38ji6F5<7s-9m9738396a=ad83>!5a=38ji65rb3a0>5<6290;w)=i5;0ba>N4l01C==m4$0aa>73d3-;m:7<77:m1e`<72-9m97i5il0;6)=i5;0ba>=zj=::6=4>:183!5a=38ji6F8:182>5<7s-9m9738j<6a=ad83>!5a=38ji65rb52a>5<6290;w)=i5;0ba>N4l01C==m4$0aa>46e3-;m:7<88:k1e`<72-9m97i5il0;6)=i5;0ba>N41010qo:>e;295?6=8r.8j84=ad9K7a?<@8:h7)?lb;06g>"6n?09m>5`2`g94?"4n<09mh54}c616?6=93:1l;%3`f?42k2.:j;4=949l6dc=83.8j84=ad98yg25i3:1=7>50z&0b0<5il1C?i74H02`?!7dj3;;n6*>f781=<=n:ho1<7*9i7>51;294~"4n<09mh5G3e;8L46d3-;hn7<:c:&2b3<51?1d>lk50;&0b0<5il10qo:<8;295?6=8r.8j84=ad9K7a?<@8:h7)?lb;06g>"6n?09;n5`2`g94?"4n<09mh54}c60`?6=93:1l;%3`f?42k2.:j;4=759l6dc=83.8j84=ad98yg24n3:1=7>50z&0b0<5il1C?i74H02`?!7dj3;;n6*>f781=g=n:ho1<7*?;7>51;294~"4n<09mh5G3e;8L46d3-;hn7<:c:&2b3<5i;1d>lk50;&0b0<5il10qo:;b;295?6=8r.8j84=ad9K7a?<@8:h7)?lb;06g>"6n?09m;5`2`g94?"4n<09mh54}c660?6=93:1l;%3`f?42k2.:j;4=a59l6dc=83.8j84=ad98yg22j3:1=7>50z&0b0<5il1C?i74H02`?!7dj3;;n6*>f781e==n:ho1<7*>j7>51;294~"4n<09mh5G3e;8L46d3-;hn7??b:&2b3<5?>1b>lk50;&0b0<5il10qo:91;295?6=8r.8j84=ad9K7a?<@8:h7)?lb;06g>"6n?095n5`2`g94?"4n<09mh5G38;8?xd3?>0;6<4?:1y'7c3=:ho0D>j6;I33g>"6kk099n5+1g4962b5}#;o?1>lk4H2f:?M77k2.:oo4=5b9'5c0=:0;0c?oj:18'7c3=:ho07pl;8283>4<729q/?k;52`g8L6b>3A;;o6*>cc811f=#9o<1>4<4o3cf>5<#;o?1>lk4;|`7<0<7280;6=u+3g796dc<@:n27E??c:&2gg<5=j1/=k852828k7gb290/?k;52`g8?xd30m0;6<4?:1y'7c3=:ho0D>j6;I33g>"6n?09m:5$2`g94?"4n<09mh54}c6:6?6=93:1l;%3`f?42k2.:j;4=7c9l6dc=83.8j84=ad98yg2>=3:1=7>50z&0b0<5il1C?i74H02`?!7dj38>o6*>f7813d=h:ho1<7*257>51;294~"4n<09mh5G3e;8L46d3-;hn7??b:&2b3<5?01b>lk50;&0b0<5il10qo:6b;295?6=8r.8j84=ad9K7a?<@8:h7)?lb;06g>"6n?095h5`2`g94?"4n<09mh5G38;8?xd3j;0;6<4?:1y'7c3=:ho0D>j6;I33g>"6kk099n5+1g496<>h::3cf?M5c12B:6?oj;:a0f?=83;1<7>t$2d6>7gb3A9o56F>0b9'5fd=99h0(h::3cf?>{e7123`8ji7>5$2d6>7gb32wi8?m50;394?6|,:l>6?oj;I1g=>N68j1/?i9538c8 4ee28:i7)?i6;0:3>o5il0;6)=i5;0ba>=zj=8o6=4=:183!5a=32<7)=ne;33e>"6kk0:7n;I1g=>N6;j1/=k:54e:8mc`=83.8j84>0c98k736290/?k;524a8?xd40h0;6<4?:1y'7c3=:ho0D>j6;I33g>"4l>085l5+1b`955d<,8l=6?7<;h0ba?6=,:l>6?oj;:a7=d=8381<7>t$2d6>=1<,:kn6<>n;%3`f?77j2.8h:4<9`9'77c=;0k0D>j6;I30g>"6n=0?h55ffg83>!5a=3;;n65`24394?"4n<099n54}c1;3?6=93:1l;%1g3?5>i2.:oo4>0c9'5c0=:1n0e?oj:18'7c3=:ho07pl<8983>7<729q/?k;5869'7dc=99k0(5$2d6>46e32e99<4?:%1e1?42k21vn>6::182>5<7s-9m97j8:2;b?!7dj3;;n6*>f781<`=n:ho1<7*52;294~"4n<03;6*6?f3-99i7=6a:J0`<=O9:i0(h::37`?>{t:o0;6?u23gd96`=:<9>1jk5rs2294?4|5=:;6?k4=526>c`;47hi;|q7g?6=;rT?o6394;de?82d2;kn7p};d;297~X3l27=97hi;<6g>7gb3ty?i7>53z\7a>;1>3lm70:j:3cf?xu3n3:1?vP;f:?53?`a34>m6?oj;|q64?6=;rT><6398;de?8372;kn7p}:1;297~X2927=57hi;<72>7gb3ty>>7>53z\66>;1i3lm70;=:3cf?xu2;3:1?vP:3:?5f?`a34?86?oj;|q60?6=;rT>8639c;de?8332;kn7p}:5;297~X2=27=h7hi;<76>7gb3ty>:7>53z\62>;1m3lm70;9:3cf?xu2?3:1?vP:7:?5b?`a34?<6?oj;|q646380;de?83?2;kn7p}:9;297~X2127<=7hi;<7:>7gb3ty>n7>53z\6f>;0:3lm70;m:3cf?xu2k3:1?vP:c:?47?`a34?h6?oj;|q6`?6=;rT>h6384;de?83c2;kn7p}:e;297~X2m27<97hi;<7f>7gb3ty>j7>53z\6b>;0>3lm70;i:3cf?xu183:1?vP90:?43?`a34<;6?oj;|q55?6=;rT==6388;de?8062;kn7p}92;297~X1:27<57hi;<41>7gb3ty=?7>53z\57>;0i3lm708<:3cf?xu1<3:1?v3;3l<0:96394;065>{t><0;6>u23gf953=:41<5=n>6<94=749607o97?7;<44>7363ty=47>53z?0ba<6127?h84>9:?57cb=9h168i;51`9>2<<5=81v;o50;1x96`c28h019j::0`893g=:<;0q~8m:18085al3;h70:k5;3`?80e2;?:7p}9c;297~;4nm0:h63;d482`>;1k38>=6s|6e83>6}:;on1=h524e795`=:>m099<5rs7g94?4|5=n>6o977363ty<<7>53z?0ba<5:27?h84=2:?44?4292wx;<4?:3y>7cb=::16;<4=509~w24=838p1>hk:378924=:<;0q~9<:18182c=38=709<:372?xu0<3:1>v3;d4813>;0<38>=6s|7483>7}:552748114=z{><1<77?<5><1>8?4}r54>5<5s4>o977363ty<47>52z?7`0<5j27<47<:1:p3<<72:q6?kj52b9>0a3=:j16;44=509~w2g=839p1>hk:3f891b22;n01:o52438yv1e290f;de?827m3h?70:6c;`7?821:3h?70:m3;`7?xu?;3:18v3;3l<03?63;018;7>;4nl03?6s|8c83>6}Y0k164n4if:?;f?4fm2wx4n4?:3y>7c`=0k164n4=509~w=b=839pR5j4=9g9607<51n1>lk4}r:f>5<4s49ni7hk;<6;a?`a342n6kh4}r:e>5<4sW2m707?:372?8>a2;kn7p}60;296~;4mo09:<52918eb>{t180;6>uQ909>=7=7<5=81vo:50;1xZg2<5li1jk52b581e`=z{li1<7=t=2de>g2<5:lh6o:4=da96070983>7}:;on1==64=2df>46?3ty:>?4?:3y>056=9;801>hi:001?xu6;?0;6?u24129560<5:lm6<=9;|q27a<72;q6?kj512f89167289o7p}>4e83>7}:<9:1=894=2de>42c3ty:944?:3y>056=9<301>hi:04g?xu6>l0;6?u2412953c<5:ln6<8j;|q230<72:q6?kj51678916728=>70=ie;341>{t9><1<7411349mi7?86:p52d=838p19>?:05a?85an3;{t:=o1<7=t=5:f>736349mh7:7d:?0bc<30m1v?l;:180[4e<279j=4=509>6g2=:ho0q~k85243897d22;kn7p}=b683>6}Y:k=01?hl:372?84e?38ji6s|2c:94?5|V;h370;5j109mh5rs3`:>5<4sW8i563<028114=::k31>lk4}r0ae?6=;rT9nl5231;9607<5;hj6?oj;|q1fc<72:qU>oh4=22b>736348ij7n?52`g8yv4d:3:1?vP=c39>74e=:<;01?m=:3cf?xu5k:0;6>uQ2b1896452;?:70{t:j>1<7=t^3a7?855038>=63=c581e`=z{;i=6=4={<1e`?4d>27?h84=c79~w7e02909w0=id;0`3>;4nl09o;5rs3fa>5<5s4>o97{t:o<1<77d2348m:7hi;|q1bf<72;q68i;52c5897`d2ol0q~4if:p75?=838p19j::3`b?85713lm7p}<0`83>7}:oh4=22b>c`52z?7`0<5k916?<>5fg9~w6712909w0:k5;0`5>;49?0mj6s|30a94?4|5=n>6?m=;<12g?`a3ty8>?4?:3y>0a3=:j901><=:gd8yv5503:1>v3;d481g1=:;;21jk5rs20e>5<4s49mh7==f:?7`0<4:o168=>533d8yv54<3:1>v37ce=;>20q~=71;296~;3l<084?523ga97=7;=7>53z\744=:<981>8?4=522>7gb3ty?050=:<;019>=:gd8yv27;3:1>v3;058114=:<9<1==>4}r630?6=:r7?<84=509>050=99;0q~:?7;297~X38>168=75243891602;kn7p};0883>7}:<921>8?4=52:>c`;n7>53z\74g=:<9i1jk5241`96dc;o7>54z?7`0<38h168=>541c896`b2=:j70:?c;065>{t<9n1<7=t^52g?827m38>=63;0e81e`=z{=:m6=4={<1eb?26827?f;065>;3:90mj6s|43094?5|V=8970:=3;065>;3:;09mh5rs500>5<5s4>;<7:=2:?7669n7hi;<61e?4fm2wx8?l50;0x96`a2=8:70:=b;065>{t<;o1<7=t^50f?825n38>=63;2d81e`=z{=8m6=4={<1eg?25m27?>k4if:p06>=839pR9=7;<60=?42927??54=ad9~w15>2909w0:k5;60<>;3;00mj6s|42f94?5|V=9o70:;3;m09mh5rs51f>5<5s49mi7:h50;1xZ15a34>?<7hi;<60b?4fm2wx89>50;0x96`a2=9h70:;0;065>{t<==1<7=t^564?823038>=63;4681e`=z{=>36=4={<1eb?23?27?854if:p01d=839pR9:m;<67`?42927?8o4=ad9~w12c2909w0:?0;67f>;3;3==09mh5rs575>5<5s49mj7::4:?713>o7hi;<66f?4fm2wx88m50;0x96`d2=?i70::c;065>{t<{t13b34>;<7::e:?725<5=81v98>:180[21927?:?4=509>037=:ho0q~:93;296~;4no0?9h524709bc=z{==<6=4<{_643>;3?h099<5246596dc52z?7`0<3?>168:o5fg9~w1>62908wS:71:?7<7<5=81685?52`g8yv2?:3:1>v3;d48735=:<181jk5rs5:0>5<4sW>3?63;858114=:<191>lk4}r6;0?6=:r7?h84;a`9>0=2=no1v96::180[2?=27?4n4=509>0=3=:ho0q~:7c;296~;3l<0?485249a9bc=z{=2o6=4<{_6;`>;30l0:><5249f96dc3j7>52z?7=5<5=81685k5b59~w1?62909w0=ic;6:5>;3190mj6s|48094?5|V=3970:64;065>;31;09mh5rs5;7>5<5s4>o97:62:?7=12;7<:1:?7=0<5il1v978:18182c=3>2963;968eb>{t<031<7=t^5;:?82>i3lm70:69;0ba>{t<0k1<7=t=5f6>1??34>;<7:68:?7=d<5=81v97m:180[2>j27?5n4=509>0;3j:099<524c096dci87>52z?0bc<3j8168o=5fg9~w1e02908wS:l7:?7g=<5=8168n952`g8yv2d03:1>v35<4sW>h563;c`8eb>;3k009mh5rs5ab>5<5s49mj7:l6:?7gd<5=81v9j=:180[2c:27?h>4if:?7`7<5il1v9j<:18785al3>o=63;d487`4=:<9:18i?4=5f0>7363ty?>n4?:2y]07e<5=8h6?oj;<61`?`a3ty84l4?:2y]7=g<5:2j6?oj;<1;f?`a3ty84:4?:2y]7=1<5:2<6?oj;<1;6?oj;<1;2?`a3ty?>i4?:0y>07b=:<;0q~=7b;295~;40k099<5rs2:;>5<6s49347<:1:p7=0=83;p1>69:372?x{i912m6=4;{I1g=>{i913;6=4;{I1g=>{i913:6=4<{I1g=>{i91396=4>{I1g=>O5il0:w<4rZg`95~7a>3wvb<663;295~N4l01B>lk51z39y_`e28q:j;4r}o3;=1<728qC?i74I3cf>4}62tPmn7?t1g49yxh600?1<7?tH2f:?L4fm3;p=7sUfc824`12twe=579:182M5c12C9mh4>{08~^cd=9r;m:7srn0::3?6=9rB8h45F2`g95~7=uSli6f78~yk7?110;63@8ji7?t1;Ybg<6s8l=6psa19;b>5<6sA9o56G=ad824>j3:1=vF:|Xef?7|9o<1qp`>88f94?7|@:n27D51zJ0`<=N:ho1=v?5}[da>4}6n?0vqc?7a183>4}O;m30E?oj:0y2>x\aj3;p=k85}|l2j6;H0ba?7|93wQjo4>{0d5>x{i91k96=4>{I1g=>O5il0:w<4rZg`95~7a>3wvb<6n3;295~N4l01B>lk51z39y_`e28q:j;4r}o3;e1<728qC?i74I3cf>4}62tPmn7?t1g49yxh60h?1<7?tH2f:?L4fm3;p=7sUfc824`12twe=5o9:182M5c12C9mh4>{08~^cd=9r;m:7srn0:b3?6=9rB8h45F2`g95~7=uSli6f78~yk7?i10;63td:4lo50;0xL6b>3td:4ll50;0xL6b>3td:4lm50;1xL6b>3td:4lj50;1xL6b>3td:4lk50;0xL6b>3td:4lh50;1xL6b>3td:4o>50;1xL6b>3td:4o?50;1xL6b>3td:4o<50;1xL6b>3td:4o=50;0xL6b>3td:4o:50;1xL6b>3td:4o;50;1xL6b>3td:4o850;0xL6b>3td:4o950;0xL6b>3td:4o650;0xL6b>3td:4o750;0xL6b>3td:4oo50;0xL6b>3td:4ol50;0xL6b>3td:4om50;0xL6b>3td:4oj50;0xL6b>3td:4ok50;0xL6b>3td:4oh50;0xL6b>3td:4n>50;;xL6b>3td:4n?50;`xL6b>3td:4n<50;3xL6b>3@8ji7?t1;Ybg<6s8l=6psa19a0>5<6mrB8h45rn0:`0?6=9rB8h45F2`g95~4=uSli6f78~yk7?k<0;6>uG3e;8yk7?k?0;63td:4n650;3xL6b>3@8ji7?t1;Ybg<6s8l=6psa19a:>5<2sA9o56sa19ab>5<6sA9o56G=ad824dj3:1;vFdk3:1=vF3td:4nk50;1xL6b>3td:4nh50;5xL6b>3td:4i>50;7xL6b>3td:4i?50;1xL6b>3td:4i<50;5xL6b>3td:4i=50;7xL6b>3td:4i:50;6xL6b>3td:4i;50;6xL6b>3td:4i850;6xL6b>3td:4i950;5xL6b>3td:4i650;7xL6b>3td:4i750;5xL6b>3td:4io50;7xL6b>3td:4il50;3xL6b>3@8ji7?t2;Ybg<6s8l=6psa19f`>5<6sA9o56G=ad827cl3:1=vF8ed94?7|@:n27D51zJ0`<=N:ho1=v<5}[da>4}6n?0vqc?7e383>4}O;m30E?oj:0y1>x\aj3;p=k85}|l2<`5=83;pD>j6;H0ba?7|:3wQjo4>{0d5>x{i91o?6=4>{I1g=>O5il0:w?4rZg`95~7a>3wvb<6j5;295~N4l01B>lk51z09y_`e28q:j;4r}o3;a3<728qC?i74I3cf>4}52tPmn7?t1g49yxh60l=1<7=tH2f:?xh60l21<7=tH2f:?xh60l31<7;tH2f:?xh60lk1<71<77sUfc824`12twe=5hm:182M5c12we=5hl:182M5c12we=5hk:182M5c12we=5hj:182M5c12C9mh4>{38~^cd=9r;m:7srn0:eb?6=9rB8h45rn0;34?6=9rB8h45F2`g95~7=uSli6f78~yk7>880;6;uG3e;8yk7>8;0;6?uG3e;8M7gb28q86pTib;3x5c0=utd:5==50;;xL6b>3td:5=:50;3xL6b>3@8ji7?t2;Ybg<6s8l=6psa1826>5<6sA9o56sa1825>5<6sA9o56G=ad82791`94?2|@:n27p`>91a94?7|@:n27D54zJ0`<=zf83:<7>51zJ0`<=N:ho1=v?5}[da>4}6n?0vqc?61083>7}O;m30qc?61383>4}O;m30E?oj:0y1>x\aj3;p=k85}|l2=45=83>pD>j6;|l2=42=83;pD>j6;H0ba?7|:3wQjo4>{0d5>x{i90;>6=4={I1g=>{i90;=6=4>{I1g=>O5il0:w?4rZg`95~7a>3wvb<7>7;296~N4l01vb<7>8;295~N4l01B>lk51z39y_`e28q:j;4r}o3:5<<72=qC?i74}o3:5d<728qC?i74I3cf>4}62tPmn7?t1g49yxh618h1<7=tH2f:?xh618i1<7{38~^cd=9r;m:7srn0;2b?6=:rB8h45rn0;14?6=9rB8h45F2`g95~4=uSli6f78~yk7>:80;6?uG3e;8yk7>:;0;63td:5?:50;3xL6b>3@8ji7?t2;Ybg<6s8l=6psa1806>5<5sA9o56sa1805>5<4sA9o56G=ad806<62:0vVkl51z3e2?{zf839;7>53zJ0`<=zf83947>54zJ0`<=zf83957>51zJ0`<=N:ho1=v<5}[da>4}6n?0vqc?62`83>7}O;m30qc?62c83>4}O;m30E?oj:0y1>x\aj3;p=k85}|l2=7e=838pD>j6;|l2=7b=83;pD>j6;H0ba?7|93wQjo4>{0d5>x{i908n6=4<{I1g=>{i908m6=4={I1g=>O5il0:w>4rZg`95~7a>3wvb<7<0;291~N4l01vb<7<1;296~N4l01B>lk51z19y_`e28q:j;4r}o3:77<72=qC?i74}o3:76<728qC?i74I3cf>4}52tPmn7?t1g49yxh61:>1<7:tH2f:?xh61:?1<7?tH2f:?L4fm3;p=7sUfc824`12twe=4=9:181M5c12we=4=8:182M5c12C9mh4>{08~^cd=9r;m:7srn0;05<6sA9o56sa16394?7|@:n27p`>7383>4}O;m30qc?83;295~N4l01vb<9;:182M5c12we=:;50;3xL6b>3td:;;4?:0yK7a?51zJ0`<=zf8=36=4>{I1g=>{i9>31<7?tH2f:?xh6?h0;6j6;|l23c<72:qC?i74}o3;4?6=;rB8h45rn0:0>5<6sA9o56sa19694?7|@:n27p`>8783>4}O;m30qc?77;295~N4l01vb<67:182M5c12we=5750;3xL6b>3td:4o4?:0yK7a?51zJ0`<=zf82o6=4>{I1g=>{i91o1<7?tH2f:?xh60o0;683:1>vFj6;|l2=6<728qC?i74}o3:0?6=9rB8h45rn0;6>5<6sA9o56sa18494?7|@:n27p`>9683>4}O;m30qc?68;295~N4l01vb<76:182M5c12we=4o50;0xL6b>3td:5o4?:3yK7a?51zJ0`<=zf83o6=4>{I1g=>{i90o1<7?tH2f:?xh2m10;6>uG3e;8yk3b13:1?vFj6;|l6af<728qC?i74}o7f`?6=9rB8h45rn4gf>5<6sA9o56sa5dd94?7|@:n27p`:f183>4}O;m30qc;i1;295~N4l01vb8h<:187M5c12we9k:50;0xL6b>3td>j84?:3yK7a?51zJ0`<=zf{I1g=>{i=on1<7?tH2f:?xh2nl0;63:1>vF=838pD>j6;|l54<<728qC?i74}o43e?6=9rB8h45rn72a>5<6sA9o56sa61a94?7|@:n27p`90e83>4}O;m30qc8?e;295~N4l01vb;>i:182M5c12we:<>50;3xL6b>3td==<4?:0yK7a?53zJ0`<=zf?;?6=4>{I1g=>{i>8?1<7?tH2f:?xh19?0;6j6;|l55d<72:qC?i74}o42f?6=9rB8h45rn73`>5<4sA9o56sa60f94?3|@:n27p`91d83>6}O;m30qc8>f;297~N4l01vb;3td=>?4?:0yK7a?51zJ0`<=zf?8?6=4>{I1g=>{i>;?1<7?tH2f:?xh1:?0;6j6;|l56d<728qC?i74}o41f?6=9rB8h45rn70`>5<6sA9o56sa63f94?7|@:n27p`92d83>4}O;m30qc8=f;295~N4l01vb;=?:182M5c12we:>?50;3xL6b>3td=??4?:0yK7a?51zJ0`<=zf?9?6=4>{I1g=>{i>:?1<7?tH2f:?xh1;?0;6j6;|l57d<728qC?i74}o40f?6=9rB8h45rn71`>5<6sA9o56sa62f94?7|@:n27p`93d83>4}O;m30qc8:180M5c12we:9<50;7xL6b>3td=8>4?:4yK7a?53zJ0`<=zf?>>6=4<{I1g=>{i>=<1<7?tH2f:?xh1<>0;62908wE=k9:m21g=83?pD>j6;|l50g<72:qC?i74}o47g?6=9rB8h45rn76g>5<6sA9o56sa65g94?7|@:n27p`94g83>4}O;m30qc8:0;295~N4l01vb;;>:182M5c12we:8<50;5xL6b>3td=9>4?:2yK7a?87>53zJ0`<=zf??>6=4<{I1g=>{i><<1<7?tH2f:?xh1=>0;62909wE=k9:m20g=838pD>j6;|l51g<72;qC?i74}o46g?6=9rB8h45rn77g>5<6sA9o56sa64g94?7|@:n27p`95g83>4}O;m30qc890;295~N4l01vb;8>:182M5c12we:;<50;3xL6b>3td=:>4?:0yK7a?51zJ0`<=zf?<>6=4>{I1g=>{i>?<1<7?tH2f:?xh1>>0;6290:wE=k9:m23g=83;pD>j6;|l52g<728qC?i74}o444?6=;rB8h45rn750>5<6sA9o56sa66694?7|@:n27p`97483>4}O;m30qc886;295~N4l01vb;97:182M5c12we::o50;3xL6b>3td=;o4?:0yK7a?51zJ0`<=zf?=o6=4>{I1g=>{i>>o1<7?tH2f:?xh10:0;62290:wE=k9:m2=0=83;pD>j6;|lb7`<72;qC?i74}oc75?6=;rB8h45rn`61>5<4sA9o56saa5694?5|@:n27p`n4983>6}O;m30qco;a;297~N4l01vbl:m:182M5c12wem9m50;3xL6b>3tdj8i4?:0yK7a?51zJ0`<=zfh>m6=4>{I1g=>{ii<:1<7?tH2f:?xhf=80;6j6;|lb10<728qC?i74}oc62?6=9rB8h45rn`74>5<6sA9o56saa4:94?7|@:n27p`n5883>7}O;m30qco:a;296~N4l01vbl;l:181M5c12wem8j50;3xL6b>3tdj9h4?:0yK7a?j7>51zJ0`<=zfh<;6=4>{I1g=>{ii?91<7=0;6=83;pD>j6;|lb2<<728qC?i74}oc5e?6=;rB8h45rn`4a>5<6sA9o56saa7a94?7|@:n27p`n6e83>4}O;m30qco9e;295~N4l01vbl8i:182M5c12wem:>50;3xL6b>3tdj;<4?:0yK7a?7>51zJ0`<=zfh=86=4>{I1g=>{ii>>1<7?tH2f:?xhf?<0;63:1=vF=838pD>j6;|lb3<<728qC?i74}oc4e?6=9rB8h45rn`5a>5<6sA9o56saa6a94?7|@:n27p`n7e83>4}O;m30qco8e;295~N4l01vbl6?:180M5c12wem5?50;3xL6b>3tdj4?4?:0yK7a?51zJ0`<=zfh2?6=4>{I1g=>{ii1?1<7?tH2f:?xhf0?0;6?290:wE=k9:me=?=83;pD>j6;|lb5<6sA9o56saa9f94?7|@:n27p`n8d83>4}O;m30qco7f;296~N4l01vbl7?:181M5c12wem4<50;1xL6b>3tdj5>4?:0yK7a?51zJ0`<=zfh3>6=4>{I1g=>{ii0<1<7?tH2f:?xhf1>0;603:1=vF290:wE=k9:mej6;|lb=g<728qC?i74}oc:g?6=9rB8h45rn`;g>5<6sA9o56saa8g94?7|@:n27p`n9g83>4}O;m30qcon0;295~N4l01vblo>:185M5c12weml<50;6xL6b>3tdjm>4?:8yK7a?52zJ0`<=zfhk=6=4={I1g=>{iih=1<7?tH2f:?xhfi10;6j6;|lbef<728qC?i74}ocb`?6=9rB8h45rn`cf>5<4sA9o56saa`d94?5|@:n27p`nb183>4}O;m30qcom1;291~N4l01vbll=:180M5c12wemo=50;3xL6b>3tdjn94?:0yK7a?51zJ0`<=zfhh=6=4>{I1g=>{iik=1<7?tH2f:?xhfj10;6j6;|lbff<728qC?i74}ocab?6=:rB8h45rn`a3>5<5sA9o56saab394?7|@:n27p`nc383>4}O;m30qcol4;295~N4l01vblm::182M5c12wemn850;3xL6b>3tdjo:4?:0yK7a?51zJ0`<=zfk=n6=4<{I1g=>{ij>l1<7=tH2f:?xhe090;6>uG3e;8ykd?:3:1=vFe290:wE=k9:mf=e=83;pD>j6;|la5<6sA9o56sab8294?7|@:n27p`m9083>4}O;m30qcl62;295~N4l01vbo7::182M5c12wen4850;3xL6b>3tdi5:4?:0yK7a?53zJ0`<=zfk3j6=4>{I1g=>{ij0h1<7?tH2f:?xhe1j0;6l3:1?vFuG3e;8yk76?<0;60;6uG3e;8yk76?j0;6uG3e;8yk76?o0;6uG3e;8yk760;0;60;6uG3e;8yk761<0;6>uG3e;8yk761?0;60;60;6>uG3e;8yk76i00;6>uG3e;8yk76ih0;6uG3e;8yk76j=0;60;6>uG3e;8yk76j10;6uG3e;8yk76jj0;6uG3e;8yk76k?0;68uG3e;8yk76k>0;6>uG3e;8yk76k10;6uG3e;8yk76kk0;6>uG3e;8yk76kj0;6uG3e;8yk76l;0;68uG3e;8yk76l:0;68uG3e;8yk76l=0;6>uG3e;8yk76l<0;60;6uG3e;8yk76lh0;6>uG3e;8yk76lk0;6uG3e;8yk76m;0;6?uG3e;8yk76m:0;6>uG3e;8yk76m=0;6>uG3e;8yk76m?0;64uG3e;8yk76m>0;6>uG3e;8yk76m10;6uG3e;8yk76n?0;60;6uG3e;8yk758?0;60;6uG3e;8yk75980;6?uG3e;8yk759;0;6?uG3e;8yk759:0;60;6:0;6>uG3e;8yk71>=0;6<0;6?0;6>0;610;6k0;6:uG3e;8yk71>j0;6m0;68uG3e;8yk71>l0;6>uG3e;8yk71>o0;6uG3e;8yk71?80;6>uG3e;8yk71?;0;6uG3e;8yk71?=0;6>uG3e;8yk71?<0;60;6uG3e;8yk71?k0;6?uG3e;8yk71?j0;6?uG3e;8yk71?m0;6uG3e;8yk710;0;6>uG3e;8yk710:0;6>uG3e;8yk710=0;6>uG3e;8yk710<0;6>uG3e;8yk710?0;6>uG3e;8yk710>0;6>uG3e;8yk71010;6>uG3e;8yk71000;6>uG3e;8yk710h0;6>uG3e;8yk710k0;6>uG3e;8yk710j0;6>uG3e;8yk710m0;6>uG3e;8yk710l0;6?uG3e;8yk710o0;6?uG3e;8yk71190;6?uG3e;8yk71180;6?uG3e;8yk711;0;6?uG3e;8yk711:0;6?uG3e;8yk711=0;6?uG3e;8yk711<0;6?uG3e;8yk711?0;6?uG3e;8yk711>0;6?uG3e;8yk71110;6?uG3e;8yk71100;6?uG3e;8yk711h0;6>uG3e;8yk711k0;6>uG3e;8yk711j0;6>uG3e;8yk711m0;6>uG3e;8yk711l0;6>uG3e;8yk711o0;6>uG3e;8yk71i90;6>uG3e;8yk71i80;6>uG3e;8yk71i;0;6>uG3e;8yk71i:0;6>uG3e;8yk71i=0;6>uG3e;8yk71i<0;6>uG3e;8yk71i?0;6<;tH2f:?xh6>h21<7h31<7?tH2f:?xh6>hk1<7?tH2f:?xh6>hh1<7?tH2f:?xh6>hi1<7?tH2f:?xh6>hn1<7ho1<7hl1<7?tH2f:?xh6>k:1<7?tH2f:?xh6>k;1<7?tH2f:?xh6>k81<7?tH2f:?xh6>k91<7=tH2f:?xh6>k>1<7k?1<7?tH2f:?xh6>k<1<7?tH2f:?xh6>k=1<7=tH2f:?xh6>k21<7k31<7?tH2f:?xh6>kk1<7=tH2f:?xh6>kh1<7ki1<7?tH2f:?xh6>ko1<7kl1<7?tH2f:?xh6>j:1<7j;1<7j81<7j91<7j>1<7j?1<7htH2f:?xh6>j=1<7=tH2f:?xh6>j21<7?tH2f:?xh6>j31<7?tH2f:?xh6>jk1<7?tH2f:?xh6>jh1<7?tH2f:?xh6>ji1<7?tH2f:?xh6>jn1<7?tH2f:?xh6>jl1<7=tH2f:?xh>?3:1?vsa9083>4}zfhi1<7?t}o3ff?6=9rwe>=>50;3xyk46=3:1=vsa22694?5|ug89i7>51zm605=839pqc<;d;295~{i:>81<7=t}o05g?6=9rwe>4?50;1xyk4?j3:1=vsa2c294?5|ug8jm7>51zm6f`=839pqc51zm71d=839pqc=;5;295~{i;?k1<7=t}o150?6=9rwe?5750;1xyk5?;3:1=vsa3`:94?5|ug9j>7>51zm7f1=839pqc=l1;295~{i;l<1<7=t}o1f4?6=9rwe:o;50;3xyk0di3:1=vsa6ed94?7|ug53zm2c>=83;pqc9>d;297~{i?8=1<7?t}o506?6=9rwe;9950;3xyk12k3:1=vsa76394?7|ug=3:7>51zm3d3=839pqc96f;295~{i?j>1<7=t}o5aa?6=9rwe;h=50;1xyk1cl3:1=vsa81094?5|ug=mo7>51zm<77=839pqc6>b;295~{i0=:1<7=t}o:0e?6=9rwe48h50;1xyk>213:1=vsa86g94?5|ug2<47>51zm<51zmffd=83;pqclja;297~{ijl>1<7?t}oa3=?6=;rweo==50;3xyke503:1?vsac3094?7|ugi?;7>53zmg17=83;pqcm96;297~{ik?:1<7?t}oa;1?6=;rweo:h50;3xykef<3:1?vsac8g94?7|ugih?7>53zmggb=83;pqcmj2;297~{ikmi1<7?t}of35?6=;rweokl50;3xykb583:1?vsad0c94?7|ugn8j7>53zm`6?=83;pqcj:e;297~{il<21<7?t}of4`?6=;rweh:950;3xykb>k3:1?vsad8494?7|ugnin7>53zm`g3=83;pqcjkc;296~{ilm?1<7?t}ofe`?6=:rwehk850;3xykc6k3:1?vsae0494?7|ugo8n7>53zma63=83;pqck:a;297~{im<>1<7?t}og4=?6=;rwei:=50;3xykc>03:1?vsae8094?7|ugoi;7>53zmag7=83;pqckk6;297~{imm:1<7?t}oge1?6=;rweihh50;3xyk75;o0;6o1<7?t}o31=6<728qvb<{|l26a4=83;pqc?=e683>4}zf88mo7>51zm5676290:wp`>33494?7|ug;8?o4?:0y~j45283:1=vsa1246>5<6std:?:o50;3xyk740o0;6l6:182xh6;jo1<7?t}o30aa<72:qvb<=j7;295~{i9=:h6=4<{|l2050=83;pqc?;2c83>6}zf8>997>51zm512f2908wp`>45694?7|ug;?:44?:2y~j421;3:1=vsa15:;>5<4std:85<50;3xyk73i>0;6>urn06b5?6=9rwe=9m9:180xh6{0d5>xN5=91vb<7{i909i6=4>{[da>4}6n?0vD?;?;|l2=6e=83;pVkl51z3e2?{O:<:0qc?63e83>4}zf838i7>51zm5<5a290:wp`>95294?7|utwvLMMt1bg6>1g>?l9mqMNM{1CDU}zHI \ No newline at end of file +$4755=23-;B8<;4$0K770=#9@?>96*>N506?!7I<=?0(<@;8008 Wcqazcdb7.>.37:$!><.V;B8 X9G>9S964&^3M01Y302,T=C:7_53844=69;1:>7AZTQWW>WG;980;2<>4178JJUSS2H69?76111915?OIX\^1M1<>:0<20>442@D[YY4N_GQA875=97l0?7GAPTV9WR:4284>7L2>0?78E9766<1J0<<15:C?56823H6:83;4A=36:0=F48<596O316<6?D:607?0M1?6>59B84823H69<394A=02>4823H69=3;4A=01:2=F4;9143;4A=00:1=F4;4?7L2<>59B81833H6>295N<7<7?D:06=1J050;;@>::1=FDL:>7LBJ0L78EIC7[j1J@H>Pmtz3456c3HFNGKM9Ufyu>?016g?DJB8Vg~t=>?04f8EIC7Wds<=>?6e9BH@6Xe|r;<=>8d:COA5Yj}q:;<=6k;@NF4Zkrp9:;<4:4AMG2g>GKM8UTc>?00f8EIC6WVey<=>>129BJA2GIL1>0M^|id:CPvcYDdbUBB[?8;@QqbZH7k2KX~kQaou2344b19:C\BVD;98427LQISC>26;?89B[CUE48>556OPFR@?508>3HUM_O2>6?;8EZ@TJ5;<245N_GQA84>912KTJ^L318<;?DYA[K6:245N_GQA876912KTJ^L320<:?DYA[K69>3l4A^DPF94428427LQISC>17;>GXNZH79364A^DPF90902KTJ^L37?:8EZ@TJ52546OPFR@?=;bGXNZHT=?QFBTDg?DYA[KU:?RGMUGf8EZ@TJV;?SDLZFe9B[CUEW8?TEO[Id:C\BVDX9?UBNXHk;@]EWGY6?VCIYKj4A^DPFZ7?W@H^Ji5N_GQA[4?XAK_Mo6OPFR@\5ZOE]On0MRH\B^03[LDRNm1JSK]M_33\MGSAl2KTJ^LP23]JFP@c3HUM_OQ=3^KAQCeGXNZHT?RGMUGa8EZ@TJV>TEO[Ic:C\BVDX=VCIYKm4A^DPFZ0XAK_Mo6OPFR@\3ZOE]Oi0MRH\B^:\MGSAk2KTJ^LP9^KAQC0=f:ClqqIBWds<=>?3g9BkprHMVg~t=>?05d8EjssGLUfyu>?017e?Dir|FOTaxv?01250>DFK]?0NLM[149AEFR5;2H^J<>4BTKO@ZRFZNO_M_MG8:@VWZOINF;0O95L17O:?F71EVCIYK84C32NAKd5LAR`8GDUXe|r;<=>l;BCP[hs89:;=n5LAR]nq}67898h7NO\_lw{4567;j1HM^Qbuy23452d3JKXS`{w01231f=DIZUfyu>?014`?FGTWds<=>?7b9@EVYj}q:;<=6=;BG0?FJL;2IG^>5LNU;8GJKJA]^NH:5LRDCWAA4Bf|h6:2;5KotvLAa=Cg|~DIRa}0123a>Bh}}ENSb|?0122a>Bh}}ENSb|?0121a>Bh}}ENSb|?0120a>Bh}}ENSb|?0127a>Bh}}ENSb|?0126a>Bh}}ENSb|?01255>C23LLj`a84EGcoh403:0=B[5;596K\<3<6?@U;;7>0I^Q?e:GP[5Y7Wge<=>?f:GP[5Y7Wge<=>?1e9FWZ6XWhdo<=>?e:GP[5YXign;<=>>e:GP[5YXign;<=>=e:GP[5YXign;<=>;e:GP[5YXign;<=>:e:GP[5YXign;<=>94:GP[4b0I^Qj;DQ\7ZYffm:;<=?j;DQ\7ZYffm:;<=<>f:GP[HgclVUjhi>?01]N|jtXZly~`y2>>0d8AVYJimnTSljk0123[H~hzVXnxb{<3<2b>CTWDkohRQnde2345YJpfxT^h}zlu>0:4??00c8AVYJimnTSljk0123[Zgil9:;<?0^]bja6788;j7H]PM`fg[Zgcl9:;_np34565m2OXS\Q>_np34564m2OXS\Q>_np34563m2OXS\Q>_np34562m2OXS\Q>_np34561m2OXS\Q>_np34560m2OXS\Q>_np3456?m2OXS\Q>_np3456>m2OXSRokd1234979m2OXSRokd1234949m2OXSRokd123495902OS\L@KEE32?@^WIGNNHRLLD^DJH@733LR[MCJJD^ALJVRUI@D__Cu484?7H|P059FvZ733OIGG45ICMI\MGSA:2LO?6HKC59E@FC33ONH\>5IDQ08BC>;F18CKB63@80E=<4I008M74>0ELM\5:KBGV733@H^J?5FN99JJCCUZLN27D@FTRVBP@5h5FO@AW[hs89:;?h5FO@AW[hs89:;8h5FO@AW[hs89:;9h5FO@AW[hs89:;:h5FO@AW[hs89:;;h5FO@AW[hs89:;4h5FO@AW[hs89:;5;5FO@AWv3=NGKOY^h5FOCGQVZhh|9:;=k5FOCGQVZhh|9:;=<:4IN@N0>OHJZ<0EBLZFD48MJEHEY>0EBM\5:KLGV713@EH_<>9;HM@W4713@EH_<<9;HM@W4523@EH_?;4INAP70=NGJY?96G@CR76?LID[??0EBM\749JKFU?=2CDO^79;HMGKKCc3@EOCCKPos2345cl;HMO4Zkrp9:;h6G@L1]nq}67898o7DAC0^ov|5678:n0EBB?_lw{4567Pmtz34562l2CD@=Qbuy23450c3@EGOHD8i0EBB>_omw4566l2CD@?0031?LIIX[ojhtQPos234546:2CDB]\jae{\[jt789:8=?5FOORQadb~WVey<=>?4008MJHWZlkouRQ`r123400?0031?LIW_[ojhtQPos234546:2CD\Z\jae{\[jt789:8=?5FOQUQadb~WVey<=>?469JKWBUMXl0EB\KRDS\ekb789::<6G@REPFUZgil9:;<?2028MJTCZL[Tmcj?0120b>OHZMXN]Rczx123446109JKWBUMXUfyu>?013154=NG[NYI\Qbuy234574981BC_J]EP]nq}6789;?==5FOSFQATYj}q:;<=<>0:KLVATBYVg~t=>?0233?LIUL[OZS`{w0123046?8028MJTCZL[Taxv?012:<>OHZMXN]84INPFUw1Paof34564k2CD_=Qbuy2345bOH[9Ufyu>?011g?LIT8Vg~t=>?05f8MJU7Wds<=>?5e9JKV6Xe|r;<=>9d:KLW5Yj}q:;<=9k;HMP4Zkrp9:;<5j4INQ3[hs89:;5n5FOR]EWHYANm;h7DA\_GQN[C@c:o1BC^QISL]EBa4*Ag;;7DA\_GQN[C@c:$Ce=<>4INQ\BVKXNOn9!D`=119JKVYA[DUMJi<"Io1`?LITWOYFSKHk3g9JKVYA[DUMJi="Io33?LITWOYFSKHk3,Km546OH[VLXARHId5a8MJUXNZGTJKj:c:KLWZ@TEVLMh;h4INQ\BVKXNOn=!D`>0:KLWZ@TEVLMh;#Fn033?LITWOYFSKHk6,Km646:<6G@S^DPIZ@Al?'Bb8m4INQ\BVKXNOn'Bb<>4INQ\BVKXNOn119JKVYA[DUMJi9"Io024>OH[VLXARHId6/Jj6773@EXSK]B_GDg3(Oi<8:0EB]PFRO\BCb0%@d>:6G@TXRF0>OH]9i0EB[?_lw{4567l2CDY=Qbuy23457b3@E^OH]9Ufyu>?017g?LIR8Vg~t=>?07f8MJS7Wds<=>?7e9JKP6Xe|r;<=>7d:KLQ5Yj}q:;<=7;;HMV5f=NG\;Tbbz?013g?LIR9Vddx=>?1078MJSC[>1BCZ\]EPd8MJQUZL[Taxv?01224>OH_[XN]Rczx12344763@E\^_K^_lw{456799;:7DAXRSGR[hs89:;=;HMTVWCVWds<=>?1332?LIPZ[OZS`{w012356763@E\^_K^_lw{45679=;:7DAXRSGR[hs89:;=8??;HMTVWCVWds<=>?2028MJQUZL[Taxv?012055=NG^XYI\Qbuy23452682CD[_\JQ^ov|5678<;;7DAXRSGR[hs89:;:<>4INUQV@WXe|r;<=>8119JKRTUMXUfyu>?01:24>OH_[XN]Rczx1234<>JSadoy0<06;MVji`t;:730@Ygbes>0:<=K\`gn~1:19:NWmhcu4<427AZfmdp?2;?89OPlkbz52556B[ilgq8<8?3E^bah|[C018HQojm{^HSnc_ds34566<2F_e`k}TB]`iuYby9:;<?01620>JSadoyXNQlmq]fu5678<;?7AZfmdpWGZejxVoz<=>?699OPlkbzV::<6B[ilgq[5Yj}q:;<=?>;MVji`tX8Vg~t=>?0032?IRnelxT;MVji`tX8Vg~t=>?0432?IRnelxT20@Ygbes]2=>JSadoyS<>>2:NWmhcuW8:TSl`k012356=K\`gn~R??_^cm`56788;87AZfmdp\55YXign;<=>=109OPlkbzV;;SRa}012357=K\`gn~R??_^mq4567901GXdcjr^3257=K\`gn~R?>_^cm`5678890@Ygbes]25ZYffm:;<=?>3:NWmhcuW8;TSl`k0123645_bos[`w789::86B[ilgq[4YdeyUn}=>?0036?IRnelxT=Rmbp^gr456799;>7AZfmdp\5ZejxVoz<=>?1036?IRnelxT=Rmbp^gr45679;;>7AZfmdp\5ZejxVoz<=>?1236?IRnelxT=Rmbp^gr45679=;>7AZfmdp\5ZejxVoz<=>?1437?IRnelxT=Rmbp^gr4567:8>0@Ygbes]2[fkwWl{;<=><159OPlkbzV;To`~Pep234526<2F_e`k}_0]`iuYby9:;<8?;;MVji`tX9Vif|Rk~0123242_bos[`w789:<=95CThofvZ7XkdzTi|>?01:20>JSadoyS?1038HQojm{U:S`{w0123647_lw{4567;8;0@Ygbes]2[hs89:;8?5038HQojm{U:S`{w0123247_lw{4567?8;0@Ygbes]2[hs89:;4<>4LUknawY6Wge<=>>109OPlkbzV;Tbbz?013254=K\`gn~R?Pnnv34575981GXdcjr^3\jjr789;8=<5CThofvZ7Xff~;<=?;109OPlkbzV;Tbbz?013654=K\`gn~R?Pnnv3457102F_e`k}_332?IRnelxT>RQnne2345753E^bah|P2^]bja6789;:>6B[ilgq[7YXign;<=>=8:NWmhcuW:;:7AZfmdp\7ZYffm:;<=?=;MVji`tX;VUjbi>?01326>JSadoyS>QPaof3456502F_e`k}_532?IRnelxT8RQnne2345753E^bah|P4^]bja6789;:>6B[ilgq[1YXign;<=>=8:NWmhcuW<;:7AZfmdp\1ZYffm:;<=?=;MVji`tX=VUjbi>?01326>JSadoyS8QPaof3456502F_e`k}_732?IRnelxT:RQnne2345753E^bah|P6^]bja6789;:>6B[ilgq[3YXign;<=>=8:NWmhcuW>;:7AZfmdp\3ZYffm:;<=?=;MVji`tX?VUjbi>?01326>JSadoyS:QPaof3456502F_e`k}_932?IRnelxT4RQnne2345753E^bah|P8^]bja6789;:>6B[ilgq[=YXign;<=>=8:NWmhcuW0;:7AZfmdp\=ZYffm:;<=?=;MVji`tX1VUjbi>?01326>JSadoyS4QPaof34565=2Fdmi?j;Mmb`4YXign;<=>>c:Ob`aYXimn;<=>PMymq[Wct}e~7=3?k;Lcg`ZYflm:;<>7:Ob`aYXimn;<=?P_`lg456798<0Aljk_^cg`5679VUjbi>?0034?HgclVUjhi>?00]\ekb789;:=;5Baef\[dbc89::SRoad1236416:Ob`aYXimn;<=?P_`lg45649>1FmijP_`fg4566WVkeh=>?3035?HgclVUjhi>?00]\ekb789>:;6Cnde]\eab789;TSl`k0127540?6058IdbcWVkoh=>?1^]bja678?;::6Cnde]\eab789;TSl`k012452=JimnTSljk0122[Zgil9:;;6?2GjhiQPaef3457XWhdo<=>71048IdbcWVkoh=>?1^]bja6780;<7@okd^]b`a6788UTmcj?01;2g>Kfbfx]i}fooa8Ilhhz_oydaa2:L37>H79>1EIYY@RJ48JJEKAY;0C95@BTD6?JDRNL?0CIM\re9L@FUuWhdo<=>?e:MGGVtXign;<=>>3:MMA7=HZ:1D^?=4OS10?JT3;2E^X95@nbg`?JhdmVUd~=>?0e9LjfcXWfx;<=>>d:Mmg`YXg{:;<=<>;Qa8T+479:;;=>QC3:R246=W9890\<<<;Q307>V6<:1[=8=4P040?U70;2Z:4>5_1808T75V50:1[>4<4P218T6643Y99?6^<329S7155_4718T0643Y?9?6^:329S1155_6518T3343Y<=?6^9739S36=W?990\:<<;Q507>V0<:1[;;=4P650?U1?;2Z<5?5_829S<55V>;2Z2<>5_9018T<443Y38?6^6429S=05VUM81Z46_`SSgb`|`;PmPV`gcqVey<=>?1032?TiTZlkouRa}012357763XeX^hoky^mq45679:;;7\a\Rdcg}Ziu89:;><>4QnQQadb~Wfx;<=><119RkVTbimsTc>?01624>Wh[[ojhtQ`r12340773XeX^hoky^mq4567>8:0]b]]e`fz[jt789:<==5^oRPfeaXg{:;<=6>0:SlWWcflpUd~=>?0878VD:76?1YM1??>99QE976294=7_O310<6?WG;97?0^L2=>49QE959>2XJ0>0>6:PB8685>2XJ0>0<6:PB8683=2XJ090:;SC?1;3TF414>7_O39?18VDK23[KFO^94R@O@W5^?3[KFO^>W199QEHET8Q837_OBCR2[73=UIDIX=85]ALGMa>TFELDTSl`k0123b>TFELDTSl`k01235a=UIDOESRa}0123a>TFELDTSb|?0122a>TFELDTSb|?0121a>TFELDTSb|?0120a>TFELDTSb|?0127a>TFELDTSb|?0126a>TFELDTSb|?0125g>TFELDTc>?01f8VDKBFVey<=>?1e9QEHCIWfx;<=>=8:PBIWcflpl0^LC]e`fz[jt789::<6\NMSgb`|Yhz9:;<?2028VDKUmhnrSb|?012055=UIDXnmiwPos23452682XJA_kndx]lv5678<20^LC\t`pw=>TFEZ~j~y?:;SCPAIb3[KT?d:PB[5Yj}q:;<=?k;SC\4Zkrp9:;Pmtz3456b3[KT==Qbuy23457b3[KT==Qbuy23454b3[KT==Qbuy23455b3[KT==Qbuy23452f3[KT=_lw{4567;m1YMR?Pmtz3456312XJS?Q@BTD`?WGX:Vg~t=>?0e9QEZ4Xe|r;<=>>d:PB[7Yj}q:;<=j4R@]1[hs89:;845]A^1\KGSA12XJS9Q@BTD`?WGX?0e9QEZ2Xe|r;<=>>d:PB[1Yj}q:;<=j4R@]7[hs89:;845]A^7\KGSAk2XJS8Qbuy2345bS`{w01235a=UIV?Taxv?0121`>TFW?011g?WGX=Vg~t=>?05;8VDY1WFH^Jn5]A^4\ip~789:o7_OP6^ov|56788n0^LQ9_lw{4567:m1YMR8Pmtz34564l2XJS;Qbuy23452>3[KT;RAMUGa8VDY0Wds<=>?d:PB[2Yj}q:;<=?k;SC\3Zkrp9:;TFW1Ufyu>?010g?WGX0Vg~t=>?02f8VDY?Wds<=>?489QEZ?XGK_Mo6\N_8]nq}6789n0^LQ6_lw{45679m1YMR7Pmtz34565l2XJS4Qbuy23455c3[KT5Rczx12341eTHEJY=7_ABCR35?WIJKZ8=7_ABCR15?WIJKZ><7_ABCR6[<>THEJY?T<64RNO@W1^502XDAN];X2:8VJKD[=R?:6\@MBQ62>THEJY=:6\@MBQ47>TT\k1Y_RH\M^DE`4d&Ec$Ce>k5]S^DPIZ@Al?'Bb>h4RR]EWHYANm<&Ec:i;SQ\BVKXNOn=!D`:f:PP[CUJWOLo: Ga6g9QWZ@TEVLMh;#Fn6`8VVYA[DUMJi9j;SQ\BVKXNOnb:PP[CUJWOLo4h5]S^DPIZ@Al1'Bbk5]S^DPIZ@Al1'Bb2Xnmiw>6:Pfea5>2Xnmiw<6:Pfea3>2Xnmiw:6:Pfea1>2Xnmiw87:PfbAiim8:0^hhKoog\[dhc89:;=<5]egFlj`YXign;<=>>f:PfbAiimVUd~=>?0028V``CggoTSb|?012255=UmoNdbhQPos23454682XnjIaae^]lv5678:;;7_kiDnlf[Ziu89:;8<>4RddGkkcXWfx;<=>:a:PfbCthe[Y;n6\jfGpliWU79k1YikH}olPP47d;6:PfbWcv02Xnj_k~Io32?WcaZl{To`~Pep2345753[om^hPclr\at6789;:>6\jfSgr[fkwWl{;<=>=139QacTbyVif|Rk~01237446:PfbPt`02XnjX|hIo32?Wca]{mTo`~Pep2345753[omYiPclr\at6789;:>6\jfTpd[fkwWl{;<=>=139QacSuoVif|Rk~01237447^Y33?c8WR:46VCIYK:4Sdlwg>Ubf}UTc>?01f8W`hsWVey<=>?1e9PakrXWfx;<=>=d:QfjqYXg{:;<==k;RgmpZYhz9:;<9j4Sdlw[Ziu89:;9i5\eov\[jt789:=h6]jnu]\kw6789=o7^kat^]lv56781k0_cj]ALAPSWd<[gnYM@M\WS3`?VhcZHGH_Z\>0b9PjaTFEJY\^n5\nePBIFUPZ89h7^`kR@O@WRT63Zdo^BCLS0c8WkbUGDIX==o4SofQKHET98k0_cj]OLAP57g<[gnYC@M\12c8WkbUGDIX=9o4SofQKHET9<30_cj]OLAP6<=TfmXDAN]<9:Qm`WIJKZ>27^`kRNO@W0?<[gnYC@M\689PjaTHEJY<56]adSMNGV>>3Zdo^BCLS838P6=SZ@20X_G\T@VF0>RU]Lh0X^QISL]EBa7b3]YTJ^CPFGf2)Lha3]YTJ^CPFGf2)Lh6n2^XSK]B_GDg5(Oi:k1__RH\M^DE`7c<\ZUM_@QIFe0.Mk`<\ZUM_@QIFe0.Mk7682^XSK]B_GDg6(Oi99l0X^QISL]EBa4*Ag8m7Y]PFRO\BCb5%@d8j6Z\_GQN[C@c:$Ce8k5[S^DPIZ@Al;'Bb8h4TR]EWHYANm8&Ec8i;UQ\BVKXNOn9!D`8f:VP[CUJWOLo> Ga8g9WWZ@TEVLMh?#Fn8`8PVYA[DUDyy>l;UQ\BVKXG|~;=n5[S^DPIZIr|98?7YW_E99Wmhcu49437Ygbes>2:==Sadoy0?07;Uknaw:46>1_e`k}_133?Qojm{U;SRoad123447<\`gn~R>P_`lg456798;0Xdcjr^2\[dhc89:;>?369WmhcuW8;;7Ygbes]2[Zgil9:;<?1038PlkbzV;TSl`k012362=SadoyS???;UknawY5WVkeh=>?0038PlkbzV8TSl`k0123547<\`gn~R1_e`k}_233?Qojm{U8SRoad123447<\`gn~R=P_`lg456798;0Xdcjr^1\[dhc89:;>?<4ThofvZKflmUTmij?012\I}iuW[oxyaz31?01?Qojm{UFmijP_`fg4567WDrd~R\jstnw8785:2^bah|PM`fg[Zgcl9:;?01]\ekb789::h6Zfmdp\IdbcWVkoh=>?0^]bja6789;:o6Zfmdp\IdbcWVkoh=>?0^]bja6788;o7Ygbes]NeabXWhno<=>?_^cm`56798;:7Ygbes]\eab789:7=3?>;UknawYXimn;<=>32?32?Qojm{UTmij?012?7;b<\`gn~Road1234`=SadoySl`k012353=RF\YNHl5ZSDP\EIOF[j1^_H\PVHQJFIC43_IH56XFEV]W]UC33_ZJ~n5YP@p\jjr789;o7[^Nr^llp56798h0Zdj]ALAPSW7d3_co^LCLSVP24g=QamXJAN]XR3`8RlbUIDIX[_=m;WkgVDKD[^X?n6XfdSCNGVQU=k1]ei\NMBQTV3d<^`nYM@M\WS5a?SocZHGH_Z\7b:Tj`WGJKZ]Y585XS=2=1>QT484>7Z]32?78SV:46=1\_R>k;VQ\4ZYffm:;<=k4WR]3[Zgil9:;<QTW8UTmcj?012f?RUX9VUjbi>?0137?RUX:m1\_Rj4WR]0[Zgil9:;?_LzlvZTb{|f0<0>f:UP[HgclVUjhi>?01]N|jtXZly~`y2=>0d8SVYJimnTSljk0123[H~hzVXnxb{<2<2=>QTWDkohRQnde2345YXign;<=>>a:UP[HgclVUjhi>?01]\ekb789::=45XS^Ob`aYXimn;<=>P_`lg45669h1\_RCnde]\eab789:TSl`k01225`=P[VUjhi>?01>2:`=P[VUjhi>?01>1:`=P[VUjhi>?01>0:3=Pi{zXI5WOS68\JT5>2RXXAGM7:ZPPZAIL>1S_YQYCB78\ZIIM<1SSY\F6:Z\^@TH>2RonRGkb:ZglZVuadCezn5Wdi]SvlkSqyom7Ujg_QpjiScu{`ee==5Wdl]Neoiu^lxxeb`>0:ZgiZKnffx]i}foo48\j:76890T~z;;[GQKg=Ximn;<=>31?a8[dbc89::0<>1c:]b`a67886:=3l4_`fg45664;4i7Rokd1235959j2Ujhi>?00>7:g=Ximn;<=?35?`8[dbc89::0;0m;^cg`56795=5n6Qnde2344:?6k1Tmij?013?=;5ohjd>0ebl|9:lB@jssDL:n7cOKotvOA5YHJ\L:<6`NDnwwH@6Xg{:;<=?>;oCGkprKM9Ud~=>?0032?kGCg|~GI=Q`r12347763gKOcxzCE1]lv5678:30bLJ`uuNF5d=iIMe~xAK>1d9mEAir|EO:SBLZF29mEV1hF[fSC>>e:lBWjssWge<=>>f:lBWjssWge<=>>1028jDUh}}Uecy>?0033b>hF[fSca{01226c=iIZe~xR``t12356`o1eM^azt^llp5679>l0bL]`uu]mkq67882m7cO\otv\jjr789;2;6`NotvLA==iIfCH?l;oClqqIBWFH^J85aBDPQ=>hEM[XTJ^Lj;o@FVWYA[KUDNXH>1:lAAWTXNZHTSb|?01226>hEM[XTJ^LP_np34566981eNH\]_GQA[Ziu89::=?5aBDPQ[CUEWVey<=>>1008jGCUZVLXNRQ`r12357753gHN^_QISC]\kw6788927cLJRS]JKGchEZVCDNRczx123446??;o@Q[LIEWds<=>?4028jGTXAFHTaxv?012655=iJ[UBCOQbuy23450682dI^RG@B^ov|5678>>0bNO\9:l@EVYHJ\Lh7cMNS^llp5679m1eOL]Pnnv34576>2dOXLMDa:lGPDELWOYIj6`KT@AH[CUEWFH^Jl5aDUC@OZOHJo1eHYOLK^KLFZOE]Oo0bIZNCJ]bja6789l0bIZNCJ]bja6789;m7cJ[ABI\ekb789:9j6`KT@AH[dhc89:;?h5aDUC@OZhh|9:;=k5aDUC@OZhh|9:;=<84nEkmH@d?01g8jAoiDLUd~=>?0048jAoiGL=0bIgaOD3a?kBnfFOTCO[Ie:lGmkIBWhdo<=>?f:lGmkIBWhdo<=>?1g9m@lhHMVkeh=>?03d8jAoiGLUjbi>?011e?kBnfFOTmcj?0127b>hCagENSl`k012312=iLfCH64nEmvpJC6k2dOcxz@E^MAQC`<>4nEmvpJCXign;<=?<5:lJTI4f3gC[@?QFBTD5?kOWD;x?7cBKS99mHAUXNZHo7cBKS^DPFZOE]O20bAJ\_HMAa>hKLZUBCOQ@BTDFf>hKLZUBCOaztb9mHAUXign;<=i5aLEQ\ekb78988h6`CDR]bja678;>o7cBKS^cm`567:m1e@I]Paof34540l2dGH^Qnne2347>c3gFO_Road1236hKLZUecy>?0037?kJPM<1e@ZK>c:lOS@Yffm:;<=j4nMUF[dhc89:;=i5aLVG\ekb789:9h6`CWD]bja67899o7cBXE^cm`5678=90bBK;;oMF51=iGL837cAJ_N@VB==iGoy`lw>0:lLr`tkipUecy>?0032?kIqm{fjuR``t12354763gE}ibny^llp5679;;:7cAyesnb}Zhh|9:;=>?>;oMuawjfqVddx=>?1558jWGJD^Oh7c\NMMUF[JDRN>1e^LCZWDa8jWGJ]^OTCO[I4:lQEV3hUIZ9>7c\NS578jWGT=<1e^L]99:lQEVYHJ\L?7c\JSc9mV@UXAFH^JHm4nSGP[kis89::h6`]ER]mkq6788;<7c\JSnww2>hUGDIX;6`]OLAP5g=iZFGH_RAMUG48jWIJ_L=0b_ABWD3a?kTHE^OTCO[I4:lV@V>hRLZUjbi>?003g?kSC[Vkeh=>?13f8jPBTWhdo<=>>3e9mQAUXign;<=?;d:lV@VYffm:;<<;k;oWGWZgil9:;=;j4nTFP[dhc89::;i5aUEQ\ekb789;3h6`ZDR]bja67883h7c[KS^llp5679m1eYI]Pnnv34576<2d^[H;4nTUF5f=i]^OTmcj?012g?kSPMVkeh=>?00f8jPQBWhdo<=>?2e9mQRCXign;<=>hQEHUTc>?014g?kPJIVUd~=>?06f8jSKFWVey<=>?8b9mRHGXign;<=>k;oTNEZgil9:;<i5aVLC\ekb789:8h6`YM@]bja6789>o7cXBA^cm`5678<>0b[^N8:lUTDYA[Kn0b[^N_GQA[JDRN11eZ]OPIN@g?kPWIVCDNRGMUGa8jSVFWhdo<=>?d:lUTDYffm:;<=?l;oTSEZhh|9:;=i5aVQC\jjr789;:?6`XE69mS@YA[Ki0bZKPFR@\MGSA02dlho{fle18vdk?3qi29=>wl038|f?29=>s`y>01/24==aecetx`>8:zoj}Yf}}eT:m11;956c7;rd?:l4>;o65f?0<,=<=69;k;|Qb4?21l382:7?<4c33=?74m9=0_2<6;=h:<44>3d2b?Vg7235`24<<6;l:h7i::c;295?7|[h;18;j52849562e9931=>k?3:&;2?32:2Bj;6x[e783>4<62=;:w^o>:54g>7?1289?n<>6:01f46=#<:91=k:4V54:>7}r:>l1=6{=8183?x"5m:0>9>5+e883?!c027)k7:02g?g22k3:18o4n4;`5M2492.?4>4;'5c6=;o30'8>m:188m=d=831d8ol50;9j0=?=831d99;50;9j141=831d9<=50;9l<>4?::k7e=<722e?jk4?::m7f3<722e?mn4?::ka6?6=3`l;6=44i5`g>5<5<5<<6=44o43:>5<6=44i9d94?=n000;66a;7g83>>i30<0;66g;de83>>o3ih0;66a:1183>>i3k<0;66a;dg83>>i2;90;66a;c883>>i3l;0;66g:4d83>>i3i90;66a=f`83>!4b=38m56`=e583?>i5n10;6)h5m=0:76a<0683>!4b=38m56`=e581?>i48?0;6)h5m=0876a<0483>!4b=38m56`=e587?>i48=0;6)h5m=0>76a<0283>!4b=38m56`=e585?>i48;0;6)h5m=0<76a=fe83>!4b=38m56`=e58;?>i5nj0;6)h5m=0276a=fc83>!4b=38m56`=e58b?>i5n>0;6)h5m=0i76g:a;29 7c22<30b?k;:198m0>=83.9i84:9:l1a1<632c>;7>5$3g6>0?56`=e580?>o2<3:1(?k::4;8j7c32=10e8=50;&1a0<212d9i94:;:k66?6=,;o>6874n3g7>3=h5m=0<76g:0;29 7c22<30b?k;:998m1`=83.9i84:9:l1a1<>32c?i7>5$3g6>0?56`=e58a?>o3k3:1(?k::4;8j7c32j10e9l50;&1a0<212d9i94k;:k56?6=,;o>6874n3g7>`=h5m=0m76g90;29 7c22<30b?k;:028?l3a290/>h;5589m6`2=9810e8k50;&1a0<212d9i94>2:9j1a<72-8n97;6;o0f0?7432c>o7>5$3g6>0?5<#:l?1945a2d6950=h5m=0::65f3b;94?"5m<08o55a2d694>=n;j<1<7*=e480g==i:l>1=65f3b694?"5m<08o55a2d696>=e"3>10?:h5+1g39102=>7>51;294~"38m0???5G4728L1563->=47<7b:&2b4<2==1d>4;50;&72=<51910qo:8b;295a<3m3<9wE:<1:&74aj::188m=4=831b9=l50;9l7`0=831d=?o50;9j11b=831d?=750;9l726=831d==850;9j60<72-8n97<;;o0f0?6<3`886=4+2d7961=i:l>1=65f2383>!4b=38?7ch;5259m6`2=;21b=h4?:%0f1?433g8n87:4;h3g>5<#:l?1>95a2d691>=n9j0;6)h:57:9j5d<72-8n97<;;o0f0?><3`;26=4+2d7961=i:l>1565f1983>!4b=38?7ch;5259m6`2=j21b=;4?:%0f1?433g8n87m4;h36>5<#:l?1>95a2d69`>=n:m0;6)h:5f:9a037=83;1<7>t$52g>ce<@=<;7E:<1:&72=<3>l1/=k?55468kcd=83.?:54=9198yg4?03:1?7<54z&74ah950;9a6`1=83?1>78t$52g>c3<,8l:68;;;n0f3?6=3`8n:7>5;hc5>5<>da=3:1:7>50z&72=5$54;>5$54;>6e432c8;i4?:%654>50;&72=<51910qo:93;295?6=8r.?:54=8c9l6<3=83.?:54=9198yvg12909wSo9;46>3ty347>52z\;<>;a=33o7p}6e;296~X>m27m97=8d:p6`0=838pR?k9;6e43ty9i:4?:3y]6`1<5o?1>4>4}r606?6=:r7m977l;<657?4>=2wvn?4?:083>5}#<::156*;0e8eg>"3>10?:h5+1g3910252z\1a2=::l=1>h94}rc5>5<5sWk=70vP78:?1a253z?1a2<5m?16>h959d9>6?`e3->8<7m4}|`7b<<72<096;u+41f9b1=O;%33f?c<,8l:68;;;h;`>5<>of>3:17b50z&72=5$54;>5$54;>6e432c8;i4?:%654>50;&72=<51910qo:95;295?6=8r.?:54;6d9l6<6=83.?:54=9198yv4b?3:1>vP=e69>6`1=:0:0q~o9:181[g1348n;7??9:p=`<72;qU5h522d5972bh953b1891022;3;7psm31`94?4=83:p(9>k:02`?M2182B??<5+47:9<0=#9o;198:4igf94?"3>10:<454o3:6>5<#4>4H544?>{e;9i1<7<50;2x 16c28:h7E:90:J774=#6=4+47:96<6<@=<<76sm31f94?5=83:p(9>k:528L1073A>8=6*;6980b>"6n80>995ffd83>!2103;;565ffg83>!2103;;565`29794?"3>1095=54}c13a?6=;3:1N3>91C8>?4$54;>6`<,8l:68;;;hdf>5<#5<#t$52g>16<@=<;7E:<1:&72=<4n2.:j<4:559jb`<72->=47??9:9jbc<72->=47??9:9l6=3=83.?:54=9198yg50>3:1>7>50z&74a<68j1C8;>4H512?!21032>7)?i1;760>oal3:1(987:02:?>i50<0;6):98;0:4>N3>>10qo=87;296?6=8r.?0b9K036<@=9:7):98;:6?!7a93?>86gid;29 10?28:276a=8483>!210382<6F;6698yg5003:1>7>50z&74a<68j1C8;>4H512?!21032>7)?i1;760>oal3:1(987:02:?>i50<0;6):98;0:4>N3>>10qo=89;291?6=8r.?=99307dhi:18'03>=99307d??0;29 10?28:276g>0083>!2103;;565`29794?"3>1095=54}c333?6=13:1N3>91C8>?4$54;>6`<,8l:68;;;hdf>5<#5<#6;:k244<72->=47??9:9j554=83.?:54>0898m464290/8;6511;8?l77<3:1(987:02:?>o68<0;6):98;33=>=h:1?1<7*;6981=5=mn7>52;294~"38m0:=476:;%3e5?32<2cmh7>5$54;>46>32e9484?:%6582B?::54}c6eg?6=:3:1;%6523-;m=7;:4:ke`?6=,=<36<>6;:m1<0<72->=47<60:J722=mh7>53;294~"38m094i5G4728L1563->=47<7c:&2b4<2==1bjh4?:%655;50;&72=<51910qo:ie;297?6=8r.?=99307dhi:18'03>=99307b<75;29 10?2;3;76sm42594?3=83:p(9>k:508L1073A>8=6*;6980b>"6n80>995ffd83>!2103;;565ffg83>!2103;;565f11294?"3>10:<454i022>5<#t$52g>16<@=<;7E:<1:&72=<4n2.:j<4:559jb`<72->=47??9:9jbc<72->=47??9:9l6=3=83.?:54=9198yg24i3:1?7>50z&74a<50m1C8;>4H512?!210383o6*>f08611=nnl0;6):98;33=>=nno0;6):98;33=>=h:1?1<7*;6981=5=8n7>52;294~"38m0:=476:;%3e5?32<2cmh7>5$54;>46>32e9484?:%6582B?::54}c60g?6=:3:1;%6523-;m=7;:4:ke`?6=,=<36<>6;:m1<0<72->=47<60:J722=8h7>52;294~"38m0:=476:;%3e5?32<2cmh7>5$54;>46>32e9484?:%6582B?::54}c60a?6=;3:1N3>91C8>?4$54;>6`<,8l:68;;;hdf>5<#5<#t$52g>16<@=<;7E:<1:&72=<4n2.:j<4:559jb`<72->=47??9:9jbc<72->=47??9:9l6=3=83.?:54=9198yg2383:1?7>50z&74a<382B?:=5G4238 10?2:l0(:477?l`b290/8;6511;8?l`a290/8;6511;8?j4?=3:1(987:3;3?>{e<:21<7:50;2x 16c2=;0D98?;I605>"3>108j6*>f08611=nnl0;6):98;33=>=nno0;6):98;33=>=n99:1<7*;69824<=6=4+47:96<6<3th8;<4?:383>5}#<9n1==m4H543?M2492.?:5475:&2b4<2==1bji4?:%655;50;&72=<5191C8;94;|`037<72;0;6=u+41f955e<@=<;7E:<1:&72==47??9:9l6=3=83.?:54=919K031<3th8;>4?:383>5}#<9n1==m4H543?M2492.?:5475:&2b4<2==1bji4?:%655;50;&72=<5191C8;94;|`031<72;0;6=u+41f955e<@=<;7E:<1:&72==47??9:9l6=3=83.?:54=919K031<3th8;l4?:283>5}#<9n1>5j4H543?M2492.?:54=8b9'5c7==<>0ekk50;&72=<68010ekh50;&72=<68010c?6::18'03>=:0:07pl<7c83>6<729q/8=j5419K036<@=9:7):98;1e?!7a93?>86gie;29 10?28:276gif;29 10?28:276a=8483>!210382<65rb25`>5<3290;w):?d;62?M2182B??<5+47:97c=#9o;198:4igg94?"3>10:<454igd94?"3>10:<454i023>5<#t$52g>14<@=<;7E:<1:&72=<4n2.:j<4:559jb`<72->=47??9:9jbc<72->=47??9:9j556=83.?:54>0898m466290/8;6511;8?j4?=3:1(987:3;3?>{e;lo1<7=50;2x 16c2=:0D98?;I605>"3>108j6*>f08611=nnl0;6):98;33=>=nno0;6):98;33=>=h:1?1<7*;6981=5=52;294~"38m0:=476:;%3e5?32<2cmh7>5$54;>46>32e9484?:%6582B?::54}c1e4?6=:3:1;%6523-;m=7;:4:ke`?6=,=<36<>6;:m1<0<72->=47<60:J722=52;294~"38m0:=476:;%3e5?32<2cmh7>5$54;>46>32e9484?:%6582B?::54}c1e6?6=:3:1;%6523-;m=7;:4:ke`?6=,=<36<>6;:m1<0<72->=47<60:J722=52;294~"38m0:=476:;%3e5?32<2cmh7>5$54;>46>32e9484?:%6582B?::54}c1e0?6=:3:1;%6523-;m=7;:4:ke`?6=,=<36<>6;:m1<0<72->=47<60:J722=52;294~"38m0:=476:;%3e5?32<2cmh7>5$54;>46>32e9484?:%6582B?::54}c1f;%6523-;m=7;:4:ke`?6=,=<36<>6;:m1<0<72->=47<60:J722=53;294~"38m094i5G4728L1563->=47<7c:&2b4<2==1bjh4?:%655;50;&72=<51910qo=ja;29=99307dhi:18'03>=99307d??0;29 10?28:276g>0083>!2103;;565f11094?"3>10:<454i020>5<#6;:m1<0<72->=47<60:9~f6ce29086=4?{%63`?273A>=<6F;309'03>=;o1/=k?55468mcc=83.?:54>0898mc`=83.?:54>0898k7>2290/8;652828?xd4mj0;6>4?:1y'05b=<91C8;>4H512?!21039m7)?i1;760>oam3:1(987:02:?>oan3:1(987:02:?>i50<0;6):98;0:4>=zj:oo6=4;:183!27l3>:7E:90:J774=#5$54;>7?732wi?i850;;94?6|,=:o69;4H543?M2492.?:5450;&72=<68010e<>>:18'03>=99307d??2;29 10?28:276g>0283>!2103;;565f11694?"3>10:<454i026>5<#t$52g>7>c3A>=<6F;309'03>=:1i0(:477?l`b290/8;6511;8?l`a290/8;6511;8?j4?=3:1(987:3;3?>{e;ml1<7;50;2x 16c2=80D98?;I605>"3>108j6*>f08611=nnl0;6):98;33=>=nno0;6):98;33=>=n99:1<7*;69824<=5$54;>7?732wi?h>50;094?6|,=:o6<>l;I654>N3;81/8;65849'5c7==<>0ekj50;&72=<68010c?6::18'03>=:0:0D988;:a7`7=8381<7>t$52g>46d3A>=<6F;309'03>=0<1/=k?55468mcb=83.?:54>0898k7>2290/8;652828L10032wi?h<50;094?6|,=:o6<>l;I654>N3;81/8;65849'5c7==<>0ekj50;&72=<68010c?6::18'03>=:0:0D988;:a7`5=8381<7>t$52g>46d3A>=<6F;309'03>=0<1/=k?55468mcb=83.?:54>0898k7>2290/8;652828L10032wi?h:50;094?6|,=:o6<>l;I654>N3;81/8;65849'5c7==<>0ekj50;&72=<68010c?6::18'03>=:0:0D988;:a7`3=8381<7>t$52g>46d3A>=<6F;309'03>=0<1/=k?55468mcb=83.?:54>0898k7>2290/8;652828L10032wi?i950;094?6|,=:o6<>l;I654>N3;81/8;65849'5c7==<>0ekj50;&72=<68010c?6::18'03>=:0:0D988;:a7a>=83?1<7>t$52g>14<@=<;7E:<1:&72=<4n2.:j<4:559jb`<72->=47??9:9jbc<72->=47??9:9j556=83.?:54>0898m466290/8;6511;8?j4?=3:1(987:3;3?>{e;m31<7=50;2x 16c2=:0D98?;I605>"3>108j6*>f08611=nnl0;6):98;33=>=nno0;6):98;33=>=h:1?1<7*;6981=5=53;294~"38m094i5G4728L1563->=47<7c:&2b4<2==1bjh4?:%655;50;&72=<51910qo=kb;290?6=8r.?=99307dhi:18'03>=99307d??0;29 10?28:276a=8483>!210382<65rb2f`>5<4290;w):?d;63?M2182B??<5+47:97c=#9o;198:4igg94?"3>10:<454igd94?"3>10:<454o3:6>5<#4>4;|`0`a<72:0;6=u+41f905=O;%656;:keb?6=,=<36<>6;:m1<0<72->=47<60:9~f44e29086=4?{%63`?4?l2B?:=5G4238 10?2;2h7)?i1;760>oam3:1(987:02:?>oan3:1(987:02:?>i50<0;6):98;0:4>=zj89;6=4=:183!27l3;;o6F;619K067<,=<365;4$0d2>0333`lo6=4+47:955?<3f8397>5$54;>7?73A>=;65rb012>5<2290;w):?d;61?M2182B??<5+47:97c=#9o;198:4igg94?"3>10:<454igd94?"3>10:<454i023>5<#6;:m1<0<72->=47<60:9~f45529086=4?{%63`?273A>=<6F;309'03>=;o1/=k?55468mcc=83.?:54>0898mc`=83.?:54>0898k7>2290/8;652828?xd6;:0;6>4?:1y'05b=:1n0D98?;I605>"3>1094n5+1g391026=4+47:96<6<3th:?94?:383>5}#<9n1==m4H543?M2492.?:5475:&2b4<2==1bji4?:%655;50;&72=<5191C8;94;|`270<72:0;6=u+41f96=b<@=<;7E:<1:&72=<50j1/=k?55468mcc=83.?:54>0898mc`=83.?:54>0898k7>2290/8;652828?xd6;?0;6>4?:1y'05b=<91C8;>4H512?!21039m7)?i1;760>oam3:1(987:02:?>oan3:1(987:02:?>i50<0;6):98;0:4>=zj89<6=4<:183!27l3>;7E:90:J774=#6=4+47:96<6<3th:>n4?:283>5}#<9n18=5G4728L1563->=47=i;%3e5?32<2cmi7>5$54;>46>32cmj7>5$54;>46>32e9484?:%65821vn<5<7s->;h7<7d:J725=O<:;0(987:3:`?!7a93?>86gie;29 10?28:276gif;29 10?28:276a=8483>!210382<65rb00f>5<4290;w):?d;63?M2182B??<5+47:97c=#9o;198:4igg94?"3>10:<454igd94?"3>10:<454o3:6>5<#4>4;|`26c<72:0;6=u+41f96=b<@=<;7E:<1:&72=<50j1/=k?55468mcc=83.?:54>0898mc`=83.?:54>0898k7>2290/8;652828?xu6=3:18vP>5:?0a2h?:gf896ba28:;70=j2;dg?xu603:19vP>8:?77d019>7a0=nl16=>=5fd9~w4?=83c`<5:o<6<>>;<1gb?779278i>4id:?276j9:023?87493ln7p}>c;292~X6k27:<:4if:?772?5fg9~w4b=8346734>8;7??0:?0b7029>567=99:0q~?j:185[7b34;;;7??1:?772<68816?k=5fe9>7a0=99801<=>:022?xu583:15vP=0:?033039>06?=nl16?:<5fe9>7c2=nm16?i851168944e2ol01<==:gg8yv452902wS<=;<143?`c34;;;7??3:?77<4id:?0b0049>57d=nl16=><5fg9~w75=833pR?=4=22a>cb<5:=26kk4=024>46334>8n7hk;<14e?`b349nn7hj;<1f0?`c34;8;7hj;|q11?6=1rT9963<0b8e`>;4?10mh63>068240=:<:i1ji523669ba=:;l21ji523d79ba=:9;n1jh5rs3a94?5|V;i01>k6:gg896bb2oo0q~7>53z\;6>;50h0mj63;fb8e`>{t1m0;6>uQ9e9>6=>=01168k75899~w4612909wS??6:?242<50<1v<k4=849~w44e2909w0?=b;0;1>;6;<0mi6s|13a94?4|588h6?6:;<31a?`a3ty:>i4?:3y>57b=:1?01<v3>2d81<0=:9;l1jk5rs013>5<5s4;8<7<75:?270?50;0x94562;2>70?=e;df?xu6;;0;6?u212096=3<588h6kk4}r307?6=:r7:?>4=849>560=nl1v<=;:181874<383963>378eb>{t9:?1<77>234;8;7hi;|q273<72;q6=>852978944d2ol0q~?<7;296~;6;>09485213f9bc=z{8<;6=4={_354>;3;109485rs3:2>5<5s4>==7hm;<6e=??b3ty9454?:3y>6=>=:l=019hk:gg8yv4?13:1>v3=898b2>;50h09485rs22:>5<5sW9;563<0d81<0=z{::j6=4<{_13e>;48m09485231g9b`=z{::i6=4={<13f?4?=278>l:3:6?857l3lm7p}<7183>7}Y;>:01>9l:3:6?xu4?80;6?u236396=3<5:=j6kh4}r146?6=:r78;?4=849>72e=nl1v>9<:181850;383963<7c8ea>{t;>>1<77>2349cc<5:=26?6:;|q033<72;q6?:852978961>2ol0q~=87;296~;4?>09485236;955652z?03=<50<16?:751138yv50i3:1>v3<7`81<0=:;>i1jk5rs25a>5<5s49j::181[5c=278hi4=849~w6b12909w0=k6;0;1>;4lm0mi6s|3e594?4|5:n<6?6:;<1gg?`a3ty8h54?:3y>7a>=:1?01>jn:gd8yv5c13:1>v34}r1ge?6=:r78hl4=849>7ad=nl1v>jm:18185cj383963{t;mi1<77>2349oh7hi;|q0``<72;q6?ik5297896be2ol0q~=kf;296~;4lo0948523ec9b`=z{:o;6=4={<1f4?4?=278h54ie:p7`7=838p1>k>:3:6?85c03lm7p}7}:;l81>5;4=2f;>4673ty8i>4?:3y>7`5=:1?01>j7:022?xu4m=0;6?u23d696=3<5:n26kk4}r1f1?6=:r78i84=849>7a?=no1v>k9:181[5b>278ii4=849~w6c02909w0=j7;0;1>;4mm0mj6s|3d:94?4|5:o36?6:;<1fe?77<2wx?h750;0x96c>2;2>70=jb;de?xu4mh0;6?u23dc96=3<5:oo6<>?;|q0ag<72;q6?hl5297896cd2ol0q~=jc;296~;4mj0948523df9b`=z{:on6=4={<1fa?4?=278in4ie:p7``=838p1>ki:3:6?85b13lm7p}7}:;o:1>5;4=2gb>cc52z?0b4<50<16?ho5fg9~w6`52909w0=i2;0;1>;4mh0:<=5rs2d0>5<5s49m?7<75:?0ad<6881v>h;:18185a<3839636=4={<1e1?4?=278il4>039~w1502909w0:<7;0;1>;3;10mj6s|42;94?4|5=926?6:;<674?`b3ty??l4?:3y>06g=:1?019=j:gg8yv24j3:1>v3;3c81<0=:<:o1jk5rs51`>5<5s4>8o7<75:?77cj50;0x915c2;2>70:;6kh4}r60b?6=:r7??k4=849>06>=99:0q~:;0;296~;3<909485242:9b`=z{=l26=4;{<6e=?4b?2787p};fc83>7}:5;4=5dg>c`mo7>52z?7bf<50<168kk5fg9~w1`c2909w0:id;0;1>;3nl0mi6s|51`94?5|V<:i70:i9;c5?82aj3lo7p}:4e83>1}Y==n019=k:gf896b02on01<=;:gf8yxd2=80;6>=58b8bf~N3;81/8=j531:8^=1=:r;;6<<5}%3e4?5a12c2h7>5;n71347>5;n727?6=3f?;?7>5;n6a2?6=3`296=44i2`a>5<6=44i5f`>5<5<5<5<5<5<#:l?1>k74n3g7>4=5<#:l?1>k74n3g7>6=6=4+2d796c?5<#:l?1>k74n3g7>0=5<#:l?1>k74n3g7>2=5<#:l?1>k74n3g7><=5<#:l?1>k74n3g7>g=h5m=0;76g=0;29 7c22;;0b?k;:098m4c=83.9i84=1:l1a1<532c:h7>5$3g6>7754i0a94?"5m<09=6`=e587?>o6j3:1(?k::338j7c32<10e6??4n3g7>2=h5m=0376g>7;29 7c22;;0b?k;:898m40=83.9i84=1:l1a15$3g6>77o5k3:1(?k::338j7c32m10e?l50;&1a0<592d9i94j;:k1e?6=,;o>6??4n3g7>c=h5m=0:<65f2983>!4b=38:7co5?3:1(?k::338j7c328807d<9:18'6`3=:81e>h:51298m4`=83.9i84=1:l1a1<6<21i8;?50;394?6|,=:o6km4H543?M2492.?:54;6d9'5c7==<>0ckl50;&72=<51910qo<61;291?4=>r.?m:d9'5c7==<>0e4m50;9j=`<722c347>5;hc5>5<5<1290;w):98;d4?l7713:1(987:02:?>o>k3:1(987:8a8?l?c290/8;659e98m6e4290/8;653b18?l50l3:1(987:25g?>i5190;6):98;0:4>=zj=<>6=4>:183!2103>=i6a=9183>!210382<65rs3g4>5<5sW8n;63=e681=5=z{h<1<7m279i:4<7e9~w08o>5247796<63}#<9n1j95G4728L1563-;;n7k4$0d2>0333`3h6=44i8g94?=n010;66gn6;29?j4b?3:17o=47??9:9j=f<72->=477l;:k:`?6=,=<364j4;h1`7?6=,=<36>m<;:k03a<72->=47=8d:9l6<6=83.?:54=9198yg21=3:1=7>50z&72=<3>l1d>4>50;&72=<51910q~16>h952828yvg12909wSo9;<0f3?7712wx5h4?:3y]=`=::l=1?:j4}r;`>5<5sW3h70vP78:?1a2<>l2wxjn4?:3y>6`1=;j90198::3;3?x{e;h81<7;52;4x 16c2o>0D98?;I605>"6n80>995f9b83>>o>m3:17d67:188md0=831d>h950;9a6`1=83<1<7>t$54;>c1=n;j91<7*;6980g6=5$54;>7?732wi8;;50;394?6|,=<3698j;n0:4?6=,=<36?7?;:p6`1=838pR?k8;<0f3?4>82wxm;4?:3y]e3=::l=1==74}r;f>5<5sW3n70{t1j0;6?uQ9b9>6`1=1j1v5650;0xZ=><5;o<64j4}rd`>5<5s48n;7=l3:?720<5191vqo=>c;297?4=:477?l>?2900el850;9l6`1=831i>h950;796?0|,=:o6k;4$0d2>0333f8n;7>5;h0f2?6=3`k=6=44i8g94?=n010;66li5;292?6=8r.?:54i7:k24<<72->=47??9:9j=f<72->=477l;:k:`?6=,=<364j4;h1`7?6=,=<36>m<;:k03a<72->=47=8d:9l6<6=83.?:54=9198yg21;3:1=7>50z&72=<50k1d>4;50;&72=<51910q~o9:181[g134l>6<>6;|q;m3:1>vP6e:?e1?50l2wx>h850;0xZ7c134l>6>m<;|q1a2<72;qU>h94=g796<68>7>52z?e1??d34>=?7<65:~f7<7280;6=u+4229=>"38m0mo6*;69872`=#9o;198:4og`94?"3>1095=54}r0f3?6=:rT9i:522d596`16`1=1l16>7hm;%604?e84?:281>1}#<9n1j?5G4728L1563-;;n7k4$0d2>0333`236=44i`494?=h:l=1<75m2d594?3=:3k:g78 4`623:17do9:188m3:1o6800;6):98;33=>=n1j0;6):98;;`?>o>l3:1(987:8f8?l5d;3:1(987:2a0?>o4?m0;6):98;14`>=h:0:1<7*;6981=5==?7>51;294~"3>1094o5`28794?"3>1095=54}rc5>5<5sWk=70h::02:?xu?03:1>vP78:?e1??c3ty2i7>52z\:a>;a=390;6?uQ2d589c3=:0:0q~:<2;296~;a=33h70:93;0:1>{zj;0;6<4?:1y'066=12.?l1/=k?55468kcd=83.?:54=9198yv4b?3:1>vP=e69>6`1=:l=0q~o9:181[g1348n;7o9;|q;{t=3:1?v3=e681a3=::l=15h522;da?!2483i0qpl62;297?4=m:d9'5c7==<>0e5650;9je3<722e9i:4?::`1a2<72<096;u+41f9b0=#9o;198:4o3g4>5<5$54;>46>32c2o7>5$54;>5$54;>61c32e95=4?:%65821vn98<:182>5<7s->=47<7b:m1=0<72->=47<60:9~wd0=838pRl84=g7955?6>9k;|q1a3<72;qU>h84=g797f552z\1a2=:n<095=5rs511>5<5s4l>64m4=540>7?23twi>7>51;294~"3;9027):?d;d`?!2103>=i6*>f08611=hnk0;6):98;0:4>=z{;o<6=4={_0f3>;5m>09i:5rs`494?4|Vh<01?k8:`48yv>?2909wS67;<0f3?>?3ty>6=4<{<0f3?4b>279i:46e:?1>cd<,=9;6n5r}c11g?6=;3818v*;0e8e6>N3>91C8>?4$02a>`=#9o;198:4i9:94?=ni?0;66a=e683>>d5m>0;684=:7y'05b=n<1/=k?55468k7c02900e?k9:188md0=831b5h4?::k;6=49:183!2103l<7d??9;29 10?28:276g6c;29 10?20i07d7k:18'03>=1m10e>m<:18'03>=;j907d=8d;29 10?2:=o76a=9183>!210382<65rb540>5<6290;w):98;0;f>i51<0;6):98;0:4>=z{h<1<7?34l>64j4}r;f>5<5sW3n70h::25g?xu5m?0;6?uQ2d489c3=;j90q~16j84=919~w1552909w0h::8a891042;3>7psm2;295?6=8r.??=46;%63`?`d3->=47:9e:&2b4<2==1djo4?:%65821v?k8:181[4b?279i:4=e69~wd0=838pRl84=3g4>d0;52oh0(9=?:b9~yg5513:1?7<54z&74a=831bm;4?::m1a2<722h9i:4?:481>3}#<9n1j85+1g391025<>o?03:17oh::185>5<7s->=47h8;h33=?6=,=<36<>6;:k:g?6=,=<364m4;h;g>5<#5<#9k;:m1=5<72->=47<60:9~f104290:6=4?{%65821vl850;0xZd0<5o?1==74}r:;>5<5sW2370h::8f8yv?b2909wS7j;61c3ty9i;4?:3y]6`0<5o?1?n=4}r0f3?6=:rT9i:52f481=5=z{=996=4={"6n80>995`fc83>!210382<65rs3g4>5<5sW8n;63=e681a2=z{h<1<7?348n;767;|q6>5<4s48n;7m2796kl4$513>f=zuk>i:7>53;090~"38m0m>6F;619K067<,8l:68;;;h:;>5<:477?j4b?3:17d56;294~"3>10m;6g>0883>!2103;;565f9b83>!21033h76g6d;29 10?20n07d=l3;29 10?2:i876g<7e83>!210391095=54}c657?6=93:1{t:l<1<77}Y:l=01k;52828yv24:3:1>v3i5;;`?821;38296srb383>4<729q/8>>59:&74a0ckl50;&72=<51910q~16>h952d58yvg12909wSo9;<0f3?g13ty347>52z\;<>;5m>0346s|5;297~;5m>09i;522d59=`=::3li7):<0;a8yxd4?l0;6>4=:5y'05b=n;1C8;>4H512?!77j3o0(:477?l>?2900el850;9l6`1=831i>h950;796?0|,=:o6k;4$0d2>0333f8n;7>5;h0f2?6=3`k=6=44i8g94?=n010;66li5;292?6=8r.?:54i7:k24<<72->=47??9:9j=f<72->=477l;:k:`?6=,=<364j4;h1`7?6=,=<36>m<;:k03a<72->=47=8d:9l6<6=83.?:54=9198yg21;3:1=7>50z&72=<50k1d>4;50;&72=<51910q~o9:181[g134l>6<>6;|q;m3:1>vP6e:?e1?50l2wx>h850;0xZ7c134l>6>m<;|q1a2<72;qU>h94=g796<68>7>52z?e1??d34>=?7<65:~f7<7280;6=u+4229=>"38m0mo6*;69872`=#9o;198:4og`94?"3>1095=54}r0f3?6=:rT9i:522d596`16`1=1l16>7hm;%604?e1}#<9n1j?5G4728L1563-;;n7k4$0d2>0333`236=44i`494?=h:l=1<75m2d594?3=:3k:g78 4`623:17do9:188m3:1o6800;6):98;33=>=n1j0;6):98;;`?>o>l3:1(987:8f8?l5d;3:1(987:2a0?>o4?m0;6):98;14`>=h:0:1<7*;6981=5==?7>51;294~"3>1094o5`28794?"3>1095=54}rc5>5<5sWk=70h::02:?xu?03:1>vP78:?e1??c3ty2i7>52z\:a>;a=390;6?uQ2d589c3=:0:0q~:<2;296~;a=33h70:93;0:1>{zj;0;6<4?:1y'066=12.?l1/=k?55468kcd=83.?:54=9198yv4b?3:1>vP=e69>6`1=:l=0q~o9:181[g1348n;7o9;|q;{t=3:1?v3=e681a3=::l=15h522;da?!2483i0qpl<8183>6<52=q/8=j5f39K036<@=9:7)??b;g8 4`62h950;9a6`1=83?1>78t$52g>c3<,8l:68;;;n0f3?6=3`8n:7>5;hc5>5<>da=3:1:7>50z&72=5$54;>5$54;>6e432c8;i4?:%654>50;&72=<51910qo:93;295?6=8r.?:54=8c9l6<3=83.?:54=9198yvg12909wSo9;46>3ty347>52z\;<>;a=33o7p}6e;296~X>m27m97=8d:p6`0=838pR?k9;6e43ty9i:4?:3y]6`1<5o?1>4>4}r606?6=:r7m977l;<657?4>=2wvn?4?:083>5}#<::156*;0e8eg>"3>10?:h5+1g3910252z\1a2=::l=1>h94}rc5>5<5sWk=70vP78:?1a253z?1a2<5m?16>h959d9>6?`e3->8<7m4}|`0<4<72:0969u+41f9b7=O;%33f?c<,8l:68;;;h:;>5<:477?j4b?3:17d56;294~"3>10m;6g>0883>!2103;;565f9b83>!21033h76g6d;29 10?20n07d=l3;29 10?2:i876g<7e83>!210391095=54}c657?6=93:1{t:l<1<77}Y:l=01k;52828yv24:3:1>v3i5;;`?821;38296srb383>4<729q/8>>59:&74a0ckl50;&72=<51910q~16>h952d58yvg12909wSo9;<0f3?g13ty347>52z\;<>;5m>0346s|5;297~;5m>09i;522d59=`=::3li7):<0;a8yxd40>0;6>4=:5y'05b=n;1C8;>4H512?!77j3o0(:477?l>?2900el850;9l6`1=831i>h950;796?0|,=:o6k;4$0d2>0333f8n;7>5;h0f2?6=3`k=6=44i8g94?=n010;66li5;292?6=8r.?:54i7:k24<<72->=47??9:9j=f<72->=477l;:k:`?6=,=<364j4;h1`7?6=,=<36>m<;:k03a<72->=47=8d:9l6<6=83.?:54=9198yg21;3:1=7>50z&72=<50k1d>4;50;&72=<51910q~o9:181[g134l>6<>6;|q;m3:1>vP6e:?e1?50l2wx>h850;0xZ7c134l>6>m<;|q1a2<72;qU>h94=g796<68>7>52z?e1??d34>=?7<65:~f7<7280;6=u+4229=>"38m0mo6*;69872`=#9o;198:4og`94?"3>1095=54}r0f3?6=:rT9i:522d596`16`1=1l16>7hm;%604?e1}#<9n1j?5G4728L1563-;;n7k4$0d2>0333`236=44i`494?=h:l=1<75m2d594?3=:3k:g78 4`623:17do9:188m3:1o6800;6):98;33=>=n1j0;6):98;;`?>o>l3:1(987:8f8?l5d;3:1(987:2a0?>o4?m0;6):98;14`>=h:0:1<7*;6981=5==?7>51;294~"3>1094o5`28794?"3>1095=54}rc5>5<5sWk=70h::02:?xu?03:1>vP78:?e1??c3ty2i7>52z\:a>;a=390;6?uQ2d589c3=:0:0q~:<2;296~;a=33h70:93;0:1>{zj;0;6<4?:1y'066=12.?l1/=k?55468kcd=83.?:54=9198yv4b?3:1>vP=e69>6`1=:l=0q~o9:181[g1348n;7o9;|q;{t=3:1?v3=e681a3=::l=15h522;da?!2483i0qpl<9083>6<52=q/8=j5f39K036<@=9:7)??b;g8 4`62h950;9a6`1=83?1>78t$52g>c3<,8l:68;;;n0f3?6=3`8n:7>5;hc5>5<>da=3:1:7>50z&72=5$54;>5$54;>6e432c8;i4?:%654>50;&72=<51910qo:93;295?6=8r.?:54=8c9l6<3=83.?:54=9198yvg12909wSo9;46>3ty347>52z\;<>;a=33o7p}6e;296~X>m27m97=8d:p6`0=838pR?k9;6e43ty9i:4?:3y]6`1<5o?1>4>4}r606?6=:r7m977l;<657?4>=2wvn?4?:083>5}#<::156*;0e8eg>"3>10?:h5+1g3910252z\1a2=::l=1>h94}rc5>5<5sWk=70vP78:?1a253z?1a2<5m?16>h959d9>6?`e3->8<7m4}|`0=1<72:0969u+41f9b7=O;%33f?`<,8l:68;;;h:;>5<:477?j4b?3:17d56;294~"3>10m;6g>0883>!2103;;565f9b83>!21033h76g6d;29 10?20n07d=l3;29 10?2:i876g<7e83>!210391095=54}c657?6=93:1{t:l<1<77}Y:l=01k;52828yv24:3:1>v3i5;;`?821;38296srb383>4<729q/8>>59:&74a0ckl50;&72=<51910q~16>h952d58yvg12909wSo9;<0f3?g13ty347>52z\;<>;5m>0346s|5;297~;5m>09i;522d59=`=::3li7):<0;a8yxd2980;6?4?:1y'05b=99i0D98?;I605>"3>10396*>f08611=nnm0;6):98;33=>=h:1?1<7*;6981=5=O7<729q/8=j511a8L1073A>8=6*;698;1>"6n80>995ffe83>!2103;;565`29794?"3>1095=5G4758?xd3mo0;6?4?:1y'05b=99i0D98?;I605>"3>10396*>f08611=nnm0;6):98;33=>=h:1?1<7*;6981=5=O6<729q/8=j5419K036<@=9:7):98;1e?!7a93?>86gie;29 10?28:276gif;29 10?28:276a=8483>!210382<65rb5`:>5<5290;w):?d;33g>N3>91C8>?4$54;>=3<,8l:68;;;hdg>5<#=zj;386=4=:183!27l3;;o6F;619K067<,=<365;4$0d2>0333`lo6=4+47:955?<3f8397>5$54;>7?73A>=;65rb3;7>5<4290;w):?d;0;`>N3>91C8>?4$54;>7>d3-;m=7;:4:kea?6=,=<36<>6;:keb?6=,=<36<>6;:m1<0<72->=47<60:9~f67629096=4?{%63`?77k2B?:=5G4238 10?21?0(:477?l`c290/8;6511;8?j4?=3:1(987:3;3?M21?21vn>5<7s->;h7??c:J725=O<:;0(987:978 4`62=99307b<75;29 10?2;3;7E:97:9~f07329086=4?{%63`?4?l2B?:=5G4238 10?2;2h7)?i1;760>oam3:1(987:02:?>oan3:1(987:02:?>i50<0;6):98;0:4>=zj=l=6=4=:183!27l3;;o6F;619K067<,=<365;4$0d2>0333`lo6=4+47:955?<3f8397>5$54;>7?73A>=;65rb5d4>5<4290;w):?d;0;`>N3>91C8>?4$54;>7>d3-;m=7;:4:kea?6=,=<36<>6;:keb?6=,=<36<>6;:m1<0<72->=47<60:9~f6g329086=4?{%63`?4?l2B?:=5G4238 10?2;2h7)?i1;760>oam3:1(987:02:?>oan3:1(987:02:?>i50<0;6):98;0:4>=zj:8?6=4;:183!27l3>:7E:90:J774=#5$54;>7?732wi??950;194?6|,=:o69>4H543?M2492.?:545;50;&72=<51910qo==8;297?6=8r.?"6n80>995ffd83>!2103;;565ffg83>!2103;;565`29794?"3>1095=54}c11a?6=;3:1;%65=47??9:9jbc<72->=47??9:9l6=3=83.?:54=9198yg55n3:1?7>50z&74a<382B?:=5G4238 10?2:l0(:477?l`b290/8;6511;8?l`a290/8;6511;8?j4?=3:1(987:3;3?>{e;::1<7=50;2x 16c2;2o7E:90:J774=#5m4$0d2>0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wi9?l50;094?6|,=:o6<>l;I654>N3;81/8;65849'5c7==<>0ekj50;&72=<68010c?6::18'03>=:0:0D988;:a17e=8381<7>t$52g>46d3A>=<6F;309'03>=0<1/=k?55468mcb=83.?:54>0898k7>2290/8;652828L10032wi9?j50;094?6|,=:o6<>l;I654>N3;81/8;65849'5c7==<>0ekj50;&72=<68010c?6::18'03>=:0:0D988;:a17c=83?1<7>t$52g>14<@=<;7E:<1:&72=<4n2.:j<4:559jb`<72->=47??9:9jbc<72->=47??9:9j556=83.?:54>0898m466290/8;6511;8?j4?=3:1(987:3;3?>{e6=4+47:96<6<@=<<76sm4g094?4=83:p(9>k:02`?M2182B??<5+47:9<0=#9o;198:4igf94?"3>10:<454o3:6>5<#4>4H544?>{e6=4+47:96<6<@=<<76sm4g694?3=83:p(9>k:508L1073A>8=6*;6980b>"6n80>995ffd83>!2103;;565ffg83>!2103;;565f11294?"3>10:<454i022>5<#t$52g>16<@=<;7E:<1:&72=<4n2.:j<4:559jb`<72->=47??9:9jbc<72->=47??9:9l6=3=83.?:54=9198yg54<3:1>7>50z&74a<68j1C8;>4H512?!21032>7)?i1;760>oal3:1(987:02:?>i50<0;6):98;0:4>N3>>10qo=<5;297?6=8r.?=99307dhi:18'03>=99307b<75;29 10?2;3;76sm32494?5=83:p(9>k:3:g?M2182B??<5+47:96=e<,8l:68;;;hdf>5<#5<#t$52g>16<@=<;7E:<1:&72=<4n2.:j<4:559jb`<72->=47??9:9jbc<72->=47??9:9l6=3=83.?:54=9198yg5393:1>7>50z&74a<68j1C8;>4H512?!21032>7)?i1;760>oal3:1(987:02:?>i50<0;6):98;0:4>N3>>10qo=;2;297?6=8r.?=99307dhi:18'03>=99307b<75;29 10?2;3;76sm35194?5=83:p(9>k:3:g?M2182B??<5+47:96=e<,8l:68;;;hdf>5<#5<#t$52g>16<@=<;7E:<1:&72=<4n2.:j<4:559jb`<72->=47??9:9jbc<72->=47??9:9l6=3=83.?:54=9198yg53m3:1>7>50z&74a<68j1C8;>4H512?!21032>7)?i1;760>oal3:1(987:02:?>i50<0;6):98;0:4>N3>>10qo=;f;297?6=8r.?=99307dhi:18'03>=99307b<75;29 10?2;3;76sm34294?5=83:p(9>k:3:g?M2182B??<5+47:96=e<,8l:68;;;hdf>5<#5<#t$52g>16<@=<;7E:<1:&72=<4n2.:j<4:559jb`<72->=47??9:9jbc<72->=47??9:9l6=3=83.?:54=9198yg52<3:1>7>50z&74a<68j1C8;>4H512?!21032>7)?i1;760>oal3:1(987:02:?>i50<0;6):98;0:4>N3>>10qo=:5;297?6=8r.?=99307dhi:18'03>=99307b<75;29 10?2;3;76sm34494?5=83:p(9>k:3:g?M2182B??<5+47:96=e<,8l:68;;;hdf>5<#5<#t$52g>16<@=<;7E:<1:&72=<4n2.:j<4:559jb`<72->=47??9:9jbc<72->=47??9:9l6=3=83.?:54=9198yg52i3:1>7>50z&74a<68j1C8;>4H512?!21032>7)?i1;760>oal3:1(987:02:?>i50<0;6):98;0:4>N3>>10qo=:b;297?6=8r.?=99307dhi:18'03>=99307b<75;29 10?2;3;76sm34a94?5=83:p(9>k:3:g?M2182B??<5+47:96=e<,8l:68;;;hdf>5<#5<#t$52g>16<@=<;7E:<1:&72=<4n2.:j<4:559jb`<72->=47??9:9jbc<72->=47??9:9l6=3=83.?:54=9198yg5183:1>7>50z&74a<68j1C8;>4H512?!21032>7)?i1;760>oal3:1(987:02:?>i50<0;6):98;0:4>N3>>10qo=91;297?6=8r.?=99307dhi:18'03>=99307b<75;29 10?2;3;76sm37094?5=83:p(9>k:3:g?M2182B??<5+47:96=e<,8l:68;;;hdf>5<#5<#t$52g>16<@=<;7E:<1:&72=<4n2.:j<4:559jb`<72->=47??9:9jbc<72->=47??9:9l6=3=83.?:54=9198yg51>3:1>7>50z&74a<68j1C8;>4H512?!21032>7)?i1;760>oal3:1(987:02:?>i50<0;6):98;0:4>N3>>10qo=97;297?6=8r.?=99307dhi:18'03>=99307b<75;29 10?2;3;76sm37:94?5=83:p(9>k:3:g?M2182B??<5+47:96=e<,8l:68;;;hdf>5<#5<#t$52g>16<@=<;7E:<1:&72=<4n2.:j<4:559jb`<72->=47??9:9jbc<72->=47??9:9l6=3=83.?:54=9198yg51k3:1>7>50z&74a<68j1C8;>4H512?!21032>7)?i1;760>oal3:1(987:02:?>i50<0;6):98;0:4>N3>>10qo=9d;297?6=8r.?=99307dhi:18'03>=99307b<75;29 10?2;3;76sm37g94?5=83:p(9>k:3:g?M2182B??<5+47:96=e<,8l:68;;;hdf>5<#5<#t$52g>16<@=<;7E:<1:&72=<4n2.:j<4:559jb`<72->=47??9:9jbc<72->=47??9:9l6=3=83.?:54=9198yg53?3:1>7>50z&74a<68j1C8;>4H512?!21032>7)?i1;760>oal3:1(987:02:?>i50<0;6):98;0:4>N3>>10qo=;8;297?6=8r.?=99307dhi:18'03>=99307b<75;29 10?2;3;76sm35;94?5=83:p(9>k:3:g?M2182B??<5+47:96=e<,8l:68;;;hdf>5<#5<#t$52g>16<@=<;7E:<1:&72=<4n2.:j<4:559jb`<72->=47??9:9jbc<72->=47??9:9l6=3=83.?:54=9198yg54i3:1>7>50z&74a<68j1C8;>4H512?!21032>7)?i1;760>oal3:1(987:02:?>i50<0;6):98;0:4>N3>>10qo==99307dhi:18'03>=99307b<75;29 10?2;3;76sm32a94?5=83:p(9>k:3:g?M2182B??<5+47:96=e<,8l:68;;;hdf>5<#5<#t$52g>16<@=<;7E:<1:&72=<4n2.:j<4:559jb`<72->=47??9:9jbc<72->=47??9:9l6=3=83.?:54=9198yg4an3:1>7>50z&74a<68j1C8;>4H512?!21032>7)?i1;760>oal3:1(987:02:?>i50<0;6):98;0:4>N3>>10qo=?0;297?6=8r.?=99307dhi:18'03>=99307b<75;29 10?2;3;76sm31394?5=83:p(9>k:3:g?M2182B??<5+47:96=e<,8l:68;;;hdf>5<#5<#t$52g>46d3A>=<6F;309'03>=0<1/=k?55468mcb=83.?:54>0898k7>2290/8;652828L10032wi?4950;094?6|,=:o6<>l;I654>N3;81/8;65849'5c7==<>0ekj50;&72=<68010c?6::18'03>=:0:0D988;:a7<>=83>1<7>t$52g>17<@=<;7E:<1:&72=<4n2.:j<4:559jb`<72->=47??9:9jbc<72->=47??9:9j556=83.?:54>0898k7>2290/8;652828?xd4100;6>4?:1y'05b=:1n0D98?;I605>"3>1094n5+1g391026=4+47:96<6<3th287>53;294~"38m094i5G4728L1563->=47<7c:&2b4<2==1bjh4?:%655;50;&72=<51910qo7::180>5<7s->;h7<7d:J725=O<:;0(987:3:`?!7a93?>86gie;29 10?28:276gif;29 10?28:276a=8483>!210382<65rb8494?5=83:p(9>k:528L1073A>8=6*;6980b>"6n80>995ffd83>!2103;;565ffg83>!2103;;565`29794?"3>1095=54}c;4>5<5290;w):?d;33g>N3>91C8>?4$54;>=3<,8l:68;;;hdg>5<#=zj021<7=50;2x 16c2=:0D98?;I605>"3>108j6*>f08611=nnl0;6):98;33=>=nno0;6):98;33=>=h:1?1<7*;6981=5=;7E:90:J774=#6=4+47:96<6<3th2m7>53;294~"38m094i5G4728L1563->=47<7c:&2b4<2==1bjh4?:%655;50;&72=<51910qo7m:180>5<7s->;h7<7d:J725=O<:;0(987:3:`?!7a93?>86gie;29 10?28:276gif;29 10?28:276a=8483>!210382<65rb2:b>5<4290;w):?d;63?M2182B??<5+47:97c=#9o;198:4igg94?"3>10:<454igd94?"3>10:<454o3:6>5<#4>4;|`0=47??9:9l6=3=83.?:54=919K031<3th84n4?:283>5}#<9n18=5G4728L1563->=47=i;%3e5?32<2cmi7>5$54;>46>32cmj7>5$54;>46>32e9484?:%65821vn>6k:181>5<7s->;h7??c:J725=O<:;0(987:978 4`62=99307b<75;29 10?2;3;7E:97:9~f6>b29086=4?{%63`?273A>=<6F;309'03>=;o1/=k?55468mcc=83.?:54>0898mc`=83.?:54>0898k7>2290/8;652828?xd40o0;6>4?:1y'05b=<91C8;>4H512?!21039m7)?i1;760>oam3:1(987:02:?>oan3:1(987:02:?>i50<0;6):98;0:4>=zj:3;6=4<:183!27l383h6F;619K067<,=<36?6l;%3e5?32<2cmi7>5$54;>46>32cmj7>5$54;>46>32e9484?:%65821vn>6<:181>5<7s->;h7??c:J725=O<:;0(987:978 4`62=99307b<75;29 10?2;3;7E:97:9~f6>329086=4?{%63`?4?l2B?:=5G4238 10?2;2h7)?i1;760>oam3:1(987:02:?>oan3:1(987:02:?>i50<0;6):98;0:4>=zj:2>6=4<:183!27l3>;7E:90:J774=#6=4+47:96<6<3th84;4?:283>5}#<9n1>5j4H543?M2492.?:54=8b9'5c7==<>0ekk50;&72=<68010ekh50;&72=<68010c?6::18'03>=:0:07pl6<729q/8=j529f8L1073A>8=6*;698110:<454igd94?"3>10:<454o3:6>5<#4>4;|`0e2<72;0;6=u+41f955e<@=<;7E:<1:&72==47??9:9l6=3=83.?:54=919K031<3th8m54?:383>5}#<9n1==m4H543?M2492.?:5475:&2b4<2==1bji4?:%655;50;&72=<5191C8;94;|`0e<<72:0;6=u+41f905=O;%656;:keb?6=,=<36<>6;:m1<0<72->=47<60:9~f6gf29086=4?{%63`?4?l2B?:=5G4238 10?2;2h7)?i1;760>oam3:1(987:02:?>oan3:1(987:02:?>i50<0;6):98;0:4>=zj<:?6=4=:183!27l3;;o6F;619K067<,=<365;4$0d2>0333`lo6=4+47:955?<3f8397>5$54;>7?73A>=;65rb426>5<5290;w):?d;33g>N3>91C8>?4$54;>=3<,8l:68;;;hdg>5<#=zj<:=6=4=:183!27l3;;o6F;619K067<,=<365;4$0d2>0333`lo6=4+47:955?<3f8397>5$54;>7?73A>=;65rb424>5<5290;w):?d;33g>N3>91C8>?4$54;>=3<,8l:68;;;hdg>5<#=zj<:36=4;:183!27l383i6F;619K067<,=<36?6l;%3e5?32<2cmi7>5$54;>46>32cmj7>5$54;>46>32c:<=4?:%655;50;&72=<51910qo;?9;290?6=8r.?=99307dhi:18'03>=99307d??0;29 10?28:276a=8483>!210382<65rb2c`>5<4290;w):?d;0;`>N3>91C8>?4$54;>7>d3-;m=7;:4:kea?6=,=<36<>6;:keb?6=,=<36<>6;:m1<0<72->=47<60:9~f6gc29096=4?{%63`?77k2B?:=5G4238 10?21?0(:477?l`c290/8;6511;8?j4?=3:1(987:3;3?M21?21vn>oj:181>5<7s->;h7??c:J725=O<:;0(987:978 4`62=99307b<75;29 10?2;3;7E:97:9~f6ga290?6=4?{%63`?263A>=<6F;309'03>=;o1/=k?55468mcc=83.?:54>0898mc`=83.?:54>0898m467290/8;6511;8?j4?=3:1(987:3;3?>{e;8k1<7=50;2x 16c2=:0D98?;I605>"3>108j6*>f08611=nnl0;6):98;33=>=nno0;6):98;33=>=h:1?1<7*;6981=5=53;294~"38m094i5G4728L1563->=47<7c:&2b4<2==1bjh4?:%655;50;&72=<51910qo=>e;297?6=8r.?=99307dhi:18'03>=99307b<75;29 10?2;3;76sm30d94?4=83:p(9>k:02`?M2182B??<5+47:9<0=#9o;198:4igf94?"3>10:<454o3:6>5<#4>4H544?>{e;;:1<7=50;2x 16c2;2o7E:90:J774=#5m4$0d2>0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wi???50;194?6|,=:o69>4H543?M2492.?:545;50;&72=<51910qo==2;297?6=8r.?"6n80>995ffd83>!2103;;565ffg83>!2103;;565`29794?"3>1095=54}c127?6=;3:1;%65=47??9:9jbc<72->=47??9:9l6=3=83.?:54=9198yg56<3:1>7>50z&74a<68j1C8;>4H512?!21032>7)?i1;760>oal3:1(987:02:?>i50<0;6):98;0:4>N3>>10qo=>5;296?6=8r.?0b9K036<@=9:7):98;:6?!7a93?>86gid;29 10?28:276a=8483>!210382<6F;6698yg56>3:1?7>50z&74a<50m1C8;>4H512?!210383o6*>f08611=nnl0;6):98;33=>=nno0;6):98;33=>=h:1?1<7*;6981=5=53;294~"38m0?<6F;619K067<,=<36>h4$0d2>0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wi?<650;194?6|,=:o6?6k;I654>N3;81/8;6529a8 4`62=99307dhi:18'03>=99307b<75;29 10?2;3;76s|1483>7}Y9<16?9<5fd9~w40=838pR<84=26e>cc8;296~X602789o4ie:p5<<72;qU=4523739b`=z{8k1<7{t9m0;6?uQ1e9>731=nl1v5<5sW;m70=<3;de?xu583:1>vP=0:?1b`?4?:3y]67=:;??1jk5rs3494?4|V;<01>:?:gd8yv402909wS<8;<17`?`a3ty947>52z\1<>;4=:0mj6s|2883>7}Y:016?875fg9~w7g=838pR?o4=27e>c`3lm70=<9;de?xu5k3:1>vP=c:?02gi4?:3y]6a=:;:?1jh5rs9094?1|V1801?7>:`4897?42on01><;:gg896gb2on01>?j:gg896732on0q~7=:1818?52;o<70:m9;dg?xu>;3:1>v362;c5?8?e2;2>7p}64;296~;><3839636b;df?xu>=3:1>v365;0;1>;>03lm7p}66;296~;>>38396369;df?xu>?3:1>v367;0;1>;>13lm7p}68;296~;>03839636a;df?xu>13:1>v369;0;1>;>i3lm7p}6a;296~;>i3839636b;de?xu>l3:1=6<7=0116?=h5899>7d4=0116?773=01165?478:?06fo4id:p6=7=83>p198>:g`897?620o01>>i:8g896g520o0q~<61;296~;51809i:523079ba=z{;396=4={<0:5??d348287<75:p6<5=838p1?7<:3:6?84><3lm7p}=f683>7}Y:o=01>=9:3:6?xu5n10;6?uQ2g:8965d2;2>7p}=fc83>7}Y:oh01>:<:3:6?xu5nj0;6?uQ2ga8962>2;2>7p}=fe83>6}Y:on0R?hn;<135?4?=2wx>kk50;0x97`b2;2>70=?1;df?xu5no0;6?u22gd96=3<5::;6kh4}r134?6=:r78<=4=849>757=no1v>>=:181[57:2789=4=849~w6642909wS=?3:?013<50<1v>>;:181[57<2789n4=849~w6622909wS=?5:?027<50<1v>>9:181[57>278:54=849~w6602909wS=?7:?02`<50<1v>>6:180[571278>94if:?641;2:l0mi63;f58ea>;28<0mh6s|30294?4|5::m6l84=232>7>23ty8=?4?:3y>75`=1j16?<652978yv56;3:1>v3<1281<0=:;8=1jh5rs237>5<5s49:87<75:?05370=>6;de?xu49?0;6?u230496=3<5:;<6kh4}r123?6=:r78=:4=849>74>=no1v>?6:18185693lo70=>b;0;1>{t;8k1<77>2349:n7hi;|q05f<72:qU?7c0349:i7hi;|q05a<72;q6?774=:1?0q~=>e;296~;49l0948523329bc=z{:;m6=4={<12b?4?=278><4if:p776=838p1>7}:;;;1>5;4=201>c`57z?0=694=849>771=no16??h5fg9>7<0=nm16584ie:?0;4;=0mh63<418ea>;4<80mh63<4e8ea>;4;4==0mh63<588ea>;4=h0mh63<5g8ea>;4>90mh63<648ea>;4>?0mh63<6c8ea>;4>j0mh63<478ea>;4<>0mh63<388ea>;4;h0mh63=fd8ea>;5no0mh6s|33494?4|5:8>6l84=20;>7>23ty8>:4?:3y>771=:1?01><7:gd8yv5513:1>v3<2881a2=:=9=1ji5rs20b>5<4s4995767;<6a2?>?3499n7<75:p77e=838p1>3lo7p}<2e83>7}:;;i1m;5232296=352z?06`<50<16?>>5fd9~w64a2909w0==f;0;1>;4;90mj6s|32094?4|5:986?6:;<102?`b3ty8?>4?:3y>762=:1?01>=::gd8yv54<3:1>v3<3481<0=:;:<1jk5rs21;>5<5s49857<75:?07f750;0x965f2;2>70=715=nl1v>:?:1818539383963<438eb>{t;=;1<77>2349??7hi;|q000<72;q6?9852978962>2oo0q~=;6;296~;4<>09485235:9bc=z{:><6=4={<17:k:3:6?85283ln7p}<4e83>7}:;=o1>5;4=26e>c`52z?00c<50<16?8>5fg9~w6352909w0=:3;0;1>;4=?0mi6s|34194?4|5:??6?6:;<161?`a3ty8994?:3y>703=:1?01>;9:gd8yv5203:1>v3<5881<0=:;5<5s49>m7<75:?01g70=:c;de?xu4=l0;6?u234d96=3<5:<96kk4}r16b?6=:r78:=4=849>737=no1v>8?:1818519383963<638eb>{t;?>1<77>2349=47hj;|q020<72;q6?;85297896002ol0q~=96;296~;4>>09485237:9bc=z{:8l:3:6?851l3lm7p}<6b83>7}:;?n1>5;4=24f>c`52z\035=:=8;1ji5rs25f>5<5s499i:3g4?85f>3lm7p}<8183>1}:;>l1m;5239296`1<5:8n6kk4=2c5>cc54z?0<4<5m>16?475fd9>776=nl16?<=5fg9~w6>52909w0=71;c5?85?>38396s|39194?4|5:286?6:;<1;0?`a3ty8494?:3y>7=2=:1?01>6::gd8yv5?=3:1>v3<8481<0=:;1<1jk5rs2:4>5<3s493;77=6=i?16?5652d58964b2ol014:5fg9~w6>>2909w0=78;c5?85>838396s|39c94?4|5:2j6?6:;<1;a?`b3ty84o4?:3y>7=d=:1?01>6j:gd8yv5?k3:1>v3<8b81<0=:;1l1jh5rs2:g>5<5s493h7<75:?0b2;2>70=60;df?xu40o0;6?u239d96=3<5:3;6kh4}r1:5?6=?r78>44n6:?0<22785<4=e69>77>=nl16594ie:?05g7>:`4896?42;2>70<64;df?xu41=0;6lu238696`1<5:386kk4=204>cc<5:8m6kk4=2;;>c`<5021jh5239a9bc=:;8k1jh523339b`=:;891jh5rs2;6>5<5s49287o9;<1:=?4?=2wx?4850;0x96?12;2>70=68;df?xu41>0;6?u238596=3<5:336<>?;|q0==<72;q6?465297896?>2ol0q~=n2;291~;4i;09i:52968e`>;40k0mh63<858ea>;4im0mh6s|3`194?4|5:k96l84=2c7>7>23ty8m84?:3y>7d4=1j16?lo52978yv5f>3:1>v35<5s49j;7<75:?0e<70=n9;de?xu4i00;6?u23`;96=3<5:kj6kh4}r1bf?6=>r785:4id:?:1?`a3493o7hj;<1bb?4?=278=l4if:?05c70=nf;de?xu4im0;6?u23`f96=3<5:km6kk4}r1ba?6=:r78mh4=849>7d`=99:0q~=m1;290~X4j816?l:5fg9>7d1=nm16?lm5fd9~w6de290c`<5=l<6kk4=40a>cb<5=l:6kj4=42;>c`i:7>52z\7f3=:h94}r6a4id:p0`c=838pR9kj;<6fb?4?=2wx8k>50;0x91ca2on019h;:3:6?xu3n80;6?u24g396=3<5=l?6kh4}r6e6?6=:r7?j?4=849>0c2=99:0q~:i3;296~;3n:0948524g69557m97>52z\7b0=:5;4}r6e2?6=:r7?j;4=849>0c1=no1v8><:181[37;27><44=849~w0632909w0;?4;0;1>;2810mi6s|51794?4|5<:>6?6:;<7370;?9;df?xu28>0;6?u251596=3<5<:26<>?;|q64=<72;q69=652978906>2ol0q~;>0;296~X299169vP:129>142=:1?0q~;>6;296~X29?169?j5fe9~w04?2909wS;=8:?66<<50<1v8{t=;h1<77>234?9i7hi;|q66f<72;q69?m52978904b28:;7p}:2e83>7}:=;n1>5;4=40f>4663ty>8i4?:4y]11b<5<;?6kk4=5d5>cb<5<8h6kj4=5d1>cb9rB??<5+41f9571<,8l;6>h6;h;g>5<5<5<5<1<75`1bf94?=n;>:1<75`4b;94?=h9<31<75`1c:94?=h:l0;66a86aib;29 10?2;3;76sm4`294?3=:3k:g68L1073A>8=6*>f08611=n1j0;66g6e;29?l>?2900el850;9l6`1=831i>h950;494?6|,=<36k94i02:>5<#5<#102h65f3b194?"3>108o>54i25g>5<#t$54;>10b3f82<7>5$54;>7?732wx>h950;0xZ7c0348n;7<60:pe3<72;qUm;522d5955?7}Y1j16>h959b9~w=>=838pR564=3g4>h950;9a6`1=83?1>78t$52g>c3<,8l:68;;;n0f3?6=3`8n:7>5;hc5>5<>da=3:1:7>50z&72=5$54;>5$54;>6e432c8;i4?:%654>50;&72=<51910qo:93;295?6=8r.?:54=8c9l6<3=83.?:54=9198yvg12909wSo9;46>3ty347>52z\;<>;a=33o7p}6e;296~X>m27m97=8d:p6`0=838pR?k9;6e43ty9i:4?:3y]6`1<5o?1>4>4}r606?6=:r7m977l;<657?4>=2wvn?4?:083>5}#<::156*;0e8eg>"3>10?:h5+1g3910252z\1a2=::l=1>h94}rc5>5<5sWk=70vP78:?1a253z?1a2<5m?16>h959d9>6?`e3->8<7m4}|`0gg<72<096;u+41f9b1=O;%33f?c<,8l:68;;;h;`>5<>of>3:17b50z&72=5$54;>5$54;>6e432c8;i4?:%654>50;&72=<51910qo:95;295?6=8r.?:54;6d9l6<6=83.?:54=9198yv4b?3:1>vP=e69>6`1=:0:0q~o9:181[g1348n;7??9:p=`<72;qU5h522d5972bh953b1891022;3;7psm3b83>0<52?q/8=j5f59K036<@=9:7)?i1;760>o>k3:17d7j:188m=>=831bm;4?::m1a2<722h9i:4?:783>5}#10:<454i8a94?"3>102o65f9e83>!21033o76g!21039h?65f36f94?"3>108;i54o3;3>5<#4>4;|`720<7280;6=u+47:903ck279i:46c:p<=<72;qU45522d59=a=z{oi1<76e434>=97<60:~fd2=8391>7:t$52g>c4<@=<;7E:<1:&2b4<2==1b454?::kb2?6=3f8n;7>5;c0f3?6==381:v*;0e8e1>"6n80>995`2d594?=n:l<1<75fa783>>o>m3:17d67:188fc3=83<1<7>t$54;>c1=n;j91<7*;6980g6=5$54;>7?732wi8;=50;394?6|,=<36?6m;n0:1?6=,=<36?7?;:pe3<72;qUm;52f4824<=z{121<7;a=382<6s|42094?4|5o?15n5247196<3:183!248330(9>k:ga8 10?2=iaj3:1(987:3;3?>{t:l=1<77}Yi?16>h95a79~w=>=838pR564=3g4>=>7c1348n;77j;<09bg=#<::1o6srb37f>5<42;0?w):?d;d1?M2182B??<5+1g39102>i5m>0;66l=e683>0<52?q/8=j5f49'5c7==<>0c?k8:188m7c12900el850;9j=`<722c347>5;cd6>5<1290;w):98;d4?l7713:1(987:02:?>o>k3:1(987:8a8?l?c290/8;659e98m6e4290/8;653b18?l50l3:1(987:25g?>i5190;6):98;0:4>=zj=<86=4>:183!210383n6a=9483>!210382<65rs`494?4|Vh<01k;511;8yv>?2909wS67;7}Y:l<01k;53b18yv4b?3:1>vP=e69>b0<5191v9==:1818`220i0198<:3;6?x{e:3:1=7>50z&775<>3->;h7hl;%65=47<60:9~w7c02909wS1vl850;0xZd0<5;o<6l84}r:;>5<5sW2370;5m>02i63=:g`8 1572j1vqo?:9;291?4=>r.?:477?l?d2900e4k50;9j<=<722cj:7>5;n0f3?6=3k8n;7>56;294~"3>10m;6g>0883>!2103;;565f9b83>!21033h76g6d;29 10?20n07d=l3;29 10?2:i876g<7e83>!210391095=54}c651?6=93:152z\1a2=::l=1>4>4}rc5>5<5sWk=70{t1l0;6?uQ9d9>6`1=;>n0q~7l:181[?d348n;77l;|q;{tnj0;6?u22d597f5<5=<>6?7?;|a6`<72:0969u+41f9b7=O;%3e5?32<2c347>5;hc5>5<5<22;0=w):?d;d6?!7a93?>86a=e683>>o5m?0;66gn6;29?l?b2900e5650;9ab0<72?0;6=u+47:9b2=n9931<7*;69824<==n1m0;6):98;;g?>o4k:0;6):98;1`7>=n;>n1<7*;69803a=4?:083>5}#5l4o3;6>5<#4>4;|qb2?6=:rTj:63i5;33=>{t010;6?uQ899>b0<>l2wx5h4?:3y]=`=:n<08;i5rs3g5>5<5sW8n:63i5;1`7>{t:l=1<77}:n<02o63;6281=0=zuk81<7?50;2x 157201/8=j5fb9'03>=:477?j`e290/8;652828?xu5m>0;6?uQ2d5897c02;o<7p}n6;296~Xf>279i:4n6:p<=<72;qU45522d59<==z{<0;6>u22d596`0<5;o<64k4=38ef>"3;90h7psm1c:94?5=:3>p(9>k:g08L1073A>8=6*>f08611=n010;66gn6;29?j4b?3:17or.?h950;9j6`0=831bm;4?::k:a?6=3`236=44bg794?0=83:p(987:g58m46>290/8;6511;8?l?d290/8;659b98m83:1(987:3;3?>{e7}Yi?16j84>089~w=>=838pR564=g79=a=z{0o1<7n0q~82wx8><50;0x9c3=1j168;=52878yxd5290:6=4?{%604??<,=:o6km4$54;>10b3-;m=7;:4:mef?6=,=<36?7?;:p6`1=838pR?k8;<0f3?4b?2wxm;4?:3y]e3=::l=1m;5rs9:94?4|V1201?k8:9:8yv3=839p1?k8:3g5?84b?33n70<5fc9'066=k2wvn<:;:180>7<3s->;h7h=;I654>N3;81/=k?55468m=>=831bm;4?::m1a2<722h9i:4?:481>3}#<9n1j85+1g391025<>o?03:17oh::185>5<7s->=47h8;h33=?6=,=<36<>6;:k:g?6=,=<364m4;h;g>5<#5<#9k;:m1=5<72->=47<60:9~f104290:6=4?{%65821vl850;0xZd0<5o?1==74}r:;>5<5sW2370h::8f8yv?b2909wS7j;61c3ty9i;4?:3y]6`0<5o?1?n=4}r0f3?6=:rT9i:52f481=5=z{=996=4={"6n80>995`fc83>!210382<65rs3g4>5<5sW8n;63=e681a2=z{h<1<7?348n;767;|q6>5<4s48n;7m2796kl4$513>f=zuk;hh7>53;090~"38m0m>6F;619K067<,8l:68;;;h:;>5<:477?j4b?3:17d56;294~"3>10m;6g>0883>!2103;;565f9b83>!21033h76g6d;29 10?20n07d=l3;29 10?2:i876g<7e83>!210391095=54}c657?6=93:1{t:l<1<77}Y:l=01k;52828yv24:3:1>v3i5;;`?821;38296srb383>4<729q/8>>59:&74a0ckl50;&72=<51910q~16>h952d58yvg12909wSo9;<0f3?g13ty347>52z\;<>;5m>0346s|5;297~;5m>09i;522d59=`=::3li7):<0;a8yxd6>>0;6>4=:5y'05b=n;1C8;>4H512?!7a93?>86g78;29?lg12900c?k8:188f7c0290>6?49{%63`?`23-;m=7;:4:m1a2<722c9i;4?::kb2?6=3`3n6=44i9:94?=en<0;6;4?:1y'03>=n>1b==750;&72=<68010e4m50;&72=<>k21b5i4?:%654?:%65=:0:07pl;6283>4<729q/8;6529`8k7?2290/8;652828?xuf>3:1>vPn6:?e1?7712wx454?:3y]<==:n<02h6s|9d83>7}Y1l16j84<7e9~w7c12909wSh950;0xZ7c034l>6?7?;|q777<72;q6j846c:?726<51<1vqo<50;394?6|,=9;645+41f9bf=#0333fli6=4+47:96<6<3ty9i:4?:3y]6`1<5;o<6?k8;|qb2?6=:rTj:63=e68b2>{t010;6?uQ899>6`1=011v84?:2y>6`1=:l<01?k8:8g8977:t$52g>c4<@=<;7E:<1:&2b4<2==1b454?::kb2?6=3f8n;7>5;c0f3?6==381:v*;0e8e1>"6n80>995`2d594?=n:l<1<75fa783>>o>m3:17d67:188fc3=83<1<7>t$54;>c1=n;j91<7*;6980g6=5$54;>7?732wi8;=50;394?6|,=<36?6m;n0:1?6=,=<36?7?;:pe3<72;qUm;52f4824<=z{121<7;a=382<6s|42094?4|5o?15n5247196<3:183!248330(9>k:ga8 10?2=iaj3:1(987:3;3?>{t:l=1<77}Yi?16>h95a79~w=>=838pR564=3g4>=>7c1348n;77j;<09bg=#<::1o6srb001>5<42;0?w):?d;d1?M2182B??<5+11`9a>"6n80>995f8983>>of>3:17bh850;9je3<722c2i7>5;h:;>5<6:18'03>=99307d7l:18'03>=1j10e4j50;&72=<>l21b?n=50;&72=<4k:10e>9k:18'03>=;>n07b<60;29 10?2;3;76sm47194?7=83:p(987:3:a?j4>=3:1(987:3;3?>{ti?0;6?uQa79>b0<6801v5650;0xZ=><5o?15i5rs8g94?4|V0o01k;536f8yv4b>3:1>vP=e79>b0<4k:1v?k8:181[4b?27m97<60:p064=838p1k;59b9>035=:0?0qpl=:182>5<7s->8<774$52g>ce<,=<3698j;%3e5?32<2emn7>5$54;>7?732wx>h950;0xZ7c0348n;7>5c:~f4e>290>6?49{%63`?`33A>=<6F;309'5c7==<>0e4m50;9j=`<722c347>5;hc5>5<5<1290;w):98;d4?l7713:1(987:02:?>o>k3:1(987:8a8?l?c290/8;659e98m6e4290/8;653b18?l50l3:1(987:25g?>i5190;6):98;0:4>=zj=<>6=4>:183!2103>=i6a=9183>!210382<65rs3g4>5<5sW8n;63=e681=5=z{h<1<7m279i:4<7e9~w08o>5247796<63}#<9n1j95G4728L1563-;m=7;:4:k:g?6=3`3n6=44i9:94?=ni?0;66a=e683>>d5m>0;6;4?:1y'03>=n>1b==750;&72=<68010e4m50;&72=<>k21b5i4?:%654?:%65=:0:07pl;6483>4<729q/8;6547g8k7?7290/8;652828?xu5m>0;6?uQ2d5897c02;3;7p}n6;296~Xf>279i:4>089~w61c3ty2o7>52z\:g>;5m>02o6s|8983>7}Y0116>h959e9~wce=838p1?k8:2a0?821=382<6srb0:3>5<22;0=w):?d;d7?M2182B??<5+1g39102>o?03:17do9:188k7c02900n?k8:185>5<7s->=47h8;h33=?6=,=<36<>6;:k:g?6=,=<364m4;h;g>5<#5<#9k;:m1=5<72->=47<60:9~f102290:6=4?{%65821v?k8:181[4b?279i:4=919~wd0=838pRl84=3g4>46>3ty2i7>52z\:a>;5m>08;i5rs8a94?4|V0i01?k8:8a8yv>?2909wS67;<0f3??c3tymo7>52z?1a2<4k:168;;52828yxd4nh0;6>4=:5y'05b=n;1C8;>4H512?!77j3o0(:477?l>?2900el850;9l6`1=831i>h950;796?0|,=:o6k;4$0d2>0333f8n;7>5;h0f2?6=3`k=6=44i8g94?=n010;66li5;292?6=8r.?:54i7:k24<<72->=47??9:9j=f<72->=477l;:k:`?6=,=<364j4;h1`7?6=,=<36>m<;:k03a<72->=47=8d:9l6<6=83.?:54=9198yg21;3:1=7>50z&72=<50k1d>4;50;&72=<51910q~o9:181[g134l>6<>6;|q;m3:1>vP6e:?e1?50l2wx>h850;0xZ7c134l>6>m<;|q1a2<72;qU>h94=g796<68>7>52z?e1??d34>=?7<65:~f7<7280;6=u+4229=>"38m0mo6*;69872`=#9o;198:4og`94?"3>1095=54}r0f3?6=:rT9i:522d596`16`1=1l16>7hm;%604?e1}#<9n1j?5G4728L1563-;;n7k4$0d2>0333`236=44i`494?=h:l=1<75m2d594?3=:3k:g78 4`623:17do9:188m3:1o6800;6):98;33=>=n1j0;6):98;;`?>o>l3:1(987:8f8?l5d;3:1(987:2a0?>o4?m0;6):98;14`>=h:0:1<7*;6981=5==?7>51;294~"3>1094o5`28794?"3>1095=54}rc5>5<5sWk=70h::02:?xu?03:1>vP78:?e1??c3ty2i7>52z\:a>;a=390;6?uQ2d589c3=:0:0q~:<2;296~;a=33h70:93;0:1>{zj;0;6<4?:1y'066=12.?l1/=k?55468kcd=83.?:54=9198yv4b?3:1>vP=e69>6`1=:l=0q~o9:181[g1348n;7o9;|q;{t=3:1?v3=e681a3=::l=15h522;da?!2483i0qpl;c`83>7<729q/8=j511a8L1073A>8=6*;698;1>"6n80>995ffe83>!2103;;565`29794?"3>1095=5G4758?xd6=>0;6?4?:1y'05b=99i0D98?;I605>"3>10396*>f08611=nnm0;6):98;33=>=h:1?1<7*;6981=5=Occ83>7<729q/8=j511a8L1073A>8=6*;698;1>"6n80>995ffe83>!2103;;565`29794?"3>1095=5G4758?xd3i:0;6?4?:1y'05b=99i0D98?;I605>"3>10396*>f08611=nnm0;6):98;33=>=h:1?1<7*;6981=5=O"6n80>995ffd83>!2103;;565ffg83>!2103;;565`29794?"3>1095=54}c63f?6=:3:1;%6523-;m=7;:4:ke`?6=,=<36<>6;:m1<0<72->=47<60:J722=;o7>53;294~"38m0?<6F;619K067<,=<36>h4$0d2>0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wi8nj50;194?6|,=:o69>4H543?M2492.?:545;50;&72=<51910qo:le;296?6=8r.?0b9K036<@=9:7):98;:6?!7a93?>86gid;29 10?28:276a=8483>!210382<6F;6698yg2dn3:1>7>50z&74a<68j1C8;>4H512?!21032>7)?i1;760>oal3:1(987:02:?>i50<0;6):98;0:4>N3>>10qo:k0;297?6=8r.?=99307dhi:18'03>=99307b<75;29 10?2;3;76sm4e394?5=83:p(9>k:528L1073A>8=6*;6980b>"6n80>995ffd83>!2103;;565ffg83>!2103;;565`29794?"3>1095=54}c35=?6=;3:1N3>91C8>?4$54;>6`<,8l:68;;;hdf>5<#5<#t$52g>46d3A>=<6F;309'03>=0<1/=k?55468mcb=83.?:54>0898k7>2290/8;652828L10032wi=;l50;194?6|,=:o69>4H543?M2492.?:545;50;&72=<51910qo:?0;296?6=8r.?0b9K036<@=9:7):98;:6?!7a93?>86gid;29 10?28:276a=8483>!210382<6F;6698yg27:3:1?7>50z&74a<50m1C8;>4H512?!210383o6*>f08611=nnl0;6):98;33=>=nno0;6):98;33=>=h:1?1<7*;6981=5=;?7>53;294~"38m0?<6F;619K067<,=<36>h4$0d2>0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wi8=:50;094?6|,=:o6<>l;I654>N3;81/8;65849'5c7==<>0ekj50;&72=<68010c?6::18'03>=:0:0D988;:a053=8381<7>t$52g>46d3A>=<6F;309'03>=0<1/=k?55468mcb=83.?:54>0898k7>2290/8;652828L10032wi8=850;194?6|,=:o69>4H543?M2492.?:545;50;&72=<51910qo:?7;297?6=8r.?=99307dhi:18'03>=99307b<75;29 10?2;3;76sm41:94?5=83:p(9>k:3:g?M2182B??<5+47:96=e<,8l:68;;;hdf>5<#5<#t$52g>16<@=<;7E:<1:&72=<4n2.:j<4:559jb`<72->=47??9:9jbc<72->=47??9:9l6=3=83.?:54=9198yg2793:1?7>50z&74a<50m1C8;>4H512?!210383o6*>f08611=nnl0;6):98;33=>=nno0;6):98;33=>=h:1?1<7*;6981=5=53;294~"38m0?<6F;619K067<,=<36>h4$0d2>0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wi?kj50;194?6|,=:o6?6k;I654>N3;81/8;6529a8 4`62=99307dhi:18'03>=99307b<75;29 10?2;3;76sm4`794?5=83:p(9>k:528L1073A>8=6*;6980b>"6n80>995ffd83>!2103;;565ffg83>!2103;;565`29794?"3>1095=54}c6b2?6=:3:1;%6523-;m=7;:4:ke`?6=,=<36<>6;:m1<0<72->=47<60:J722=j;7>53;294~"38m094i5G4728L1563->=47<7c:&2b4<2==1bjh4?:%655;50;&72=<51910qo?93;296?6=8r.?0b9K036<@=9:7):98;:6?!7a93?>86gid;29 10?28:276a=8483>!210382<6F;6698yg71<3:1>7>50z&74a<68j1C8;>4H512?!21032>7)?i1;760>oal3:1(987:02:?>i50<0;6):98;0:4>N3>>10qo?95;297?6=8r.?=99307dhi:18'03>=99307b<75;29 10?2;3;76sm17494?5=83:p(9>k:3:g?M2182B??<5+47:96=e<,8l:68;;;hdf>5<#5<#t$52g>16<@=<;7E:<1:&72=<4n2.:j<4:559jb`<72->=47??9:9jbc<72->=47??9:9l6=3=83.?:54=9198yg72k3:1>7>50z&74a<68j1C8;>4H512?!21032>7)?i1;760>oal3:1(987:02:?>i50<0;6):98;0:4>N3>>10qo?:d;296?6=8r.?0b9K036<@=9:7):98;:6?!7a93?>86gid;29 10?28:276a=8483>!210382<6F;6698yg72m3:1?7>50z&74a<382B?:=5G4238 10?2:l0(:477?l`b290/8;6511;8?l`a290/8;6511;8?j4?=3:1(987:3;3?>{e95m4$0d2>0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wi=nh50;194?6|,=:o69>4H543?M2492.?:545;50;&72=<51910qo?k6;296?6=8r.?0b9K036<@=9:7):98;:6?!7a93?>86gid;29 10?28:276a=8483>!210382<6F;6698yg7c?3:1>7>50z&74a<68j1C8;>4H512?!21032>7)?i1;760>oal3:1(987:02:?>i50<0;6):98;0:4>N3>>10qo?k8;296?6=8r.?0b9K036<@=9:7):98;:6?!7a93?>86gid;29 10?28:276a=8483>!210382<6F;6698yg7c13:1>7>50z&74a<68j1C8;>4H512?!21032>7)?i1;760>oal3:1(987:02:?>i50<0;6):98;0:4>N3>>10qo?ka;296?6=8r.?0b9K036<@=9:7):98;:6?!7a93?>86gid;29 10?28:276a=8483>!210382<6F;6698yg7cj3:1>7>50z&74a<68j1C8;>4H512?!21032>7)?i1;760>oal3:1(987:02:?>i50<0;6):98;0:4>N3>>10qo?kc;296?6=8r.?0b9K036<@=9:7):98;:6?!7a93?>86gid;29 10?28:276a=8483>!210382<6F;6698yg7cl3:1?7>50z&74a<50m1C8;>4H512?!210383o6*>f08611=nnl0;6):98;33=>=nno0;6):98;33=>=h:1?1<7*;6981=5=53;294~"38m0?<6F;619K067<,=<36>h4$0d2>0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wi=i?50;694?6|,=:o69?4H543?M2492.?:5450;&72=<68010c?6::18'03>=:0:07pl>d383>6<729q/8=j5419K036<@=9:7):98;1e?!7a93?>86gie;29 10?28:276gif;29 10?28:276a=8483>!210382<65rb0f0>5<4290;w):?d;0;`>N3>91C8>?4$54;>7>d3-;m=7;:4:kea?6=,=<36<>6;:keb?6=,=<36<>6;:m1<0<72->=47<60:9~f4b3290?6=4?{%63`?263A>=<6F;309'03>=;o1/=k?55468mcc=83.?:54>0898mc`=83.?:54>0898m467290/8;6511;8?j4?=3:1(987:3;3?>{e9m?1<7=50;2x 16c2;2o7E:90:J774=#5m4$0d2>0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wi=;j50;194?6|,=:o69>4H543?M2492.?:545;50;&72=<51910qo?83;296?6=8r.?0b9K036<@=9:7):98;:6?!7a93?>86gid;29 10?28:276a=8483>!210382<6F;6698yg70<3:1>7>50z&74a<68j1C8;>4H512?!21032>7)?i1;760>oal3:1(987:02:?>i50<0;6):98;0:4>N3>>10qo?85;297?6=8r.?=99307dhi:18'03>=99307b<75;29 10?2;3;76sm16494?5=83:p(9>k:528L1073A>8=6*;6980b>"6n80>995ffd83>!2103;;565ffg83>!2103;;565`29794?"3>1095=54}c343?6=:3:1;%6523-;m=7;:4:ke`?6=,=<36<>6;:m1<0<72->=47<60:J722=52;294~"38m0:=476:;%3e5?32<2cmh7>5$54;>46>32e9484?:%6582B?::54}c34=?6=:3:1;%6523-;m=7;:4:ke`?6=,=<36<>6;:m1<0<72->=47<60:J722=53;294~"38m094i5G4728L1563->=47<7c:&2b4<2==1bjh4?:%655;50;&72=<51910qo?9e;297?6=8r.?=99307dhi:18'03>=99307b<75;29 10?2;3;76sm17d94?5=83:p(9>k:528L1073A>8=6*;6980b>"6n80>995ffd83>!2103;;565ffg83>!2103;;565`29794?"3>1095=54}c344?6=;3:1;%65=47??9:9jbc<72->=47??9:9l6=3=83.?:54=9198yg7093:187>50z&74a<392B?:=5G4238 10?2:l0(:477?l`b290/8;6511;8?l`a290/8;6511;8?l7783:1(987:02:?>i50<0;6):98;0:4>=zj8=96=4<:183!27l383h6F;619K067<,=<36?6l;%3e5?32<2cmi7>5$54;>46>32cmj7>5$54;>46>32e9484?:%65821vn<6=:180>5<7s->;h7:?;I654>N3;81/8;653g9'5c7==<>0ekk50;&72=<68010ekh50;&72=<68010c?6::18'03>=:0:07pl>8283>6<729q/8=j529f8L1073A>8=6*;698110:<454igd94?"3>10:<454o3:6>5<#4>4;|`2<1<72;0;6=u+41f955e<@=<;7E:<1:&72==47??9:9l6=3=83.?:54=919K031<3th:484?:383>5}#<9n1==m4H543?M2492.?:5475:&2b4<2==1bji4?:%655;50;&72=<5191C8;94;|`2<3<72:0;6=u+41f905=O;%656;:keb?6=,=<36<>6;:m1<0<72->=47<60:9~f4>029086=4?{%63`?273A>=<6F;309'03>=;o1/=k?55468mcc=83.?:54>0898mc`=83.?:54>0898k7>2290/8;652828?xd6010;694?:1y'05b=<81C8;>4H512?!21039m7)?i1;760>oam3:1(987:02:?>oan3:1(987:02:?>o6890;6):98;33=>=h:1?1<7*;6981=5=53;294~"38m0?<6F;619K067<,=<36>h4$0d2>0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wi=9j50;094?6|,=:o6<>l;I654>N3;81/8;65849'5c7==<>0ekj50;&72=<68010c?6::18'03>=:0:0D988;:a51c=8381<7>t$52g>46d3A>=<6F;309'03>=0<1/=k?55468mcb=83.?:54>0898k7>2290/8;652828L10032wi=9h50;194?6|,=:o6?6k;I654>N3;81/8;6529a8 4`62=99307dhi:18'03>=99307b<75;29 10?2;3;76sm14294?4=83:p(9>k:02`?M2182B??<5+47:9<0=#9o;198:4igf94?"3>10:<454o3:6>5<#4>4H544?>{e9<;1<7<50;2x 16c28:h7E:90:J774=#6=4+47:96<6<@=<<76sm14094?4=83:p(9>k:02`?M2182B??<5+47:9<0=#9o;198:4igf94?"3>10:<454o3:6>5<#4>4H544?>{e9<91<7=50;2x 16c2=:0D98?;I605>"3>108j6*>f08611=nnl0;6):98;33=>=nno0;6):98;33=>=h:1?1<7*;6981=5=87>52;294~"38m0:=476:;%3e5?32<2cmh7>5$54;>46>32e9484?:%6582B?::54}c373?6=<3:1N3>91C8>?4$54;>6`<,8l:68;;;hdf>5<#5<#6;:m1<0<72->=47<60:9~f42?290?6=4?{%63`?263A>=<6F;309'03>=;o1/=k?55468mcc=83.?:54>0898mc`=83.?:54>0898m467290/8;6511;8?j4?=3:1(987:3;3?>{e9=31<7=50;2x 16c2=:0D98?;I605>"3>108j6*>f08611=nnl0;6):98;33=>=nno0;6):98;33=>=h:1?1<7*;6981=5=53;294~"38m094i5G4728L1563->=47<7c:&2b4<2==1bjh4?:%655;50;&72=<51910qo?;b;297?6=8r.?=99307dhi:18'03>=99307b<75;29 10?2;3;76sm15a94?2=83:p(9>k:3:f?M2182B??<5+47:96=e<,8l:68;;;hdf>5<#5<#6;:m1<0<72->=47<60:9~f4df29086=4?{%63`?273A>=<6F;309'03>=;o1/=k?55468mcc=83.?:54>0898mc`=83.?:54>0898k7>2290/8;652828?xd6k80;6?4?:1y'05b=99i0D98?;I605>"3>10396*>f08611=nnm0;6):98;33=>=h:1?1<7*;6981=5=Oc383>7<729q/8=j511a8L1073A>8=6*;698;1>"6n80>995ffe83>!2103;;565`29794?"3>1095=5G4758?xd6k:0;6>4?:1y'05b=:1n0D98?;I605>"3>1094n5+1g391026=4+47:96<6<3th:o94?:383>5}#<9n1==m4H543?M2492.?:5475:&2b4<2==1bji4?:%655;50;&72=<5191C8;94;|`2g0<72;0;6=u+41f955e<@=<;7E:<1:&72==47??9:9l6=3=83.?:54=919K031<3th:o;4?:383>5}#<9n1==m4H543?M2492.?:5475:&2b4<2==1bji4?:%655;50;&72=<5191C8;94;|`2g2<72:0;6=u+41f905=O;%656;:keb?6=,=<36<>6;:m1<0<72->=47<60:9~f4e?29096=4?{%63`?77k2B?:=5G4238 10?21?0(:477?l`c290/8;6511;8?j4?=3:1(987:3;3?M21?21vn5<7s->;h7:>;I654>N3;81/8;653g9'5c7==<>0ekk50;&72=<68010ekh50;&72=<68010e<>?:18'03>=99307b<75;29 10?2;3;76sm1ca94?2=83:p(9>k:538L1073A>8=6*;6980b>"6n80>995ffd83>!2103;;565ffg83>!2103;;565f11294?"3>10:<454o3:6>5<#4>4;|`2fa<72:0;6=u+41f905=O;%656;:keb?6=,=<36<>6;:m1<0<72->=47<60:9~f4db29086=4?{%63`?4?l2B?:=5G4238 10?2;2h7)?i1;760>oam3:1(987:02:?>oan3:1(987:02:?>i50<0;6):98;0:4>=zj8hm6=4<:183!27l3>;7E:90:J774=#6=4+47:96<6<3th:o=4?:583>5}#<9n1>5k4H543?M2492.?:54=8b9'5c7==<>0ekk50;&72=<68010ekh50;&72=<68010e<>?:18'03>=99307b<75;29 10?2;3;76sm3bf94?4=83:p(9>k:02`?M2182B??<5+47:9<0=#9o;198:4igf94?"3>10:<454o3:6>5<#4>4H544?>{e;jo1<7<50;2x 16c28:h7E:90:J774=#6=4+47:96<6<@=<<76sm3bd94?4=83:p(9>k:02`?M2182B??<5+47:9<0=#9o;198:4igf94?"3>10:<454o3:6>5<#4>4H544?>{e;m:1<7<50;2x 16c28:h7E:90:J774=#6=4+47:96<6<@=<<76sm3e394?5=83:p(9>k:528L1073A>8=6*;6980b>"6n80>995ffd83>!2103;;565ffg83>!2103;;565`29794?"3>1095=54}c1g6?6=;3:1;%65=47??9:9jbc<72->=47??9:9l6=3=83.?:54=9198yg5c;3:187>50z&74a<392B?:=5G4238 10?2:l0(:477?l`b290/8;6511;8?l`a290/8;6511;8?l7783:1(987:02:?>i50<0;6):98;0:4>=zj:n?6=4<:183!27l383h6F;619K067<,=<36?6l;%3e5?32<2cmi7>5$54;>46>32cmj7>5$54;>46>32e9484?:%65821v?k50;0xZ7c<5;o1>h94}r1`>5v37p}72;29e~X?:278oo4n6:?21<27?m>4id:?22<4if:?7e3pR4j4=5c3>=><58<:6564=2aa>=><5:i14552a58;<>;5=l03463>588;<>;5m32370?m8;:;?873<32370?ld;:;?871?32370?;3;:;?875:32370?l9;:;?872=32370?70;:;?85ai32370=ie;:;?xuf<3:1?v3n4;0f3>;4m3ln70?96;df?xuf=3:1>v3n4;c5?827k38396s|11d94?4|V8:m70?=2;c5?xu6:;0;68u213096`1<5=:;6kj4=2d`>c`<58n=6kj4=050>cb52z\266=:5<1sW;9m63;ce8ea>;6>00mi63;028ea>;60;0mj63{t9=81<74283>7}:9=91>h94=07a>cc54z\201=:9=>1>h94=065>cc<58><6kh4}r371?6=:r7:894n6:?20f<50<1v<:9:181873>383963>4b8eb>{t9==1<77>234;?m7hj;|q20=<72;q6=9652978942f2ol0q~?;9;296~;6<009485215a955652z?20d<50<16=9l5fd9~w42e2909w0?;b;0;1>;6o6?6:;<373?`b3ty:8h4?:3y>51c=:1?01<:8:023?xu636kh4}r364?6=:r7:9=4=849>51>=nl1v<;>:1818729383963>498245=z{8?96=4={<366?4?=27:8o4if:p505=838p1<;<:3:6?87313ln7p}>5583>7}:9<>1>5;4=06:>c`97>52z?210<5m>16=8=5fd9~w4312909w0?:5;c5?872?38396s|14;94?4|V8?270?:9;0f3>{t96183>1}Y9?:01<;l:gf894>12oo01>j=:gg8yv7193:1>vP>609>537=:l=0q~?92;296~;6>80j:63>6781<0=z{8<86=4={<357?4?=27::84ie:p532=838p1<8;:3:6?871=3lm7p}>6483>7}:9??1>5;4=045>c`53z\222=:9?=1>h94=04g>cc57z?22g<50<16=i?5fg9>520=nl16=9k5fe9>51`=no16=n?5fe9>5f5=no1v<86:1818711383963>6c8ea>{t9?k1<77>234;=n7hi;|q22f<72;q6=;95a79>524=:1?0q~?9d;296~;6>m09485217d9b`=z{87183>7}:9>:1>5;4=052>c`52z?234<50<16=:<5fg9~w4142909w0?83;0;1>;6?h0mi6s|16694?4|58=?6?6:;<34e?`a3ty:;84?:3y>523=:1?01<9?:gg8yv70>3:1>v3>7781<0=:9?o1jh5rs054>5<5s4;<;7<75:?22`70?81;df?xu6?00;6?u216;96=3<58=:6<>?;|q23d<72;q6=:o52978940a2ol0q~?70;297~;60909i:521e:9ba=:9>?1jk5rs0:2>5<5s4;3>7<75:?2<=42;2>70?76;de?xu60:0;6?u219696=3<582<6kk4}r3;0?6=:r7:484=849>5=1=no1v<6::18187?>383963>898ea>{t91<1<77>234;347??0:p5g>=83>pR5gc=nl1vbd8eb>{t9kn1<77>234;h<7??0:p5gc=838p1bg83>7}:9kl1>5;4=0a3>cc52z?2g4<50<16=ol5fd9~w4e52909w0?l2;0;1>;6jk0:<=5rs0a0>5<5s4;h?7<75:?2ff70?mc;df?xu6k<0;6?u21b796=3<58hh6<>?;|q2g3<72;q6=n85297894da2ol0q~?l7;296~;6k>0948521cf9b`=z{8i36=4={<3`c`83>7}:9j31m;521b`96=353z\2ga=:9jn1>h94=0ae>cc52z?2ga27:h84=849~w4ea2909w0?lf;0;1>;6l;0mi6s|1e294?4|58n;6?6:;<3g7?`b3ty:h<4?:3y>5a7=:1?01v3>d381<0=:9m?1jh5rs0f0>5<5s4;o?7<75:?2`170?k5;de?xu6l?0;6?u21e496=3<58no6kk4}r3g3?6=:r7:h:4=849>5ab=no1vd18eb>{t9m31<77>234;o=7hj;|q2`d<72;q6=io5297894b628:;7p}>dc83>7}:9mh1>5;4=0f7>cc52z?2`f<50<16=i:51128yv7cl3:1>v3>de81<0=:9m81jk5rs37f>5<1s49h6l84=37f>7c034;h577l;<361??d349n6kh4=040>cbj7>53z?11`27:4=46c:?2<=<50<1v?6>:18;82193li70:n0;;f?85dj33n70=l:8g8943>20o01vP<719>0f`=nm1v>mm:18585dj38n;63>6`8e`>;38=0mh63;a48ea>;6=k0mj63>848e`>{t;ji1<74>019~w6b72909w0=k0;0;1>;4l=0mj6s|3e394?4|5:n:6?6:;<1g6?`a3ty8h?4?:3y>7a4=:1?01>j<:gg8yv5c;3:1>v31jh5rs2db>5<68r78jl4=e69>05d=nm168=;5fe9>7ce=nl16=i95fe9>5ad=nm16=::5fe9>52>=nm16=5=5fg9>510=no16=8?5fe9>502=nm16=oo5fg9>5f3=nm16=n65fe9>7fc=nm1v>hm:18185ai3k=70=id;0;1>{t;oi1<77>2349mh7hi;|q0b`<728:p1?k5a79>7cc=:l=019>l:gg891652ol019>9:gg896`c2oo012on01<6<:gg8942c2on01<:i:gg894e52on01=:3:6?82703ln7p};0283>7}:<991>5;4=524>cc;87>52z?741<50<168=95fg9~w1622909w0:?5;0;1>;3800mj6s|41494?4|5=:=6?6:;<635?`b3ty?<:4?:3y>051=:1?019>7:gd8yv2703:1>v3;0981<0=:<931jh5rs52:>5<5s4>;57<75:?74470:?c;de?xu3010;6?uQ49:891eb2on0q~:n0;296~X3i9168l>52d58yv2f:3:1>v3;a18b2>;3i:09485rs5c7>5<5s4>j<77l;<6b3?4?=2wx8l;50;0x91g22;2>70:n7;df?xu3i?0;6?u24`496=3<5=k<6kh4}r6`=?6=:rT?o4524bc96=3ho7>52z?7gd;3l80mi6s|4bg94?4|5=in6?6:;<6g4?`b3ty?ok4?:3y>0f`=:1?019j?:gd8yv2c83:1>v3;d181<0=:5<4sW>oo63>568e`>;6=90mh6s|50494?5|V<;=70?lb;dg?87d<3lo7p}:4e83>0}Y==n01<6?:`4891ec2ol01a<6<3;nwE:<1:&74a50;9l0gg=831b=;?50;9j0=>=831d4?4?::ke4?6=3`8n47>5;h13=?6=3`9<<7>5;h332?6=3`9:o7>5;h36=?6=3k>im7>53;090~"38m0m>6F;619K067<,8l:68;;;h:;>5<:477?j4b?3:17d56;294~"3>10m;6g>0883>!2103;;565f9b83>!21033h76g6d;29 10?20n07d=l3;29 10?2:i876g<7e83>!210391095=54}c657?6=93:1{t:l<1<77}Y:l=01k;52828yv24:3:1>v3i5;;`?821;38296srb383>4<729q/8>>59:&74a0ckl50;&72=<51910q~16>h952d58yvg12909wSo9;<0f3?g13ty347>52z\;<>;5m>0346s|5;297~;5m>09i;522d59=`=::3li7):<0;a8yxd3>3:1?7<54z&74a=831bm;4?::m1a2<722h9i:4?:481>3}#<9n1j85+1g391025<>o?03:17oh::185>5<7s->=47h8;h33=?6=,=<36<>6;:k:g?6=,=<364m4;h;g>5<#5<#9k;:m1=5<72->=47<60:9~f104290:6=4?{%65821vl850;0xZd0<5o?1==74}r:;>5<5sW2370h::8f8yv?b2909wS7j;61c3ty9i;4?:3y]6`0<5o?1?n=4}r0f3?6=:rT9i:52f481=5=z{=996=4={"6n80>995`fc83>!210382<65rs3g4>5<5sW8n;63=e681a2=z{h<1<7?348n;767;|q6>5<4s48n;7m2796kl4$513>f=zuk??87>54;091~"38m0m:6F;619K067f08611=n010;66gn6;29?l4b>3:17bh850;9je3<722c2i7>5;h:;>5<6:18'03>=99307d7l:18'03>=1j10e4j50;&72=<>l21b?n=50;&72=<4k:10e>9k:18'03>=;>n07b<60;29 10?2;3;76sm47194?7=83:p(987:3:a?j4>=3:1(987:3;3?>{ti?0;6?uQa79>b0<6801v5650;0xZ=><5o?15i5rs8g94?4|V0o01k;536f8yv4b>3:1>vP=e79>b0<4k:1v?k8:181[4b?27m97<60:p064=838p1k;59b9>035=:0?0qpl>:182>5<7s->8<764$52g>ce<,=<3698j;%3e5?32<2emn7>5$54;>7?732wx>h950;0xZ7c0348n;72wxm;4?:3y]e3=::l=1m;5rs9:94?4|V1201?k8:9:8yv2=838p1?k8:8g894=47??9:9l6=3=83.?:54=919K031<3th387>53;294~"38m094i5G4728L1563->=47<7c:&2b4<2==1bjh4?:%655;50;&72=<51910qo:n:181>5<7s->;h7??c:J725=O<:;0(987:978 4`62=99307b<75;29 10?2;3;7E:97:9~f1e329096=4?{%63`?77k2B?:=5G4238 10?21?0(:477?l`c290/8;6511;8?j4?=3:1(987:3;3?M21?21vn8:l:181>5<7s->;h7??c:J725=O<:;0(987:978 4`62=99307b<75;29 10?2;3;7E:97:9~f11=8381<7>t$52g>46d3A>=<6F;309'03>=0<1/=k?55468mcb=83.?:54>0898k7>2290/8;652828L10032wi8n>50;194?6|,=:o69>4H543?M2492.?:545;50;&72=<51910qo:l1;296?6=8r.?0b9K036<@=9:7):98;:6?!7a93?>86gid;29 10?28:276a=8483>!210382<6F;6698yg2d:3:1?7>50z&74a<382B?:=5G4238 10?2:l0(:477?l`b290/8;6511;8?l`a290/8;6511;8?j4?=3:1(987:3;3?>{e==k1<7:50;2x 16c2=;0D98?;I605>"3>108j6*>f08611=nnl0;6):98;33=>=nno0;6):98;33=>=n99:1<7*;69824<=6=4+47:96<6<3th85o4?:283>5}#<9n1>5j4H543?M2492.?:54=8b9'5c7==<>0ekk50;&72=<68010ekh50;&72=<68010c?6::18'03>=:0:07pl<9b83>6<729q/8=j5419K036<@=9:7):98;1e?!7a93?>86gie;29 10?28:276gif;29 10?28:276a=8483>!210382<65rb2;g>5<5290;w):?d;33g>N3>91C8>?4$54;>=3<,8l:68;;;hdg>5<#=zj:3n6=4<:183!27l383h6F;619K067<,=<36?6l;%3e5?32<2cmi7>5$54;>46>32cmj7>5$54;>46>32e9484?:%65821vn>7i:180>5<7s->;h7:?;I654>N3;81/8;653g9'5c7==<>0ekk50;&72=<68010ekh50;&72=<68010c?6::18'03>=:0:07pl6<729q/8=j529f8L1073A>8=6*;698110:<454igd94?"3>10:<454o3:6>5<#4>4;|`0e4<72:0;6=u+41f905=O;%656;:keb?6=,=<36<>6;:m1<0<72->=47<60:9~w10=838p19852d589=2=nl1v9650;0x910=01168:4=849~w1?=838p1985a79>0d<50<1v5<50;6xZ=4<51>1>5;4=5a3>cc<5<>j6kh4}r:0>5<5s4286?6:;<:7>c`11g=99:0q~?:9;296~X6=016?4l5fg9~w4072909wS?90:?0=ah64=2c2>cc52z\04<=:;0i1jh5rs23`>5<5sW9:o63<9b8eb>{t;>:1<7j3ln7p}<9`83>6}:5;4}r1:f?6=:r785o4=849>7d6=nl1v>7l:18185>k383963<9g8ea>{t;0n1<77>23492i7hi;|q0=`<72;q6?4k5297896?a2ol0q~=6f;296~;41o0948523`29bc=z{:k;6=4={<1b4?4?=278m<4if:p0=>=83>pR967;<770?4b>273?7hk;<6b>cbim7>52z\7fd=:h94}r6ab?6=:r7?o94id:?7g7<50<1v9m?:18182d8383963;c38ea>{t7>234>h>7hi;|q7g6<72;q68oo5a79>0f2=:1?0q~;;4;296~X2<=1699:52d58yv3313:1>v3:4b8e`>;25<5s4??87o9;<77g?4?=2wvn99k:1822?d>2j?pD9=>;%63`?75>2.:j=45;h::>5<5<k1<75`50494?=n9ji1<75f1bf94?=n<0l1<75`4b794?=h>i2:o0;66l;6083>4<729q/8=j5fb9K036<@=9:7):98;65a>"6n80>995`fc83>!210382<65rb40e>5<22;0=w):?d;d7?M2182B??<5+1g39102>o?03:17do9:188k7c02900n?k8:185>5<7s->=47h8;h33=?6=,=<36<>6;:k:g?6=,=<364m4;h;g>5<#5<#9k;:m1=5<72->=47<60:9~f102290:6=4?{%65821v?k8:181[4b?279i:4=919~wd0=838pRl84=3g4>46>3ty2i7>52z\:a>;5m>08;i5rs8a94?4|V0i01?k8:8a8yv>?2909wS67;<0f3??c3tymo7>52z?1a2<4k:168;;52828yxddk3:1?7<54z&74ah950;9a6`1=83?1>78t$52g>c3<,8l:68;;;n0f3?6=3`8n:7>5;hc5>5<>da=3:1:7>50z&72=5$54;>5$54;>6e432c8;i4?:%654>50;&72=<51910qo:93;295?6=8r.?:54=8c9l6<3=83.?:54=9198yvg12909wSo9;46>3ty347>52z\;<>;a=33o7p}6e;296~X>m27m97=8d:p6`0=838pR?k9;6e43ty9i:4?:3y]6`1<5o?1>4>4}r606?6=:r7m977l;<657?4>=2wvn?4?:083>5}#<::156*;0e8eg>"3>10?:h5+1g3910252z\1a2=::l=1>h94}rc5>5<5sWk=70vP78:?1a253z?1a2<5m?16>h959d9>6?`e3->8<7m4}|``N3>91C8>?4$0d2>0333`236=44i`494?=h:l=1<75m2d594?3=:3k:g78 4`623:17do9:188m3:1o6800;6):98;33=>=n1j0;6):98;;`?>o>l3:1(987:8f8?l5d;3:1(987:2a0?>o4?m0;6):98;14`>=h:0:1<7*;6981=5==?7>51;294~"3>1094o5`28794?"3>1095=54}rc5>5<5sWk=70h::02:?xu?03:1>vP78:?e1??c3ty2i7>52z\:a>;a=390;6?uQ2d589c3=:0:0q~:<2;296~;a=33h70:93;0:1>{zj;0;6<4?:1y'066=12.?l1/=k?55468kcd=83.?:54=9198yv4b?3:1>vP=e69>6`1=:l=0q~o9:181[g1348n;7o9;|q;{t=3:1?v3=e681a3=::l=15h522;da?!2483i0qpll4;297?4=:477?l>?2900el850;9l6`1=831i>h950;796?0|,=:o6k;4$0d2>0333f8n;7>5;h0f2?6=3`k=6=44i8g94?=n010;66li5;292?6=8r.?:54i7:k24<<72->=47??9:9j=f<72->=477l;:k:`?6=,=<364j4;h1`7?6=,=<36>m<;:k03a<72->=47=8d:9l6<6=83.?:54=9198yg21;3:1=7>50z&72=<50k1d>4;50;&72=<51910q~o9:181[g134l>6<>6;|q;m3:1>vP6e:?e1?50l2wx>h850;0xZ7c134l>6>m<;|q1a2<72;qU>h94=g796<68>7>52z?e1??d34>=?7<65:~f7<7280;6=u+4229=>"38m0mo6*;69872`=#9o;198:4og`94?"3>1095=54}r0f3?6=:rT9i:522d596`16`1=1l16>7hm;%604?e53;090~"38m0m>6F;619K067<,8l:68;;;h:;>5<:477?j4b?3:17d56;294~"3>10m;6g>0883>!2103;;565f9b83>!21033h76g6d;29 10?20n07d=l3;29 10?2:i876g<7e83>!210391095=54}c657?6=93:1{t:l<1<77}Y:l=01k;52828yv24:3:1>v3i5;;`?821;38296srb383>4<729q/8>>59:&74a0ckl50;&72=<51910q~16>h952d58yvg12909wSo9;<0f3?g13ty347>52z\;<>;5m>0346s|5;297~;5m>09i;522d59=`=::3li7):<0;a8yxd5m3:1?7<54z&74ah950;9a6`1=83?1>78t$52g>c3<,8l:68;;;n0f3?6=3`8n:7>5;hc5>5<>da=3:1:7>50z&72=5$54;>5$54;>6e432c8;i4?:%654>50;&72=<51910qo:93;295?6=8r.?:54=8c9l6<3=83.?:54=9198yvg12909wSo9;46>3ty347>52z\;<>;a=33o7p}6e;296~X>m27m97=8d:p6`0=838pR?k9;6e43ty9i:4?:3y]6`1<5o?1>4>4}r606?6=:r7m977l;<657?4>=2wvn?4?:083>5}#<::156*;0e8eg>"3>10?:h5+1g3910252z\1a2=::l=1>h94}rc5>5<5sWk=70vP78:?1a253z?1a2<5m?16>h959d9>6?`e3->8<7m4}|`24c<72:0969u+41f9b7=O;%3e5?32<2c347>5;hc5>5<5<22;0=w):?d;d6?!7a93?>86a=e683>>o5m?0;66gn6;29?l?b2900e5650;9ab0<72?0;6=u+47:9b2=n9931<7*;69824<==n1m0;6):98;;g?>o4k:0;6):98;1`7>=n;>n1<7*;69803a=4?:083>5}#5l4o3;6>5<#4>4;|qb2?6=:rTj:63i5;33=>{t010;6?uQ899>b0<>l2wx5h4?:3y]=`=:n<08;i5rs3g5>5<5sW8n:63i5;1`7>{t:l=1<77}:n<02o63;6281=0=zuk81<7?50;2x 157201/8=j5fb9'03>=:477?j`e290/8;652828?xu5m>0;6?uQ2d5897c02;o<7p}n6;296~Xf>279i:4n6:p<=<72;qU45522d59<==z{<0;6>u22d596`0<5;o<64k4=38ef>"3;90h7psm19;94?5=:3>p(9>k:g08L1073A>8=6*>f08611=n010;66gn6;29?j4b?3:17or.?h950;9j6`0=831bm;4?::k:a?6=3`236=44bg794?0=83:p(987:g58m46>290/8;6511;8?l?d290/8;659b98m83:1(987:3;3?>{e7}Yi?16j84>089~w=>=838pR564=g79=a=z{0o1<7n0q~82wx8><50;0x9c3=1j168;=52878yxd5290:6=4?{%604??<,=:o6km4$54;>10b3-;m=7;:4:mef?6=,=<36?7?;:p6`1=838pR?k8;<0f3?4b?2wxm;4?:3y]e3=::l=1m;5rs9:94?4|V1201?k8:9:8yv3=839p1?k8:3g5?84b?33n70<5fc9'066=k2wvn7<3s->;h7h=;I654>N3;81/=k?55468m=>=831bm;4?::m1a2<722h9i:4?:481>3}#<9n1j85+1g391025<>o?03:17oh::185>5<7s->=47h8;h33=?6=,=<36<>6;:k:g?6=,=<364m4;h;g>5<#5<#9k;:m1=5<72->=47<60:9~f104290:6=4?{%65821vl850;0xZd0<5o?1==74}r:;>5<5sW2370h::8f8yv?b2909wS7j;61c3ty9i;4?:3y]6`0<5o?1?n=4}r0f3?6=:rT9i:52f481=5=z{=996=4={"6n80>995`fc83>!210382<65rs3g4>5<5sW8n;63=e681a2=z{h<1<7?348n;767;|q6>5<4s48n;7m2796kl4$513>f=zuk;53;090~"38m0m>6F;619K067<,8l:68;;;h:;>5<:477?j4b?3:17d56;294~"3>10m;6g>0883>!2103;;565f9b83>!21033h76g6d;29 10?20n07d=l3;29 10?2:i876g<7e83>!210391095=54}c657?6=93:1{t:l<1<77}Y:l=01k;52828yv24:3:1>v3i5;;`?821;38296srb383>4<729q/8>>59:&74a0ckl50;&72=<51910q~16>h952d58yvg12909wSo9;<0f3?g13ty347>52z\;<>;5m>0346s|5;297~;5m>09i;522d59=`=::3li7):<0;a8yxd>93:1?7<54z&74ah950;9a6`1=83?1>78t$52g>c3<,8l:68;;;n0f3?6=3`8n:7>5;hc5>5<>da=3:1:7>50z&72=5$54;>5$54;>6e432c8;i4?:%654>50;&72=<51910qo:93;295?6=8r.?:54=8c9l6<3=83.?:54=9198yvg12909wSo9;46>3ty347>52z\;<>;a=33o7p}6e;296~X>m27m97=8d:p6`0=838pR?k9;6e43ty9i:4?:3y]6`1<5o?1>4>4}r606?6=:r7m977l;<657?4>=2wvn?4?:083>5}#<::156*;0e8eg>"3>10?:h5+1g3910252z\1a2=::l=1>h94}rc5>5<5sWk=70vP78:?1a253z?1a2<5m?16>h959d9>6?`e3->8<7m4}|`653<72:0969u+41f9b7=O;%3e5?32<2c347>5;hc5>5<5<22;0=w):?d;d6?!7a93?>86a=e683>>o5m?0;66gn6;29?l?b2900e5650;9ab0<72?0;6=u+47:9b2=n9931<7*;69824<==n1m0;6):98;;g?>o4k:0;6):98;1`7>=n;>n1<7*;69803a=4?:083>5}#5l4o3;6>5<#4>4;|qb2?6=:rTj:63i5;33=>{t010;6?uQ899>b0<>l2wx5h4?:3y]=`=:n<08;i5rs3g5>5<5sW8n:63i5;1`7>{t:l=1<77}:n<02o63;6281=0=zuk81<7?50;2x 157201/8=j5fb9'03>=:477?j`e290/8;652828?xu5m>0;6?uQ2d5897c02;o<7p}n6;296~Xf>279i:4n6:p<=<72;qU45522d59<==z{<0;6>u22d596`0<5;o<64k4=38ef>"3;90h7psm4ea94?5=:3>p(9>k:g08L1073A>8=6*>f08611=n010;66gn6;29?j4b?3:17or.?h950;9j6`0=831bm;4?::k:a?6=3`236=44bg794?0=83:p(987:g58m46>290/8;6511;8?l?d290/8;659b98m83:1(987:3;3?>{e7}Yi?16j84>089~w=>=838pR564=g79=a=z{0o1<7n0q~82wx8><50;0x9c3=1j168;=52878yxd5290:6=4?{%604??<,=:o6km4$54;>10b3-;m=7;:4:mef?6=,=<36?7?;:p6`1=838pR?k8;<0f3?4b?2wxm;4?:3y]e3=::l=1m;5rs9:94?4|V1201?k8:9:8yv3=839p1?k8:3g5?84b?33n70<5fc9'066=k2wvn96j:180>7<3s->;h7h=;I654>N3;81/=k?55468m=>=831bm;4?::m1a2<722h9i:4?:481>3}#<9n1j85+1g391025<>o?03:17oh::185>5<7s->=47h8;h33=?6=,=<36<>6;:k:g?6=,=<364m4;h;g>5<#5<#9k;:m1=5<72->=47<60:9~f104290:6=4?{%65821vl850;0xZd0<5o?1==74}r:;>5<5sW2370h::8f8yv?b2909wS7j;61c3ty9i;4?:3y]6`0<5o?1?n=4}r0f3?6=:rT9i:52f481=5=z{=996=4={"6n80>995`fc83>!210382<65rs3g4>5<5sW8n;63=e681a2=z{h<1<7?348n;767;|q6>5<4s48n;7m2796kl4$513>f=zuk>h97>53;090~"38m0m>6F;619K067<,8l:68;;;h:;>5<:477?j4b?3:17d56;294~"3>10m;6g>0883>!2103;;565f9b83>!21033h76g6d;29 10?20n07d=l3;29 10?2:i876g<7e83>!210391095=54}c657?6=93:1{t:l<1<77}Y:l=01k;52828yv24:3:1>v3i5;;`?821;38296srb383>4<729q/8>>59:&74a0ckl50;&72=<51910q~16>h952d58yvg12909wSo9;<0f3?g13ty347>52z\;<>;5m>0346s|5;297~;5m>09i;522d59=`=::3li7):<0;a8yxdbj3:1?7<54z&74ah950;9a6`1=83?1>78t$52g>c3<,8l:68;;;n0f3?6=3`8n:7>5;hc5>5<>da=3:1:7>50z&72=5$54;>5$54;>6e432c8;i4?:%654>50;&72=<51910qo:93;295?6=8r.?:54=8c9l6<3=83.?:54=9198yvg12909wSo9;46>3ty347>52z\;<>;a=33o7p}6e;296~X>m27m97=8d:p6`0=838pR?k9;6e43ty9i:4?:3y]6`1<5o?1>4>4}r606?6=:r7m977l;<657?4>=2wvn?4?:083>5}#<::156*;0e8eg>"3>10?:h5+1g3910252z\1a2=::l=1>h94}rc5>5<5sWk=70vP78:?1a253z?1a2<5m?16>h959d9>6?`e3->8<7m4}|`771<72:0969u+41f9b7=O;%3e5?32<2c347>5;hc5>5<5<22;0=w):?d;d6?!7a93?>86a=e683>>o5m?0;66gn6;29?l?b2900e5650;9ab0<72?0;6=u+47:9b2=n9931<7*;69824<==n1m0;6):98;;g?>o4k:0;6):98;1`7>=n;>n1<7*;69803a=4?:083>5}#5l4o3;6>5<#4>4;|qb2?6=:rTj:63i5;33=>{t010;6?uQ899>b0<>l2wx5h4?:3y]=`=:n<08;i5rs3g5>5<5sW8n:63i5;1`7>{t:l=1<77}:n<02o63;6281=0=zuk81<7?50;2x 157201/8=j5fb9'03>=:477?j`e290/8;652828?xu5m>0;6?uQ2d5897c02;o<7p}n6;296~Xf>279i:4n6:p<=<72;qU45522d59<==z{<0;6>u22d596`0<5;o<64k4=38ef>"3;90h7psm13194?2=:3?p(9>k:g18L1073A>8=6*>f08611=n1l0;66g78;29?lg12900c?k8:188f7c0290>6?49{%63`?`23-;m=7;:4:m1a2<722c9i;4?::kb2?6=3`3n6=44i9:94?=en<0;6;4?:1y'03>=n>1b==750;&72=<68010e4m50;&72=<>k21b5i4?:%654?:%65=:0:07pl;6283>4<729q/8;6529`8k7?2290/8;652828?xuf>3:1>vPn6:?e1?7712wx454?:3y]<==:n<02h6s|9d83>7}Y1l16j84<7e9~w7c12909wSh950;0xZ7c034l>6?7?;|q777<72;q6j846c:?726<51<1vqo=50;394?6|,=9;6l5+41f9bf=#0333fli6=4+47:96<6<3ty9i:4?:3y]6`1<5;o<6?k8;|qb2?6=:rTj:63=e68b2>{t1l0;6?uQ9d9>6`1=1l1v5650;0xZ=><5;o<6564}r494?4|5;o<6?k9;<19bg=#<::1h6srb01;>5<32;0>w):?d;d0?M2182B??<5+1g39102>of>3:17bh850;9je3<722c2i7>5;h:;>5<6:18'03>=99307d7l:18'03>=1j10e4j50;&72=<>l21b?n=50;&72=<4k:10e>9k:18'03>=;>n07b<60;29 10?2;3;76sm47194?7=83:p(987:3:a?j4>=3:1(987:3;3?>{ti?0;6?uQa79>b0<6801v5650;0xZ=><5o?15i5rs8g94?4|V0o01k;536f8yv4b>3:1>vP=e79>b0<4k:1v?k8:181[4b?27m97<60:p064=838p1k;59b9>035=:0?0qpl<:182>5<7s->8<7o4$52g>ce<,=<3698j;%3e5?32<2emn7>5$54;>7?732wx>h950;0xZ7c0348n;7?348n;767;|q5>5<5s48n;7cd<,=9;6i5r}ccb>5<42;0?w):?d;d1?M2182B??<5+11`9a>"6n80>995f8983>>of>3:17bh850;9je3<722c2i7>5;h:;>5<6:18'03>=99307d7l:18'03>=1j10e4j50;&72=<>l21b?n=50;&72=<4k:10e>9k:18'03>=;>n07b<60;29 10?2;3;76sm47194?7=83:p(987:3:a?j4>=3:1(987:3;3?>{ti?0;6?uQa79>b0<6801v5650;0xZ=><5o?15i5rs8g94?4|V0o01k;536f8yv4b>3:1>vP=e79>b0<4k:1v?k8:181[4b?27m97<60:p064=838p1k;59b9>035=:0?0qpl=:182>5<7s->8<774$52g>ce<,=<3698j;%3e5?32<2emn7>5$54;>7?732wx>h950;0xZ7c0348n;7>5c:~f4?>29086?4;{%63`?`53A>=<6F;309'55d=m2.:j<4:559j<=<722cj:7>5;n0f3?6=3k8n;7>55;092~"38m0m96*>f08611=h:l=1<75f2d494?=ni?0;66g6e;29?l>?2900nk;50;494?6|,=<36k94i02:>5<#5<#102h65f3b194?"3>108o>54i25g>5<#t$54;>7>e3f8297>5$54;>7?732wxm;4?:3y]e3=:n<0:<45rs9:94?4|V1201k;59e9~w52z\1a3=:n<08o>5rs3g4>5<5sW8n;63i5;0:4>{t<:81<74;4}|`1>5<6290;w):<0;;8 16c2oi0(987:54f?!7a93?>86aib;29 10?2;3;76s|2d594?4|V;o<70{ti?0;6?uQa79>6`1=i?1v5650;0xZ=><5;o<6564}r794?5|5;o<6?k9;<0f3??b3481jo5+4229g>{zj83j6=4<:387!27l3l97E:90:J774=#99h1i6*>f08611=n010;66gn6;29?j4b?3:17or.?h950;9j6`0=831bm;4?::k:a?6=3`236=44bg794?0=83:p(987:g58m46>290/8;6511;8?l?d290/8;659b98m83:1(987:3;3?>{e7}Yi?16j84>089~w=>=838pR564=g79=a=z{0o1<7n0q~82wx8><50;0x9c3=1j168;=52878yxd5290:6=4?{%604??<,=:o6km4$54;>10b3-;m=7;:4:mef?6=,=<36?7?;:p6`1=838pR?k8;<0f3?4b?2wxm;4?:3y]e3=::l=1m;5rs9:94?4|V1201?k8:9:8yv3=839p1?k8:3g5?84b?33n70<5fc9'066=k2wvn<7i:180>7<3s->;h7h=;I654>N3;81/==l5e:&2b4<2==1b454?::kb2?6=3f8n;7>5;c0f3?6==381:v*;0e8e1>"6n80>995`2d594?=n:l<1<75fa783>>o>m3:17d67:188fc3=83<1<7>t$54;>c1=n;j91<7*;6980g6=5$54;>7?732wi8;=50;394?6|,=<36?6m;n0:1?6=,=<36?7?;:pe3<72;qUm;52f4824<=z{121<7;a=382<6s|42094?4|5o?15n5247196<3:183!248330(9>k:ga8 10?2=iaj3:1(987:3;3?>{t:l=1<77}Yi?16>h95a79~w=>=838pR564=3g4>=>7c1348n;77j;<09bg=#<::1o6srb0c4>5<42;0?w):?d;d1?M2182B??<5+11`9a>"6n80>995f8983>>of>3:17bh850;9je3<722c2i7>5;h:;>5<6:18'03>=99307d7l:18'03>=1j10e4j50;&72=<>l21b?n=50;&72=<4k:10e>9k:18'03>=;>n07b<60;29 10?2;3;76sm47194?7=83:p(987:3:a?j4>=3:1(987:3;3?>{ti?0;6?uQa79>b0<6801v5650;0xZ=><5o?15i5rs8g94?4|V0o01k;536f8yv4b>3:1>vP=e79>b0<4k:1v?k8:181[4b?27m97<60:p064=838p1k;59b9>035=:0?0qpl=:182>5<7s->8<774$52g>ce<,=<3698j;%3e5?32<2emn7>5$54;>7?732wx>h950;0xZ7c0348n;7>5c:~f4g?29086?4;{%63`?`53A>=<6F;309'55d=m2.:j<4:559j<=<722cj:7>5;n0f3?6=3k8n;7>55;092~"38m0m96*>f08611=h:l=1<75f2d494?=ni?0;66g6e;29?l>?2900nk;50;494?6|,=<36k94i02:>5<#5<#102h65f3b194?"3>108o>54i25g>5<#t$54;>7>e3f8297>5$54;>7?732wxm;4?:3y]e3=:n<0:<45rs9:94?4|V1201k;59e9~w52z\1a3=:n<08o>5rs3g4>5<5sW8n;63i5;0:4>{t<:81<74;4}|`1>5<6290;w):<0;;8 16c2oi0(987:54f?!7a93?>86aib;29 10?2;3;76s|2d594?4|V;o<70{ti?0;6?uQa79>6`1=i?1v5650;0xZ=><5;o<6564}r794?5|5;o<6?k9;<0f3??b3481jo5+4229g>{zj8k26=4<:387!27l3l97E:90:J774=#99h1i6*>f08611=n010;66gn6;29?j4b?3:17or.?h950;9j6`0=831bm;4?::k:a?6=3`236=44bg794?0=83:p(987:g58m46>290/8;6511;8?l?d290/8;659b98m83:1(987:3;3?>{e7}Yi?16j84>089~w=>=838pR564=g79=a=z{0o1<7n0q~82wx8><50;0x9c3=1j168;=52878yxd5290:6=4?{%604??<,=:o6km4$54;>10b3-;m=7;:4:mef?6=,=<36?7?;:p6`1=838pR?k8;<0f3?4b?2wxm;4?:3y]e3=::l=1m;5rs9:94?4|V1201?k8:9:8yv3=839p1?k8:3g5?84b?33n70<5fc9'066=k2wvn7<3s->;h7h=;I654>N3;81/==l5f:&2b4<2==1b454?::kb2?6=3f8n;7>5;c0f3?6==381:v*;0e8e1>"6n80>995`2d594?=n:l<1<75fa783>>o>m3:17d67:188fc3=83<1<7>t$54;>c1=n;j91<7*;6980g6=5$54;>7?732wi8;=50;394?6|,=<36?6m;n0:1?6=,=<36?7?;:pe3<72;qUm;52f4824<=z{121<7;a=382<6s|42094?4|5o?15n5247196<3:183!248330(9>k:ga8 10?2=iaj3:1(987:3;3?>{t:l=1<77}Yi?16>h95a79~w=>=838pR564=3g4>=>7c1348n;77j;<09bg=#<::1o6srbe094?5=939pD9=>;%63`?7a=2cj47>5;hc:>5<5<4290;w):?d;66b>N3>91C8>?4$54;>13b3-;m=7;:4:kea?6=,=<36<>6;:keb?6=,=<36<>6;:m1<0<72->=47<60:9~wd>=838pRl64=54`>c`7}Y;j80198l:3:6?x{el80;6>4>:2yK067<,=:o65<0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wxm54?:3y]e==:vP03e=:1?0qplk0;297?7=;rB??<5+41f95c3>i4k;0;66l;6b83>6<729q/8=j544d8L1073A>8=6*;69871`=#9o;198:4igg94?"3>10:<454igd94?"3>10:<454o3:6>5<#4>4;|qb{ti00;6?uQa89>03e=nl1v>m=:181[5d:27?:n4=849~yg2c;3:1>7>50z&74a<68j1C8;>4H512?!21032>7)?i1;760>oal3:1(987:02:?>i50<0;6):98;0:4>N3>>10qo?8d;296?6=8r.?0b9K036<@=9:7):98;:6?!7a93?>86gid;29 10?28:276a=8483>!210382<6F;6698yg70m3:1>7>50z&74a<68j1C8;>4H512?!21032>7)?i1;760>oal3:1(987:02:?>i50<0;6):98;0:4>N3>>10qo?8f;297?6=8r.?=99307dhi:18'03>=99307b<75;29 10?2;3;76sm4b:94?5=83:p(9>k:3:g?M2182B??<5+47:96=e<,8l:68;;;hdf>5<#5<#t$52g>46d3A>=<6F;309'03>=0<1/=k?55468mcb=83.?:54>0898k7>2290/8;652828L10032wi=?;50;094?6|,=:o6<>l;I654>N3;81/8;65849'5c7==<>0ekj50;&72=<68010c?6::18'03>=:0:0D988;:a0`b=8381<7>t$52g>46d3A>=<6F;309'03>=0<1/=k?55468mcb=83.?:54>0898k7>2290/8;652828L10032wi9?950;094?6|,=:o6<>l;I654>N3;81/8;65849'5c7==<>0ekj50;&72=<68010c?6::18'03>=:0:0D988;:a162=8381<7>t$52g>46d3A>=<6F;309'03>=0<1/=k?55468mcb=83.?:54>0898k7>2290/8;652828L10032wioh4?:383>5}#<9n1==m4H543?M2492.?:5475:&2b4<2==1bji4?:%655;50;&72=<5191C8;94;|``b?6=;3:1N3>91C8>?4$54;>6`<,8l:68;;;hdf>5<#5<#=47??9:9l6=3=83.?:54=919K031<3thhn7>53;294~"38m0?<6F;619K067<,=<36>h4$0d2>0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wio;4?:383>5}#<9n1==m4H543?M2492.?:5475:&2b4<2==1bji4?:%655;50;&72=<5191C8;94;|``3?6=;3:1N3>91C8>?4$54;>6`<,8l:68;;;hdf>5<#5<#=47??9:9l6=3=83.?:54=919K031<3tho87>53;294~"38m0?<6F;619K067<,=<36>h4$0d2>0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wih;4?:283>5}#<9n18=5G4728L1563->=47=i;%3e5?32<2cmi7>5$54;>46>32cmj7>5$54;>46>32e9484?:%65821vn97?:181>5<7s->;h7??c:J725=O<:;0(987:978 4`62=99307b<75;29 10?2;3;7E:97:9~f4>e29096=4?{%63`?77k2B?:=5G4238 10?21?0(:477?l`c290/8;6511;8?j4?=3:1(987:3;3?M21?21vn<6l:181>5<7s->;h7??c:J725=O<:;0(987:978 4`62=99307b<75;29 10?2;3;7E:97:9~f4>c290?6=4?{%63`?263A>=<6F;309'03>=;o1/=k?55468mcc=83.?:54>0898mc`=83.?:54>0898m467290/8;6511;8?j4?=3:1(987:3;3?>{e9k81<7=50;2x 16c2;2o7E:90:J774=#5m4$0d2>0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wi=o=50;094?6|,=:o6<>l;I654>N3;81/8;65849'5c7==<>0ekj50;&72=<68010c?6::18'03>=:0:0D988;:a5g2=8391<7>t$52g>7>c3A>=<6F;309'03>=:1i0(:477?l`b290/8;6511;8?l`a290/8;6511;8?j4?=3:1(987:3;3?>{e9k?1<7=50;2x 16c2=:0D98?;I605>"3>108j6*>f08611=nnl0;6):98;33=>=nno0;6):98;33=>=h:1?1<7*;6981=5=53;294~"38m094i5G4728L1563->=47<7c:&2b4<2==1bjh4?:%655;50;&72=<51910qo?nb;297?6=8r.?=99307dhi:18'03>=99307b<75;29 10?2;3;76sm1`a94?4=83:p(9>k:02`?M2182B??<5+47:9<0=#9o;198:4igf94?"3>10:<454o3:6>5<#4>4H544?>{e9hn1<7<50;2x 16c28:h7E:90:J774=#6=4+47:96<6<@=<<76sm1`g94?5=83:p(9>k:528L1073A>8=6*;6980b>"6n80>995ffd83>!2103;;565ffg83>!2103;;565`29794?"3>1095=54}c3bb?6=;3:1N3>91C8>?4$54;>6`<,8l:68;;;hdf>5<#5<#t$52g>46d3A>=<6F;309'03>=0<1/=k?55468mcb=83.?:54>0898k7>2290/8;652828L10032wi=l<50;094?6|,=:o6<>l;I654>N3;81/8;65849'5c7==<>0ekj50;&72=<68010c?6::18'03>=:0:0D988;:a5d5=8391<7>t$52g>16<@=<;7E:<1:&72=<4n2.:j<4:559jb`<72->=47??9:9jbc<72->=47??9:9l6=3=83.?:54=9198yg7f<3:1?7>50z&74a<50m1C8;>4H512?!210383o6*>f08611=nnl0;6):98;33=>=nno0;6):98;33=>=h:1?1<7*;6981=5=53;294~"38m0?<6F;619K067<,=<36>h4$0d2>0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wi=l850;194?6|,=:o6?6k;I654>N3;81/8;6529a8 4`62=99307dhi:18'03>=99307b<75;29 10?2;3;76sm18a94?5=83:p(9>k:3:g?M2182B??<5+47:96=e<,8l:68;;;hdf>5<#5<#t$52g>46d3A>=<6F;309'03>=0<1/=k?55468mcb=83.?:54>0898k7>2290/8;652828L10032wi=4k50;694?6|,=:o69?4H543?M2492.?:5450;&72=<68010c?6::18'03>=:0:07pl:3g83>0<729q/8=j5439K036<@=9:7):98;1e?!7a93?>86gie;29 10?28:276gif;29 10?28:276g>0183>!2103;;565f11394?"3>10:<454o3:6>5<#4>4;|`605<72;0;6=u+41f955e<@=<;7E:<1:&72==47??9:9l6=3=83.?:54=919K031<3th>8<4?:383>5}#<9n1==m4H543?M2492.?:5475:&2b4<2==1bji4?:%655;50;&72=<5191C8;94;|`607<72:0;6=u+41f905=O;%656;:keb?6=,=<36<>6;:m1<0<72->=47<60:9~f02429086=4?{%63`?273A>=<6F;309'03>=;o1/=k?55468mcc=83.?:54>0898mc`=83.?:54>0898k7>2290/8;652828?xdfk3:197>50z&74a<50o1C8;>4H512?!210383o6*>f08611=nnl0;6):98;33=>=nno0;6):98;33=>=n99:1<7*;69824<=5$54;>7?732wimi4?:283>5}#<9n18=5G4728L1563->=47=i;%3e5?32<2cmi7>5$54;>46>32cmj7>5$54;>46>32e9484?:%65821vnlk50;094?6|,=:o6<>l;I654>N3;81/8;65849'5c7==<>0ekj50;&72=<68010c?6::18'03>=:0:0D988;:aec<72:0;6=u+41f905=O;%656;:keb?6=,=<36<>6;:m1<0<72->=47<60:9~fg6=8391<7>t$52g>16<@=<;7E:<1:&72=<4n2.:j<4:559jb`<72->=47??9:9jbc<72->=47??9:9l6=3=83.?:54=9198ygd629086=4?{%63`?4?l2B?:=5G4238 10?2;2h7)?i1;760>oam3:1(987:02:?>oan3:1(987:02:?>i50<0;6):98;0:4>=zj=o86=4<:183!27l383h6F;619K067<,=<36?6l;%3e5?32<2cmi7>5$54;>46>32cmj7>5$54;>46>32e9484?:%65821vn9k;:181>5<7s->;h7??c:J725=O<:;0(987:978 4`62=99307b<75;29 10?2;3;7E:97:9~f1c229086=4?{%63`?273A>=<6F;309'03>=;o1/=k?55468mcc=83.?:54>0898mc`=83.?:54>0898k7>2290/8;652828?xd3m?0;6?4?:1y'05b=99i0D98?;I605>"3>10396*>f08611=nnm0;6):98;33=>=h:1?1<7*;6981=5=O6<729q/8=j5419K036<@=9:7):98;1e?!7a93?>86gie;29 10?28:276gif;29 10?28:276a=8483>!210382<65rb5g;>5<4290;w):?d;63?M2182B??<5+47:97c=#9o;198:4igg94?"3>10:<454igd94?"3>10:<454o3:6>5<#4>4;|`7a<<72:0;6=u+41f96=b<@=<;7E:<1:&72=<50j1/=k?55468mcc=83.?:54>0898mc`=83.?:54>0898k7>2290/8;652828?xd3mh0;694?:1y'05b=:1o0D98?;I605>"3>1094n5+1g391025$54;>7?732wi8hl50;194?6|,=:o69>4H543?M2492.?:545;50;&72=<51910qo;>d;297?6=8r.?"6n80>995ffd83>!2103;;565ffg83>!2103;;565`29794?"3>1095=54}c72a?6=:3:1;%6523-;m=7;:4:ke`?6=,=<36<>6;:m1<0<72->=47<60:J722=53;294~"38m0?<6F;619K067<,=<36>h4$0d2>0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wi9?>50;094?6|,=:o6<>l;I654>N3;81/8;65849'5c7==<>0ekj50;&72=<68010c?6::18'03>=:0:0D988;:a177=8391<7>t$52g>16<@=<;7E:<1:&72=<4n2.:j<4:559jb`<72->=47??9:9jbc<72->=47??9:9l6=3=83.?:54=9198yg35:3:1?7>50z&74a<382B?:=5G4238 10?2:l0(:477?l`b290/8;6511;8?l`a290/8;6511;8?j4?=3:1(987:3;3?>{e=;91<7=50;2x 16c2;2o7E:90:J774=#5m4$0d2>0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wi9?:50;694?6|,=:o6?6j;I654>N3;81/8;6529a8 4`62=99307dhi:18'03>=99307d??0;29 10?28:276a=8483>!210382<65rb406>5<4290;w):?d;63?M2182B??<5+47:97c=#9o;198:4igg94?"3>10:<454igd94?"3>10:<454o3:6>5<#4>4;|`673<72<0;6=u+41f907=O;%656;:keb?6=,=<36<>6;:k245<72->=47??9:9j557=83.?:54>0898k7>2290/8;652828?xd2;>0;6?4?:1y'05b=99i0D98?;I605>"3>10396*>f08611=nnm0;6):98;33=>=h:1?1<7*;6981=5=O7<729q/8=j511a8L1073A>8=6*;698;1>"6n80>995ffe83>!2103;;565`29794?"3>1095=5G4758?xd2;00;6?4?:1y'05b=99i0D98?;I605>"3>10396*>f08611=nnm0;6):98;33=>=h:1?1<7*;6981=5=O7<729q/8=j511a8L1073A>8=6*;698;1>"6n80>995ffe83>!2103;;565`29794?"3>1095=5G4758?xd2;k0;6>4?:1y'05b=<91C8;>4H512?!21039m7)?i1;760>oam3:1(987:02:?>oan3:1(987:02:?>i50<0;6):98;0:4>=zj<9h6=4<:183!27l383h6F;619K067<,=<36?6l;%3e5?32<2cmi7>5$54;>46>32cmj7>5$54;>46>32e9484?:%65821vn8=k:187>5<7s->;h7:>;I654>N3;81/8;653g9'5c7==<>0ekk50;&72=<68010ekh50;&72=<68010e<>?:18'03>=99307b<75;29 10?2;3;76sm12c94?4=83:p(9>k:02`?M2182B??<5+47:9<0=#9o;198:4igf94?"3>10:<454o3:6>5<#4>4H544?>{e9:h1<7<50;2x 16c28:h7E:90:J774=#6=4+47:96<6<@=<<76sm12a94?4=83:p(9>k:02`?M2182B??<5+47:9<0=#9o;198:4igf94?"3>10:<454o3:6>5<#4>4H544?>{e9:n1<7<50;2x 16c28:h7E:90:J774=#6=4+47:96<6<@=<<76sm12g94?4=83:p(9>k:02`?M2182B??<5+47:9<0=#9o;198:4igf94?"3>10:<454o3:6>5<#4>4H544?>{e9:l1<7<50;2x 16c28:h7E:90:J774=#6=4+47:96<6<@=<<76sm15294?0=83:p(9>k:518L1073A>8=6*;6980b>"6n80>995ffd83>!2103;;565ffg83>!2103;;565f11294?"3>10:<454i022>5<#6;:m1<0<72->=47<60:9~f426290?6=4?{%63`?4?m2B?:=5G4238 10?2;2h7)?i1;760>oam3:1(987:02:?>oan3:1(987:02:?>o6890;6):98;33=>=h:1?1<7*;6981=5=52;294~"38m0:=476:;%3e5?32<2cmh7>5$54;>46>32e9484?:%6582B?::54}c3:5?6=:3:1;%6523-;m=7;:4:ke`?6=,=<36<>6;:m1<0<72->=47<60:J722=7>52;294~"38m0:=476:;%3e5?32<2cmh7>5$54;>46>32e9484?:%6582B?::54}c3:7?6=:3:1;%6523-;m=7;:4:ke`?6=,=<36<>6;:m1<0<72->=47<60:J722=52;294~"38m0:=476:;%3e5?32<2cmh7>5$54;>46>32e9484?:%6582B?::54}c3:1?6=:3:1;%6523-;m=7;:4:ke`?6=,=<36<>6;:m1<0<72->=47<60:J722=52;294~"38m0:=476:;%3e5?32<2cmh7>5$54;>46>32e9484?:%6582B?::54}c3:3?6=;3:1N3>91C8>?4$54;>6`<,8l:68;;;hdf>5<#5<#=8321<7>t$52g>12<@=<;7E:<1:&72=<4n2.:j<4:559jb`<72->=47??9:9jbc<72->=47??9:9j556=83.?:54>0898m466290/8;6511;8?l77:3:1(987:02:?>o68:0;6):98;33=>=n99>1<7*;69824<=6=4+47:96<6<3th:5=4?:583>5}#<9n1>5k4H543?M2492.?:54=8b9'5c7==<>0ekk50;&72=<68010ekh50;&72=<68010e<>?:18'03>=99307b<75;29 10?2;3;76sm3383>0<729q/8=j529d8L1073A>8=6*;698110:<454igd94?"3>10:<454i023>5<#6;:m1<0<72->=47<60:9~f62=8391<7>t$52g>16<@=<;7E:<1:&72=<4n2.:j<4:559jb`<72->=47??9:9jbc<72->=47??9:9l6=3=83.?:54=9198yg5229096=4?{%63`?77k2B?:=5G4238 10?21?0(:477?l`c290/8;6511;8?j4?=3:1(987:3;3?M21?21vn>850;094?6|,=:o6<>l;I654>N3;81/8;65849'5c7==<>0ekj50;&72=<68010c?6::18'03>=:0:0D988;:a72<72;0;6=u+41f955e<@=<;7E:<1:&72==47??9:9l6=3=83.?:54=919K031<3th847>52;294~"38m0:=476:;%3e5?32<2cmh7>5$54;>46>32e9484?:%6582B?::54}c1:>5<4290;w):?d;63?M2182B??<5+47:97c=#9o;198:4igg94?"3>10:<454igd94?"3>10:<454o3:6>5<#4>4;|`0e?6==3:1N3>91C8>?4$54;>6`<,8l:68;;;hdf>5<#5<#6;:k244<72->=47??9:9l6=3=83.?:54=9198yg5e29086=4?{%63`?4?l2B?:=5G4238 10?2;2h7)?i1;760>oam3:1(987:02:?>oan3:1(987:02:?>i50<0;6):98;0:4>=zj:91<7=50;2x 16c2;2o7E:90:J774=#5m4$0d2>0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wi=N3;81/8;6529a8 4`62=99307dhi:18'03>=99307b<75;29 10?2;3;76sm10c94?4=83:p(9>k:02`?M2182B??<5+47:9<0=#9o;198:4igf94?"3>10:<454o3:6>5<#4>4H544?>{e98h1<7<50;2x 16c28:h7E:90:J774=#6=4+47:96<6<@=<<76sm10a94?5=83:p(9>k:528L1073A>8=6*;6980b>"6n80>995ffd83>!2103;;565ffg83>!2103;;565`29794?"3>1095=54}c32`?6=:3:1;%6523-;m=7;:4:ke`?6=,=<36<>6;:m1<0<72->=47<60:J722=52;294~"38m0:=476:;%3e5?32<2cmh7>5$54;>46>32e9484?:%6582B?::54}c32b?6=:3:1;%6523-;m=7;:4:ke`?6=,=<36<>6;:m1<0<72->=47<60:J722=52;294~"38m0:=476:;%3e5?32<2cmh7>5$54;>46>32e9484?:%6582B?::54}c315?6=:3:1;%6523-;m=7;:4:ke`?6=,=<36<>6;:m1<0<72->=47<60:J722=7>53;294~"38m0?<6F;619K067<,=<36>h4$0d2>0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wi=<=50;194?6|,=:o69>4H543?M2492.?:545;50;&72=<51910qo?>4;292?6=8r.?=99307dhi:18'03>=99307d??0;29 10?28:276g>0083>!2103;;565f11094?"3>10:<454o3:6>5<#4>4;|`250<72:0;6=u+41f96=b<@=<;7E:<1:&72=<50j1/=k?55468mcc=83.?:54>0898mc`=83.?:54>0898k7>2290/8;652828?xd69?0;6>4?:1y'05b=:1n0D98?;I605>"3>1094n5+1g391026=4+47:96<6<3th:=:4?:283>5}#<9n18=5G4728L1563->=47=i;%3e5?32<2cmi7>5$54;>46>32cmj7>5$54;>46>32e9484?:%65821vn5<7s->;h7<7d:J725=O<:;0(987:3:`?!7a93?>86gie;29 10?28:276gif;29 10?28:276a=8483>!210382<65rb03:>5<3290;w):?d;0;a>N3>91C8>?4$54;>7>d3-;m=7;:4:kea?6=,=<36<>6;:keb?6=,=<36<>6;:k245<72->=47??9:9l6=3=83.?:54=9198yg2>:3:1?7>50z&74a<50m1C8;>4H512?!210383o6*>f08611=nnl0;6):98;33=>=nno0;6):98;33=>=h:1?1<7*;6981=5=287>52;294~"38m0:=476:;%3e5?32<2cmh7>5$54;>46>32e9484?:%6582B?::54}c6:1?6=:3:1;%6523-;m=7;:4:ke`?6=,=<36<>6;:m1<0<72->=47<60:J722=2:7>52;294~"38m0:=476:;%3e5?32<2cmh7>5$54;>46>32e9484?:%6582B?::54}c6:3?6=:3:1;%6523-;m=7;:4:ke`?6=,=<36<>6;:m1<0<72->=47<60:J722=247>52;294~"38m0:=476:;%3e5?32<2cmh7>5$54;>46>32e9484?:%6582B?::54}c6:=?6=;3:1;%65=47??9:9jbc<72->=47??9:9l6=3=83.?:54=9198yg2>i3:1?7>50z&74a<382B?:=5G4238 10?2:l0(:477?l`b290/8;6511;8?l`a290/8;6511;8?j4?=3:1(987:3;3?>{e<0h1<7:50;2x 16c2=;0D98?;I605>"3>108j6*>f08611=nnl0;6):98;33=>=nno0;6):98;33=>=n99:1<7*;69824<=6=4+47:96<6<3th?5>4?:283>5}#<9n18=5G4728L1563->=47=i;%3e5?32<2cmi7>5$54;>46>32cmj7>5$54;>46>32e9484?:%65821vn9j9:180>5<7s->;h7:?;I654>N3;81/8;653g9'5c7==<>0ekk50;&72=<68010ekh50;&72=<68010c?6::18'03>=:0:07pl;d683>6<729q/8=j529f8L1073A>8=6*;698110:<454igd94?"3>10:<454o3:6>5<#4>4;|`7`=<72:0;6=u+41f905=O;%656;:keb?6=,=<36<>6;:m1<0<72->=47<60:9~fa>=8381<7>t$52g>46d3A>=<6F;309'03>=0<1/=k?55468mcb=83.?:54>0898k7>2290/8;652828L10032wiho4?:283>5}#<9n18=5G4728L1563->=47=i;%3e5?32<2cmi7>5$54;>46>32cmj7>5$54;>46>32e9484?:%65821vnim50;094?6|,=:o6<>l;I654>N3;81/8;65849'5c7==<>0ekj50;&72=<68010c?6::18'03>=:0:0D988;:a`a<72;0;6=u+41f955e<@=<;7E:<1:&72==47??9:9l6=3=83.?:54=919K031<3thoi7>52;294~"38m0:=476:;%3e5?32<2cmh7>5$54;>46>32e9484?:%6582B?::54}cfe>5<5290;w):?d;33g>N3>91C8>?4$54;>=3<,8l:68;;;hdg>5<#=zjl:1<7=50;2x 16c2=:0D98?;I605>"3>108j6*>f08611=nnl0;6):98;33=>=nno0;6):98;33=>=h:1?1<7*;6981=5=;7E:90:J774=#6=4+47:96<6<3thn>7>53;294~"38m094i5G4728L1563->=47<7c:&2b4<2==1bjh4?:%655;50;&72=<51910qoj6:187>5<7s->;h7:>;I654>N3;81/8;653g9'5c7==<>0ekk50;&72=<68010ekh50;&72=<68010e<>?:18'03>=99307b<75;29 10?2;3;76smd`83>6<729q/8=j529f8L1073A>8=6*;698110:<454igd94?"3>10:<454o3:6>5<#4>4;|`aa?6=:3:1;%6523-;m=7;:4:ke`?6=,=<36<>6;:m1<0<72->=47<60:J722=5$54;>46>32cmj7>5$54;>46>32c:<=4?:%655;50;&72=<51910qom?:181>5<7s->;h7??c:J725=O<:;0(987:978 4`62=99307b<75;29 10?2;3;7E:97:9~ff7=8391<7>t$52g>16<@=<;7E:<1:&72=<4n2.:j<4:559jb`<72->=47??9:9jbc<72->=47??9:9l6=3=83.?:54=9198yge529086=4?{%63`?273A>=<6F;309'03>=;o1/=k?55468mcc=83.?:54>0898mc`=83.?:54>0898k7>2290/8;652828?xdd;3:1?7>50z&74a<50m1C8;>4H512?!210383o6*>f08611=nnl0;6):98;33=>=nno0;6):98;33=>=h:1?1<7*;6981=5=70=<:gg8yv532909w0=;:3:6?85>2oo0q~=::1818522;2>70=6:gd8yv512909w0=9:3:6?85f2ol0q~=8:1818502;2>70=n:023?xu403:1>v3<8;0;1>;4i3;;=6s|3883>7}:;00948523c8ea>{t;h0;6?u23`81<0=:;k0mj6s|3c83>7}:;k094852328eb>{t?h0;6:uQ7`9>5=b=no16=o=5fe9>5dd=no16?94ie:?25f132370?6a;:;?87>n32370?n7;:;?87f032370?n9;:;?87e832370:60;dg?xu?n3:18vP7f:?66ccb7c034;3n7hk;<3a6?`a34;jh7hk;<3b0?`b34;2h7hk;cb<5=o=6kj4=403>cb<58;>6kk4=5;1>c`7c034km6kk4=5f4>ccd0<5k;1>5;4}rc`>5<5s4kh6?6:;c`7>234h;6kk4}rcf>5<5s4kn6?6:;<`3>c`7>234h:6kk4}r`3>5<5s4h;6?6:;<`2>c`f`fd<5m>16h=4n8:?g0?`a34?8j7??0:?673?:4id:?27dcb<5j:1ji5rsc`94??|5kk145524269<==:9:2145521319<==:mk03463lc;:;?8e?21201n:5899>ff<50<1voj50;0x9gg=i?16o>4=849~wgc=838p1ok529789f7=no1voh50;0x9g`=:1?01n<5fd9~wf6=838p1n>529789f4=no1vn?50;0x9f7=:1?01n=5fd9~wf4=838p1n<529789f5=no1vo950;:x9f2=:l=01i>5a89>`18=4id:?67d5<5s4i?6l84=b596=37>234i<6kh4}r`;>5<>s4i36?k8;d?<5m<1jh5252d9557<5<9=6kh4=41;>cb<589o6kj4=eg9ba=:jo0mj6s|c883>7}:k10j:63lb;0;1>{tkh0;6?u2c`81<0=:kk0mj6s|b883>=}:kj09i:52d38b=>;2<80mh63:388e`>;6<90mj63kb;de?8bc2on01oh51128yvec2909w0ml:`489f`=:1?0q~mj:1818eb2;2>70mi:gd8yvb42908w0j>:`:89a2=:1?01i85fg9~wa3=838p1i<5a99>`3<50<1vi950;6x9fc=nm16ol4id:?`2?`c34nj6?6:;|qg52z?g=?4?=27om7hi;|qgf?6=:r7on7<75:?f6?`b3tyoo7>52z?gg?4?=27n=7hj;|qg`?6=:r7oh7<75:?f5?`a3tyoi7>52z?ga?4?=27o57hj;|qgb?6=:r7oj7<75:?g=?7782wxi=4?:3y>a5<50<16hl4ie:pa4<72;q6i<4=849>a7a7<50<16h44if:pa6<72;q6h=4g2`4<4k;16oo4ie:pa0<72;q6h?4gcag<5m>16i=4ie:?`5?`b3ty:50;0x946a2h<01543=no1v178ea>{t98>1<77>234;::7hi;|q250<72;q6=<;5297894702ol0q~?>6;296~;69?09485210;955652z?252<50<16=<65fg9~w47?2909w0?>8;0;1>;6900mi6s|10c94?4|58;j6?6:;<326?`b3ty:=o4?:3y>54d=:1?01v3>1b81<0=:9891jh5rs03g>5<5s4;:h7<75:?25670?>4;df?xu69o0;6?u210d96=3<58;?6<>?;|q265<72;q6=?>52978947328::7p}>2083>7}:9;;1>5;4=037>4653ty:>>4?:4y]575<58886?k8;<3b5?`c34;2o7hj;<32e?`c3ty:>94?:3y>575=i?16=?;52978yv7403:19vP>399>56>=:l=01v3>398b2>;6<809485rs01b>5<5s4;8m7<75:?205l50;0x945e2;2>70?;0;334>{t9:i1<77>234;?<7??1:p56b=838p1<=k:3:6?87383;;>6s|12g94?4|589n6?6:;<375?`b3ty:?k4?:3y>56`=:1?01<:>:023?xu6<90;6?u215296=3<58>:6kh4}r36;6?k0j:6s|16`94?2|58=i6?k8;<34a?`c34>n97hj;<72b?`b3ty:;n4?:9y>52`=:1?01<6l:gf894d52oo010<4=nl168i85fd9~w41c2909w0?8d;0;1>;6?o0mi6s|16g94?4|58=n6?6:;<34b?`a3ty:444?:2y>5=?=:l=01<77:gg891b12ol0q~?7a;296~;60m09485219d9ba=z{82i6=4={<3;f?4?=27:4i4ie:p5=e=838p1<6l:3:6?87?l3;;<6s|19g94?4|58226l84=0;3>7>23ty:4k4?:3y>5=`=:1?01<78:gd8yv7>93:1>v3>9081<0=:9021jk5rs0;1>5<5s4;2>7<75:?2==<6891v<7<:18187>;383963>998244=z{83?6=4={<3:0?4?=27:554>029~w4?22909w0?65;0;1>;6110:5<5s4;2:7<75:?2==<68=1v<78:18187>?383963>918ea>{t9021<77>234;2<7??0:p53ln70?60;de?8502on01<<>:gf8yv7>i3:19v3>988b2>;61h09i:521869ba=:;?0mh63>218e`>{t90h1<7d0<583n6?6:;|q2=f<72;q6=4m5297894?b2oo0q~?6d;296~;61m09485218g95565cz?2=c<5m>168n65fd9>5d3=nl16=4k5fg9>ef<688168h75fd9>175=nl16=4;5fe9>777p}>a083>7}:9h;1>5;4=0c0>cc7>52z?2e7<50<16=l=5fg9~w4g42909w0?n3;0;1>;6i=0mj6s|1`694?4|58k?6?6:;<3b1?`a3ty:m84?:3y>5d3=:1?01a681a2=:198ea>;31>0mh6s|1`:94??|58k<6l84=0c;>7c034kh6kh4=5g0>c`<5<;o6kh4=0;1>cb<5:81==?4=032>cc<5=3>6kj4}r3b=?6=1r7:m54n6:?2e<<5m>16mn4ie:?7a2><4ie:?2=47??0:?2547p}>ac83>7}:9hh1>5;4=0ce>cc52z?2ef<50<16=lk5fd9~w4gc2909w0?nd;0;1>;6il0mj6s|1`g94?4|58kn6?6:;<3bb?`a3ty:n=4?:ey>5g6=:l=010`3=no1695<0=nm16=495fd9>715g6=i?16=o852978yv7e:3:1>v3>b381<0=:9k>1jh5rs0`0>5<5s4;i?7<75:?2f170?m5;de?xu6j<0;6?u21c796=3<58h=6kh4}r3`g?6=:rT:on525379b`=z{8io6=4={_3``>;6ll0j:6s|1eg94?5|58nn6?k8;<34`?`c34ko6kh4}r0;5?6=:r7?:<4ib:?66c<>m2wx8>:50;1x91532;o<70;3;;=6s|42794?4|5=9?6l84=515>7>23ty?4h4?:5y]0=c<5=2n6?k8;<317??b34;8477j;|q7140=01168im5899>0f3=011684>52978yv2>93:1>v3;8d8b2>;31:09485rs5;1>5<5s4>2>7<75:?7=<70:69;de?xu31<0;6?u248796=3<5=3i6kk4}r6:2?6=:r7?5;4=849>0?383963;9`8eb>{t<021<77>234>2?7hi;|q7=<<72;q68475297891?e2ol0q~:6a;296~;31h09485248`95562n7>52z?7=g<50<1684=5fd9~w1?a2909wS:6f:?2607c03ty?o:4?:3y>0f3=i?168n652978yv2c:3:1>vP;d39>0a5=:1?0q~:k5;296~;3l:0mh63;d981<0=z{=n=6=4={<6g2?4?=27?h:4if:p0a1=838p19j8:3:6?82c03lm7p};db83>6}Y7}:n?7>52z?7a6<50<168ho5fg9~w1c32909w0:j4;0;1>;3m>0mj6s|4d794?4|5=o>6?6:;<6f0`0=:1?019k7:gd8yv2b?3:1>v3;e681<0=:5<5s4>n47<75:?7ad<6891v9k6:18182b1383963;e`8ea>{t7>234>nn7hi;|q7af<72;q68im5a79>0`b=:1?0q~;?b;296~X28k16=>h5fe9~w0712908wS;>6:?653<5m>169=h4?:3y>14c=:1?018<>:gd8yv36n3:1>v3:1g81<0=:=;81jh5rs403>5<5s4?9<7<75:?66770;=3;de?xu2:;0;6?u253096=3<5<8?6<>?;|q666<72;q69?=5297890432oo0q~;=4;296~;2:=0948525379bc=z{<8=6=4={<722?g134?9;7<75:p17`=839pR87p}:3483>7}:=;l15n5252f96=352z?673<50<169>m5fd9~w0502909w0;<7;0;1>;2;k0mi6s|52:94?4|5<936?6:;<70f?`a3ty>?44?:3y>16?=:1?018=k:gg8yv34i3:1>v3:3`81<0=:=:n1==>4}r70f?6=:r7>?o4=849>16e=no1v8=l:181834k383963:3e8eb>{t=:o1<7cb<5<>86?6:;|q67c<72;q69>h5297890242oo0q~;;0;296~;2<90948525509b`=z{<>:6=4={<775?4?=27>8?4if:p114=838p18:=:3:6?833;3lm7p}:4583>7}Y==>019=9:gf8yxd3?h0;6h4mf;a4M2492.?7>5;n6bg?6=3`h96=44i2g5>5<5<k1<75f55f94?=h:l21<75f31;94?=e"3>10?:h5+1g39102?j7>53;090~"38m0m>6F;619K067<,8:i6h5+1g39102>i5m>0;66l=e683>0<52?q/8=j5f49'5c7==<>0c?k8:188m7c12900el850;9j=`<722c347>5;cd6>5<1290;w):98;d4?l7713:1(987:02:?>o>k3:1(987:8a8?l?c290/8;659e98m6e4290/8;653b18?l50l3:1(987:25g?>i5190;6):98;0:4>=zj=<86=4>:183!210383n6a=9483>!210382<65rs`494?4|Vh<01k;511;8yv>?2909wS67;7}Y:l<01k;53b18yv4b?3:1>vP=e69>b0<5191v9==:1818`220i0198<:3;6?x{e:3:1=7>50z&775<>3->;h7hl;%65=47<60:9~w7c02909wS1vl850;0xZd0<5;o<6l84}r:;>5<5sW2370;5m>02i63=:g`8 1572j1vqo:;c;297?4=m:d9'5c7==<>0e5650;9je3<722e9i:4?::`1a2<72<096;u+41f9b0=#9o;198:4o3g4>5<5$54;>46>32c2o7>5$54;>5$54;>61c32e95=4?:%65821vn98<:182>5<7s->=47<7b:m1=0<72->=47<60:9~wd0=838pRl84=g7955?6>9k;|q1a3<72;qU>h84=g797f552z\1a2=:n<095=5rs511>5<5s4l>64m4=540>7?23twi>7>51;294~"3;9027):?d;d`?!2103>=i6*>f08611=hnk0;6):98;0:4>=z{;o<6=4={_0f3>;5m>09i:5rs`494?4|Vh<01?k8:`48yv>?2909wS67;<0f3?>?3ty>6=4<{<0f3?4b>279i:46e:?1>cd<,=9;6n5r}c67=?6=;3818v*;0e8e6>N3>91C8>?4$02a>`=#9o;198:4i9:94?=ni?0;66a=e683>>d5m>0;684=:7y'05b=n<1/=k?55468k7c02900e?k9:188md0=831b5h4?::k;6=49:183!2103l<7d??9;29 10?28:276g6c;29 10?20i07d7k:18'03>=1m10e>m<:18'03>=;j907d=8d;29 10?2:=o76a=9183>!210382<65rb540>5<6290;w):98;0;f>i51<0;6):98;0:4>=z{h<1<7?34l>64j4}r;f>5<5sW3n70h::25g?xu5m?0;6?uQ2d489c3=;j90q~16j84=919~w1552909w0h::8a891042;3>7psm2;295?6=8r.??=46;%63`?`d3->=47:9e:&2b4<2==1djo4?:%65821v?k8:181[4b?279i:4=e69~wd0=838pRl84=3g4>d0;52oh0(9=?:b9~yg23=3:1?7<54z&74a=831bm;4?::m1a2<722h9i:4?:481>3}#<9n1j85+1g391025<>o?03:17oh::185>5<7s->=47h8;h33=?6=,=<36<>6;:k:g?6=,=<364m4;h;g>5<#5<#9k;:m1=5<72->=47<60:9~f104290:6=4?{%65821vl850;0xZd0<5o?1==74}r:;>5<5sW2370h::8f8yv?b2909wS7j;61c3ty9i;4?:3y]6`0<5o?1?n=4}r0f3?6=:rT9i:52f481=5=z{=996=4={"6n80>995`fc83>!210382<65rs3g4>5<5sW8n;63=e681a2=z{h<1<7?348n;767;|q6>5<4s48n;7m2796kl4$513>f=zuk8:m7>55;092~"38m0m86F;619K067<,8l:68;;;h;`>5<>of>3:17b50z&72=5$54;>5$54;>6e432c8;i4?:%654>50;&72=<51910qo:95;295?6=8r.?:54;6d9l6<6=83.?:54=9198yv4b?3:1>vP=e69>6`1=:0:0q~o9:181[g1348n;7??9:p=`<72;qU5h522d5972bh953b1891022;3;7psm20694?3=:3k:g68L1073A>8=6*>f08611=n1j0;66g6e;29?l>?2900el850;9l6`1=831i>h950;494?6|,=<36k94i02:>5<#5<#102h65f3b194?"3>108o>54i25g>5<#t$54;>10b3f82<7>5$54;>7?732wx>h950;0xZ7c0348n;7<60:pe3<72;qUm;522d5955?7}Y1j16>h959b9~w=>=838pR564=3g4>5;c0f3?6=>3:1o6800;6):98;33=>=n1j0;6):98;;`?>o>l3:1(987:8f8?l5d;3:1(987:2a0?>o4?m0;6):98;14`>=h:0:1<7*;6981=5==97>51;294~"3>10?:h5`28294?"3>1095=54}r0f3?6=:rT9i:522d596<67}Y1l16>h9536f8yv?d2909wS7l;<0f3??d3ty347>52z\;<>;5m>02h6s|fb83>7}::l=1?n=4=546>7?73twi>8850;796?0|,=:o6k:4H543?M2492.:j<4:559j=f<722c2i7>5;h:;>5<6:18'03>=99307d7l:18'03>=1j10e4j50;&72=<>l21b?n=50;&72=<4k:10e>9k:18'03>=;>n07b<60;29 10?2;3;76sm47794?7=83:p(987:54f?j4>83:1(987:3;3?>{t:l=1<77}Yi?16>h9511;8yv?b2909wS7j;<0f3?50l2wx5n4?:3y]=f=::l=15n5rs9:94?4|V1201?k8:8f8yv`d2909w0;3><095=5r}c066?6==381:v*;0e8e0>N3>91C8>?4$0d2>0333`3h6=44i8g94?=n010;66gn6;29?j4b?3:17o=47??9:9j=f<72->=477l;:k:`?6=,=<364j4;h1`7?6=,=<36>m<;:k03a<72->=47=8d:9l6<6=83.?:54=9198yg21=3:1=7>50z&72=<3>l1d>4>50;&72=<51910q~16>h952828yvg12909wSo9;<0f3?7712wx5h4?:3y]=`=::l=1?:j4}r;`>5<5sW3h70vP78:?1a2<>l2wxjn4?:3y>6`1=;j90198::3;3?x{e:=o1<7;52;4x 16c2o>0D98?;I605>"6n80>995f9b83>>o>m3:17d67:188md0=831d>h950;9a6`1=83<1<7>t$54;>c1=n;j91<7*;6980g6=5$54;>7?732wi8;;50;394?6|,=<3698j;n0:4?6=,=<36?7?;:p6`1=838pR?k8;<0f3?4>82wxm;4?:3y]e3=::l=1==74}r;f>5<5sW3n70{t1j0;6?uQ9b9>6`1=1j1v5650;0xZ=><5;o<64j4}rd`>5<5s48n;7=l3:?720<5191vqo<;a;291?4=>r.?:477?l?d2900e4k50;9j<=<722cj:7>5;n0f3?6=3k8n;7>56;294~"3>10m;6g>0883>!2103;;565f9b83>!21033h76g6d;29 10?20n07d=l3;29 10?2:i876g<7e83>!210391095=54}c651?6=93:152z\1a2=::l=1>4>4}rc5>5<5sWk=70{t1l0;6?uQ9d9>6`1=;>n0q~7l:181[?d348n;77l;|q;{tnj0;6?u22d597f5<5=<>6?7?;|a610=83?1>78t$52g>c2<@=<;7E:<1:&2b4<2==1b5n4?::k:a?6=3`236=44i`494?=h:l=1<75m2d594?0=83:p(987:g58m46>290/8;6511;8?l?d290/8;659b98m83:1(987:3;3?>{e{ti?0;6?uQa79>6`1=9930q~7j:181[?b348n;7=8d:p=f<72;qU5n522d59=f=z{121<77>55;092~"38m0m86F;619K067<,8l:68;;;h;`>5<>of>3:17b50z&72=5$54;>5$54;>6e432c8;i4?:%654>50;&72=<51910qo:95;295?6=8r.?:54;6d9l6<6=83.?:54=9198yv4b?3:1>vP=e69>6`1=:0:0q~o9:181[g1348n;7??9:p=`<72;qU5h522d5972bh953b1891022;3;7psm22g94?3=:3k:g68L1073A>8=6*>f08611=n1j0;66g6e;29?l>?2900el850;9l6`1=831i>h950;494?6|,=<36k94i02:>5<#5<#102h65f3b194?"3>108o>54i25g>5<#t$54;>10b3f82<7>5$54;>7?732wx>h950;0xZ7c0348n;7<60:pe3<72;qUm;522d5955?7}Y1j16>h959b9~w=>=838pR564=3g4>5<=1m10e>m<:18'03>=;j907d=8d;29 10?2:=o76a=9183>!210382<65rb546>5<6290;w):98;65a>i5190;6):98;0:4>=z{;o<6=4={_0f3>;5m>095=5rs`494?4|Vh<01?k8:02:?xu>m3:1>vP6e:?1a2<4?m1v4m50;0xZ5<5sW2370v3=e680g6=:4>4}|`75g<72<096;u+41f9b1=O;%33f?c<,8l:68;;;h;`>5<>of>3:17b50z&72=5$54;>5$54;>6e432c8;i4?:%654>50;&72=<51910qo:95;295?6=8r.?:54;6d9l6<6=83.?:54=9198yv4b?3:1>vP=e69>6`1=:0:0q~o9:181[g1348n;7??9:p=`<72;qU5h522d5972bh953b1891022;3;7psm40594?3=:3k:g68L1073A>8=6*>0c8f?!7a93?>86g6c;29?l?b2900e5650;9je3<722e9i:4?::`1a2<72?0;6=u+47:9b2=n9931<7*;69824<==n1m0;6):98;;g?>o4k:0;6):98;1`7>=n;>n1<7*;69803a=5}#5<#4>4;|q1a2<72;qU>h94=3g4>7?73tyj:7>52z\b2>;5m>0:<45rs8g94?4|V0o01?k8:25g?xu>k3:1>vP6c:?1a2<>k2wx454?:3y]<==::l=15i5rsga94?4|5;o<6>m<;<651?4>82wvn9?=:186>7<1s->;h7h;;I654>N3;81/==l5e:&2b4<2==1b5n4?::k:a?6=3`236=44i`494?=h:l=1<75m2d594?0=83:p(987:g58m46>290/8;6511;8?l?d290/8;659b98m83:1(987:3;3?>{e{ti?0;6?uQa79>6`1=9930q~7j:181[?b348n;7=8d:p=f<72;qU5n522d59=f=z{121<7>d5m>0;684=:7y'05b=n<1/=k?55468k7c02900e?k9:188md0=831b5h4?::k;6=49:183!2103l<7d??9;29 10?28:276g6c;29 10?20i07d7k:18'03>=1m10e>m<:18'03>=;j907d=8d;29 10?2:=o76a=9183>!210382<65rb540>5<6290;w):98;0;f>i51<0;6):98;0:4>=z{h<1<7?34l>64j4}r;f>5<5sW3n70h::25g?xu5m?0;6?uQ2d489c3=;j90q~16j84=919~w1552909w0h::8a891042;3>7psm2;295?6=8r.??=46;%63`?`d3->=47:9e:&2b4<2==1djo4?:%65821v?k8:181[4b?279i:4=e69~wd0=838pRl84=3g4>d0;52oh0(9=?:b9~ygcb29086?4;{%63`?`53A>=<6F;309'5c7==<>0e5650;9je3<722e9i:4?::`1a2<72<096;u+41f9b0=#9o;198:4o3g4>5<5$54;>46>32c2o7>5$54;>5$54;>61c32e95=4?:%65821vn98<:182>5<7s->=47<7b:m1=0<72->=47<60:9~wd0=838pRl84=g7955?6>9k;|q1a3<72;qU>h84=g797f552z\1a2=:n<095=5rs511>5<5s4l>64m4=540>7?23twi>7>51;294~"3;9027):?d;d`?!2103>=i6*>f08611=hnk0;6):98;0:4>=z{;o<6=4={_0f3>;5m>09i:5rs`494?4|Vh<01?k8:`48yv>?2909wS67;<0f3?>?3ty>6=4<{<0f3?4b>279i:46e:?1>cd<,=9;6n5r}c6bg?6=;3818v*;0e8e6>N3>91C8>?4$0d2>0333`236=44i`494?=h:l=1<75m2d594?3=:3k:g78 4`623:17do9:188m3:1o6800;6):98;33=>=n1j0;6):98;;`?>o>l3:1(987:8f8?l5d;3:1(987:2a0?>o4?m0;6):98;14`>=h:0:1<7*;6981=5==?7>51;294~"3>1094o5`28794?"3>1095=54}rc5>5<5sWk=70h::02:?xu?03:1>vP78:?e1??c3ty2i7>52z\:a>;a=390;6?uQ2d589c3=:0:0q~:<2;296~;a=33h70:93;0:1>{zj;0;6<4?:1y'066=12.?l1/=k?55468kcd=83.?:54=9198yv4b?3:1>vP=e69>6`1=:l=0q~o9:181[g1348n;7o9;|q;{t=3:1?v3=e681a3=::l=15h522;da?!2483i0qpl:0g83>6<52=q/8=j5f39K036<@=9:7)?i1;760>o?03:17do9:188k7c02900n?k8:186>7<1s->;h7h:;%3e5?32<2e9i:4?::k1a3<722cj:7>5;h;f>5<3<729q/8;65f69j55?=83.?:54>0898m=477k;:k0g6<72->=47=l3:9j72b=83.?:54<7e98k7?7290/8;652828?xd3>:0;6<4?:1y'03>=:1h0c?7::18'03>=:0:07p}n6;296~Xf>27m97??9:p<=<72;qU4552f48:`>{t1l0;6?uQ9d9>b0<4?m1v?k9:181[4b>27m97=l3:p6`1=838pR?k8;7?73ty???4?:3y>b0<>k27?:>4=949~yg4=83;1<7>t$513><=#<9n1jn5+47:903c<,8l:68;;;nda>5<#4>4;|q1a2<72;qU>h94=3g4>7c03tyj:7>52z\b2>;5m>0j:6s|8983>7}Y0116>h95899~w0<72:q6>h952d4897c020o01?4ib:&7751}#<9n1j?5G4728L1563-;m=7;:4:k;5<7)?i1;760>i5m>0;66g=e783>>of>3:17d7j:188m=>=831ij84?:783>5}#10:<454i8a94?"3>102o65f9e83>!21033o76g!21039h?65f36f94?"3>108;i54o3;3>5<#4>4;|`726<7280;6=u+47:96=d6=4+47:96<6<3tyj:7>52z\b2>;a=3;;56s|8983>7}Y0116j846d:p=`<72;qU5h52f4803a=z{;o=6=4={_0f2>;a=39h?6s|2d594?4|V;o<70h::3;3?xu3;;0;6?u2f48:g>;3>:09585r}c094?7=83:p(9=?:89'05b=nj1/8;6547g8 4`62=:0:07p}=e683>7}Y:l=01?k8:3g4?xuf>3:1>vPn6:?1a22wx454?:3y]<==::l=1455rs483>6}::l=1>h84=3g4>"6n80>995f8983>>of>3:17bh850;9je3<722c2i7>5;h:;>5<6:18'03>=99307d7l:18'03>=1j10e4j50;&72=<>l21b?n=50;&72=<4k:10e>9k:18'03>=;>n07b<60;29 10?2;3;76sm47194?7=83:p(987:3:a?j4>=3:1(987:3;3?>{ti?0;6?uQa79>b0<6801v5650;0xZ=><5o?15i5rs8g94?4|V0o01k;536f8yv4b>3:1>vP=e79>b0<4k:1v?k8:181[4b?27m97<60:p064=838p1k;59b9>035=:0?0qpl=:182>5<7s->8<774$52g>ce<,=<3698j;%3e5?32<2emn7>5$54;>7?732wx>h950;0xZ7c0348n;7>5c:~f76529086?4;{%63`?`53A>=<6F;309'5c7==<>0e5650;9je3<722e9i:4?::`1a2<72<096;u+41f9b0=#9o;198:4o3g4>5<5$54;>46>32c2o7>5$54;>5$54;>61c32e95=4?:%65821vn98<:182>5<7s->=47<7b:m1=0<72->=47<60:9~wd0=838pRl84=g7955?6>9k;|q1a3<72;qU>h84=g797f552z\1a2=:n<095=5rs511>5<5s4l>64m4=540>7?23twi>7>51;294~"3;9027):?d;d`?!2103>=i6*>f08611=hnk0;6):98;0:4>=z{;o<6=4={_0f3>;5m>09i:5rs`494?4|Vh<01?k8:`48yv>?2909wS67;<0f3?>?3ty>6=4<{<0f3?4b>279i:46e:?1>cd<,=9;6n5r}c6gf?6=;3818v*;0e8e6>N3>91C8>?4$0d2>0333`236=44i`494?=h:l=1<75m2d594?3=:3k:g78 4`623:17do9:188m3:1o6800;6):98;33=>=n1j0;6):98;;`?>o>l3:1(987:8f8?l5d;3:1(987:2a0?>o4?m0;6):98;14`>=h:0:1<7*;6981=5==?7>51;294~"3>1094o5`28794?"3>1095=54}rc5>5<5sWk=70h::02:?xu?03:1>vP78:?e1??c3ty2i7>52z\:a>;a=390;6?uQ2d589c3=:0:0q~:<2;296~;a=33h70:93;0:1>{zj;0;6<4?:1y'066=12.?l1/=k?55468kcd=83.?:54=9198yv4b?3:1>vP=e69>6`1=:l=0q~o9:181[g1348n;7o9;|q;{t=3:1?v3=e681a3=::l=15h522;da?!2483i0qpl=0`83>0<52?q/8=j5f59K036<@=9:7)?i1;760>o>k3:17d7j:188m=>=831bm;4?::m1a2<722h9i:4?:783>5}#10:<454i8a94?"3>102o65f9e83>!21033o76g!21039h?65f36f94?"3>108;i54o3;3>5<#4>4;|`720<7280;6=u+47:903ck279i:46c:p<=<72;qU45522d59=a=z{oi1<76e434>=97<60:~f774290>6?49{%63`?`33A>=<6F;309'5c7==<>0e4m50;9j=`<722c347>5;hc5>5<5<1290;w):98;d4?l7713:1(987:02:?>o>k3:1(987:8a8?l?c290/8;659e98m6e4290/8;653b18?l50l3:1(987:25g?>i5190;6):98;0:4>=zj=<>6=4>:183!2103>=i6a=9183>!210382<65rs3g4>5<5sW8n;63=e681=5=z{h<1<7m279i:4<7e9~w08o>5247796<63}#<9n1j95G4728L1563-;m=7;:4:k:g?6=3`3n6=44i9:94?=ni?0;66a=e683>>d5m>0;6;4?:1y'03>=n>1b==750;&72=<68010e4m50;&72=<>k21b5i4?:%654?:%65=:0:07pl;6483>4<729q/8;6547g8k7?7290/8;652828?xu5m>0;6?uQ2d5897c02;3;7p}n6;296~Xf>279i:4>089~w61c3ty2o7>52z\:g>;5m>02o6s|8983>7}Y0116>h959e9~wce=838p1?k8:2a0?821=382<6srb2`b>5<22;0=w):?d;d7?M2182B??<5+1g39102>o?03:17do9:188k7c02900n?k8:185>5<7s->=47h8;h33=?6=,=<36<>6;:k:g?6=,=<364m4;h;g>5<#5<#9k;:m1=5<72->=47<60:9~f102290:6=4?{%65821v?k8:181[4b?279i:4=919~wd0=838pRl84=3g4>46>3ty2i7>52z\:a>;5m>08;i5rs8a94?4|V0i01?k8:8a8yv>?2909wS67;<0f3??c3tymo7>52z?1a2<4k:168;;52828yxd6m80;6>4=:5y'05b=n;1C8;>4H512?!77j3o0(:477?l>?2900el850;9l6`1=831i>h950;796?0|,=:o6k;4$0d2>0333f8n;7>5;h0f2?6=3`k=6=44i8g94?=n010;66li5;292?6=8r.?:54i7:k24<<72->=47??9:9j=f<72->=477l;:k:`?6=,=<364j4;h1`7?6=,=<36>m<;:k03a<72->=47=8d:9l6<6=83.?:54=9198yg21;3:1=7>50z&72=<50k1d>4;50;&72=<51910q~o9:181[g134l>6<>6;|q;m3:1>vP6e:?e1?50l2wx>h850;0xZ7c134l>6>m<;|q1a2<72;qU>h94=g796<68>7>52z?e1??d34>=?7<65:~f7<7280;6=u+4229=>"38m0mo6*;69872`=#9o;198:4og`94?"3>1095=54}r0f3?6=:rT9i:522d596`16`1=1l16>7hm;%604?e1}#<9n1j?5G4728L1563-;;n7k4$0d2>0333`236=44i`494?=h:l=1<75m2d594?3=:3k:g78 4`623:17do9:188m3:1o6800;6):98;33=>=n1j0;6):98;;`?>o>l3:1(987:8f8?l5d;3:1(987:2a0?>o4?m0;6):98;14`>=h:0:1<7*;6981=5==?7>51;294~"3>1094o5`28794?"3>1095=54}rc5>5<5sWk=70h::02:?xu?03:1>vP78:?e1??c3ty2i7>52z\:a>;a=390;6?uQ2d589c3=:0:0q~:<2;296~;a=33h70:93;0:1>{zj;0;6<4?:1y'066=12.?l1/=k?55468kcd=83.?:54=9198yv4b?3:1>vP=e69>6`1=:l=0q~o9:181[g1348n;7o9;|q;{t=3:1?v3=e681a3=::l=15h522;da?!2483i0qpl;2483>6<62:qC8>?4$52g>4`23`k36=44i`;94?=h;j81<75m47a94?5=83:p(9>k:57e?M2182B??<5+47:900c<,8l:68;;;hdf>5<#5<#4>:2yK067<,=:o65<0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wxm54?:3y]e==:vP03e=:1?0qpl;2283>6<62:qC8>?4$52g>4`23`k36=44i`;94?=h;j81<75m47a94?5=83:p(9>k:57e?M2182B??<5+47:900c<,8l:68;;;hdf>5<#5<#4>:2yK067<,=:o65<0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wxm54?:3y]e==:vP03e=:1?0qpl;5283>6<62:qC8>?4$52g>4`23`k36=44i`;94?=h;j81<75m47a94?5=83:p(9>k:57e?M2182B??<5+47:900c<,8l:68;;;hdf>5<#5<#4>:2yK067<,=:o65<0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wxm54?:3y]e==:vP03e=:1?0qpl=6283>6<62:qC8>?4$52g>4`23`k36=44i`;94?=h;j81<75m47a94?5=83:p(9>k:57e?M2182B??<5+47:900c<,8l:68;;;hdf>5<#5<#=0;6>4>:2yK067<,=:o65<0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wxm54?:3y]e==:vP03e=:1?0qpl=6483>6<62:qC8>?4$52g>4`23`k36=44i`;94?=h;j81<75m47a94?5=83:p(9>k:57e?M2182B??<5+47:900c<,8l:68;;;hdf>5<#5<#?0;6>4>:2yK067<,=:o65<0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wxm54?:3y]e==:vP03e=:1?0qpl=6683>6<62:qC8>?4$52g>4`23`k36=44i`;94?=h;j81<75m47a94?5=83:p(9>k:57e?M2182B??<5+47:900c<,8l:68;;;hdf>5<#5<#10;6>4>:2yK067<,=:o65<0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wxm54?:3y]e==:vP03e=:1?0qpl=6883>6<62:qC8>?4$52g>4`23`k36=44i`;94?=h;j81<75m47a94?5=83:p(9>k:57e?M2182B??<5+47:900c<,8l:68;;;hdf>5<#5<#h0;6>4>:2yK067<,=:o65<0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wxm54?:3y]e==:vP03e=:1?0qpl=6083>6<62:qC8>?4$52g>4`23`k36=44i`;94?=h;j81<75m47a94?5=83:p(9>k:57e?M2182B??<5+47:900c<,8l:68;;;hdf>5<#5<#;0;6>4>:2yK067<,=:o65<0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wxm54?:3y]e==:vP03e=:1?0qpl=6183>6<62:qC8>?4$52g>4`23`k36=44i`;94?=h;j81<75m47a94?5=83:p(9>k:57e?M2182B??<5+47:900c<,8l:68;;;hdf>5<#5<#7>50z&74a<68j1C8;>4H512?!21032>7)?i1;760>oal3:1(987:02:?>i50<0;6):98;0:4>N3>>10qol::180>5<7s->;h7:?;I654>N3;81/8;653g9'5c7==<>0ekk50;&72=<68010ekh50;&72=<68010c?6::18'03>=:0:07pl;2d83>6<729q/8=j5419K036<@=9:7):98;1e?!7a93?>86gie;29 10?28:276gif;29 10?28:276a=8483>!210382<65rb2`:>5<5290;w):?d;33g>N3>91C8>?4$54;>=3<,8l:68;;;hdg>5<#=zj;>;6=4=:183!27l3;;o6F;619K067<,=<365;4$0d2>0333`lo6=4+47:955?<3f8397>5$54;>7?73A>=;65rb362>5<4290;w):?d;63?M2182B??<5+47:97c=#9o;198:4igg94?"3>10:<454igd94?"3>10:<454o3:6>5<#4>4;|`101<72;0;6=u+41f955e<@=<;7E:<1:&72==47??9:9l6=3=83.?:54=919K031<3th9884?:283>5}#<9n18=5G4728L1563->=47=i;%3e5?32<2cmi7>5$54;>46>32cmj7>5$54;>46>32e9484?:%65821vn?:7:181>5<7s->;h7??c:J725=O<:;0(987:978 4`62=99307b<75;29 10?2;3;7E:97:9~f72>29086=4?{%63`?273A>=<6F;309'03>=;o1/=k?55468mcc=83.?:54>0898mc`=83.?:54>0898k7>2290/8;652828?xd5"3>10396*>f08611=nnm0;6):98;33=>=h:1?1<7*;6981=5=O6<729q/8=j5419K036<@=9:7):98;1e?!7a93?>86gie;29 10?28:276gif;29 10?28:276a=8483>!210382<65rb373>5<5290;w):?d;33g>N3>91C8>?4$54;>=3<,8l:68;;;hdg>5<#=zj;?:6=4<:183!27l3>;7E:90:J774=#6=4+47:96<6<3th9994?:383>5}#<9n1==m4H543?M2492.?:5475:&2b4<2==1bji4?:%655;50;&72=<5191C8;94;|`110<72:0;6=u+41f905=O;%656;:keb?6=,=<36<>6;:m1<0<72->=47<60:9~f73?29096=4?{%63`?77k2B?:=5G4238 10?21?0(:477?l`c290/8;6511;8?j4?=3:1(987:3;3?M21?21vn?;6:180>5<7s->;h7:?;I654>N3;81/8;653g9'5c7==<>0ekk50;&72=<68010ekh50;&72=<68010c?6::18'03>=:0:07pl=5b83>7<729q/8=j511a8L1073A>8=6*;698;1>"6n80>995ffe83>!2103;;565`29794?"3>1095=5G4758?xd5=m0;6>4?:1y'05b=<91C8;>4H512?!21039m7)?i1;760>oam3:1(987:02:?>oan3:1(987:02:?>i50<0;6):98;0:4>=zj;;26=4<:183!27l383h6F;619K067<,=<36?6l;%3e5?32<2cmi7>5$54;>46>32cmj7>5$54;>46>32e9484?:%65821vn??9:181>5<7s->;h7??c:J725=O<:;0(987:978 4`62=99307b<75;29 10?2;3;7E:97:9~f77029086=4?{%63`?273A>=<6F;309'03>=;o1/=k?55468mcc=83.?:54>0898mc`=83.?:54>0898k7>2290/8;652828?xd59j0;6?4?:1y'05b=99i0D98?;I605>"3>10396*>f08611=nnm0;6):98;33=>=h:1?1<7*;6981=5=O6<729q/8=j5419K036<@=9:7):98;1e?!7a93?>86gie;29 10?28:276gif;29 10?28:276a=8483>!210382<65rb35f>5<4290;w):?d;63?M2182B??<5+47:97c=#9o;198:4igg94?"3>10:<454igd94?"3>10:<454o3:6>5<#4>4;|`13f<72:0;6=u+41f905=O;%656;:keb?6=,=<36<>6;:m1<0<72->=47<60:9~f71f29086=4?{%63`?273A>=<6F;309'03>=;o1/=k?55468mcc=83.?:54>0898mc`=83.?:54>0898k7>2290/8;652828?xd5?10;6>4?:1y'05b=<91C8;>4H512?!21039m7)?i1;760>oam3:1(987:02:?>oan3:1(987:02:?>i50<0;6):98;0:4>=zj;==6=4<:183!27l3>;7E:90:J774=#6=4+47:96<6<3th9;94?:283>5}#<9n18=5G4728L1563->=47=i;%3e5?32<2cmi7>5$54;>46>32cmj7>5$54;>46>32e9484?:%65821vn?9=:180>5<7s->;h7:?;I654>N3;81/8;653g9'5c7==<>0ekk50;&72=<68010ekh50;&72=<68010c?6::18'03>=:0:07pl=7183>6<729q/8=j5419K036<@=9:7):98;1e?!7a93?>86gie;29 10?28:276gif;29 10?28:276a=8483>!210382<65rb34f>5<4290;w):?d;63?M2182B??<5+47:97c=#9o;198:4igg94?"3>10:<454igd94?"3>10:<454o3:6>5<#4>4;|`12f<72:0;6=u+41f905=O;%656;:keb?6=,=<36<>6;:m1<0<72->=47<60:9~f13629086=4?{%63`?273A>=<6F;309'03>=;o1/=k?55468mcc=83.?:54>0898mc`=83.?:54>0898k7>2290/8;652828?xd34?:1y'05b=<91C8;>4H512?!21039m7)?i1;760>oam3:1(987:02:?>oan3:1(987:02:?>i50<0;6):98;0:4>=zj=>i6=4<:183!27l3>;7E:90:J774=#6=4+47:96<6<3th?8:4?:383>5}#<9n1==m4H543?M2492.?:5475:&2b4<2==1bji4?:%655;50;&72=<5191C8;94;|`70=<72:0;6=u+41f905=O;%656;:keb?6=,=<36<>6;:m1<0<72->=47<60:9~f13129086=4?{%63`?273A>=<6F;309'03>=;o1/=k?55468mcc=83.?:54>0898mc`=83.?:54>0898k7>2290/8;652828?xd3=10;6>4?:1y'05b=<91C8;>4H512?!21039m7)?i1;760>oam3:1(987:02:?>oan3:1(987:02:?>i50<0;6):98;0:4>=zj=8:6=4=:183!27l3;;o6F;619K067<,=<365;4$0d2>0333`lo6=4+47:955?<3f8397>5$54;>7?73A>=;65rb501>5<4290;w):?d;63?M2182B??<5+47:97c=#9o;198:4igg94?"3>10:<454igd94?"3>10:<454o3:6>5<#4>4;|`75a<72;0;6=u+41f955e<@=<;7E:<1:&72==47??9:9l6=3=83.?:54=919K031<3th?=h4?:283>5}#<9n18=5G4728L1563->=47=i;%3e5?32<2cmi7>5$54;>46>32cmj7>5$54;>46>32e9484?:%65821vn9?6:181>5<7s->;h7??c:J725=O<:;0(987:978 4`62=99307b<75;29 10?2;3;7E:97:9~f17f29086=4?{%63`?273A>=<6F;309'03>=;o1/=k?55468mcc=83.?:54>0898mc`=83.?:54>0898k7>2290/8;652828?xd39=0;6?4?:1y'05b=99i0D98?;I605>"3>10396*>f08611=nnm0;6):98;33=>=h:1?1<7*;6981=5=O7<729q/8=j511a8L1073A>8=6*;698;1>"6n80>995ffe83>!2103;;565`29794?"3>1095=5G4758?xd39?0;6>4?:1y'05b=<91C8;>4H512?!21039m7)?i1;760>oam3:1(987:02:?>oan3:1(987:02:?>i50<0;6):98;0:4>=zj=8<6=4<:183!27l3>;7E:90:J774=#6=4+47:96<6<3th?>44?:283>5}#<9n18=5G4728L1563->=47=i;%3e5?32<2cmi7>5$54;>46>32cmj7>5$54;>46>32e9484?:%65821vn5<7s->;h7??c:J725=O<:;0(987:978 4`62=99307b<75;29 10?2;3;7E:97:9~f4cb29086=4?{%63`?273A>=<6F;309'03>=;o1/=k?55468mcc=83.?:54>0898mc`=83.?:54>0898k7>2290/8;652828?xd6m90;694?:1y'05b=<81C8;>4H512?!21039m7)?i1;760>oam3:1(987:02:?>oan3:1(987:02:?>o6890;6):98;33=>=h:1?1<7*;6981=5=53;294~"38m0?<6F;619K067<,=<36>h4$0d2>0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wi?ok50;094?6|,=:o6<>l;I654>N3;81/8;65849'5c7==<>0ekj50;&72=<68010c?6::18'03>=:0:0D988;:a7g`=8381<7>t$52g>46d3A>=<6F;309'03>=0<1/=k?55468mcb=83.?:54>0898k7>2290/8;652828L10032wi?n>50;194?6|,=:o69>4H543?M2492.?:545;50;&72=<51910qo=l1;297?6=8r.?=99307dhi:18'03>=99307b<75;29 10?2;3;76sm21694??=83:p(9>k:578L1073A>8=6*;6980b>"6n80>995ffd83>!2103;;565ffg83>!2103;;565f11294?"3>10:<454i022>5<#6;:k246<72->=47??9:9j552=83.?:54>0898m462290/8;6511;8?j4?=3:1(987:3;3?>{e:9?1<7=50;2x 16c2=:0D98?;I605>"3>108j6*>f08611=nnl0;6):98;33=>=nno0;6):98;33=>=h:1?1<7*;6981=5=52;294~"38m0:=476:;%3e5?32<2cmh7>5$54;>46>32e9484?:%6582B?::54}c033?6=;3:1N3>91C8>?4$54;>6`<,8l:68;;;hdf>5<#5<#=8391<7>t$52g>16<@=<;7E:<1:&72=<4n2.:j<4:559jb`<72->=47??9:9jbc<72->=47??9:9l6=3=83.?:54=9198yg4713:1?7>50z&74a<382B?:=5G4238 10?2:l0(:477?l`b290/8;6511;8?l`a290/8;6511;8?j4?=3:1(987:3;3?>{e;k91<7=50;2x 16c2=:0D98?;I605>"3>108j6*>f08611=nnl0;6):98;33=>=nno0;6):98;33=>=h:1?1<7*;6981=5=52;294~"38m0:=476:;%3e5?32<2cmh7>5$54;>46>32e9484?:%6582B?::54}c1a1?6=:3:1;%6523-;m=7;:4:ke`?6=,=<36<>6;:m1<0<72->=47<60:J722=53;294~"38m0?<6F;619K067<,=<36>h4$0d2>0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wi?o950;194?6|,=:o69>4H543?M2492.?:545;50;&72=<51910qo<>f;296?6=8r.?0b9K036<@=9:7):98;:6?!7a93?>86gid;29 10?28:276a=8483>!210382<6F;6698yg45>3:1>7>50z&74a<68j1C8;>4H512?!21032>7)?i1;760>oal3:1(987:02:?>i50<0;6):98;0:4>N3>>10qo<=7;296?6=8r.?0b9K036<@=9:7):98;:6?!7a93?>86gid;29 10?28:276a=8483>!210382<6F;6698yg4503:1>7>50z&74a<68j1C8;>4H512?!21032>7)?i1;760>oal3:1(987:02:?>i50<0;6):98;0:4>N3>>10qo<=9;296?6=8r.?0b9K036<@=9:7):98;:6?!7a93?>86gid;29 10?28:276a=8483>!210382<6F;6698yg45i3:1>7>50z&74a<68j1C8;>4H512?!21032>7)?i1;760>oal3:1(987:02:?>i50<0;6):98;0:4>N3>>10qo<=b;296?6=8r.?0b9K036<@=9:7):98;:6?!7a93?>86gid;29 10?28:276a=8483>!210382<6F;6698yg45k3:1>7>50z&74a<68j1C8;>4H512?!21032>7)?i1;760>oal3:1(987:02:?>i50<0;6):98;0:4>N3>>10qo<=d;296?6=8r.?0b9K036<@=9:7):98;:6?!7a93?>86gid;29 10?28:276a=8483>!210382<6F;6698yg4583:1>7>50z&74a<68j1C8;>4H512?!21032>7)?i1;760>oal3:1(987:02:?>i50<0;6):98;0:4>N3>>10qo<=1;296?6=8r.?0b9K036<@=9:7):98;:6?!7a93?>86gid;29 10?28:276a=8483>!210382<6F;6698yg45:3:1>7>50z&74a<68j1C8;>4H512?!21032>7)?i1;760>oal3:1(987:02:?>i50<0;6):98;0:4>N3>>10qo<=3;29=?6=8r.?=99307dhi:18'03>=99307d??0;29 10?28:276g>0083>!2103;;565f11094?"3>10:<454i020>5<#6;:k240<72->=47??9:9l6=3=83.?:54=9198yg45<3:197>50z&74a<3:2B?:=5G4238 10?2:l0(:477?l`b290/8;6511;8?l`a290/8;6511;8?l7783:1(987:02:?>o6880;6):98;33=>=h:1?1<7*;6981=5=53;294~"38m0?<6F;619K067<,=<36>h4$0d2>0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wi=h950;094?6|,=:o6<>l;I654>N3;81/8;65849'5c7==<>0ekj50;&72=<68010c?6::18'03>=:0:0D988;:a5`>=8381<7>t$52g>46d3A>=<6F;309'03>=0<1/=k?55468mcb=83.?:54>0898k7>2290/8;652828L10032wi=h750;194?6|,=:o69>4H543?M2492.?:545;50;&72=<51910qo?ja;297?6=8r.?=99307dhi:18'03>=99307b<75;29 10?2;3;76sm1d`94?5=83:p(9>k:3:g?M2182B??<5+47:96=e<,8l:68;;;hdf>5<#5<#1<7>t$52g>17<@=<;7E:<1:&72=<4n2.:j<4:559jb`<72->=47??9:9jbc<72->=47??9:9j556=83.?:54>0898k7>2290/8;652828?xd6m=0;6>4?:1y'05b=:1n0D98?;I605>"3>1094n5+1g391026=4+47:96<6<3th9>k4?:383>5}#<9n1==m4H543?M2492.?:5475:&2b4<2==1bji4?:%655;50;&72=<5191C8;94;|`175<72;0;6=u+41f955e<@=<;7E:<1:&72==47??9:9l6=3=83.?:54=919K031<3th9?<4?:383>5}#<9n1==m4H543?M2492.?:5475:&2b4<2==1bji4?:%655;50;&72=<5191C8;94;|`177<72;0;6=u+41f955e<@=<;7E:<1:&72==47??9:9l6=3=83.?:54=919K031<3th9?>4?:283>5}#<9n1>5j4H543?M2492.?:54=8b9'5c7==<>0ekk50;&72=<68010ekh50;&72=<68010c?6::18'03>=:0:07pl=3583>6<729q/8=j5419K036<@=9:7):98;1e?!7a93?>86gie;29 10?28:276gif;29 10?28:276a=8483>!210382<65rb316>5<4290;w):?d;0;`>N3>91C8>?4$54;>7>d3-;m=7;:4:kea?6=,=<36<>6;:keb?6=,=<36<>6;:m1<0<72->=47<60:9~f75129086=4?{%63`?273A>=<6F;309'03>=;o1/=k?55468mcc=83.?:54>0898mc`=83.?:54>0898k7>2290/8;652828?xd58j0;6?4?:1y'05b=99i0D98?;I605>"3>10396*>f08611=nnm0;6):98;33=>=h:1?1<7*;6981=5=O7<729q/8=j511a8L1073A>8=6*;698;1>"6n80>995ffe83>!2103;;565`29794?"3>1095=5G4758?xd58l0;6?4?:1y'05b=99i0D98?;I605>"3>10396*>f08611=nnm0;6):98;33=>=h:1?1<7*;6981=5=O1<729q/8=j5409K036<@=9:7):98;1e?!7a93?>86gie;29 10?28:276gif;29 10?28:276g>0183>!2103;;565`29794?"3>1095=54}c024?6=;3:1;%65=47??9:9jbc<72->=47??9:9l6=3=83.?:54=9198yg4693:1?7>50z&74a<382B?:=5G4238 10?2:l0(:477?l`b290/8;6511;8?l`a290/8;6511;8?j4?=3:1(987:3;3?>{e:881<7=50;2x 16c2;2o7E:90:J774=#5m4$0d2>0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wi;n4?:383>5}#<9n1==m4H543?M2492.?:5475:&2b4<2==1bji4?:%655;50;&72=<5191C8;94;|`4`?6=:3:1;%6523-;m=7;:4:ke`?6=,=<36<>6;:m1<0<72->=47<60:J722=;7E:90:J774=#6=4+47:96<6<3th53;294~"38m0?<6F;619K067<,=<36>h4$0d2>0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wi4=4?:283>5}#<9n1>5j4H543?M2492.?:54=8b9'5c7==<>0ekk50;&72=<68010ekh50;&72=<68010c?6::18'03>=:0:07pl71;297?6=8r.?=99307dhi:18'03>=99307b<75;29 10?2;3;76sm4`d94?5=83:p(9>k:528L1073A>8=6*;6980b>"6n80>995ffd83>!2103;;565ffg83>!2103;;565`29794?"3>1095=54}c6a4?6=:3:1;%6523-;m=7;:4:ke`?6=,=<36<>6;:m1<0<72->=47<60:J722=i=7>52;294~"38m0:=476:;%3e5?32<2cmh7>5$54;>46>32e9484?:%6582B?::54}c6a6?6=;3:1N3>91C8>?4$54;>6`<,8l:68;;;hdf>5<#5<#t$52g>7>c3A>=<6F;309'03>=:1i0(:477?l`b290/8;6511;8?l`a290/8;6511;8?j4?=3:1(987:3;3?>{e1<7=50;2x 16c2=:0D98?;I605>"3>108j6*>f08611=nnl0;6):98;33=>=nno0;6):98;33=>=h:1?1<7*;6981=5=i97>53;294~"38m094i5G4728L1563->=47<7c:&2b4<2==1bjh4?:%655;50;&72=<51910qo=99307dhi:18'03>=99307d??0;29 10?28:276g>0083>!2103;;565`29794?"3>1095=54}c0fb?6=:3:1;%6523-;m=7;:4:ke`?6=,=<36<>6;:m1<0<72->=47<60:J722=52;294~"38m0:=476:;%3e5?32<2cmh7>5$54;>46>32e9484?:%6582B?::54}c0e5?6=:3:1;%6523-;m=7;:4:ke`?6=,=<36<>6;:m1<0<72->=47<60:J722=7>52;294~"38m0:=476:;%3e5?32<2cmh7>5$54;>46>32e9484?:%6582B?::54}c0e7?6=:3:1;%6523-;m=7;:4:ke`?6=,=<36<>6;:m1<0<72->=47<60:J722=53;294~"38m094i5G4728L1563->=47<7c:&2b4<2==1bjh4?:%655;50;&72=<51910qo=99307dhi:18'03>=99307b<75;29 10?2;3;76sm2g494?5=83:p(9>k:528L1073A>8=6*;6980b>"6n80>995ffd83>!2103;;565ffg83>!2103;;565`29794?"3>1095=54}c0ff?6=;3:1;%65=47??9:9jbc<72->=47??9:9l6=3=83.?:54=9198yg4bk3:1?7>50z&74a<50m1C8;>4H512?!210383o6*>f08611=nnl0;6):98;33=>=nno0;6):98;33=>=h:1?1<7*;6981=5=53;294~"38m0?<6F;619K067<,=<36>h4$0d2>0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wi>hk50;194?6|,=:o6?6k;I654>N3;81/8;6529a8 4`62=99307dhi:18'03>=99307b<75;29 10?2;3;76sm22:94?5=83:p(9>k:528L1073A>8=6*;6980b>"6n80>995ffd83>!2103;;565ffg83>!2103;;565`29794?"3>1095=54}c00=?6=;3:1N3>91C8>?4$54;>6`<,8l:68;;;hdf>5<#5<#t$52g>46d3A>=<6F;309'03>=0<1/=k?55468mcb=83.?:54>0898k7>2290/8;652828L10032wi>>l50;194?6|,=:o6?6k;I654>N3;81/8;6529a8 4`62=99307dhi:18'03>=99307b<75;29 10?2;3;76sm22a94?2=83:p(9>k:538L1073A>8=6*;6980b>"6n80>995ffd83>!2103;;565ffg83>!2103;;565f11294?"3>10:<454o3:6>5<#4>4;|`17a<72:0;6=u+41f96=b<@=<;7E:<1:&72=<50j1/=k?55468mcc=83.?:54>0898mc`=83.?:54>0898k7>2290/8;652828?xu0i3:1?vP8a:?4e?4b?277>23ty52z?4g?4?=2752z?4a?4?=273<7hj;|q4b?6=:r752z?;4?4?=273=7hi;|q;6?6=1rT3>63;508ea>;3;3<10mi63=2g8e`>;58o0mi63=ee8ea>;5;00mi6s|9e83>77|V0n019:i:9:8912d212019:6:9:8912221201??n:9:8977321201?;n:9:8973121201?;=:9:8972b21201?:n:9:8972121201?:=:9:8975b212019?i:9:8917e212019?8:9:8917521201hh5899>a`?348;>767;<6gf?>?348;m767;<027?>?349i<767;<1ae?>?34;n=767;<3f1?>?3tyi>7>52z\a6>;bm3k=7p}m3;29e~;4j902o63;39;02o63;1g8:g>;39k02o63;168:g>;e=383963;2d8eb>;6m90mi63=398ea>{tj=0;6?u2b581<0=:j<0mj6s|eb83>6}:mo0j:63je;0f3>;e<3lo7p}jd;296~;bn38n;63m5;df?xu6lo0;69u21d296=3<58o36kj4=0g:>cc<58o86kk4}r3f5?6=0r7:i<4=e69>5`c=nl16=h95fe9>5`2=nl164<4ie:?7ec5`b=nm16=ho5fd9>5`5=99:01:m5fe9>3c0;6?u21d596=3<58o26kh4}r3f5`g=no1vec8ea>{t9lk1<77>234;nn7hi;|q2af<72;q6=hj5297894cb2ol0q~?jf;2955}:=9l1m;522529ba=::=>1ji5225:9ba=::=i1ji522429ba=::<>1ji5224:9ba=::5;4=311>cb<5;:h6kj4=32e>c`7>52z?147<5m>16=h>51128yv47;3:1>v3=038b2>;58009485rs327>5<5s48;87<75:?14<=;50;0x97622;2>7065>=no1v?>7:1818470383963=088eb>{t9o<1<79t=32b>7c0348=<7o7;<05g?`a348;:7hk;<012?`c348;i7hk;<0e6?`c3ty965g=i?16><<52978yv47k3:1>v3=0b81<0=::8:1jh5rs32g>5<5s48;h7<75:?155=k50;0x976b2;2>70<>1;de?xu58o0;6?u221d96=3<5;;96kh4}r024?6=:r79==4=849>647=nl1v??>:1818469383963=138ea>{t9o31<78t=330>7c0348=<7o6;<05g?`b348;87hj;<02b?`c348m?7hk;|q2b2<72<:52d5897062h301?9j:gg897622oo01?v3=158b2>;59>09485rs335>5<5s48::7<75:?152<650;`x977320i01?;n:8a8973120i01?;=:8a8972b20i01?:n:8a8972120i01?:=:8a8975b20i01??n:8a8977>2;2>7p}>f983>1}::8k1>h94=341>d?<5;:<6kk4=301>cb52z?15d279=i4=849~w77d2909w0<>c;0;1>;59m0mj6s|20g94?2|5;8>6?6:;<005?`c348;h7hk;<00f?`b3ty9=k4?:3y>64`=:1?01?<<:gg8yv4583:1>v3=2181<0=::;>1jk5rs302>5<5s489=7<75:?161<6891v?<=:181845:383963=258244=z{;886=4={<017?4?=279>84ie:p672=838p1?<;:3:6?845=3lm7p}=2783>7}::;<1>5;4=300>c`52z?162<50<16>?=51128yv4503:1>v3=2981<0=::;91==?4}r01=?6=:r79>44=849>675=9980q~<=a;296~;5:h094852231955552z?16g<50<16>?=51168yv45k3:1>v3=2b81<0=::;91==;4}r01`?6=:r79>i4=849>672=nl1v?{t:;l1<77>23488?7hj;|q175<72;q6>>>5297897542ol0q~<<1;296~;5;80948522269bc=z{;996=4={<006?4?=279?84if:p665=838p1?=<:3:6?844<3ln7p}=3583>7}:::>1>5;4=316>cc52z?170<50<16>>85fg9~w7502908w0{t::21<77>23488h7hj;|q17<<72;q6>>752978975e2ol0q~<52z?17g<50<16>>m5fd9~w75d2909w0<;5;m0mj6s|1gc94?3|5;9n6?k8;<057?g>348=i7hj;<030?`a3489;7hk;|q17c<72;q6>>k5a79>617=:1?0q~<;0;296~;5<90948522539bc=z{8li6=4:{<076?4b?279:94n9:?135009>67?=nm1v?:<:181843:3k=70<;5;0;1>{t:=>1<77>2348?97hi;|q2bf<729852d5897022h301?9=:gg8976328:;70<=8;dg?xu5<>0;6?u22549e3=::=31>5;4}r0761?=no1v;5?=0mi63=058247=::;k1ji5rs36a>5<5s48?m7o9;<07`?4?=2wx>9m50;0x972d2;2>70<;d;de?xu6nl0;68u225g96`1<5;<<6l74=355>cc<5;:?6<>;;<01g?`c3ty98k4?:3y>61c=i?16>8?52978yv4283:1>v3=5181<0=::<;1jk5rs0de>5<2s48>>7?l5fe9~w7342909w0<:2;c5?842=38396s|24694?4|5;??6?6:;<061?`a3ty9<=4?:4y>600=:l=01?86:`;8971f2oo01?>;:026?845l3lo7p}=5683>7}::<<1m;5224;96=347>52z?11=<50<16>875fg9~w766290>w0<:a;0f3>;5>h0j563=7b8ea>;58<0mj63=208e`>{t:d0<5;?o6?6:;|q11f<72;q6>8m52978973c2ol0q~<9b;297~;5>:0j463=6d8eb>;5>j09485rs34g>5<4s48=87o7;<044?`a348=i7<75:p63`=839p1?8::`:897152ol01?9?:3:6?xu5?80;6>u22749e==::>>1jk5226096=353z?12238396s|26594?5|5;<26l64=35b>c`<5;=36?6:;|q13<<72:q6>;o5a99>62e=no16>:o52978yv40j3:1?v3=608b<>;5?l0mj63=7b81<0=z{;=o6=4={<056?g?3484;;f?842i33n70<:6;;f?842:33n70<;e;;f?843i33n70<;6;;f?843:33n70<b;;f?826?33n70:>2;;f?847i33n70<>3;;f?85e833n70=ma;;f?xu5m10;6>uQ2d:897c?2;o<705;4}r0fe?6=:r79il4=849>6c2=no1v?km:18184bj383963=eb8eb>{t:li1<77>2348nh7hi;|q1aa<72;q6>hj5297897cb2oo0q~:3:6?84bj3lm7p}=f383>7}::o81>5;4=3d5>cc52z?1b6<50<16>k85fg9~w7`32909w0;5mj0mi6s|2g794?4|5;l>6?6:;<0ff?`b3ty9j;4?:3y>6c0=:1?01?kj:gd8yv5713:1>vP<089>6``=nm1v>l?:181[5e8278n=4=e69~w6d52909w0=m9;dg?85e?38396s|3c194?4|5:h86?6:;<1a3?`b3ty8n94?:3y>7g2=:1?01>l9:gg8yv5e=3:1>v35<5s49i:7<75:?0f2l6:3:6?xu4jh0;68uQ3cc896df2;o<70:=e;df?87b83lm70<<8;de?xu4jj0;6?u23cc9e3=:;j;1>5;4}r1a`?6=:r78ni4=849>7f7=nl1v>lj:18185em383963{t;kl1<77>2349h<7hi;|q0g5<72;q6?n>5297896e62ol0q~=k5;290~X4l<16>>>5fe9>65`=99:01?=6:gd8yv5b>3:1>vP6c6=nm1v9>j:185826:38n;63;228b<>;39<0mh63;268eb>;4jm0mi63{t<891<7d0<5=;=6?6:;|q751<72;q68<:5297891712oo0q~:>5;296~;39<0948524049bc=z{=:m6=4:{<623?4b?27?>>4n9:?762072=i0168?75fd9>7g`=nm16?o;5fe9~w17d2909w0:>b;c5?826m38396s|40f94?4|5=;o6?6:;<62a?`a3ty?=<4?:5y>04`=:l=019<::`;896dc2ol01>l<:gd8yv2583:1>v3;1g8b2>;3:;09485rs502>5<5s4>9=7<75:?7677}:<;?1m55243;96=39m7>52z?766<4k;168;39l0mi6s|43a94?4|5=8>6>m=;<616?`b3ty?>i4?:7y>07c=:1?01??6:gg891462on019?k:gf8917>2on019?;:gf8yv2393:19v3;4481a2=:<<81m5524559ba=:<<<1jk522dc9b`=z{=>=6=4={<671?g134>?47<75:p011=838p19:8:3:6?82303lm7p};4383>1}:<=31>h94=571>d?<5=?=6kk4=3gb>c`?m7>52z?70<27?8o4=849~w124290?w0:;c;0f3>;3=:0j563;598ea>;5mh0:<=5rs56g>5<5s4>?o7o9;<67a?4?=2wx89:50;1x912a2;o<70::4;c:?84bi3;;=6s|44294?4|5=>m6l84=572>7>23ty?984?:2y>005=i11688852978913?2ol0q~::7;296~;3==0j463;5981<0=z{=?26=4={<666?5d:27?8o4if:p00g=838p19;<:2a1?823m3lm7p};5c83>7}:<<>1?n<4=572>c`=j7>52z?125<4k;16>>85fd9~w1172909w0<91;1`6>;59>0mi6s|46394?4|5;<96>m=;<02`?`b3ty?;?4?:3y>635=;j801?:>:gg8yv20;3:1>v3=6580g7=::=?1jh5rs557>5<5s48=97=l2:?10<603=nl1v997:181841139h>63=588ea>{t<>31<76e5348>h7hj;|q7ef<727c034=o6kj4=5ce>c`<5=h86kk4}r6ba?6=:r7?mn4n6:?7f0<50<1v9oi:18182fn383963;b48ea>{t7>234>i>7hj;|q7f4<72;q68o?5297891d52ol0q~:m2;296~;3j;0948524c19bc=z{=h86=4={<6a7?4?=27?n94if:p0g2=838p19l;:3:6?82e=3lm7p};d883>7}Y;28o09i:5rs46g>5<5sW??h63=f08e`>{zj=2=6=4=:183!27l3;;o6F;619K067<,=<365;4$0d2>0333`lo6=4+47:955?<3f8397>5$54;>7?73A>=;65rb5;g>5<5290;w):?d;33g>N3>91C8>?4$54;>=3<,8l:68;;;hdg>5<#=zj=296=4=:183!27l3;;o6F;619K067<,=<365;4$0d2>0333`lo6=4+47:955?<3f8397>5$54;>7?73A>=;65rb5:0>5<5290;w):?d;33g>N3>91C8>?4$54;>=3<,8l:68;;;hdg>5<#=zj=2?6=4<:183!27l3>;7E:90:J774=#6=4+47:96<6<3th>5}#<9n1==m4H543?M2492.?:5475:&2b4<2==1bji4?:%655;50;&72=<5191C8;94;|`7<@=<;7E:<1:&72=45+1c5955?<,8l:68;;;hdg>5<#=8381<7>t$52g>46?3A>=<6F;309'03>=0<1C=?74$0`4>46>3-;m=7;:4:ke`?6=,=<36<>6;:m1<0<72->=47<60:9~f1g>29096=4?{%63`?7702B?:=5G4238 10?21?0D<<6;%3a3?7712.:j<4:559jba<72->=47??9:9l6=3=83.?:54=9198ygcf29096=4?{%63`?7702B?:=5G4238 10?21?0D<<6;%3a3?7712.:j<4:559jba<72->=47??9:9l6=3=83.?:54=9198yg`629096=4?{%63`?7702B?:=5G4238 10?21?0D<<6;%3a3?7712.:j<4:559jba<72->=47??9:9l6=3=83.?:54=9198yg2em3:1>7>50z&74a<6811C8;>4H512?!21032>7E?=9:&2f2<6801/=k?55468mcb=83.?:54>0898k7>2290/8;652828?xd3lh0;6?4?:1y'05b=9920D98?;I605>"3>10396F>289'5g1=9930(:477?l`c290/8;6511;8?j4?=3:1(987:3;3?>{e==21<7<50;2x 16c28:37E:90:J774=#oal3:1(987:02:?>i50<0;6):98;0:4>=zj0:1<7<50;2x 16c28:37E:90:J774=#oal3:1(987:02:?>i50<0;6):98;0:4>=zj1k1<7<50;2x 16c28:37E:90:J774=#oal3:1(987:02:?>i50<0;6):98;0:4>=zj=nn6=4=:183!27l3;;46F;619K067<,=<365;4H00:?!7e?3;;56*>f08611=nnm0;6):98;33=>=h:1?1<7*;6981=5=52;294~"38m0:<55G4728L1563->=476:;I31=>"6j>0:<45+1g391026=4+47:96<6<3th<=7>52;294~"38m0:<55G4728L1563->=476:;I31=>"6j>0:<45+1g391026=4+47:96<6<3th<<7>52;294~"38m0:<55G4728L1563->=476:;I31=>"6j>0:<45+1g391026=4+47:96<6<3th=j7>52;294~"38m0:<55G4728L1563->=476:;I31=>"6j>0:<45+1g391026=4+47:96<6<3th=i7>52;294~"38m0:<55G4728L1563->=476:;I31=>"6j>0:<45+1g391026=4+47:96<6<3th=o7>52;294~"38m0:<55G4728L1563->=476:;I31=>"6j>0:<45+1g391026=4+47:96<6<3th=n7>52;294~"38m0:<55G4728L1563->=476:;I31=>"6j>0:<45+1g391026=4+47:96<6<3th=m7>52;294~"38m0:<55G4728L1563->=476:;I31=>"6j>0:<45+1g391026=4+47:96<6<3th=57>52;294~"38m0:<55G4728L1563->=476:;I31=>"6j>0:<45+1g391026=4+47:96<6<3th=47>52;294~"38m0:<55G4728L1563->=476:;I31=>"6j>0:<45+1g391026=4+47:96<6<3th=;7>52;294~"38m0:<55G4728L1563->=476:;I31=>"6j>0:<45+1g391026=4+47:96<6<3th=:7>52;294~"38m0:<55G4728L1563->=476:;I31=>"6j>0:<45+1g391026=4+47:96<6<3th=97>52;294~"38m0:<55G4728L1563->=476:;I31=>"6j>0:<45+1g391026=4+47:96<6<3th=87>52;294~"38m0:<55G4728L1563->=476:;I31=>"6j>0:<45+1g391026=4+47:96<6<3th=?7>52;294~"38m0:<55G4728L1563->=476:;I31=>"6j>0:<45+1g391026=4+47:96<6<3th<57>52;294~"38m0:<55G4728L1563->=476:;I31=>"6j>0:<45+1g391026=4+47:96<6<3th<47>52;294~"38m0:<55G4728L1563->=476:;I31=>"6j>0:<45+1g391026=4+47:96<6<3th<;7>52;294~"38m0:<55G4728L1563->=476:;I31=>"6j>0:<45+1g391026=4+47:96<6<3th<:7>52;294~"38m0:<55G4728L1563->=476:;I31=>"6j>0:<45+1g391026=4+47:96<6<3th<97>52;294~"38m0:<55G4728L1563->=476:;I31=>"6j>0:<45+1g391026=4+47:96<6<3th<87>52;294~"38m0:<55G4728L1563->=476:;I31=>"6j>0:<45+1g391026=4+47:96<6<3th52;294~"38m0:<55G4728L1563->=476:;I31=>"6j>0:<45+1g391026=4+47:96<6<3th<>7>52;294~"38m0:<55G4728L1563->=476:;I31=>"6j>0:<45+1g391026=4+47:96<6<3th=h7>52;294~"38m0:<55G4728L1563->=476:;I31=>"6j>0:<45+1g391026=4+47:96<6<3th>1}#<9n1=?64H543?M2492.:j<4:559jba<722ci>7>5;n0;1?6=3f;;i7>5;c33oal3:1(987:02:?>i50<0;6):98;0:4>=zj;2<6=4<:080!27l383;6F>289'5g1=:0:0(:477?l`c2900eo<50;9l6=3=831i8;:50;194?6|,=<36>h8;hdg>5<#=z{on1<7=87=i6:p6=3=838pR?6:;<650?4?=2wv?6::181[4?=27:<54=849~w46b2908wS??e:?24=cbk:3:5?M2182B??<5+47:9<0=O9;30(86gid;29 10?28:276a=8483>!210382<65rb465>5<5290;w):?d;0;2>N3>91C8>?4$54;>=3<@8827)?m7;0:4>"6n80>995ffe83>!2103;;565`29794?"3>1095=54}c721?6=:3:1;%6523A;956*>b681=5=#9o;198:4igf94?"3>10:<454o3:6>5<#4>4;|`;a?6=:3:1;%6523A;956*>b681=5=#9o;198:4igf94?"3>10:<454o3:6>5<#4>4;|`64d<72;0;6=u+41f96=0<@=<;7E:<1:&72=45+1c596<6<,8l:68;;;hdg>5<#t$52g>7>13A>=<6F;309'03>=0<1C=?74$0`4>7?73-;m=7;:4:ke`?6=,=<36<>6;:m1<0<72->=47<60:9~f1d029096=4?{%63`?4?>2B?:=5G4238 10?21?0D<<6;%3a3?4>82.:j<4:559jba<72->=47??9:9l6=3=83.?:54=9198yg2fl3:1>7>50z&74a<50?1C8;>4H512?!21032>7E?=9:&2f2<5191/=k?55468mcb=83.?:54>0898k7>2290/8;652828?xd30j0;6>4>:2y'05b=:1=0D98?;I605>N6:01/=o952828 4`625;50;9a032=8391<7>t$54;>6`03`lo6=4+47:955?<3`9m:7>5$54;>d5<3f8397>5$54;>7?73A9m465rsgf94?4|Von0198;:gf8yvd52909wSl=;<650?5a>2wx>5;50;0xZ7>234>=87<75:~f1?b29096=4?{%63`?4?>2B?:=5G4238 10?21?0D<<6;%3a3?4>82.:j<4:559jba<72->=47??9:9l6=3=83.?:54=9198yg37:3:1>7>50z&74a<50?1C8;>4H512?!21032>7E?=9:&2f2<5191/=k?55468mcb=83.?:54>0898k7>2290/8;652828?xd29h0;6>4>:2y'05b=:1=0D98?;I605>N6:01/=o952828 4`625;50;9a032=8391<7>t$54;>6`03`lo6=4+47:955?<3`9m:7>5$54;>d5<3f8397>5$54;>7?73A9m465rsgf94?4|Von0198;:gf8yvd52909wSl=;<650?5a>2wx>5;50;0xZ7>234>=87<75:~f1`?29096=4?{%63`?4?>2B?:=5G4238 10?21?0D<<6;%3a3?4>82.:j<4:559jba<72->=47??9:9l6=3=83.?:54=9198yg2?83:1>7>50z&74a<50?1C8;>4H512?!21032>7E?=9:&2f2<5191/=k?55468mcb=83.?:54>0898k7>2290/8;652828?xd30>0;6?4?:1y'05b=:1<0D98?;I605>"3>10396F>289'5g1=:0:0(:477?l`c290/8;6511;8?j4?=3:1(987:3;3?>{e=881<7<50;2x 16c2;2=7E:90:J774=#oal3:1(987:02:?>i50<0;6):98;0:4>=zj=i=6=4=:183!27l383:6F;619K067<,=<365;4H00:?!7e?382<6*>f08611=nnm0;6):98;33=>=h:1?1<7*;6981=5=n<7>53;397~"38m094:5G4728L1563A;956*>b681=5=#9o;198:4igf94?=nj;0;66a=8483>>d3>=0;6>4?:1y'03>=;o=0ekj50;&72=<68010e>h9:18'03>=i:10c?6::18'03>=:0:0D>h7;:pba<72;qUji524769ba=z{k81<77}Y:1?0198;:3:6?x{e=:;1<7=51;1x 16c2;2<7E:90:J774=O9;30(86gid;29?ld52900c?6::188f10329086=4?{%655$54;>46>32c8j;4?:%6582B8j554}rdg>5<5sWlo70:94;dg?xue:3:1>vPm2:?721<4n?1v?6::181[4?=27?:94=849~yg2dj3:1>7>50z&74a<50?1C8;>4H512?!21032>7E?=9:&2f2<5191/=k?55468mcb=83.?:54>0898k7>2290/8;652828?xd3l=0;6?4?:1y'05b=:1<0D98?;I605>"3>10396F>289'5g1=:0:0(:477?l`c290/8;6511;8?j4?=3:1(987:3;3?>{eoal3:1(987:02:?>i50<0;6):98;0:4>=zj:9o6=4=:183!27l383:6F;619K067<,=<365;4H00:?!7e?382<6*>f08611=nnm0;6):98;33=>=h:1?1<7*;6981=5=52;294~"38m094;5G4728L1563->=476:;I31=>"6j>095=5+1g391026=4+47:96<6<3th8:44?:383>5}#<9n1>584H543?M2492.?:5475:J26<=#9k=1>4>4$0d2>0333`lo6=4+47:955?<3f8397>5$54;>7?732wi?;=50;094?6|,=:o6?69;I654>N3;81/8;65849K57?<,8h<6?7?;%3e5?32<2cmh7>5$54;>46>32e9484?:%65821vn>;k:181>5<7s->;h7<76:J725=O<:;0(987:978L44>3-;i;7<60:&2b4<2==1bji4?:%655;50;&72=<51910qo=:7;296?6=8r.?0ekj50;&72=<68010c?6::18'03>=:0:07pl<5083>7<729q/8=j52948L1073A>8=6*;698;1>N6:01/=o952828 4`62=99307b<75;29 10?2;3;76sm35`94?4=83:p(9>k:3:5?M2182B??<5+47:9<0=O9;30(86gid;29 10?28:276a=8483>!210382<65rb26b>5<5290;w):?d;0;2>N3>91C8>?4$54;>=3<@8827)?m7;0:4>"6n80>995ffe83>!2103;;565`29794?"3>1095=54}c170?6=:3:1;%6523A;956*>b681=5=#9o;198:4igf94?"3>10:<454o3:6>5<#4>4;|`07`<72;0;6=u+41f96=0<@=<;7E:<1:&72=45+1c596<6<,8l:68;;;hdg>5<#t$52g>7>13A>=<6F;309'03>=0<1C=?74$0`4>7?73-;m=7;:4:ke`?6=,=<36<>6;:m1<0<72->=47<60:9~f1d=83;1<7>t$54;>7c53A>8=6F>0`9'5g1=9930({e=:l80D9=>;I33e>"6j>0:<45+1g196a?51;294~"3>109i?5G4238L46f3-;i;7??9:&2b6<51>1b>h<50;&72=<5m;10qo:j:182>5<7s->=47!21038n>65rb5d94?7=83:p(987:3g1?M2492B:50z&72=<5m;1C8>?4H02b?!7e?3;;56*>f281=g=n:l81<7*;6981a7=:183!21038n>6F;309K55g<,8h<6<>6;%3e7?4>k2c9i?4?:%65N68h1/=o9511;8 4`42;3o7d4<729q/8;652d08L1563A;;m6*>b6824<=#9o91>4k4i3g1>5<#h<4;|`61?6=93:1n;%3a3?7712.:j>4=dd9j6`4=83.?:54=e398yg31290:6=4?{%65o5m;0;6):98;0f6>=zj<=1<7?50;2x 10?2;o97E:<1:J24d=#9k=1==74$0d0>7g73`8n>7>5$54;>7c532wi954?:083>5}#h<4H512?M77i2.:n:4>089'5c5=:h80e?k=:18'03>=:l807pl:a;295?6=8r.?:54=e39K067<@8:j7)?m7;33=>"6n:09m85f2d094?"3>109i?54}c7a>5<6290;w):98;0f6>N3;81C==o4$0`4>46>3-;m?7=47t$54;>7c53A>8=6F>0`9'5g1=9930({e=m0;6<4?:1y'03>=:l80D9=>;I33e>"6j>0:<45+1g196`7i7>51;294~"3>109i?5G4238L46f3-;i;7??9:&2b6<5i81b>h<50;&72=<5m;10qo;i:182>5<7s->=47!21038n>65rb7294?7=83:p(987:3g1?M2492B:50z&72=<5m;1C8>?4H02b?!7e?3;;56*>f281g==n:l81<7*;6981a7=:183!21038n>6F;309K55g<,8h<6<>6;%3e7?4f;2c9i?4?:%65N68h1/=o952828 4`42;hn7b4<729q/8;652d08L1563A;;m6*>b6824<=#9o91>l:4i3g1>5<#h<4;|`a6?6=93:1n;%3a3?7712.:j>4=a79j6`4=83.?:54=e398yg`7290:6=4?{%65o5m;0;6):98;0f6>=zj;l<6=4>:183!21038n>6F;309K55g<,8h<6?7?;%3e7?4ei2e9i?4?:%655<7s->=47!21038n>65rb3db>5<6290;w):98;0f6>N3;81C==o4$0`4>7?73-;m?7=47i5m;0;6):98;0f6>=zj;lh6=4>:183!21038n>6F;309K55g<,8h<6?7?;%3e7?4e>2e9i?4?:%655<7s->=47!21038n>65rb221>5<6290;w):98;0f6>N3;81C==o4$0`4>7?73-;m?7=47i5m;0;6):98;0f6>=zj::?6=4>:183!21038n>6F;309K55g<,8h<6?7?;%3e7?4e?2e9i?4?:%65>::182>5<7s->=47!21038n>65rb225>5<6290;w):98;0f6>N3;81C==o4$0`4>7?73-;m?7=47i5m;0;6):98;0f6>=zj==m6=4>:183!21038n>6F;309K55g<,8h<6?7?;%3e7?4c=2e9i?4?:%655<7s->=47!21038n>65rb5::>5<6290;w):98;0f6>N3;81C==o4$0`4>46>3-;m?7=47e290:6=4?{%65i5m;0;6):98;0f6>N4n110qo:6c;295?6=8r.?:54=e39K067<@8:j7)?m7;0:4>"6n:09h:5`2d094?"3>109i?54}c6b4?6=93:1n;%3a3?4>82.:j>4=c89l6`4=83.?:54=e398yg2f03:1=7>50z&72=<5m;1C8>?4H02b?!7e?3;;56*>f281ga=n:l81<7*;6981a7=jo7>51;294~"3>109i?5G4238L46f3-;i;7<60:&2b6<5kh1d>h<50;&72=<5m;10qo:m6;295?6=8r.?:54=e39K067<@8:j7)?m7;0:4>"6n:09n=5`2d094?"3>109i?54}c6af?6=93:1n;%3a3?4>82.:j>4=a99l6`4=83.?:54=e398yg2el3:1=7>50z&72=<5m;1C8>?4H02b?!7e?3;;56*>f281gc=n:l81<7*;6981a7=h97>51;294~"3>109i?5G4238L46f3-;i;7<60:&2b6<5l?1d>h<50;&72=<5m;10qo:l9;295?6=8r.?:54=e39K067<@8:j7)?m7;0:4>"6n:09hl5`2d094?"3>109i?54}c6g6?6=93:1n;%3a3?4>82.:j>4=d99l6`4=83.?:54=e398yg2c13:1=7>50z&72=<5m;1C8>?4H02b?!7e?3;;56*>f281`f=n:l81<7*;6981a7=oh7>51;294~"3>109i?5G4238L46f3-;i;7??9:&2b6<5ik1b>h<50;&72=<5m;10qo:kf;295?6=8r.?:54=e39K067<@8:j7)?m7;0:4>"6n:09h=5`2d094?"3>109i?5G3g:8?xd3n<0;6<4?:1y'03>=:l80D9=>;I33e>"6j>095=5+1g196g75}#h<4H512?M77i2.:n:4=919'5c5=:j?0c?k=:18'03>=:l807pl:0083>4<729q/8;652d08L1563A;;m6*>b681=5=#9o91>n84o3g1>5<#h<4;|`646<7280;6=u+47:96`4<@=9:7E??a:&2f2<5191/=k=52b68k7c5290/8;652d08?xd28k0;6<4?:1y'03>=:l80D9=>;I33e>"6n:09ho5$2d094?"3>109i?54}c724?6=93:1n;%3a3?4>82.:j>4=ag9l6`4=83.?:54=e398yg36;3:1=7>50z&72=<5m;1C8>?4H02b?!7e?382<6*>f281e`=h:l81<7*;6981a7=51;294~"3>109i?5G4238L46f3-;i;7??9:&2b6<5im1b>h<50;&72=<5m;10qo;>9;295?6=8r.?:54=e39K067<@8:j7)?m7;0:4>"6n:09h?5`2d094?"3>109i?5G3g:8?xd2;90;6<4?:1y'03>=:l80D9=>;I33e>"6j>095=5+1g196fet$54;>7c53A>8=6F>0`9'5g1=9930({e==o1<7?50;2x 10?2;o97E:<1:J24d=#9k=1==74$0d0>7g>3`8n>7>5$54;>7c532wi4o4?:083>5}#h<4H512?M77i2.?>k4{e0j0;6?4?:1y'03>=0<1/8=j511:8 4d028:27):=f;1e=>"4>o08j45G4238L44>3-;m=7;:4:ke`?6=,=<36<>6;:m1<0<72->=47<60:9~f1gf290:6=4?{%65"6n:09oo5f2d094?"3>109i?54}c6bf?6=:3:1"38m0:<55+1c5955?<,=8m6>h6;%15b?5a12B??<5G13;8 4`62=99307b<75;29 10?2;3;76sm3b;94?7=83:p(987:3g1?M2492B:6;%3e7?4d?2c9i?4?:%65mn:181>5<7s->=476:;%63`?7702.:n:4>089'07`=;o30(>8i:2d:?M2492B:>45+1g391026=4+47:96<6<3th8o;4?:083>5}#h<4H512?M77i2.?>k4{e;j=1<7<50;2x 10?21?0(9>k:02;?!7e?3;;56*;2g80b<=#;?l1?k74H512?M7512.:j<4:559jba<72->=47??9:9l6=3=83.?:54=9198yg5d<3:1=7>50z&72=<5m;1C8>?4H02b?!25n39m56*>b6824<=#9o91>n<4i3g1>5<#h<4;|`0g0<72;0;6=u+47:9<0=#<9n1==64$0`4>46>3->9j7=i9:&02c<4n01C8>?4H00:?!7a93?>86gid;29 10?28:276a=8483>!210382<65rs3d94?4|5==o6?k4=5:1>cb3?7hk;|q0g?6=:r7?;h4:0mh63;b;0f6>{tuQ4b9>21;3l38n>6s|4d83>6}Y>0mh63;f;0f6>{t=90;6>uQ519>2=<7;2938n>6s|5383>6}Y=;16:l4id:?66?4b:2wx9>4?:2y]16=:>k0mh63:3;0f6>{t==0;6>uQ559>2f87;2=38n>6s|5783>6}Y=?16:h4id:?62?4b:2wx9:4?:2y]12=:>o0mh63:7;0f6>{t=10;6>uQ599>3547;2i38n>6s|5c83>6}Y=k16;?4id:?6f?4b:2wx9n4?:2y]1f=:?:0mh63:c;0f6>{t=m0;6>uQ5e9>31h7;2m38n>6s|5g83>6}Y=o16;;4id:?6b?4b:2wx:=4?:2y]25=:?>0mh6390;0f6>{t>80;6>uQ609>3=;1:38n>6s|6283>6}:<>h1=852543950=:>:09485rs7694?5|5==i6<84=472>40<5?>1>5;4}r46>5<4s4>6?6:;|q52?6=;r7?;o4>8:?614<6027=:7<75:p22<72:q68:l5189>107=9016::4=849~w3>=839p199m:0c8903628k01;652978yv0>2908w0:8b;3a?83293;i7086:3:6?xu1i3:1?v3;7c82g>;2=80:o639a;0;1>{t>k0;6>u246`95a=:=<;1=i526c81<0=z{?i1<7=t=55a>4c<5107=:;16:k4=849~w26=838p199m:318926=:1?0q~9>:181820j38>709>:3:6?xu0:3:1>v3:50812>;0:38396s|7283>7}:=<;1>:527281<0=z{>>1<77><5>>1>5;4}r56>5<5s4?>=7<6;<56>7>23ty<:7>52z?614<5i27<:7<75:p32<72;q698?52c9>32<50<1v:650;1x911e2;i018;>:3a892>=:1?0q~96:180820j38o70;:1;0g?81>2;2>7p}8a;293~;3?m0;31m0mh63;8b8a6>;29h0i>63;e18a6>;2;80i>6s|8383>0}:<>h14?525439<7=:<>o14?5246a9<7=:<>k14?5rs9;94?5|V13015o5fe9><<<5m;1v5o50;0x911c213015o52978yv>c2908wS6k;<:f>7>2342o6?k=;|q;a?6=:r7?:?4=949><`{t190;6?u246f9f7<5m;1vho50;1x911c2k80199n:c089`g=:1?0q~h?:180[`734l:6kj4=g296`4=77k;<64a??c34>0g83>7}:<>o1==h4=55g>46a3ty:>>4?:3y>02c=9;90199k:000?xu6:h0;6?u246`957g<5==n6<4583>7}:<>o1=9:4=55g>43?3ty:944?:3y>02c=9<30199l:07:?xu6>90;6>u246`9536<5==n6<8?;<64g?7182wx=;?50;0x911b28<:70:8c;355>{t9?=1<740034>=838p199j:0`;?820l3;ho6s|1bf94?4|5==n65?50;0x91062oh018>l:gf8yv4?:3:1?v3:0b81<0=:<>h19=l4=55g>06e3ty9i54?:3y>02e=:l20199n:3g;?xu5n>0;6>uQ2g5896562;2>70{t:o21<7=t^3d;?854?383963=f981a7=z{;lj6=4<{_0ee>;4;m0948522gc96`453z\1bg=:;:o1>5;4=3da>7c53ty9jn4?:2y]6ce<5:>?6?6:;<0eg?4b:2wx>kj50;1xZ7`c349?m7<75:?1ba<5m;1v>>=:180[57:2788o4=849>754=:l80q~=?3;297~X48:16?8?5297896642;o97p}<0583>6}Y;9>01>;8:3:6?857<38n>6s|31794?5|V::>70=:d;0;1>;48<09i?5rs225>5<4sW9;:63<6281<0=:;9<1>h<4}r133?6=;rT8<:5237;96=3<5::<6?k=;|q04<<72;q68:l531;890362::27p}<0`83>6}:<>h1?=o4=55`>66>34>:23`?820k39:o6s|32394?4|5107=:o201>=8:gf8yv54l3:1>v3:5081bd=:;:n1ji5rs21f>5<5s4?>=7j6kj4}r17f?6=:r7>9<4<039>71d=nm1v>;>:181832939;?63<508e`>{t;<=1<7663349>;7hk;|q01a<72;q698?53178963c2on0q~=93;296~;2=808<;523719ba=z{:<26=4={<765?57?278:44id:p726=839p199m:253?832939<<63;7d8035=z{:=>6=4={<64f?50=27?;n4<719~w6d72909w0;:1;1a5>;3?h08n=5rs2`b>5<5s4?>=7=mb:?73d<4jh1v>j::181820j39o963;7`80`0=z{:o=6=4={<64f?5b>27?;l4v3;8581<0=:<1:1ji5rs5:2>5<5s4>3>7<75:?7<142;2>70:74;de?xu30<0;6>uQ497891>02;2>70:75;0f6>{t<1=1<77>234>3;7hk;|q7<<<72:qU8574=5:b>cb<5=226?k=;|q7;30h09485rs5:a>5<4sW>3n63;8b81<0=:<1h1>h<4}r6;`?6=:r7?;i4;8d9>0=e=nm1v97l:180[2>k27?5h4=849>0;3i80948524`296`4j=7>52z?73`<3i9168l?5fe9~w1g?2908wS:n8:?7e<2909w0:8d;6:b>;3i009485rs5c`>5<4sW>jo63;ae81<0=:h<4}r6b`?6=:r7?;l4;ab9>0db=nm1v9l9:180[2e>27?n:4=849>0g0=:l80q~:m7;296~;2=80?n;524c59ba=z{=hi6=4<{_6af>;3jj0948524c`96`4io7>52z?73f<3jh168om5fe9~w1dc2908wS:md:?7f`;3jl09485rs5a6>5<4sW>h963;c781<0=:h<4}r6`2?6=:r7?;i4;c49>0f0=nm1v9m6:180[2d127?oo4=849>0f?=:l80q~:lb;296~;3?l0?o4524b`9ba=z{=n96=4<{_6g6>;3l=0948524e096`4o87>52z?73a<3l;168i:5fe9~w1b>2908wS:k9:?7`d;3lh09485rs5fg>5<4sW>oh63;dd8e`>;3lm09i?5rs5ff>5<4s4?>=7:kc:?73`<3lj168ik52978yv2cn3:1?vP;dg9>0`6=:1?019ji:3g1?xu3m80;6?u246f90ae<5=o;6kj4}r6e1?6=;rT?j8524g:96=3<5=l>6?k=;|q7b=<72;q698?54g7891`?2on0q~:if;297~X3no169=>5297891`a2;o97p}:0183>7}:=<;18hk4=423>cb53z\644=:=981>5;4=422>7c53ty>107==;2018>=:gf8yv37;3:1?vP:029>15g=:1?018><:3g1?xu28h0;6?u25439155<5<:j6kj4}r73f?6=;rT>;29;09485250296`47>52z?614<299169<<5fe9~w0742908wS;>3:?650<50<169<=52d08yv36=3:1>v3:508656=:=8?1ji5rs434>5<4sW?:;63:198e`>;29>09i?5rs43;>5<4s4?>=7;>6:?73`<29?169<652978yv3613:1?vP:189>14g=:1?018?6:3g1?xu29k0;6?u246f9140<5<;j6kj4}r704?6=;rT>?=5252396=3<5<9;6?k=;|q677<72;q68:j553d890562on0q~;;5;297~X2<<169985297890222;o97p}:4783>7}:<>i199:4=465>cb53z\602=:==21ji5255596`452z?73a<2<=1699652978yv33m3:1?vP:4d9>11`=nm1699k52d08yv33n3:19v3;7c860a=:=<;199j4=55f>02c34>h<4=9a9ba=z{=kj6=4<{_6be>;3ih09i?524``9ba=z{:i26=4<{_1`=>;4k009i?523bc9ba=z{:i=6=4<{_1`2>;4k?09i?523b59ba=z{:i?6=4<{_1`0>;4k=09i?523b79ba=z{1i1<7?t=9a96=3jn7>51z?7eg<50<1v>mn:18285di38396s|3b594?7|5:i<6?6:;|q0g0<728q6?n;52978yxh6j981<7:tH512?xh6j991<7:tH512?xh6j9>1<7=tH512?xh6j9?1<7?tH512?L4b:3;p=7sUf8824`42twe=o>9:182M2492C9i?4>{08~^c?=9r;m?7srn0`33?6=9rB??<5F2d095~7=uSl26f28~yk7e810;67?t1;Yb<<6s8l86psa1c2b>5<6sA>8=6G=e3824:|Xe=?7|9o91qp`>b1f94?7|@=9:7D51zJ774=N:l81=v?5}[d:>4}6n:0vqc?m1183>4}O<:;0E?k=:0y2>x\a13;p=k=5}|l2f47=83;pD9=>;H0f6?7|93wQj44>{0d0>x{i9k;96=4>{I605>O5m;0:w<4rZg;95~7a;3wvb3;295~N3;81B>h<51z39y_`>28q:j>4r}o3a51<728qC8>?4I3g1>4}62tPm57?t1g19yxh6j8?1<7?tH512?L4b:3;p=7sUf8824`42twe=o?9:182M2492C9i?4>{08~^c?=9r;m?7srn0`23?6=9rB??<5F2d095~7=uSl26f28~yk7e910;67?t1;Yb<<6s8l86psa1c3b>5<6sA>8=6G=e3824=4?:2yK067<4?:2yK067?4?:2yK067>4?:2yK06794?:2yK06784?:2yK067;4?:3yK067:4?:2yK06754?:2yK06744?:3yK067l4?:3yK067o4?:3yK067n4?:3yK067i4?:3yK067h4?:3yK067k4?:3yK0674?:8yK067:|Xe=?7|9o91qp`>b2494?7bsA>8=6sa1c14>5<6sA>8=6G=e3827:|Xe=?7|9o91qp`>b2a94?1|@=9:7p`>b2f94?7|@=9:7D3:18vF;309~j4d3?3:19vF;309~j4d303:1;vF;309~j4d313:19vF;309~j4d3i3:1;vF;309~j4d3j3:1?vF;309~j4d3k3:19vF;309~j4d3l3:19vF;309~j4d3m3:1=vF;309J6`4=9r81qWh6:0y2b6b4294?7|@=9:7D>7>51zJ774=N:l81=v<5}[d:>4}6n:0vqc?m5283>4}O<:;0E?k=:0y1>x\a13;p=k=5}|l2f02=83;pD9=>;H0f6?7|:3wQj44>{0d0>x{i9k?>6=4>{I605>O5m;0:w?4rZg;95~7a;3wvbh<51z09y_`>28q:j>4r}o3a12<728qC8>?4I3g1>4}52tPm57?t1g19yxh6j<21<7?tH512?L4b:3;p>7sUf8824`42twe=o;6:182M2492C9i?4>{38~^c?=9r;m?7srn0`6e?6=;rB??<5rn0`6f?6=f28~yk7e?90;67?t1;Yb<<6s8l86psa1c55>5<1sA>8=6sa1c54>5<5sA>8=6G=e3826b6a94?5|@=9:7p`>b6f94?7|@=9:7D54zJ774=zf8h3=7>51zJ774=N:l81=v<5}[d:>4}6n:0vqc?m8383>1}O<:;0qc?m8283>4}O<:;0E?k=:0y1>x\a13;p=k=5}|l2f=2=83>pD9=>;|l2f=3=83;pD9=>;H0f6?7|93wQj44>{0d0>x{i9k2=6=4={I605>{i9k2<6=4>{I605>O5m;0:w?4rZg;95~7a;3wvbh<51z09y_`>28q:j>4r}o3a?4}o3a?4I3g1>4}52tPm57?t1g19yxh6j1i1<7{08~^c?=9r;m?7srn0`:4?6=;rB??<5rn0`:5?6=:rB??<5F2d095~5=uSl26f28~yk7e1;0;68uG4238yk7e1:0;67?t2;Yb<<6s8l86psa1c;5>5<5sA>8=6sa1c;4>5<6sA>8=6G=e382703:1>vF;309~j4d>13:1=vF;309J6`4=9r81qWh6:0y2b6u<:080>x\a13;p=k=5}|l2f;|l2fpD9=>;|l2f;H0f6?7|:3wQj44>{0d0>x{i9k3m6=4={I605>{i9kk;6=4>{I605>O5m;0:w?4rZg;95~7a;3wvbh<51z39y_`>28q:j>4r}o3ae6<72:qC8>?4}o3ae1<72;qC8>?4I3g1>4}42tPm57?t1g19yxh6jh?1<7;tH512?xh6jh<1<7{38~^c?=9r;m?7srn0`b=?6=f28~yk7eik0;6?uG4238yk7eij0;651zJ774=zf8l96=4>{I605>{i9o91<7?tH512?xh6n=0;6;|l2b=<728qC8>?4}o3e=?6=9rB??<5rn0db>5<6sA>8=6sa1g`94?7|@=9:7p`>fe83>7}O<:;0qc?ie;297~N3;81vb=<50;3xL1563td9<>4?:0yK06751zJ774=zf;:=6=4>{I605>{i:9=1<7?tH512?xh5810;6;|l14a<728qC8>?4}o03a?6=9rB??<5rn333>5<6sA>8=6sa20394?7|@=9:7p`=1383>4}O<:;0qc<>3;295~N3;81vb??;:182M2492we><;50;3xL1563td9=;4?:0yK06751zJ774=zf;;36=4>{I605>{i:831<7?tH512?xh59h0;6;|l15`<728qC8>?4}o014?6=9rB??<5rn302>5<6sA>8=6sa23094?7|@=9:7p`=2283>4}O<:;0qc<=4;295~N3;81vb?<::182M2492we>?850;3xL1563td9>:4?:0yK06751zJ774=zf;826=4>{I605>{i:;k1<7?tH512?xh5:k0;6;|l16c<728qC8>?4}o004?6=9rB??<5rn312>5<6sA>8=6sa22094?7|@=9:7p`=3283>4}O<:;0qc<<4;295~N3;81vb?=::182M2492we>>850;3xL1563td9?:4?:3yK06752zJ774=zf;926=4>{I605>{i::k1<7?tH512?xh5;k0;6;|l500<728qC8>?4}o472?6=9rB??<5rn764>5<6sA>8=6sa65:94?7|@=9:7p`94883>4}O<:;0qc8;a;295~N3;81vb;:l:187M2492we:9j50;0xL1563td=8h4?:3yK06751zJ774=zf??>6=4>{I605>{i><<1<7?tH512?xh1=>0;6vF;309~j3072909wE:<1:m237=838pD9=>;|l527<728qC8>?4}o457?6=9rB??<5rn747>5<6sA>8=6sa67794?7|@=9:7p`96783>4}O<:;0qc897;295~N3;81vb;87:182M2492we:;o50;1xL1563td=:o4?:0yK06751zJ774=zf?{I605>{i>?o1<7?tH512?xh1>o0;6;|l536<72:qC8>?4}o440?6==rB??<5rn756>5<4sA>8=6sa66494?5|@=9:7p`97683>4}O<:;0qc888;295~N3;81vb;96:182M2492we::o50;3xL1563td=;o4?:0yK06751zJ774=zf?=o6=4>{I605>{i>>o1<7?tH512?xh1?o0;66290:wE:<1:m2=4=83;pD9=>;|l5<6<728qC8>?4}o4;0?6=9rB??<5rn7:6>5<6sA>8=6sa69494?7|@=9:7p`98683>4}O<:;0qc878;295~N3;81vb;66:182M2492we:5o50;3xL1563td=4o4?:0yK06751zJ774=zf?2o6=4>{I605>{i>1o1<7?tH512?xh10o0;683:1=vF;309~j3?6290:wE:<1:m2<4=83;pD9=>;|l5=6<728qC8>?4}o4:0?6=9rB??<5rn7;6>5<6sA>8=6sa68494?7|@=9:7p`99983>6}O<:;0qc869;291~N3;81vb;7n:186M2492we:4l50;1xL1563td=5n4?:2yK06751zJ774=zf?3n6=4>{I605>{i>0l1<7?tH512?xh1i90;6>uG4238yk0f93:19vF;309~j3g52908wE:<1:m2d5=83;pD9=>;|l5e1<728qC8>?4}o4b1?6=9rB??<5rn7c5>5<6sA>8=6sa6`594?7|@=9:7p`9a983>4}O<:;0qc8n9;293~N3;81vb;on:180M2492we:ll50;1xL1563td=mn4?:2yK06751zJ774=zf?kn6=4>{I605>{i>hl1<7?tH512?xh1j90;6?uG4238yk0e93:1>vF;309~j3d52909wE:<1:m2g5=83;pD9=>;|l5f1<728qC8>?4}o4a1?6=9rB??<5rn7`5>5<6sA>8=6sa6c594?7|@=9:7p`9b983>4}O<:;0qc8m9;295~N3;81vb;ln:182M2492we:oh50;1xL1563td=o?4?:0yK06751zJ774=zf?i?6=4>{I605>{i>j?1<7?tH512?xh1k>0;6;|l5gf<728qC8>?4}o4``?6=9rB??<5rn7f1>5<6sA>8=6sa6e194?7|@=9:7p`9d583>4}O<:;0qc8k5;295~N3;81vbl7n:181M2492wem4j50;1xL1563tdj5h4?:2yK06753zJ774=zfhk?6=4<{I605>{iih<1<7=tH512?xhfi>0;6290:wE:<1:medg=83;pD9=>;|lbeg<728qC8>?4}ocbg?6=9rB??<5rn`cg>5<6sA>8=6saa`g94?7|@=9:7p`nag83>4}O<:;0qcom0;295~N3;81vbll>:182M2492wemo<50;3xL1563tdjn>4?:0yK06751zJ774=zfhh>6=4={I605>{iik<1<7;|lbff<728qC8>?4}ocab?6=;rB??<5rn`a3>5<6sA>8=6saab394?7|@=9:7p`nc383>4}O<:;0qcol4;295~N3;81vblm::182M2492wemn850;3xL1563tdjo:4?:2yK06751zJ774=zfhi26=4>{I605>{iijk1<7?tH512?xhfkk0;6;|lbgc<728qC8>?4}ocg4?6=9rB??<5rn`f2>5<6sA>8=6saae094?7|@=9:7p`nd283>4}O<:;0qcok4;295~N3;81vblj::181M2492wemi850;3xL1563tdjh:4?:0yK06751zJ774=zfhn26=4>{I605>{iimk1<7?tH512?xhflk0;6;|lba5<728qC8>?4}ocf5?6=9rB??<5rn`g1>5<6sA>8=6saad194?7|@=9:7p`ne583>4}O<:;0qcoj5;295~N3;81vblk9:182M2492wemh950;3xL1563tdji54?:0yK06751zJ774=zfhoj6=4>{I605>{iilh1<7?tH512?xhfmj0;6?uG4238ykgbl3:1>vF;309~jdca2908wE:<1:mec6=83;pD9=>;|lbb4<728qC8>?4}oce6?6=9rB??<5rn`d0>5<6sA>8=6saag694?7|@=9:7p`nf483>4}O<:;0qcoi6;295~N3;81vblh8:182M2492wemk650;3xL1563tdjj44?:0yK06751zJ774=zfhli6=4>{I605>{iioi1<7?tH512?xhfnm0;6;|la47<72;qC8>?4}o`37?6=:rB??<5rnc27>5<6sA>8=6sab1794?7|@=9:7p`m0783>4}O<:;0qcl?7;295~N3;81vbo>7:182M2492wen=750;3xL1563tdi53zJ774=zfk:h6=4<{I605>{ij9n1<7?tH512?xhe8l0;68uG4238ykd7n3:1?vF;309~jg77290:wE:<1:mf47=83;pD9=>;|la57<728qC8>?4}o`27?6=9rB??<5rnc37>5<6sA>8=6sab0794?7|@=9:7p`m1783>4}O<:;0qcl>7;295~N3;81vbo?7:182M2492wen<750;3xL1563tdi=n4?:3yK06752zJ774=zfk;n6=4>{I605>{ij8l1<7?tH512?xhe:80;6;|la60<728qC8>?4}o`g0?6=;rB??<5rncf6>5<4sA>8=6sabe494?5|@=9:7p`md983>4}O<:;0qclj2;295~N3;81vbok<:182M2492wenh:50;3xL1563tdii84?:0yK06751zJ774=zfko<6=4>{I605>{ijl21<7?tH512?xhemk0;6;|lab5<728qC8>?4}o`e5?6=;rB??<5rn03a4?6=;rB??<5rn03a5?6=9rB??<5rn03a6?6=9rB??<5rn03a7?6=9rB??<5rn03a0?6=9rB??<5rn03a1?6=9rB??<5rn03a2?6=9rB??<5rn03a3?6=9rB??<5rn03a?4}o32g=<72:qC8>?4}o32g<<728qC8>?4}o32gd<728qC8>?4}o32gg<728qC8>?4}o32gf<728qC8>?4}o32ga<728qC8>?4}o32g`<72=qC8>?4}o32gc<72:qC8>?4}o32`5<728qC8>?4}o32`4<72=qC8>?4}o32`7<72:qC8>?4}o32`6<728qC8>?4}o32`1<72=qC8>?4}o32`0<72:qC8>?4}o32`3<728qC8>?4}o32`2<72;qC8>?4}o32`=<72;qC8>?4}o32`<<728qC8>?4}o32`d<728qC8>?4}o32`g<728qC8>?4}o32`f<728qC8>?4}o32`a<728qC8>?4}o32``<728qC8>?4}o32`c<728qC8>?4}o32a5<728qC8>?4}o32a4<728qC8>?4}o32a7<728qC8>?4}o32a6<728qC8>?4}o32a1<72;qC8>?4}o32a0<72;qC8>?4}o32a3<72;qC8>?4}o32a2<72:qC8>?4}o32a<<72:qC8>?4}o32ad<728qC8>?4}o32ag<728qC8>?4}o32af<728qC8>?4}o32aa<728qC8>?4}o32a`<728qC8>?4}o32ac<728qC8>?4}o32b5<728qC8>?4}o32b4<728qC8>?4}o32b7<728qC8>?4}o32b6<728qC8>?4}o32b1<728qC8>?4}o32b0<728qC8>?4}o32b3<728qC8>?4}o32b2<728qC8>?4}o32b=<728qC8>?4}o32b<<728qC8>?4}o32bg<72:qC8>?4}o32ba<72:qC8>?4}o32b`<728qC8>?4}o32bc<728qC8>?4}o3145<728qC8>?4}o3144<728qC8>?4}o3147<728qC8>?4}o3146<728qC8>?4}o3141<728qC8>?4}o3142<72:qC8>?4}o314=<728qC8>?4}o314<<728qC8>?4}o314d<728qC8>?4}o314g<72:qC8>?4}o314f<728qC8>?4}o314a<728qC8>?4}o314`<728qC8>?4}o314c<72:qC8>?4}o3155<728qC8>?4}o3154<728qC8>?4}o3157<728qC8>?4}o3156<728qC8>?4}o3151<728qC8>?4}o3150<728qC8>?4}o3153<728qC8>?4}o3152<728qC8>?4}o315=<728qC8>?4}o315<<72:qC8>?4}o315d<72?4}o315g<72:qC8>?4}o315f<728qC8>?4}o315a<728qC8>?4}o315`<72:qC8>?4}o315c<72:qC8>?4}o3165<728qC8>?4}o3164<728qC8>?4}o3167<728qC8>?4}o3166<728qC8>?4}o3161<728qC8>?4}o3160<72:qC8>?4}o3163<72?4}o3162<72?4}o316=<72:qC8>?4}o316<<728qC8>?4}o316d<728qC8>?4}o316g<728qC8>?4}o316f<728qC8>?4}o316a<72:qC8>?4}o316`<72:qC8>?4}o316c<728qC8>?4}o3175<728qC8>?4}o3174<728qC8>?4}o3177<728qC8>?4}o3170<72:qC8>?4}o3173<72;qC8>?4}o3172<72:qC8>?4}o317=<72:qC8>?4}o317d<720qC8>?4}o317g<72:qC8>?4}o317f<728qC8>?4}o317a<728qC8>?4}o317`<728qC8>?4}o317c<728qC8>?4}o3105<728qC8>?4}o3104<728qC8>?4}o3107<728qC8>?4}o3106<728qC8>?4}o3101<728qC8>?4}o310=<72:qC8>?4}o310d<728qC8>?4}o310g<728qC8>?4}o310f<728qC8>?4}o310`<728qC8>?4}o310c<728qC8>?4}o3115<728qC8>?4}o3114<728qC8>?4}o3117<728qC8>?4}o3116<728qC8>?4}o3111<728qC8>?4}o3110<728qC8>?4}o3113<728qC8>?4}o3112<72:qC8>?4}o311d<728qC8>?4}o311g<728qC8>?4}o311f<728qC8>?4}o311a<728qC8>?4}o311`<728qC8>?4}o311c<728qC8>?4}o3125<728qC8>?4}o3124<728qC8>?4}o3127<728qC8>?4}o3126<72:qC8>?4}o3120<72;qC8>?4}o3123<72;qC8>?4}o3122<728qC8>?4}o312=<728qC8>?4}o312<<728qC8>?4}o312d<728qC8>?4}o312g<728qC8>?4}o312f<728qC8>?4}o312a<728qC8>?4}o312`<728qC8>?4}o312c<728qC8>?4}o3135<728qC8>?4}o3134<728qC8>?4}o3137<728qC8>?4}o3;3`<72:qC8>?4}o3;3c<728qC8>?4}o3;<5<728qC8>?4}o3;<4<728qC8>?4}o3;<7<728qC8>?4}o3;<6<728qC8>?4}o3;<2<72>qC8>?4}o3;<=<728qC8>?4}o3;<<<72?4}o3;?4}o3;?4}o3;?4}o3;?4}o3;<`<728qC8>?4}o3;?4}o3;=5<72:qC8>?4}o3;=4<728qC8>?4}o3;=7<728qC8>?4}o3;=6<728qC8>?4}o3;=1<728qC8>?4}o3;=0<728qC8>?4}o3;=3<72:qC8>?4}o3;=2<72:qC8>?4}o3;==<72:qC8>?4}o3;=<<728qC8>?4}o3;=d<728qC8>?4}o3;=g<728qC8>?4}o3;=f<728qC8>?4}o3;=a<728qC8>?4}o3;=`<72:qC8>?4}o3;=c<72;qC8>?4}o3;e5<728qC8>?4}o3;e4<728qC8>?4}o3;e7<728qC8>?4}o3;e6<728qC8>?4}o3;e1<728qC8>?4}o3;e0<728qC8>?4}o3;e3<72:qC8>?4}o3;e2<72:qC8>?4}o3;e=<72;qC8>?4}o3;e<<728qC8>?4}o3;ed<72kqC8>?4}o3;eg<72:qC8>?4}o3;ef<72;qC8>?4}o3;ea<728qC8>?4}o3;e`<728qC8>?4}o3;ec<728qC8>?4}o3;f5<728qC8>?4}o3;f4<728qC8>?4}o3;f7<728qC8>?4}o3;f6<728qC8>?4}o3;f1<728qC8>?4}o3;f0<728qC8>?4}o3;f3<728qC8>?4}o3;f2<728qC8>?4}o3;f=<728qC8>?4}o3;f<<728qC8>?4}o3;fd<728qC8>?4}o3;fg<728qC8>?4}o3;ff<728qC8>?4}o3;fa<72;qC8>?4}o3;f`<728qC8>?4}o3;fc<728qC8>?4}o3;g5<728qC8>?4}o3;g4<728qC8>?4}o3;g7<728qC8>?4}o3;g6<728qC8>?4}o3;g1<728qC8>?4}o3;g0<72:qC8>?4}o3;g3<728qC8>?4}o3;g2<728qC8>?4}o3;g=<728qC8>?4}o3;g<<728qC8>?4}o3;gd<728qC8>?4}o3;gg<72:qC8>?4}o3;gf<72;qC8>?4}o3;ga<728qC8>?4}o3;g`<72:qC8>?4}o3;gc<72;qC8>?4}o3;`5<728qC8>?4}o3;`4<72:qC8>?4}o3;`7<72;qC8>?4}o3;`6<728qC8>?4}o3;`1<72:qC8>?4}o3;`0<72;qC8>?4}o3;`3<728qC8>?4}o3;`2<72:qC8>?4}o3;`=<72;qC8>?4}o3;`<<728qC8>?4}o3;`d<72:qC8>?4}o3;`g<72;qC8>?4}o3;`f<728qC8>?4}o3;`a<72:qC8>?4}o3;``<72;qC8>?4}o3;`c<728qC8>?4}o3;a5<72:qC8>?4}o3;a4<72;qC8>?4}o3;a7<728qC8>?4}o3;a6<72;qC8>?4}o3;a1<72;qC8>?4}o3;a0<72;qC8>?4}o3;a3<72;qC8>?4}o3;a2<72;qC8>?4}o3;a=<72;qC8>?4}o3;a<<72;qC8>?4}o3;ad<72;qC8>?4}o3;ag<72;qC8>?4}o3;af<72;qC8>?4}o3;aa<7289pD9=>;|l2<``=839pD9=>;|l2;|l2;|l2;|l2;|l2;|l2;|l2;|l2;|l2=83;pD9=>;|l2;|l2;|l2;|l2;|l2;|l2=56=83;pD9=>;|l2=57=83;pD9=>;|l2=54=83;pD9=>;|l2=55=838pD9=>;|l2=53=838pD9=>;|l2=50=83;pD9=>;|l2=51=83;pD9=>;|l2=5>=83;pD9=>;|l2=5?=83;pD9=>;|l2=5e=839pD9=>;|l2=5b=838pD9=>;|l2=5c=83;pD9=>;|l2=5`=83;pD9=>;|l2=46=839pD9=>;|l2=47=838pD9=>;|l2=44=83;pD9=>;|l2=45=839pD9=>;|l2=42=838pD9=>;|l2=43=83;pD9=>;|l2=40=839pD9=>;|l2=41=838pD9=>;|l2=4>=83;pD9=>;|l2=4?=838pD9=>;|l2=4g=838pD9=>;|l2=4d=838pD9=>;|l2=4e=838pD9=>;|l2=4b=838pD9=>;|l2=4c=83;pD9=>;|l2=4`=83>pD9=>;|l2=76=839pD9=>;|l2=77=83;pD9=>;|l2=74=83>pD9=>;|l2=75=839pD9=>;|l2=72=83>pD9=>;|l2=73=839pD9=>;|l2=70=83>pD9=>;|l2=71=839pD9=>;|l2=7>=838pD9=>;|l2=7?=838pD9=>;|l2=7g=838pD9=>;|l2=7d=838pD9=>;|l2=7e=838pD9=>;|l2=7b=838pD9=>;|l2=7c=838pD9=>;|l2=7`=838pD9=>;|l2=66=838pD9=>;|l2=67=838pD9=>;|l2=64=838pD9=>;|l2=65=838pD9=>;|l2=62=838pD9=>;|l2=63=838pD9=>;|l2=60=838pD9=>;|l2=61=838pD9=>;|l2=6?=839pD9=>;|l2=6g=83;pD9=>;|l2=6d=83;pD9=>;|l2=6e=83;pD9=>;|l2=6b=83;pD9=>;|l2=6c=83;pD9=>;|l2=6`=83;pD9=>;|l2=17=839pD9=>;|l:i?50;1xyk4dj3:1=vsa2g294?5|ug8nm7>51zm75`=839pqc=?9;295~{i;;o1<7=t}o1151zm7=d=839pqc=75;295~{i;hk1<7=t}o1b0?6=9rwe?n750;1xyk5d;3:1=vsa3d:94?5|ug9n>7>51zm051=839pqc:?1;295~{i<;<1<7=t}o614?6=9rwe89;50;1xyk24n3:1=vsa47694?5|ug>>i7>51zm352=83;pqc9=3;297~{i?8n1<7?t}o5053zm3=3=83;pqc9n0;295~{i?kl1<7=t}o5a=?6=9rwe;ik50;1xyk1c03:1=vsa7gf94?5|ug=m;7>51zm<4e=839pqc6>6;295~{i0:h1<7=t}o:01?6=9rwe48o50;1xyk>2<3:1=vsa86;94?5|ug251zm<=c=83;pqc6n3;295~{i0k21<7?t}o:g3?6=;rwe4i?50;3xyk>a>3:1?vsa8g294?7|ugh>97>53zmf1`=83;pqcl84;297~{ij?o1<7?t}o`:1?6=:rwen5k50;3xyke6n3:1=vsac2g94?5|ugi847>51zmg0b=839pqcm:7;295~{ik>i1<7=t}oa42?6=9rweo4l50;1xyke>=3:1=vsaccc94?5|ugii87>51zmga?=839pqcmk3;295~{iko21<7=t}oae6?6=9rweh<950;1xykb693:1=vsad2494?5|ugn8<7>51zm`03=839pqcj;f;295~{il>>1<7=t}of5a?6=9rweh4=50;1xykb?l3:1=vsadc094?5|ugnjo7>51zm`a7=839pqcjlb;295~{ilo:1<7=t}offe?6=9rwei=h50;1xykc713:1=vsae2294?4|ugo957>51zma07=838pqck;a;295~{im>:1<7=t}og5e?6=9rwei5h50;1xykc?13:1=vsae`g94?5|ugoj47>51zmafb=839pqckl7;295~{imli1<7=t}ogf2?6=9rwej=l50;1xyk`7=3:1=vsaf3c94?5|ugl987>51zmb1?=839pqch;3;295~{i9;k86=4<{|l266}zf88io7>51zm57c62908wp`>2e`94?7|ug;8<=4?:2y~j44ai3:1=vsa1236>5<6std:??o50;3xyk74;o0;686:182xh6;>o1<7?t}o30=6<728qvb<=n8;295~{i9:ho6=4>{|l27a4=83;pqc?4}zf89mo7>51zm5176290:wp`>43494?7|ug;?884?:2y~j424n3:1=vsa1547>5<4std:88k50;3xyk730:0;6>urn064`?6=9rwe=9o=:180xh6<0i1<7?t}o37g4<72:qvb<:mb;295~{i9=o;6=4<{|l20ag=83;pqc?;fg83>6}zf8>m57>51zm507b2908wp`>50:94?7|ug;>?>4?:0y~j43303:1=vsa147g>5<6std:9:<50;3xyk72180;6>urn07;f?6=9rwe=8l?:180xh6=hk1<7?t}o3ae`<728qQj44>{0d0>xN50=1vb{i9kh;6=4>{[d:>4}6n:0vD?6;;|l2fg7=83;pVk751z3e7?{O:1>0qc?mb383>4}]n00:w51zm5gd3290:wp`>bc794?7|ug;in;4?:0y~j4de?3:1=vsr}|BCG~7ak00hm4673d4~DED|8tJK\vsO@ \ No newline at end of file diff --git a/cpld/XC95144XL/WarpSE.ngr b/cpld/XC95144XL/WarpSE.ngr index 92426a5..94735d3 100644 --- a/cpld/XC95144XL/WarpSE.ngr +++ b/cpld/XC95144XL/WarpSE.ngr @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6e -$5;14=712:Tbbz?0122<>6Xff~;<=>Pilr\4Zhh|9:;<<<4138LQQVR\3XJ07>110927?Yflm:;<=2>2;2=e>712H69?76111922?OIX\^1M1<<:9<2?7g<:80J0??51?33?77=AGZ^X7O32082:`=5;3KTJ^L32282:42<::0BB][[:@]EWG:5;3;546<5D=394;?<:3Oy0<4?>d91>LHW]]0O0<4?>g91>JSSX\^1]1?50?:86?W;93:5=6=i;28JJUSS2Z]7?7?11:6;?1>630?0M1??>49B8479=2K7=?0:;@>27;3G;9?4>7L2>7?78E97?6<1J0<714:C?5;3G;::03285N<31=0>G;:7>0M1=14:C?0;2GKM9?0MAK?M49BH@6Tk2KGI=Qbuy2345b?10g8EVtaWge<=>>_hos[DUunVddx=>?10;8EZ@TJ5;;245N_GQA847912KTJ^L313<:?DYA[K6:?374A^DPF973601JSK]M<07==>GXNZH7=;06;@]EWG:6?730MRH\B=3;:<=FWOYI0<718:C\BVD;9730MRH\B=03:<=FWOYI0??19:C\BVD;:;4i7LQISC>17?7912KTJ^L322<;?DYA[K69255N_GQA868?3HUM_O2;>99B[CUE4<437LQISC>5:==FWOYI0:07;@]EWG:?611JSK]M<8<7?Dekz?1Jcxz@Ed9BkprHMVg~t=>?0g9BkprHMVg~t=>?0033?Dir|FOTaxv?01225464AnwwK@Yhz9:;>4:Cpaq2E5=DOE?6M7M59@?011g?FGTWds<=>?30f8GDUXe|r;<=>;1b9@EVYj}q:;<=;i;BCP[hs89:;Sdc2:AF7>EKC:1H@_=4COV6?FHS&30OBCBIUVF@2=DZLK_II<4CR68GV(q>2Igil|>3:Aoo0=DdbOe;6Mck^DPI423:0=C48:596J310<4?A:6:3:596J313<5?A:6294?7I2>>59G87813M686=0;;E>0:1=C4=4?7I2:>59G83833M6<295K<9<7?A:>6>1Omyo30?58@drf484>7Io{a@:8@drfI5:556Jnt`C?558>3MkmL2>1?`8@drfI5;96=06;EcweD:6:720HlznA=3=<>Bf|hK7>3o4D`vbE95=8720HlznA=1=<>Bf|hK78364D`vbE93902NjxlO36?:8@drfI5=546Jnt`C?<;>?10f8@jssGLUd~=>?0328@jssGLUd~=>?0^kntZBh}}ENSb|?0122`>Bh}}ENSb|?01314>Bh}}ENSb|?013\mhvXLfCHQ`r1235472:0=B[585;6K\<283:0=B[595m6K\_`lg45679h1N_Road1234ZojxVOXSl`k01235d=B[Vkeh=>?10c8AVYffm:;<4ER]`iuYby9:;>d:GP[fkwWl{;<=?=0:GP[fkwWl{;<=?Pilr\AVYdeyUn}=>?10;8AVYhz9:;<<64ER]lv5678Vcf|RK\_np34566=2O_MNE>0:GWEFMXkdzTi|>?0105?@RFKBUha}Qjq1234ZojxVO_MNEPclr\at6789;:<6K[ABI\mhvXM]KHG<64Eocah`Ru:2Oy96K}<1<4?@t;93:596K}<0<7?CEKC;1MH>5IDB68BAEB;2LO\?5IF59EBa703OLo= Ga8:DE`4+Nf830JKj>-Hl25==ANm;&Ec8:DE`7+Nf;30JKj=-Hl15==ANm8&Ec=6;GDg6(Oi;830JKj=-Hl75==ANm8&Ec;m;GDg6(OiW`g{86HId258BCb4%@d37KHk3,Km5<=ANm9&Ec?>9:DE`6+Nf;;37KHk3,Km7g=ANm9&EcQfmq68BCb3?2LMh9#Fn99EBa2*Ag;27KHk4,Km54>>1MJi8"Io:8BCb1%@d:56HId7/Jj47>3OLo: Ga20:8BCb1%@d8n6HId7/JjZojx8>0JKj9-Hl\mhvXNOn=!D`>4:DE`21@Al>'Bb?74FGf4)Lh5901MJi9"Io12<>@Al>'Bb9l4FGf4)LhXadz:86HId6/JjZojxVLMh:#Fn068BCb??2LMh5#Fn99EBa>*Ag;27KHk8,Km54>5ISC78BVD)~:1M_@m4FRO\4(vfzldo6H\M^3.tdtbf}i0J^CP2,rbv`hsk2LXAR="p`pfjq7?5F339J07=N=;1B:?5F759JEFUa3@KH_Rmbp^gr4567:=1BMN]Pclr\at6789Uba}QFABQ\ghvXmx:;<=?i;HC@WZejxVoz<=>>259JEFUXkdzTi|>?00]jiuYNIJYTo`~Pep23447b3@KH_Rgbp^KBGV733@DBX85FNHVP3>OIA]Y_O<:4IOKWWQEXadzTECG[SUA255=NF@^XSnc_ds3456682CEEY]Pclr\at6788;;7D@FTR]`iuYby9:;><>4IOKWWZejxVoz<=><3:KMR0=NGHI_h6G@ABV\ekb789:9<6G@ABV\ekb789:Te`~PINC@PZgil9:;<4INC@PZgil9:;=Rgbp^KLEFRXign;<=?>d:KLEFRXe|r;<=>j;HMBGQYj}q:;<=?i;HMBGQYj}q:;<=?>e:KLEFRXe|r;<=>=f:KLEFRXe|r;<=>=1g9JKDESWds<=>?30g8MJGD\Vg~t=>?0532?LIFK]Ufyu>?01]jiue0EBLB6:KLFH(q<2CDN^84IN@P*s2>e:KLGVYffm:;<=Qfmq]JKFUXign;<=>>f:KLGVYdeyUn}=>?0368MJETWjg{Sh?012\mhvXAFIXSnc_ds34566n2CDO^Qlmq]fu5679;>0EBM\_bos[`w789;Te`~PINAP[fkwWl{;<=?>f:KLGVYdeyUn}=>?2368MJETWjg{Sh?010\mhvXAFIXSnc_ds34546n2CDO^Qlmq]fu567;;>0EBM\_bos[`w7899Te`~PINAP[fkwWl{;<==>f:KLGVYdeyUn}=>?4368MJETWjg{Sh?016\mhvXAFIXSnc_ds34526n2CDO^Qlmq]fu567=;>0EBM\_bos[`w789?Te`~PINAP[fkwWl{;<=;>f:KLGVYdeyUn}=>?6368MJETWjg{Sh?014\mhvXAFIXSnc_ds34506n2CDO^Qlmq]fu567?;>0EBM\_bos[`w789=Te`~PINAP[fkwWl{;<=9>f:KLGVYdeyUn}=>?8368MJETWjg{Sh?01:\mhvXAFIXSnc_ds345>6n2CDO^Qlmq]fu5671;>0EBM\_bos[`w7893Te`~PINAP[fkwWl{;<=7>8:KLGVYney<0EBJ@NDf8MJBHFLUd~=>?0328MJBHFLUd~=>?0^kntZOHLFDNSb|?0122<>OHLFDNec94INFLJ@t33@EG:i6G@L1]nq}6789?:i6G@L1]nq}6789<:h6G@L1]nq}6789=n7DAC0^ov|5678>;o7DAC0^ov|56781o0EBB?_lw{456708o0EBB?_lw{456718;;7DAC0^ov|5678Vcf|o5FOM2\kw6789;h7DAC0^mq4567W`g{SDAC0^mq45679=1BCA?l;HMO5Zhh|9:;=3@E[[_kndx33?LIW_[ojhtQ`r123470?119JKWBUMXUfyu>?01325>OHZMXN]Rczx123447692CD^I\JQ^ov|5678;;:=6G@REPFUZkrp9:;<>?>0:KLVATBYVg~t=>?0532?LIUL[OZS`{w012304773@EYH_K^_lw{4567=8;0EB\KRDS\ip~789:>=91028MJTCZL[Taxv?012456=NG[NYI\Qbuy2345Yney20EB\KRDSq2>OHZL[y=?5FOSGRvZojxVCD^H_}149JKWQ6l2CD^Z?Paof3456b3@EY[OH[9Ujbi>?01]jiuYNGZ:Tmcj?0122g>OH[9Ufyu>?01f8MJU7Wds<=>?1d9JKV6Xe|r;<=>>1d9JKV6Xe|r;<=>=1e9JKV6Xe|r;<=><119JKV6Xe|r;<=>Pilra?LIT8Vey<=>?1b9JKV6Xg{:;<=Qfmq]JKV6Xg{:;<=?j;HMP[fkwWl{;<=>j;HMP[fkwWl{;<=?j;HMP[fkwWl{;<=?00d8MJS7Wds<=>?113f?LIR8Vg~t=>?003e?LIR8Vg~t=>?0032b>OH]9Ufyu>?01315`=NG\:Taxv?01227`=NG\:Taxv?01220a=NG\:Taxv?0121a>OH]9Ufyu>?0102a>OH]9Ufyu>?0112a>OH]9Ufyu>?0162a>OH]9Ufyu>?0172a>OH]9Ufyu>?0142`>OH]9Ufyu>?015f?LIR8Vg~t=>?063g?LIR8Vg~t=>?09g8MJS7Wds<=>?80g8MJS7Wds<=>?9033?LIR8Vg~t=>?0^knt1=NG\;h7DAZ1^llp56798o0EB[>_omw4566W`g{SDAZ1^llp56798?0EB[KS69JKRTUMXl0EBY]RDS\ip~789::<6G@WSPFUZkrp9:;<;HMTVWCVWds<=>?1032?LIPZ[OZS`{w012364763@E\^_K^_lw{4567;8;;7DAXRSGR[hs89:;8;1028MJQUZL[Taxv?012654=NG^XYI\Qbuy234536981BCZ\]EP]nq}6789<:==5FOVPQATYj}q:;<=9>3:KLSWTBYVg~t=>?0^knt==NG^XYI\|<;Hl37>Oi9:1Bb?=4Io10?Lh3m2ANI]QGIDPBVFN^?2FDKDMNLe9OTHYFLMUXYOQ>d:NSIZGCLVY^NRo4LQO\@C@X9=k0@]CPDGD\50gJWEVNMJR=?a:NSIZBANV9:m6B_M^FEBZ5512F[ARJIF^6:?IVJWMLMS874LQO\@C@X>01G\@QKFG]4=>JWEVNMJR66;MRN[A@AW0k0@]CPXNP1[40>b9OPlkbz5;96=0n;MVji`t;9;427AZfmdp?5;?89OPlkbz59556B[ilgq818>3E^bah|35?;8HQojm{6=245CThofv91912F_e`k}<9<:?IRnelx75364LUknawRD9:1GXdcjrUA\ghvXmx:;<=?;;MVji`tSKVif|Rk~0123542?01123>JSadoyXNQlmq]fu5678Vcf|85Co`f2`>Jhim;Tbbz?01314>Jhim;Tbbz?013\mhvXDfko=R``t12354b24;`9m2GscQ]erwop9?9:2D;>6@>2:L16>H4:2D?>6@:1:M6?JBD[{n0CIM\r^cm`5678;:0CIM\r^cm`5678Vcf|RAKCRp\ekb789::?6A]249LV7D6;2EY?85@R2@17>IU<:1D^8=4OS40?JT0;2EY495@nbg`?JhdmVkeh=>?00g8KkebWhdo<=>?_hos[JhdmVkeh=>?0068Kpr7m2E~x=Qfmq]Lqq66<2E~xIr|;Uba}Q@uu020>Ir|:o0Cxz<_hos[Jss;8>0Cxz;4:Mvp02>3^N7?UGU\h1[ECQMURKG\g=WAGUIY^@NMD18TWC63X>0]1>15:S?55823X6:=394Q=31>5823X6:>384Q=394;20]1=14:S?0;2WX9Vey<=>?1`9R[4Yhz9:;TF48:546\N<0394;02:0=UI58596\N<2<6?WG;<7?0^L2:>49QE909=2XJ0:0:;SC?<;35]AL78VDK)~<1YM@M\7:PBIFU7P8>0^LCLS1Z\mhvXZHGH_=V>119QEHETW`g{S_OBCR36?WGJMGn0^LCJN^cm`5678;:0^LCJN^cm`5678Vcf|R\NMDL\ekb789::h6\NMDL\ekb789;9<6\NMDL\ekb789;Te`~PR@OFJZgil9:;=?>4R@OFJZgil9:;>Rgbp^PBI@HXign;<=<>d:PBI@HXign;<===0:PBI@HXign;<==Pilr\VDKBFVkeh=>?30f8VDKBFVkeh=>?4328VDKBFVkeh=>?4^kntZTFELDTmcj?0162g>TFELDTc>?013f?WGJMGUd~=>?0^kntZTFELDTc>?013`?WGJMGUd~=>?10g8VDKBFVey<=>>_hos[WGJMGUd~=>?10a8VDKBFVey<=>=1d9QEHCIWfx;<==199QEHTbims:<6\NMSgb`|Yffm:;<=<9;SCNV`gcqVkeh=>?0^kntZTFE[ojhtQnne23457682XJA_kndx]bja67888=7_OBRdcg}Zgil9:;=Rgbp^PBIWcflpUjbi>?003e?WGJZlkouRa}012361=UIDXnmiwPos2345YneyUYM@\jae{\kw6789;37_OBSucqp40TF[LFTaxv?0122b>TF[LFTaxv?01225`=UIZOGS`{w0123647Pmtz34566m2XJS=Qbuy234576m2XJS=Qbuy234546l2XJS=Qbuy23455682XJS=Qbuy2345Yneyn0^LQ>0^ov|5678l1YMR??_lw{45679o1YMR??_lw{456798l0^LQ>0^ov|5678;;n7_OP11]nq}67899:=6\N_02\ip~789:Te`~k;SC\54Yj}q:;<=k4R@]25Zkrp9:;<e:PB[47Xe|r;<=><109QEZ76Wds<=>?_hosg>TFW8Ufyu>?01f8VDY6Wds<=>?1d9QEZ7Xe|r;<=>>1d9QEZ7Xe|r;<=>=1e9QEZ7Xe|r;<=><119QEZ7Xe|r;<=>Pilr`?WGX:Vg~t=>?0e9QEZ4Xe|r;<=>>e:PB[7Yj}q:;<=?>e:PB[7Yj}q:;<=<>d:PB[7Yj}q:;<==>0:PB[7Yj}q:;<=Qfmqa8VDY4Wds<=>?d:PB[6Yj}q:;<=?j;SC\7Zkrp9:;<??;SC\7Zkrp9:;k;SC\0Zkrp9:;<4R@]7[hs89:;Sdcc:PB[0Yj}q:;<=j4R@]6[hs89:;=h5]A^7\ip~789::=h5]A^7\ip~789:9=i5]A^7\ip~789:8==5]A^7\ip~789:Te`~l;SC\2Zkrp9:;7_ABCR58VJKD[=R:86\@MBQ7\ZojxVXDAN];X0f8VJKD[Vkeh=>?0328VJKD[Vkeh=>?0^kntZTHEJYTmcj?012255=UGDIXSnc_ds34565>2XDAN]Pclr\at6789Uba}Q]OLAP[fkwWl{;<=>>119QKHETW`g{S_ABCR31?WUc3[YTo`~Pep2345bTTWjg{Sh?011g?WUXkdzTi|>?05f8VVYdeyUn}=>?5e9QWZejxVoz<=>83:PWH0=Umhnrh6\jae{\ekb789:9<6\jae{\ekb789:Te`~PRdcg}Zgil9:;<4Rdcg}Zgil9:;=Rgbp^PfeaXign;<=?>119Qadb~W`g{S_kndx34?WcaLfdnj6\jfEmmaZgil9:;Pilr\V``CggoTmcj?0122b>TbnMeeiR``t123572?5]egFlj`Yhz9:;?1`9Qac@ugdXX<<<4RddEvjkU[9Ujbi>?010b?WcaN{ef^^>Paof3456XadzT^hhIrnoQW5Yffm:;<=?>2:PfbCthe[Y;Sl`k01226d=UmoLyc`\\0^cm`5679Vcf|R\jfGpliWU7Whdo<=>>1008V``AzfgY_=Qnne23474f3[omJabRR2\ekb7898Te`~PRddEvjkU[9Ujbi>?0332e>TbnOxda_]?_hos[WcaN{ef^^>>6:PfbWcv02Xnj_k~Io32?WcaZl{To`~Pep23454?3[om^hPclr\at6789Uba}Q]egPfuZejxVoz<=>?1038V``UmxUha}Qjq12357>139QacTbyVcf|R\jfSgr5`=UmoXn}R``t123574Tbn\xlEc?=;SgeQwaXadzT^hhZrf35?Wct}e~27_k|umv?4;g0?c8V`urd}6:=3m4Rdqvhq:6:3:5m6\jstnw844912Xnxb{<0<:?Wct}e~7>3l4Rdqvhq:429427_k|umv?7;?89Qavsk|5?556\jstnw838>3[oxyaz37?;8V`urd}63245]erwop9?9:?1Yi~{ct^2\jjr789:Te`~PRdqvhqY7Wge<=>?1c9PMBDR[VCEJBo4SHE\FPUNLQh0_DIPBTQMEHC23Z]7=3;4SV>1:2=T_591=3;4SV>0:1=Tkex?7^m|t59Pakrd3ZoexRoad12344c<[ldSl`k0123[lkwWZoexRoad12344d<[ldSb|?0122g>Ubf}Ud~=>?0^kntZUbf}Ud~=>?00c8WkbUIDIX[_:4Srgw6>RTl2^XSnc_ds3456c3]YTo`~Pep2344b<\ZUha}Qjq1236a=S[Vif|Rk~01200>R^XL?0Xdcjr99Wmhcu49437Ygbes>2:==Sadoy0?0n;Uknaw:429437Ygbes>0:2=SadoyXN?=;UknawRDWjg{Sh?0121e>Rnelx_ORmbp^gr4567W`g{SYgbesV@[fkwWl{;<=>>d:Vji`tXign;<=>=0:Vji`tXign;<=>Pilr\PlkbzVkeh=>?0058PwoCi}k87[ML9:TJARYSQYO?7[^Nrb9UTDtXff~;<=?>e:TSEwYig}:;<a:Tj`WGJKZ]Yh6XfdSCNGVQU>=a:46XfdSCNGVQU>=aTo`~Pep2345513_co^LCLSVP50nYdeyUn}=>?0^kntZPnl[KFO^Y]65i\ghvXmx:;<=?>8:Tj`WGJKZ]Y:9ePclr\at67889=7[gkR@O@WRT1?00314>Pnl[KFO^Y]65i\mhvX^`nYM@M\WS47o4723_co^LCLSVP\ghvXmx:;<==?;WkgVDKD[^XTo`~Pep2345YneyU]ei\NMBQTVZejxVoz<=>?1078RlbUIDIX[_Qlmq]fu5679::0Zdj]ALAPSWYdeyUn}=>?1^kntZPnl[KFO^Y]_bos[`w789;:=85YiePBIFUPZVif|Rk~012175=QamXJAN]XR^antZcv89:9Sdc_WkgVDKD[^XTo`~Pep234776=2\bh_OBCRUQ[fkwWl{;<==<0:Tj`WGJKZ]YSnc_ds3455XadzTZdj]ALAPSWYdeyUn}=>?3036?SocZHGH_Z\Pclr\at678=9;7[gkR@O@WRTXkdzTi|>?05]jiuYQamXJAN]XR^antZcv89:?=<;4VhfQEHET_[Uha}Qjq123166<^`nYM@M\WS]`iuYby9:;9Rgbp^Tj`WGJKZ]YSnc_ds345369<1]ei\NMBQTVZejxVoz<=>9319UmaTFEJY\^Rmbp^gr4561W`g{S[gkR@O@WRTXkdzTi|>?07321>Pnl[KFO^Y]_bos[`w789=8<6XfdSCNGVQUWjg{Sh?015\mhvX^`nYM@M\WS]`iuYby9:;;?9228RlbUIDIX[_Qlmq]fu5671Vcf|RXfdSCNGVQUWjg{Sh?01;250=QamXJAN]XR^antZcv89;;?=5YiePBIFUPZVif|Rk~0133[lkwW_co^LCLSVP\ghvXmx:;==?>5:Tj`WGJKZ]YSnc_ds3447482\bh_OBCRUQ[fkwWl{;<6XfdSCNGVQUWfx;<=>>139UmaTFEJY\^Ra}0123644<^`nYM@M\WS]lv5678:;>7[gkR@O@WRTXg{:;<=Qfmq48Sdtw[L20[l|SD,u5a=_AECET VKB!2-5%US]K*;"<.NSBKJ2>^T\ECI;6V\T^EM@4c1S_YQYCB48\adXAm;;7Ujb_LcikwPbzzcdb<>4Xeo\Ilhhz_oydaa5:Zl85813Qe7<3?9;Ym?4;413Qe7<3=6;Ym?4;Yney90T~z6;YqwCHicmeh0Sljk0123858d3Vkoh=>?0=33:f=Ximn;<=>310c9\eab789:7=3l4_`fg45674;4o7Rokd123495=87h0Sljk0123868e3Vkoh=>?0=6=f>Yflm:;<=2:>c9\eab789:7:3l4_`fg45674>4i7Rokd12349>9j2Ujhi>?01>::==Xign;<=>>6:]bja6789Uba}QPaof3456602Ujbi>?0035?Zgil9:;=Rgbp^]bja6788;37Road123640=_hos[Zgil9:;><64_`lg45649?1Tmcj?011\mhvXWhdo<=><199\ekb789>::6Qnne2341YneyUTmcj?0162<>Yffm:;<8?9;^cm`567=Vcf|RQnne23407?3Vkeh=>?6048[dhc89:=Sdc_^cm`567>820Sl`k012453=Xign;<=9Pilr\[dhc89:<=55Paof345>6>2Ujbi>?09]jiuYXign;<=6>8:]bja6780;=7Road123=ZojxVUjbi>?083;?Zgil9::<<84_`lg4577W`g{SRoad12244>?7:]lv56788>0Sb|?012\mhvXWfx;<=>>7:]lv56798>0Sb|?013\mhvXWfx;<=?>5:cg``tb3hdo<=>?_np34565:2keh=>?0^mq4567W`g{Sl`k0123[jt789::?6mat69`kphsm{80o~=4dgd:?aoumj~bcc=4fr`7?liee=1bco}6;oCGkprKM9;37cOKotvOA5YneyUeMIaztMG3546?189mEAir|EO:=55aAEmvpIC6W`g{ScOKotvOA4743gKX;6`NS^DPF2=iIZUBCO?=;oCP[LIEWgKXSDAMotvb?kGTW@EIcxz9;oCPkprb3gKXcxzPaof34565:2dJ_b{{_`lg4567W`g{ScO\otv\ekb789::i6`NSnww[kis89::>?5aARmvpZhh|9:;=Rgbp^lBWjssWge<=>>1e9mEVir|Vey<=>?219mEVir|Vey<=>?_hos[kGTg|~Tc>?0134?kGh}}EN=95aAnwwK@YneyUeMb{{OD36?kDBZ[30bOK]R^DPF47hEM[XTEBL8;o@D[LIE?2dI^RG@Bg9mFWYNGKUjbi>?0107?kDUW@EISl`k0123[lkwWgHYSDAM_`lg45679o1eN_QFOC]nq}6789;;7cL]_HMA[hs89:;=>1038jGTXAFHTaxv?0121546Pilr\jGTXAFHTc>?0137?kEF[j1eOL]Pnnv34576m2dHM^Qaou2344YneyUeOL]Pnnv34576>2dOXLMDa:lGPDELWOYIm6`KT@AH[LIEm2dOXLMD_`lg4567:;1eHYOLK^cm`5678Vcf|R`KT@AH[dhc89:;=h5aDUC@OZhh|9:;=?<4nEVBGNYig}:;<?1048jAoiDLn0bIgaLD]lv5678;:0bIgaLD]lv5678Vcf|R`KioNF[jt789:::6`KioMFa>hCagENSl`k012367=iL`dDIRoad1234ZojxVdOecAJ_`lg45679880bIgaOD]jiuYiL`dDI<94nEmvpJCa3gNdyyAJ_`lg4567:=1eHb{{OD]bja6789Uba}QaDnwwK@Yffm:;<=?i;oFlqqIBWhdo<=>>259m@jssGLUjbi>?00]jiuYiLfCHQnne234476<2dOcxz@E^kntZhCg|~DIPilr\jAir|FOTc>?0136?kOWD;<0bD^C2s68jIBT02dGH^QISC:8jIBTW@EI=95aLEQ\MJDXfENXSDAMotva?kJC[VCDNb{{c:lO@VYffm:;<=?j;oNGWZgil9:;5aOD`8jJCXign;<=>>c:lLAZgil9:;?0033?kIqm{fjuR``t123570=4:lLr`tkipUd~=>?0^kntZhH~lxgmtQ`r1234412:lQKHETW`g{Sc\@MBQ22>hUGD]Ni6`]OLUF[dhc89:;>?5aRNOTAZgil9:;?1008jWIJ_LUba}QaRNOTA42hRLZUjbi>?013f?kSC[Vkeh=>?0^kntZhRLZUjbi>?013`?kSC[Vkeh=>?10g8jPBTWhdo<=>>_hos[kSC[Vkeh=>?10a8jPBTWge<=>>1d9mQAUXff~;<=?Pilr\jPBTWge<=>>1c9mQAUXg{:;<=?l;oWGWZiu89:;Sdc_oWGWZiu89:;=95aUVG`?kSPMVkeh=>?00g8jPQBWhdo<=>?_hos[kSPMVkeh=>?00g8jPQBW`g{Sc[XE068jSKF02d]ALQFOC37?kPJIVCDNR`YM@]JKGir|k1eZ@OPIN@lqqehQXHUecy>?00]jiuYi^YKTbbz?01327>hPM>1e[HQISC58tjjXkea27}ac_bnhak>vhz}Uh`fka9:rlvqYci}k37}a}t^mse3=wg{~T}o5osv\v`urd}30|b|{_raov<=wg{~T~k{1:p0?wgj02x`Rzvpd38wc=tg|xhiRhfldlbi`b<{fyohQciogmf7=rx01}ma{j_bkm=>pfd|oTc}l7;ya:156dl1so4;<6ynsg12*=tJK|<8me:BC|6d62O096068e00g|f:k26<5a3`c92>"4i108m?5rS`097g6=:>?1=>:m1159b13c3m9j<7>51;3xWd5=;k:1>:;5126a551=n=?j7{H<1g83>4<629qXm>4d4i90;69l513852~J4i<09wEo9:0y'0=d=;h;0q)=99;1b4>\?k39p;7?6:329y_52<38p47?7:|)70a<722e3j7>5;n624?6=3`9ni7>5;n647?6=3`>>47>5;n667?6=3f3;6=44o564>5<5<5<>o3980;66a>i4n00;66g;2483>>i3>i3=00;66a;3`83>>o>93:17d6j:188k6c02900c>km:188m14?2900e9>?:188k1362900c9?9:188k14>2900c986:188k17>2900c9?k:188m11f2900c>hl:188k7?7290/>:6529d8j7102910c?6j:18'62>=:1l0b?98:098k7?>290/>:6529d8j7102;10c?77:18'62>=:1l0b?98:298k7?0290/>:6529d8j7102=10c?79:18'62>=:1l0b?98:498k7?2290/>:6529d8j7102?10c?7;:18'62>=:1l0b?98:698k7?4290/>:6529d8j7102110c?7=:18'62>=:1l0b?98:898k7?6290/>:6529d8j7102h10c?6k:18'62>=:1l0b?98:c98m22=83.9;5483:l132<732c<>7>5$35;>25o083:1(?97:618j7102:10e;k50;&13=<0;2d9;:4;;:k5`?6=,;=36:=4n354>0=h5?>0=76g9b;29 71?2>90b?98:698m3g=83.9;5483:l1325$35;>25o1?3:1(?97:618j7102k10e;850;&13=<0;2d9;:4l;:k51?6=,;=36:=4n354>a=i1<7*=79847>h5?>0n76g8b;29 71?2>90b?98:g98m2g=83.9;5483:l132<6821b;44?:%04;:k444<3`=<6=4+26:936=i:>=1=>54i6494?"5?10=n?<0;6)<88;50?k40?3;>76g9f;29 71?2>90b?98:048?l52i3:1(?97:27:?k40?3:07d=:8;29 71?2:?27c<87;38?l52?3:1(?97:27:?k40?3807o=n4;295?6=8r.8:44>399K7d5<;|N0e3<5sg>3o7=i;%6;f?5f92w/?;75a59Y1qW=:4;3x56>o36983>>o3?00;66a=9b83>>i48o0;66a>4383>>o3:3:1(?97:538j7102910e9>50;&13=<392d9;:4>;:k0b?6=,;=369?4n354>7=h5?>0876g5$35;>17o4?3:1(?97:538j7102110e>850;&13=<392d9;:46;:k01?6=,;=369?4n354>d=1<7*=79875>h5?>0i76g<3;29 71?2=;0b?98:b98m64=83.9;54;1:l1325$35;>17d5=00;6>4>:2yO7d3=9rB9<>5rL2c5>4}#<1h1m85r$24:>76d3`ki6=44o35b>5<{t:<91<77<2sE9j97?tH320?xJ4i?0:w):7b;c6?x"4>009=:5fac83>>i5?h0;66gn7;29?lg?2900e4h50;9a4?6==3:1N4i:1G?l;51z&20=<30>1ve4j50;9j=c<722c3h7>5;hca>5<l2wx>8<50;0x96g3289<70>59g9~w7342909w0>526c8Z71f3ty9994?:3y]eg=:83ki7psm19094?c=;3;;w)=99;3;6>i6>10;66g>4283>>o6>l0;66g>7183>>o6?;0;66g>7583>>o6??0;66g>7983>>o6?h0;66g>7b83>>o6?l0;66g>8183>>o6>j0;66g<7c83>>d6>00;644?:1y'73?=:?>0D>o<;h30e?6=3`;8n7>5;h30g?6=3`;8h7>5;h30a?6=3`;8j7>5;h374?6=3`;?=7>5;n065}#;?31>;?4H2c0?l74i3:17d?k0;6>4?:1y'73?=:o<;h30e?6=3`;8n7>5;n06<5845f3ty::h4?:3y]53c<58<26<=m;|q235<72;qU=:>4=04:>45d3ty:;?4?:3y]524<58<26<=k;|q231<72;qU=::4=04:>45a3ty:;;4?:3y]520<58<26<=j;|q23=<72;qU=:64=04:>4273ty:;l4?:3y]52g<584263ty:;h4?:3y]52c<584=04b>45c3ty::n4?:3y]53e<5845b3ty::44?:3y>53?=:<201<8m:01b?xu6>h0;6?u217c960><586?a3f92n7>5;h1;6?6=3`9387>5;h1;2?6=3`9347>5;h1;e?6=3`93o7>5;h1;a?6=3`92<7>5;h1:6?6=3`9287>5;h1:2?6=3`9247>5;c1:g?6=13:1l3:197>50z&02<<5>91C?l=4i01b>5<5<5<53;294~"4>0099n5G3`18m45f2900e<=m:188k73?2900q~=6b;296~X41k16?4k524:8yv5?:3:1>vP<839>73:1>vP<879>7vP<8`9>7vP<8d9>7:3:1>vP<939>7>3:1>vP<979>7k3:1>v3<9b811==:;0o1=>o4}r1:`?6=:r785i4=599>70<729q/?;755d9K7d55<5<m3:1?7>50z&02<<2?2B8m>5f12c94?=n9:h1<75`24:94?=zj;3m6=4<:183!5113?>7E=n3:k27d<722c:?o4?::m11=<722wi?5?50;794?6|,:<268m4H2c0?l74i3:17d?6<729q/?;75549K7d55<N4i:1b=>o50;9j56d=831d>8650;9~f73e29086=4?{%15=?323A9j?6g>3`83>>o6;k0;66a=5983>>{e;8?1<7;50;2x 60>2o<;h30e?6=3`;8n7>5;h30g?6=3`;8h7>5;n065}#;?319i5G3`18m45f2900e<=m:188m45d2900e<=k:188k73?2900qo=70;291?6=8r.8:44:b:J0e6=n9:k1<75f12`94?=n9:i1<75f12f94?=h:<21<75rb2:0>5<2290;w)=99;7f?M5f;2c:?l4?::k27g<722c:?n4?::k27a<722e9954?::a7=3=83?1<7>t$24:>0b<@:k87d?0;684?:1y'73?==l1C?l=4i01b>5<5<5<55;294~"4>00>h6Fl50;9j56e=831b=>j50;9l60>=831vn>6m:186>5<7s-9=57;k;I1b7>o6;h0;66g>3c83>>o6;j0;66g>3e83>>i5=10;66sm39f94?3=83:p(>86:4a8L6g43`;8m7>5;h30f?6=3`;8o7>5;h30`?6=3f8>47>5;|`093:197>50z&02<<2k2B8m>5f12c94?=n9:h1<75f12a94?=n9:n1<75`24:94?=zj:386=4::183!5113?o7E=n3:k27d<722c:?o4?::k27f<722c:?i4?::m11=<722wi?4;50;794?6|,:<268m4H2c0?l74i3:17d?0<729q/?;755b9K7d55<5<N4i:1b=>o50;9j56d=831b=>m50;9j56b=831d>8650;9~f423290>6=4?{%15=?3e3A9j?6g>3`83>>o6;k0;66g>3b83>>o6;m0;66a=5983>>{e9=<1<7=50;2x 60>2o<;h30e?6=3`;8n7>5;n065}#;?319n5G3`18m45f2900e<=m:188m45d2900e<=k:188k73?2900qo?81;291?6=8r.8:44:c:J0e6=n9:k1<75f12`94?=n9:i1<75f12f94?=h:<21<75rb050>5<2290;w)=99;7g?M5f;2c:?l4?::k27g<722c:?n4?::k27a<722e9954?::a523=83?1<7>t$24:>0e<@:k87d?0;684?:1y'73?==m1C?l=4i01b>5<5<5<55;294~"4>00>h6Fl50;9j56e=831b=>j50;9l60>=831vn<9m:186>5<7s-9=57;j;I1b7>o6;h0;66g>3c83>>o6;j0;66g>3e83>>i5=10;66sm16f94?3=83:p(>86:4a8L6g43`;8m7>5;h30f?6=3`;8o7>5;h30`?6=3f8>47>5;|`23c<72<0;6=u+37;91a=O;h90e<=n:188m45e2900e<=l:188m45c2900c?;7:188yg7?93:197>50z&02<<2l2B8m>5f12c94?=n9:h1<75f12a94?=n9:n1<75`24:94?=zj:;86=4<:183!5113?=7E=n3:k27d<722c:?o4?::m11=<722wi=;j50;194?6|,:<26884H2c0?l74i3:17d?6<729q/?;75579K7d55<7>50z&02<<6=91C?l=4i01:>5<5<4sW3n70<:9;c4?824m3k<7p}<1183>0}Y;8:01>?>:37;?856>3;8n63=5c827d=:9?n1=>l4}r0:`?6=;rT95i5228g960><5;3m6<=n;|q;1=>l4}r67`?6=;rT?8i5242g9eg=:<=:1=>l4}r35<57>52z\73<=:;1;1=>o4}r0:g?6=;rT95n5228d960><5:2:6<=m;|q04c<72;qU?=h4=235>73?3ty:8?4?:3y]514<58><6?;7;|q22`<72;q6=5<517g8940a2;?37p}>7183>7}:9181=:>4=052>73?3ty:;?4?:3y>5=4=9>801<9<:37;?xu6?=0;6?u21909522<58=>6?;7;|q233<72;q6=5<5164894102;?37p}>4283>6}:9181=9=4=064>45f34;?87<:8:p52>=838p1<6=:05;?870138>46s|15794?4|58><6<=m;<372?4202wx=:o50;0x94>528=j70?8b;06<>{t9>i1<741d34;46s|19294?4|58296<6?;<3;5?4202wx?<<50;0x9671289j70=>3;06<>{t:<31<771f34>?<7?7}:<:o1m552450960>52z?050<5=116?<=512`8yv50l3:1>v3<80827f=:;>o1>864}r14b?6=:r784<4>3e9>7=6=:<20q~?9c;296~;60;0::n5217f960>52z?0=c<41k16?4o512`8yv23;3:1>v3;45811==:<=81=>74}r60a?6=6<`=9:h01>?<:01b?871l3;8m6s|36a94?4|5:2:6?;7;<1:e?74i2wx8>h50;0x91272;?370:;4;30e>{t;181<76>53493?7<:8:p7=2=838p1>7i:2:7?85?=38>46s|39494?4|5:3m6>69;<1;3?4202wx?5650;0x96?a2:2370=79;06<>{t;1k1<76>f3493n7<:8:p7=e=838p1>7i:2:`?85?l38>46s|38494?4|5:3m6>79;<1:3?4202wx?:l50;1xZ4g134;3>7=8b:?0=d<5=11v>77:18185>n392463<98811==z{:2n6=4={<1:b?5?m2784k4=599~w6?72909w0=6f;1:4>;41809955rs2;1>5<5s492j7=62:?0=6<5=11v>7;:18185>n392863<94811==z{=81<7??{_61?85693;8o63=9d827g=:;8?1=>j4=25f>45e34;?87?;6?>0:?i5216;956b<58=i6<=k;<34`?74i27:;k4>3c9>5=7=9:h0(>;9:538j632291v9>50;33[27349:=7?;6>o0:?n52163956e<58=86<=l;<341?74i27:;:4>3c9>52?=9:h01<9m:01`?870l3;8h63>7g827a=:91;1=>j4$275>176<5rs2d94?`|V:l01>?>:01a?856=3;8n63<7d827a=:9=>1=>l4=04e>45e34;<=7?;6?k0:?o5216f956e<58=m6<=l;<3;5?74i2.89;4;1:l010<53ty8h7>5fz\0`>;4980:?l52307956g<5:=n6<=l;<370?74i27::k4>3`9>527=9:h01<9<:01b?870=3;8n63>76827d=:9>31=>m4=05a>45f34;;::29~w6d=839pR>l4=2:3>45c34;?:7?o4$275>17685rs2;94?4|V:301>6?:01a?!52>3>:7c=:5;48yv5?2909wS=7;<1;4?74i2.89;4;1:l010<03ty8;7>5dz\03>;40:0:?n52397956d<5:2<6<=l;<1;=?74j2784o4>3c9>7=b=9:k01>6i:01g?85>93;8h63<92827a=:;0?1=>j4=2;4>45c349257?o4=2:4>45c349357?a289i70=61;30e>;41:0:?n52387956e<5:3<6<=l;<1:=?74k2.89;4;1:l010<>3ty897>5dz\01>;40:0:?i52397956b<5:2<6<=m;<1;=?74i2784o4>3b9>7=b=9:i01>6i:01`?85>93;8o63<92827g=:;0?1=>o4=2;4>45e349257?m4=2:4>45f349357?a289j70=61;30f>;41:0:?l52387956d<5:3<6<=n;<1:=?74i2.89;4;1:l0106b=>;qG?l;51zJ146=zD:k=6?ua49a90d=#<1h1?l?4}%15=?4>i2P3o7x\4==09w54>6;j=`<722e?:94?::k0aa<722e?9>4?::m702<722e?5;n60e?6=3`>9;7>5;h102?6=3`><57>5;h663?6=3`82o7>5;n665?6=3`9;j7>5;n0a5?6=3f>8:7>5;n0:4?6=,;=36?6i;o043?6<3f83i7>5$35;>7>a3g8<;7?4;n0:=?6=,;=36?6i;o043?4<3f8247>5$35;>7>a3g8<;7=4;n0:3?6=,;=36?6i;o043?2<3f82:7>5$35;>7>a3g8<;7;4;n0:1?6=,;=36?6i;o043?0<3f8287>5$35;>7>a3g8<;794;n0:7?6=,;=36?6i;o043?><3f82>7>5$35;>7>a3g8<;774;n0:5?6=,;=36?6i;o043?g<3f83h7>5$35;>7>a3g8<;7l4;h1e>5<#:>21?h5a26594>=n;m0;6)<88;1f?k40?3;07d=m:18'62>=;l1e>:952:9j7d<72-8<47=j;o043?5<3`926=4+26:97`=i:>=1865f3983>!40039n7c<87;78?l50290/>:653d9m621=>21b?;4?:%045<#:>21?h5a2659<>=n;=0;6)<88;1f?k40?3307d=<:18'62>=;l1e>:95a:9j77<72-8<47=j;o043?d<3`>j6=4+26:97`=i:>=1o65f4883>!40039n7c<87;f8?l2?290/>:653d9m621=m21b8:4?:%045<#:>21?h5a265955=h5?>0:=65f4583>!40039n7c<87;31?>o3;3:1(?97:2g8j71028907d=l:18'62>=;l1e>:951598f670290h6<4l{M1b1?7|@;:87pB;1<75`26094?=h:>91<75f1gg94?=n9ol1<75f21294?=e9:81<7m5c;33I5f=3;pD?><;|&02<<49>1b=kk50;9j5c`=831b>=>50;9je<<722e9:54?::m12d<722e9:n4?::m12`<722e9;=4?::m134<722e9;?4?::m136<722hm57>53;397~J4i<0:wE5;c294?5=83:p(>86:g68L6g43E9j97?t$06;>1>03tc3h7>5;hca>5<5<5sWk<70>58e9~w7352909w0>526c8Z71f3ty99>4?:3y]eg=:83ki7psmfg83>6<62:qG?l;51zJ146=z,:<26k64i``94?=h:>k1<75fa683>>d729086=4?{%15=?`33A9j?6B3;6sf8e83>>ofj3:17b<8a;29?xuf?3:1>vPn7:?3>=b>7>52z?3>71f3W8ofj3:17b<8a;29?lg02900n=4?:283>5}#;?31j95G3`18H6g228q/=9654958yl>c2900ell50;9l62g=831vl950;0xZd1<5903h6s|24094?4|5909;l5Q26c8yv42;3:1>vPnb:?3>dd6}K;h?1=vF=029~ 60>2o20ell50;9l62g=831bm:4?::`3>5<4290;w)=99;d7?M5f;2F8m84>{%375;|qb3?6=:rTj;63?:9f8yv42:3:1>v3?:35b?[40i2wx>8=50;0xZdd<590jn6srb034>5<42808wA=n5;3xL7643t.8:44i8:kbf?6=3f85;hc4>5<4?:1y'73?=n=1C?l=4L2c6>4}#9=218594}h:g>5<8<50;0x95<5?h1U>:o4}r067?6=:rTjn63?:``8yxd6910;6>4>:2yO7d3=9rB9<>5r$24:>c>0;66l?:180>5<7s-9=57h;;I1b7>J4i<0:w)?;8;6;3>{n0m0;66gnb;29?j40i3:17p}n7;296~Xf?27;65j4}r066?6=:r7;6?9n;_04e>{t:<91<74<4sE9j97?tH320?x"4>00m46gnb;29?j40i3:17do8:188f5<72:0;6=u+37;9b1=O;h90@>o::0y'51>=<1=0qd6k:188mdd=831d>:o50;9~wd1=838pRl94=18;`>{t:<81<7k0q~<:3;296~Xfj27;6ll4}|`26d<72:0:6>uC3`795~N58:1v(>86:g:8mdd=831d>:o50;9je2<722h;6=4<:183!5113l?7E=n3:N0e0<6s-;?47:7a:j5;n04e?6=3tyj;7>52z\b3>;721n0q~<:2;296~;72;=j7S<8a:p605=838pRll4=18bf>{zj8;=6=4;:386!5113;::6g=7383>>o5890;66g=7183>>i69;0;66l>1483>6<729q/?;75579K7d55<vP=739>542=9:k0q~vP=719>543=9:h0q~?>2;296~X69;16=<:524:8yv76;3:1>v3>14811==:98>1=>l4}|`25`<72?0865u+37;954c5<5<5<7E=n3:k27d<722c:?o4?::m11=<722wi=4?:1y'73?=:o<;h30e?6=3`;8n7>5;n06:>4=03g>45e3ty9:n4?:3y]63e<58;i6<=l;|q2b`<72;qU=kk4=03a>45f3ty:jk4?:3y]5c`<58;i6<=m;|q25<<72;qU=<74=03`>73?3ty:=l4?:3y>54b=:<201<58;h6<=m;|a57`=83?1>78t$24:>44a3`85;h05g?6=3`;mi7>5;h3eb?6=3f;9n7>5;c31a?6=<3:1N4i:1b=>o50;9j56d=831b=>m50;9l60>=831vn<5<7s-9=57<:c:J0e6=n9:k1<75f12`94?=h:<21<75rs350>5<5sW82e827d=z{;;6:l0:?n5rs0df>5<5sW;mi63>2d827g=z{8lm6=4={_3eb>;6:l0:?l5rs00a>5<5sW;9n63>2e811==z{88h6=4={<31a?42027:>i4>3c9~yg7513:1?7>50z&02<<2=2B8m>5f12c94?=n9:h1<75`24:94?=z{8ln6=4;{_3ea>;69l0:jh5213d95cc<58826<=n;|q2bc<72:qU=kh4=03f>4`a34;9j7?if:p656=839pR?>?;<322?47827:=h4=019~wd?=833pRl74=g;9e2=:no0j;63>0`8b3>;6980j;63>168b3>;6910j;63>218b3>;6:h0j;6s|27:94?5|V;<370hi:``8946f2;=j7p}=6`83>6}Y:?k01k75ac9>bc<5?h1v?8l:186[41k27:>l4=7`9>54c=:?i01<7;ca?8758387;04e>;69?09;=5210g962653z\134=:99k1mo5210:962g7>53z\137=:n009;l52104962453z\136=:98;1>:o4=00e>7143ty:=?4?:3y>547=ik16=<851008yv7613:1>v3>198bf>;69l0:=45rs002>5<5s4;9<7om;<31=?4202wx=?l50;0x944f2hh01<0;6?uQa69>564=i01v?87:181[41027:??4=699~w70f2909wS<9a:?277<5>h1v?8l:181[41k27:??4=6b9~w70b2909wS<9e:?277<5>l1v?9?:181[40827:??4=719~w7162909wS<81:?277<5?81v?9=:181[40:27:??4=739~w7142909wS<83:?277<5?:1vfd9~w4`a2909wS?if:?277<6no1v?>?:181[47827:??4=019~yg>f29086<4<{M1b1?7|@;:87pB0;66l?:180>5<7s-9=57h;;I1b7>o?l3:17dom:188k71f2900q~o8:181[g034:14i5rs371>5<5s4:1>:o4^35b?xu5=:0;6?uQac9>4?ge3twi??850;796?3|D:k>63kp(96m:3;a?!2?139n>6*;808bf>"30:09;l5+46d9e2=i<>h1=6*;818b<>h3?j0:7):75;16f>h3?m0;7p*<688167=ni>0;66gn8;29?lge2900c?9n:188m63e2900n=4?:481>0}K;h?1=vF=029~ 60>2;:i7dom:188k71f2900el950;9je=<722c2j7>5;c294?3=83:p(>86:g48L6g43`3o6=44i8d94?=n0m0;66gnb;29?j40i3:17pl4<729q/?;7512:8L6g43f;8;7>5;|qb3?6=:rTj;63?:9f8yvg?2909wSo7;<29=a=z{;?96=4={<1b0?74?27;64h4}r067?6=:r7;6?9n;_04e>{t:<>1<7j6:180>5<7s-9=57<:d:J0e6=n9:k1<75f12`94?=h:<21<75rs`594?4|Vh=01=4n7:pe=<72;qUm5523e;956d52z\13d=:8385ac9>7a?=9:k0q~=k8;296~;72h201>j6:37;?x{e:kk1<7=51;1xH6g228qC>==4}M1b2?7|,=2i6?7m;|&02<<5:?1bmo4?::m13d<722cj;7>5;c294?5=83:p(>86:g68L6g43E9j97?t$06;>1>03tc3h7>5;hca>5<5<5sWk<70>58e9~w7352909w0>526c8Z71f3ty99>4?:3y]eg=:83ki7psm9283>6<62:qG?l;51zJ146=zD:k=65;hc4>5<4?:1y'73?=n=1C?l=4L2c6>4}#9=218594}h:g>5<8<50;0x95<5?h1U>:o4}r067?6=:rTjn63?:``8yxd5k80;6>4>:2yO7d3=9rB9<>5rL2c5>4}#<1h1>4l4}%15=?4502cjn7>5;n04e?6=3`k<6=44b183>6<729q/?;75f59K7d56k1<75rs`594?4|Vh=01=47d:p604=838p1=4=7`9]62g?7>52z\bf>;72hh0qpl=c183>6<52=qG?l;51zJ146=zD:k=65;hc4>5<4?:1y'73?=n=1C?l=4L2c6>4}#9=218594}h:g>5<28?;7E=n3:k27<<722e9954?::pe2<72;qUm:52a`827<=z{;?96=4={<295<5s4:1>:o4^35b?xu5==0;6?uQac9>4?ge3twi8=m50;196?2|D:k>63;p(96m:3;a?x"4>009>l5fac83>>i5?h0;66gn7;29?g6=8391<7>t$24:>c2<@:k87d6k:188mdd=831d>:o50;9~fdg=8381<7>t$24:>4373A9j?6g>3883>>i5=10;66s|a683>7}Yi>16ml4>389~w7352909w0>58e9>ed<5=11v?;<:18186=:>k0R?9n;|q111<72;qUmo520;ca?x{e:h:1<7853;5xH6g228qC>==4}M1b2?e|,=2i6?7m;%6;=?5b:2.?4<4nb:&7<6<5?h1/8:h5a69m02d=92.?4=4n8:l73f<63->397=:b:l73a<63->3:7=93:l73`<63t.8:44=2c9je2<722cj47>5;hca>5<5<0<52m;hca>5<t$24:>c0<@:k87A=n5;3x 42?2=2<7pg6d;29?l?a2900e5j50;9jeg<722e9;l4?::a7d2=83;1<7>t$24:>45?3A9j?6a>3683>>{ti>0;6?uQa69>4?>c3tyj47>52z\b<>;720n0q~<:2;296~;4i=0:?:520;;e?xu5=:0;6?u20;04e>X5?h1v?;;:181[ge34:1mo5r}c1`6?6=:3:15<5<1vl650;0xZd><5:n26<=l;|q13d<72;qU>:o4=1813d=z{:<86=4={_157>;4l00:?o5rs27a>5<4sW9>n63o4}r1`5?6=:r7;6ll4=2a1>73?3ty8h54?:3y>4?g?349o57<:8:~f702290>6?4:{M1b1?7|@;:87pB4=#<1:1m55a46a95>"30<089o5a46f94>{#;?31>=j4i`594?=ni10;66gnb;29?j40i3:17d=:b;29?g6=83?1>7;tL2c6>4}O:990q)=99;03f>ofj3:17b<8a;29?lg02900el650;9j=c<722h;6=4::183!5113l=7E=n3:N0e0<6s-;?47:77:j=a<722c2j7>5;h:g>5<:183!5113;846F7}Yi116<77k;|q117<72;q6?l:5125895<>n2wx>8=50;0x95<5?h1U>:o4}r060?6=:rTjn63?:``8yxd4l00;6>4?:1y'73?=:o<;h30e?6=3`;8n7>5;n0652z\b3>;72h=0q~o7:181[g?349o57?n7>53z\01g=:83ki70=k9;30e>{t;m21<7;4l009955r}c0a5?6=;3;1?vB86:32`?lge2900c?9n:188md1=831i<7>53;294~"4>00m86F5;n04e?6=3tyj;7>52z\b3>;721n0q~<:2;296~;72;=j7S<8a:p605=838pRll4=18bf>{zj;hm6=4;:386!51138ij6a=bc83>>o49h0;66g=b983>>o49k0;66l=bd83>6<729q/?;75549K7d55<vP=bc9>6gb=:<20q~=>a;296~X49h16>ok512c8yv4e03:1>vP=b99>6gc=9:h0q~=>b;296~X49k16>oj512`8yv4ek3:1>v3=bd811==::kn1=>o4}|`:g?6=03?1ov*<688:g>i><3:17d=>a;29?l4e03:17d==d;29?l56j3:17d=>c;29?l5383:17d=>d;29?g?e29086=4?{%15=?313A9j?6g>3`83>>o6;k0;66a=5983>>{e1?0;6>4?:1y'73?=:o<;h30e?6=3`;8n7>5;n0653;294~"4>00>96Fl50;9l60>=831vn4750;194?6|,:<268;4H2c0?l74i3:17d?5<5<5<5sW3?707n:37;?xu49h0;6?uQ30c89<1=9:k0q~3`9>=3<6;h1v>?m;<;b>45e3ty8=n4?:3y]74e<5031=>o4}r174?6=:rT88=5298827g=z{:;o6=4={_12`>;>i3;8h6s|9483>7}:1k09955297827g=z{;?96=4={<;5>73?343<6<=m;|q116<72;q65:4=599>=d<6;h1v4650;0x9?7:188f7e229086=4?{%15=?323A9j?6g>3`83>>o6;k0;66a=5983>>{e:j>1<7:50;2x 60>2;?n7E=n3:k27d<722c:?o4?::k27f<722e9954?::p6f4=838pR?m=;<0`0?4202wx?=838pR?l7;<0`1?74j2wx?=838pR>?7;<0`0?74k2wx>n=50;0x97e22;?370{zj;ih6=4;:285!51138ho6a=8e83>>o5jh0;66g;a;29?l5d2900n?mm:180>5<7s-9=57;9;I1b7>o6;h0;66g>3c83>>i5=10;66sm2b;94?5=83:p(>86:478L6g43`;8m7>5;h30f?6=3f8>47>5;|`1gd<72:0;6=u+37;960e<@:k87d?6}Y:kk01?mm:01b?84d13;8m6s|4`83>7}Ynl512`8yv5d2909wS=l;<0`=?74j2wx>n950;0x97ee2;?370{t:j21<773?348hm7?49{%15=?4cm2e95<4?::k1fd<722c8>7>5;h60>5<il50;194?6|,:<268;4H2c0?l74i3:17d?6<729q/?;7524a8L6g43`;8m7>5;h30f?6=3f8>47>5;|q1=4<72;qU>4?4=3f`>73?3ty9nl4?:2y]6gg<5;no6<=n;<0gf?74i2wx??4?:3y]77=::mn1=>l4}r60>5<5sW>870{t:m31<773?348oo7?5<32:0=w)=99;0e4>i51=0;66g=b`83>>o4;3:17d:;:188f7ca29086=4?{%15=?313A9j?6g>3`83>>o6;k0;66a=5983>>{e:ln1<7=50;2x 60>2o<;h30e?6=3`;8n7>5;n065}#;?31>8m4H2c0?l74i3:17d?7}Y:0>01?kj:37;?xu5jh0;6>uQ2cc897ca289j70{t;:0;6?uQ329>6``=9:h0q~:;:181[23348nh7?6<1s-9=57>6=44b3d6>5<4290;w)=99;75?M5f;2c:?l4?::k27g<722e9954?::a6c5=8391<7>t$24:>03<@:k87d?4?:1y'73?=:o<;h30e?6=3`;8n7>5;n06oo4=3d6>45f348m?7?>6=4={_66?84a;3;8n6s|2g394?4|5;l>6?;7;<0e0?74i2wx>k<50;0x97`42;?370{zj;lh6=4;:285!51138mo6a=9783>>o5jh0;66g<5;29?l212900n?hm:180>5<7s-9=57;9;I1b7>o6;h0;66g>3c83>>i5=10;66sm2g;94?5=83:p(>86:478L6g43`;8m7>5;h30f?6=3f8>47>5;|`1bd<72:0;6=u+37;960e<@:k87d?6}Y:kk01?hm:01b?84a13;8m6s|3483>7}Y;<16>kl512`8yv212909wS:9;<0e=?74j2wx>k950;0x97`e2;?370{t:o21<773?348mm7?49{%15=?57:2e95:4?::k1fd<722c8:7>5;h64>5<kh50;194?6|,:<268;4H2c0?l74i3:17d?6<729q/?;7524a8L6g43`;8m7>5;h30f?6=3f8>47>5;|q1=2<72;qU>494=223>73?3ty9nl4?:2y]6gg<5:::6<=n;<0eb?74i2wx?;4?:3y]73=:;9;1=>l4}r64>5<5sW><70{t:on1<773?349;<7?5<32:0=w)=99;13<>i5110;66g=b`83>>o4i3:17d=i:188f66029086=4?{%15=?313A9j?6g>3`83>>o6;k0;66a=5983>>{e;9?1<7=50;2x 60>2o<;h30e?6=3`;8n7>5;n065}#;?31>8m4H2c0?l74i3:17d?7}Y:0201>>9:37;?xu5jh0;6>uQ2cc89660289j70=?5;30e>{t;h0;6?uQ3`9>751=9:h0q~=i:181[5a349;97?>8:37;?857>3;8m6s|31694?4|5::>6?;7;<132?74j2wvn>>j:187>6<1s-9=57=?e:m1=<<722c9nl4?::k03?6=3`>26=44b22g>5<4290;w)=99;75?M5f;2c:?l4?::k27g<722e9954?::a75d=8391<7>t$24:>03<@:k87d?4?:1y'73?=:o<;h30e?6=3`;8n7>5;n06oo4=22g>45f349;n7?26=4={_6:?857j3;8n6s|31;94?4|5::o6?;7;<13g?74i2wx?=o50;0x966e2;?370=?c;30f>{zj;o?6=4;:285!51138n86a=9383>>o5jh0;66g<8;29?l2?2900n?k<:180>5<7s-9=57;9;I1b7>o6;h0;66g>3c83>>i5=10;66sm2d394?5=83:p(>86:478L6g43`;8m7>5;h30f?6=3f8>47>5;|`1a7<72:0;6=u+37;960e<@:k87d?6}Y:kk01?k<:01b?84b93;8m6s|3983>7}Y;116>h=512`8yv2?2909wS:7;<0f5?74j2wx>ih50;0x97c42;?370{t:l:1<773?348n>7?49{%15=?4c:2e94h4?::k1fd<722c857>5;h6;>5<nh50;194?6|,:<268;4H2c0?l74i3:17d?6<729q/?;7524a8L6g43`;8m7>5;h30f?6=3f8>47>5;|q1<`<72;qU>5k4=3f3>73?3ty9nl4?:2y]6gg<5;n:6<=n;<0`b?74i2wx?44?:3y]7<=::m;1=>l4}r6;>5<5sW>370{t:jn1<773?348o<7?5<32:0=w)=99;0fe>i51:0;66g=b`83>>o4j3:17d=k:188f7c>29086=4?{%15=?313A9j?6g>3`83>>o6;k0;66a=5983>>{e:l=1<7=50;2x 60>2o<;h30e?6=3`;8n7>5;n065}#;?31>8m4H2c0?l74i3:17d?7}Y:0901?k7:37;?xu5jh0;6>uQ2cc897c>289j70{t;k0;6?uQ3c9>6`?=9:h0q~=k:181[5c348n;7?6<1s-9=575<4290;w)=99;75?M5f;2c:?l4?::k27g<722e9954?::a6a3=8391<7>t$24:>03<@:k87d?4?:1y'73?=:o<;h30e?6=3`;8n7>5;n06oo4=3f4>45f348o97?i:50;0x97b22;?370{zj;h26=4;:183!5113?37E=n3:k27d<722c:?o4?::k27f<722e9954?::a762=83>1<7>t$24:>73b3A9j?6g>3`83>>o6;k0;66g>3b83>>i5=10;66sm44494?4=83:p(>86:073?M5f;2c:?44?::m11=<722wi88<50;094?6|,:<26<;?;I1b7>o6;00;66a=5983>>{e28?;7E=n3:k27<<722e9954?::a06?=8381<7>t$24:>4373A9j?6g>3883>>i5=10;66sm42f94?4=83:p(>86:073?M5f;2c:?44?::m11=<722wi89o50;094?6|,:<26<;?;I1b7>o6;00;66a=5983>>{e;=81<7=50;2x 60>2<<0D>o<;h30e?6=3`;8n7>5;n065}#;?319;5G3`18m45f2900e<=m:188k73?2900qo==c;297?6=8r.8:44=5b9K7d55<50z&02<<5=j1C?l=4i01b>5<5<53;294~"4>0099n5G3`18m45f2900e<=m:188k73?2900qo<97;297?6=8r.8:44:5:J0e6=n9:k1<75f12`94?=h:<21<75rb3`4>5<3290;w)=99;06a>N4i:1b=>o50;9j56d=831b=>m50;9l60>=831vn>5<7s-9=57;k;I1b7>o6;h0;66g>3c83>>o6;j0;66g>3e83>>i5=10;66sm32394?5=83:p(>86:448L6g43`;8m7>5;h30f?6=3f8>47>5;|`076<72=0;6=u+37;91<=O;h90e<=n:188m45e2900e<=l:188k73?2900qo::5;297?6=8r.8:44:7:J0e6=n9:k1<75f12`94?=h:<21<75rb545>5<2290;w)=99;7f?M5f;2c:?l4?::k27g<722c:?n4?::k27a<722e9954?::a06>=83?1<7>t$24:>0c<@:k87d?4?:1y'73?==?1C?l=4i01b>5<5<?57>54;294~"4>00>56Fl50;9j56e=831d>8650;9~f12d290?6=4?{%15=?42m2B8m>5f12c94?=n9:h1<75f12a94?=h:<21<75rb20;>5<4290;w)=99;74?M5f;2c:?l4?::k27g<722e9954?::a6d4=8391<7>t$24:>03<@:k87d?4?:1y'73?==?1C?l=4i01b>5<5<53;294~"4>00>:6Fl50;9l60>=831vn?o7:180>5<7s-9=57;9;I1b7>o6;h0;66g>3c83>>i5=10;66sm2`c94?5=83:p(>86:448L6g43`;8m7>5;h30f?6=3f8>47>5;|`1f6<72:0;6=u+37;910=O;h90e<=n:188m45e2900c?;7:188yg4e=3:1?7>50z&02<<2>2B8m>5f12c94?=n9:h1<75`24:94?=zj:8j6=4=:183!5113;><6F8650;9~f16b29096=4?{%15=?7282B8m>5f12;94?=h:<21<75rs8g94?e|V0o01>?8:`589=g=i>16??85a69>6gg=i>165>4n7:?1g4>97?6512c8915d289j70:;9;30e>{t<<91<738>46s|45594?4|V=><70:;a;06<>{t<9i1<7d}Y01164l4nb:?120<4=k16>o7512c8964a289o70=<1;30e>;4;:0:?o522`6956g<5;k=6<=n;<0a7?74i2wx?9?50;1xZ626349?>7?=9:180[54>278?o4>3c9>77>=9:k0q~:89;291~X3?01688;512`89101289i70:<8;30f>;3;j0:?o5rs574>5<5sW>>;63;67827f=z{;3h6=4;{_0:g>;5j00:?o5233d956d<5=>26<=m;|q714<72;qU88?4=571>73?3ty8o?4=3`2>71f348i?7?50;7x96702;:;707l:263?853:38>463<30827g=:;:91=>m4}r0`6?6=:r79o<4nb:?1g3<5k;1v?om:18184f839>n63=ab811==z{1k1<7l>5371897gb2;?37p}=ag83>7}::h:1m5522c2960>53z?063<5?h16?9<512c8965e289j7p}<2883>7}:;;<1?8l4=20b>73?3ty9n54?:7y>741=9oo01?li:3`;?8?d2;h370;5j0099552275956d8n7>52z?77a<6;0168>m524:8yv21=3:1>v3;66827<=:864}r126f0=;8201><5:8m6<=l;|q05<<72;q6?<9527c8964d289i7p}<1`83><}:;8=1>;m4=3`e>67f343h6>?n;<0`2?56i279::4>3`9>6d4=9:k01?o9:01a?84fi3;8n63=b4827g=z{;h96=4={<0a3?74i279n>4=599~w67e290>w0=>7;05a>;5k90jn63=bg805g=:1j08=o522`a956d52z?1f2<6;j16>o;524:8yv56k3:1>v3<168135=:1j08=n5rs3c2>5<5s48jo7??k:180856?38<=636c;12`>;5k?08=i5rs3c0>5<5s48ji7?6=4<{<051?40i279m54>3`9>6dg=9:k0q~54z?052<5?:16>o9512`897g3289i70{t:h31<745e348jm7<:8:p061=838p19=6:01:?824038>46s|33g94?4|5:9?6<=n;<11b?4202wx?>>50;0x9653289i70=<1;06<>{t;:81<745d3498?7<:8:p01>=838p19:6:37;?823k3;8m6s|41f94?4|5=:h6ll4=52f>73?3ty9nl4?:ey>6gg=:>k01?ml:3`b?84cm38im63=f181fd=::o<1>oo4=3d`>7df349;>7;5mh09nl522e:96gg52z?1fdw0=>7;3eb>;>k399h63<35811==::h81=>l4=3`6>45f3ty8>o4?:3y>770=i116??m524:8yv41>3:1>v3=648b<>;5>>09955rs3`5>5<5s48i=7om;<0a3?4202wx??950;0x964?2;?370==a;30=>{t1:0;6?u292813d=:<9o1=>74}r0b4?6=>r79m=4=7`9>6g?=9:i01>=<:01b?821>3;8h63;39827a=:<=31=>m4}r67f?6=:r7?8l4>389>01e=:<20q~7;:1818?42hh014m5959~w7e72909w0;35<5s48h=7<8a:?70f<6;k1v9;;:181822>3;8563;54811==z{;3;6=4={_0:4>;5l1095=5+34496=`6=5rs3:f>5<5sW83i63=d381<`=#;<<1>5h4n276>4=z{;326=4={_0:=>;48l09545+34496=`6?5rs3;;>5<5sW82463<0981===#;<<1>5h4n276>6=z{;3<6=4={_0:3>;48;095:5+34496=`695rs3;5>5<5sW82:63=fb81=3=#;<<1>5h4n276>0=z{;3>6=4={_0:1>;5n?09585+34496=`6;5rs3;7>5<5sW82863=f181=1=#;<<1>5h4n276>2=z{;386=4={_0:7>;5mh095>5+34496=`655rs3;1>5<5sW82>63=e581=7=#;<<1>5h4n276><=z{;3:6=4={_0:5>;5ll095<5+34496=`6l5rs3:g>5<5sW83h63=cb815h4n276>g=z{:l1<7;9:2g8j632291v>j50;1xZ6b<5;oj6>j4=3f;>6b<,:?=6>k4n276>4=z{:h1<7=t^2`897cf2:h01?j7:2`8 6312:o0b>;::39~w6g=838pR>o4=22;>6g<,:?=6>k4n276>6=z{:31<7;9:2g8j6322=1v>650;0xZ6><5;o?6>64$275>6c685rs2594?4|V:=01>>j:258 6312:o0b>;::79~w60=838pR>84=221>60<,:?=6>k4n276>2=z{:?1<7;9:2g8j632211v>:50;0xZ62<5;l=6>:4$275>6c645rs2194?4|V:901?h?:218 6312:o0b>;::`9~w64=838pR><4=3ff>64<,:?=6>k4n276>g=z{=k1<7;9:2g8j6322j1v9750;0xZ1?<5::n6974$275>6c6i5rs5:94?5|V=201?k;:5:897b52=20(>;9:2g8j6322l1v9950;0xZ11<5::96994$275>6c6k5rs5494?4|V=<01?hl:548 6312:o0b>;::028yv222909wS::;<0e2?223-9>:7=j;o161?763ty?87>52z\70>;5n90?86*<5780a>h4=<0:>6s|4283>7}Y<:16>ik5429'700=;l1e?8;5129~w6e=838pR>m4=3a`>6e<,:?=6>k4n276>42{I037>{K;h<1>v`;8b86a>"30k08m<5r$24:>4013`3n6=44i042>5<5<5<>i2<3:17b=ic;29?g51<3:1n7?5bzN0e0<6sA8;?6sC3`495~"30k0:::5r$24:>4533`k<6=44o34;>5<5<5<5<5<5=?50;9j654=831bm44?::m12=<722e9:l4?::m12f<722e9:h4?::m135<722hm57>53;397~J4i<0:wE5;c294?5=83:p(>86:g68L6g43E9j97?t$06;>1>03tc3h7>5;hca>5<5<5sWk<70>58e9~w7352909w0>526c8Z71f3ty99>4?:3y]eg=:83ki7psmfg83>6<62:qG?l;51zJ146=z,:<26k64i``94?=h:>k1<75fa683>>d729086=4?{%15=?`33A9j?6B3;6sf8e83>>ofj3:17b<8a;29?xuf?3:1>vPn7:?3>=b>7>52z?3>71f3W81>7;t$24:>cc:1<75`f`83>>dal3:1?7>50z&02<<2=2B8m>5f12c94?=n9:h1<75`24:94?=zjoi1<7=50;2x 60>2;?h7E=n3:k27d<722c:?o4?::m11=<722wxj44?:3y]b<=:nm0:?l5rs321>5<5sW8;>63id;30f>{t:>:1<7bf<6;h1vqo??9;29089j5cc=831bj44?::k135<722c950z&02<<2>2B8m>5f12c94?=n9:h1<75`24:94?=zj8:?6=4<:183!5113?=7E=n3:k27d<722c:?o4?::m11=<722wi==850;794?6|,:<268j4H2c0?l74i3:17d?0683>0<729q/?;752728L6g43`;8m7>5;h30f?6=3`;8o7>5;h30`?6=3f8>47>5;|q2b`<72;qU=kk4=02;>45e3tym57>54z\e=>;6810:?l52110956g<58:=6<=m;|q135<72:qU>:>4=021>45e34;;87?=;<330?74i2wx=kh50;0xZ4`a34;;:7??;<332?74l2wx>=?50;0xZ76634;;:7??;<333?4202wx==?50;0x946?2;?370??7;30e>{t9981<773?34;;;7?;:37;?877?3;8o6s|11794?4|58:=6?;7;<333?74l2wvn?86:180>5<7s-9=57;9;I1b7>o6;h0;66g>3c83>>i5=10;66sm27`94?5=83:p(>86:478L6g43`;8m7>5;h30f?6=3f8>47>5;|`12a<72:0;6=u+37;913=O;h90e<=n:188m45e2900c?;7:188yg41n3:1?7>50z&02<<2?2B8m>5f12c94?=n9:h1<75`24:94?=z{o31<79t=g;962g<5oo1j45211;9b<=::?31=>o4=34a>45e348=h7?fd9~w4`a2909wS?if:?24<<6no1v?>?:181[47827:<44=019~w7662909wS=:180[47:27mi7{t:?21<746s|27c94?4|V;{t:?i1<746s|27g94?4|V;{t:>:1<76t^353?8`a2;=j70hj:353?877138<<63=68827g=::?h1=>o4=34g>45f348=j7?bc019~yvg02909wSo8;<306?g>3ty9:54?:3y]63><58996?87;|q12d<72;qU>;o4=011>70f3ty9:n4?:3y]63e<58996?8l;|q12`<72;qU>;k4=011>70b3ty9;=4?:3y]626<58996?9?;|q2b`<72;qU=kk4=011>4`b3ty:jk4?:3y]5c`<58996=>4=011>7673ty9<<4?:3y]657<58996?>>;|q147<72;qU>=<4=011>7653twi=;>50;195?5|D:k>63;p(96m:044?x"4>009>i5?h0;66gn7;29?g6=8391<7>t$24:>c2<@:k87A=n5;3x 42?2=2<7pg7d;29?lge2900c?9n:188yvg02909wSo8;<297}Yik16<7om;|a5=1=8391=7=tL2c6>4}O:990qA=n6;3x 1>e28<<7p*<68814f=nik0;66a=7`83>>of?3:17o>50;194?6|,:<26k:4H2c0?l>c2900ell50;9l62g=831vl950;0xZd1<5903h6s|24094?4|5909;l5Q26c8yv42;3:1>vPnb:?3>dd0}K;h?1=vF=029~H6g128q/85l51758y!51138;j6gnb;29?j40i3:17do8:188md>=831b5k4?::`3>5<2290;w)=99;d5?M5f;2c2h7>5;h;e>5<>i5?h0;66sm3`694?7=83:p(>86:01;?M5f;2e:?:4?::pe2<72;qUm:520;:g?xuf03:1>vPn8:?3>>7>52z?0e1<6;>16<77i;|q116<72;q6<7<8a:\13d=z{;??6=4={_ca?86=ik1vqo<;2;290?4={I037>{K;h<14v*;8c8222=#<131?h<4$5:2>dd<,=286?9n;%64b?g03g>63e3g>5;hca>5<5<4>:2yO7d3=9rB9<>5r$24:>76e3`ki6=44o35b>5<{t:<91<7m=:181>5<7s-9=57?:0:J0e6=n9:31<75`24:94?=z{h=1<7:o50;0xZ71f34:1>:o4}r16f?6=:rT89o523b0956?52z?3>dd<5:i96?;7;|ae3<72=0:6>uC3`795~N58:1v@>o9:9y'0=d=9?=0(966:2g1?!2?93ki7):73;04e>"3?o0j;6`;7c82?!2?=39>n6`;7e83?x"4>009=<5fa683>>ofj3:17b<8a;29?l52j3:17o>50;195?5|D:k>6X5?h1v?;<:181[ge34:1mo5r}rc4>5<5sWk<70>5a69~w71f2909wS<8a:?3>71f3ty89o4?:3y]70d<590jn6srb4694?3=:3?p@>o::0yK6554003->357=j2:&7<44=7`9'02`=i>1e8:l51:&7<5;%6;2?51;2d?;h4>;|&02<<59;1bm:4?::kb5<0<52m;hca>5<t$24:>c0<@:k87d7k:188m<`=831b4i4?::kbf?6=3f85;|`0e1<7280;6=u+37;956><@:k87b?<7;29?xuf?3:1>vPn7:?3>=bv3?:35b?[40i2wx>8:50;0xZdd<590jn6srb2fa>5<4290;w)=99;06g>N4i:1b=>o50;9j56d=831d>8650;9~wd1=838pRl94=18b3>{ti10;6?uQa99>7ad=9:h0q~<8a;296~X5?h16<7<8a:p735=839pR>8<;<29eg=:;mh1=>o4}r1ge?6=:r7;6l64=2fa>73?3twi=4h50;796?3|D:k>63;p(96m:044?x"4>009>i5?h0;66gn7;29?lg?2900e4h50;9a4?6==3:1N4i:1b5i4?::k:b?6=3`2o6=44i``94?=h:>k1<75rb2c7>5<6290;w)=99;30<>N4i:1d=>950;9~wd1=838pRl94=18;`>{ti10;6?uQa99>4??c3ty99?4?:3y>7d2=9:=01=46f:p605=838p1=4=7`9]62g87>52z\bf>;72hh0qpl>eb83>0<525;hc4>5<>d7290>6=4?{%15=?`13A9j?6g6d;29?l?a2900e5j50;9jeg<722e9;l4?::a7d2=83;1<7>t$24:>45?3A9j?6a>3683>>{ti>0;6?uQa69>4?>c3tyj47>52z\b<>;720n0q~<:2;296~;4i=0:?:520;;e?xu5=:0;6?u20;04e>X5?h1v?;;:181[ge34:1mo5r}c3be?6=;3;1?vB>1v(>86:32`?lge2900c?9n:188md1=831i<7>53;294~"4>00m86F5;n04e?6=3tyj;7>52z\b3>;721n0q~<:2;296~;72;=j7S<8a:p605=838pRll4=18bf>{zj8l;6=4<:080I5f=3;pD?><;|N0e3<6s->3n7?97:'73?=:9i0ell50;9l62g=831bm:4?::`3>5<4290;w)=99;d7?M5f;2c3h7>5;hca>5<5<5sWk<70>58e9~w7352909w0>526c8Z71f3ty99>4?:3y]eg=:83ki7psm19:94?5=939p@>o::0yK6554003t.8:44=0b9jeg<722e9;l4?::kb3?6=3k:1<7=50;2x 60>2o>0D>o<;h:g>5<8<50;0x95<5?h1U>:o4}r067?6=:rTjn63?:``8yxd6l>0;6>4>:2yO7d3=9rB9<>5rL2c5>4}#<1h1=;94}%15=?47k2cjn7>5;n04e?6=3`k<6=44b183>6<729q/?;75f59K7d5>i5?h0;66s|a683>7}Yi>16<76k;|q117<72;q6<7<8a:\13d=z{;?86=4={_ca?86=ik1vqo:m:180>4<4sE9j97?tH320?xJ4i?0:w):7b;353>{#;?31>=m4i``94?=h:>k1<75fa683>>d729086=4?{%15=?`33A9j?6g7d;29?lge2900c?9n:188yvg02909wSo8;<297}Yik16<7om;|a70b=83?1>7;tL2c6>4}O:990qA=n6;cx 1>e28<<7):79;1f6>"3080jn6*;82813d=#<>l1m:5a46`95>"3090j46`;7b82?!2?=39>n6`;7e83?x"4>009=>5fa683>>of03:17dom:188k71f2900e>;m:188f5<72<0968uC3`795~N58:1v(>86:32a?lge2900c?9n:188md1=831bm54?::k:b?6=3k:1<7;50;2x 60>2o<0D>o<;M1b1?7|,8>36968;|k:`?6=3`3m6=44i9f94?=nik0;66a=7`83>>{e;h>1<7?50;2x 60>28937E=n3:m272<722wxm:4?:3y]e2=:832o7p}n8;296~Xf027;64j4}r066?6=:r78m94>369>4??a3ty99>4?:3y>4?40i2T9;l5rs377>5<5sWki70>5ac9~yg5c13:1?7>50z&02<<5=m1C?l=4i01b>5<5<1vl650;0xZd><5:n26<=m;|q13d<72;qU>:o4=1813d=z{:?i6=4<{_16f>;72hh01>j6:01b?xu4l10;6?u20;c;?85c138>46srb0c0>5<22;0>wA=n5;3xL7643tF8m;4n{%6;f?71?2.?4445;n04e?6=3`9>n7>5;c294?3=:3?p@>o::0yK6555;hc4>5<>d7290>6=4?{%15=?`13A9j?6g6d;29?l?a2900e5j50;9jeg<722e9;l4?::a7d2=83;1<7>t$24:>45?3A9j?6a>3683>>{ti>0;6?uQa69>4?>c3tyj47>52z\b<>;720n0q~<:2;296~;4i=0:?:520;;e?xu5=:0;6?u20;04e>X5?h1v?;;:181[ge34:1mo5r}c1g=?6=;3:1289i7p}=7`83>7}Y:>k01=4=7`9~w63e2908wS=:b:?3>dd<5:n26<=n;|q0`=<72;q6<7o7;<1g=?4202wvn7<2sE9j97?tH320?xJ4i?0jw):7b;353>"30008i?5+4939eg=#<191>:o4$55e>d1i1=6*;84801g=i<>n1<6s+37;9674>ofj3:17b<8a;29?l52j3:17o>50;796?3|D:k>6=831b5k4?::`3>5<2290;w)=99;d5?M5f;2c2h7>5;h;e>5<>i5?h0;66sm3`694?7=83:p(>86:01;?M5f;2e:?:4?::pe2<72;qUm:520;:g?xuf03:1>vPn8:?3>>7>52z?0e1<6;>16<77i;|q116<72;q6<7<8a:\13d=z{;??6=4={_ca?86=ik1vqo=k9;297?6=8r.8:44=5e9K7d55<5<5sWk<70>5a69~wd>=838pRl64=2f:>45e3ty9;l4?:3y]62g<5909;l5rs27a>5<4sW9>n63?:``896b>289j7p}7}:83k370=k9;06<>{zj:lh6=4::285I5f=3;pD?><;|N0e33n7?97:&7<<<4m;1/85?5ac9'0=5=:>k0(99i:`58j11e281/85>5a99m02e=92.?4;4<629m02c=82w/?;752068md1=831bm54?::kbf?6=3f85;h157?6=3k:1<7;52;7xH6g228qC>==4}%15=?47j2cjn7>5;n04e?6=3`k<6=44i`:94?=n1o0;66l?:186>5<7s-9=57h9;I1b7>o>l3:17d7i:188m=b=831bmo4?::m13d<722wi?l:50;394?6|,:<26<=7;I1b7>i6;>0;66s|a683>7}Yi>16<76k;|qbv37}:83852;294~"4>00:9=5G3`18m45>2900c?;7:188yg5cj3:1?7>50z&02<<5=m1C?l=4i01b>5<5<1vl650;0xZd><5:ni6<=m;|q13d<72;qU>:o4=1813d=z{:<86=4<{_157>;4l00:?4523e`956g52z?3>d><5:ni6?;7;|q0`=<72;q6<7om;<1g=?4202wvn:184>6<>s-9=57?l1:m2fa<722c347>5;h355<5<c183>6<729q/?;75549K7d55<{t==0;6?uQ559>5g`=9:k0q~=:d;296~X4=m16=oh512`8yv7f>3:1>vP>a79>5gc=9:i0q~?l2;296~X6k;16=ok512f8yv7em3:1>v3>bd811==:9j:1=>o4}r3ab?6=:r7:nk4=599>5f6=9:h0qpl>b983>d<028:p(>86:0`;?j7fl3:17d=98;29?l332900e3`83>>o6;k0;66a=5983>>{e9hl1<7:50;2x 60>2<30D>o<;h30e?6=3`;8n7>5;h30g?6=3f8>47>5;|`2f5<72:0;6=u+37;960e<@:k87d?4?:1y'73?==<1C?l=4i01b>5<5<53;294~"4>00>96Fl50;9l60>=831vn5<7s-9=57;6;I1b7>o6;h0;66g>3c83>>o6;j0;66a=5983>>{e9k<1<7:50;2x 60>2;?n7E=n3:k27d<722c:?o4?::k27f<722e9954?::p5db=838pR45e3ty:mo4?:3y]5dd<58km6<=m;|q73<<72;qU8:74=0ce>45d3ty8:84?:3y]733<58h86<=n;|q2ed<72:qU=lo4=0`0>45e34;i97?89;<3a1?74k2wx=;>50;0xZ40734;i97?8<50;0x94d72;?370?m1;30f>{t:<91<773?34;i:7?3;8n6s|1c694?4|58h>6?;7;<3a2?74k2wvn2<68r.8:44>fb9l5c7=831b?;650;9j11<722c:nn4?::k2eg<722c?;44?::k020<722c:j=4?::k023<722c::=4?::`2bg<72:0;6=u+37;913=O;h90e<=n:188m45e2900c?;7:188yg7a;3:187>50z&02<<2i2B8m>5f12c94?=n9:h1<75f12a94?=h:<21<75rb0d7>5<4290;w)=99;06g>N4i:1b=>o50;9j56d=831d>8650;9~f4`229086=4?{%15=?323A9j?6g>3`83>>o6;k0;66a=5983>>{e9o=1<7=50;2x 60>2o<;h30e?6=3`;8n7>5;n065}#;?31945G3`18m45f2900e<=m:188m45d2900c?;7:188yg7ai3:187>50z&02<<5=l1C?l=4i01b>5<5<52z\02==:9o?1=>o4}r77>5<4sW??70?ib;30f>;6n:0:?o5rs0``>5<5sW;io63>fc827d=z{8ki6=4={_3bf>;6n:0:?n5rs55:>5<5sW><563>f2827d=z{:<>6=4={_151>;6n>0:?l5rs0d3>5<4sW;m<63>f6827g=:9o31=>l4}r152?6=:rT8:;521g;956e52z\225=:9o31=>o4}r3e6?6=:r7:jo4=599>5c2=9:k0q~?i3;296~;6n:0995521g6956d>7>52z?2b1<5=116=k;512`8yv42;3:1>v3>f4811==:9ok1=>o4}r3e2?6=:r7:j:4=599>5cg=9:h0q~?i8;296~;6n00995521gc956e43|,:<26<7l;n3;=?6=3`9=47>5;h77>5<5<5<6=44i0;g>5<7E=n3:k27d<722c:?o4?::m11=<722wi=4>50;194?6|,:<26884H2c0?l74i3:17d?9383>6<729q/?;75579K7d55<<3:1?7>50z&02<<2>2B8m>5f12c94?=n9:h1<75`24:94?=zj83>6=4<:183!51138>o6Fl50;9l60>=831vn<79:180>5<7s-9=57;:;I1b7>o6;h0;66g>3c83>>i5=10;66sm18:94?5=83:p(>86:478L6g43`;8m7>5;h30f?6=3f8>47>5;|`2=d<72:0;6=u+37;912=O;h90e<=n:188m45e2900c?;7:188yg7>j3:1?7>50z&02<<5=j1C?l=4i01b>5<5<53;294~"4>00>96Fl50;9l60>=831vn<6k:180>5<7s-9=57;9;I1b7>o6;h0;66g>3c83>>i5=10;66sm19g94?2=83:p(>86:37f?M5f;2c:?l4?::k27g<722c:?n4?::m11=<722wx=5750;0xZ4>>34;3i7<:8:p73>=838pR>87;<3:2?74i2wx994?:4y]11=:91l1=>o4=0;7>45f34;247?n27:4k4>3c9>5<>=9:h0q~?nb;297~X6ik16=4>512`894?5289j7p};2683>6}Y<;=01<7?:01b?87>i3;8n6s|19:94?5|V82370?62;30f>;60m0:?o5rs246>5<5sW9=963>8c827d=z{83o6=4={_3:`>;60m0:?l5rs0:b>5<5s4;3j7<:8:?2=0<6;h1v<6l:18187>838>463>92827d=z{83:6=4={<3:6?42027:5>4>3c9~w7352909w0?63;06<>;61=0:?o5rs370>5<5s4;287<:8:?2=0<6;k1v?;;:18187>=38>463>97827g=z{;?>6=4={<3:2?42027:4h4>3`9~w4?02909w0?68;06<>;61k0:?l5rs0;:>5<5s4;2m7<:8:?2=g<6;k1v?;9:18187>j38>463>8c827g=z{;?<6=4={<3;f?42027:4h4>3c9~w4>c2909w0?7d;06<>;60l0:?n5r}c3ff?6=13n1=8u+37;95`d5<1<75f1da94?=n9hh1<75f44594?=n9m=1<75f37794?=n90n1<75m1eg94?5=83:p(>86:478L6g43`;8m7>5;h30f?6=3f8>47>5;|`2`c<72:0;6=u+37;913=O;h90e<=n:188m45e2900c?;7:188yg7b93:1?7>50z&02<<2>2B8m>5f12c94?=n9:h1<75`24:94?=zj8o96=4<:183!51138>o6Fl50;9l60>=831vn5<7s-9=57;9;I1b7>o6;h0;66g>3c83>>i5=10;66sm1d694?5=83:p(>86:37`?M5f;2c:?l4?::k27g<722e9954?::a5`3=8391<7>t$24:>03<@:k87d?0;6>4?:1y'73?==<1C?l=4i01b>5<5<53;294~"4>00>;6Fl50;9l60>=831vn5<7s-9=57<:c:J0e6=n9:k1<75f12`94?=h:<21<75rb0fb>5<4290;w)=99;76?M5f;2c:?l4?::k27g<722e9954?::a5ae=8391<7>t$24:>00<@:k87d?o<;h30e?6=3`;8n7>5;h30g?6=3f8>47>5;|q2`=<72;qU=i64=0fg>73?3ty8:54?:3y]73><58o>6<=n;|q60?6==rT>863>dd827d=:9l91=>o4=0g4>45f34;n57?3c9~w4ge2908wS?nb:?2`c<6;k16=h?512c8yv22?3:1?vP;569>5a`=9:k010;6>uQ1e5894c6289i70?kc;30f>{t;??1<7{t9m31<773?34;n87?8<50;0x94c52;?370?j3;30f>{t:<91<773?34;n87?6?;7;<3g`?74i2wx=h850;0x94c02;?370?ja;30e>{t9l21<773?34;nm7?{zj=;h6=4=:183!5113;><6F8650;9~f63a290?6=4?{%15=?3>3A9j?6g>3`83>>o6;k0;66g>3b83>>i5=10;66sm1`794?2=83:p(>86:4;8L6g43`;8m7>5;h30f?6=3`;8o7>5;n065}#;?31>8j4H2c0?l74i3:17d?6<729q/?;75549K7d55<N4i:1b=>o50;9j56d=831b=>m50;9j56b=831d>8650;9~f4e4290?6=4?{%15=?42m2B8m>5f12c94?=n9:h1<75f12a94?=h:<21<75rb0c`>5<3290;w)=99;7:?M5f;2c:?l4?::k27g<722c:?n4?::m11=<722wi=4k50;194?6|,:<26?;l;I1b7>o6;h0;66g>3c83>>i5=10;66sm37394?5=83:p(>86:37`?M5f;2c:?l4?::k27g<722e9954?::a612=8381<7>t$24:>4373A9j?6g>3883>>i5=10;66sm1`394?4=83:p(>86:073?M5f;2c:?44?::m11=<722wi=hk50;094?6|,:<26<;?;I1b7>o6;00;66a=5983>>{t1l0;6<=t^8g896032h=01<8?:`5894>02h=011145e3ty:m;4?:5y]5d0<58i:63`9~w4362909wS?:1:?225134;3;7om;|q2e2<72;qU=l94=0c4>71f3ty8ii4?:3y]7`b<5=;i6<=n;|q;63e34;j;7=:b:?0bf<4>:16=n?5899>5de=9:i0q~?na;297~X6ih16=lo526c894d?28kj7p};2683>6}Y<;=01<7l:504?87f93;856s|17:94?0|V8<370=94;034>;6k80::55234d956e<5=;i6<=l;<3bg?74j2wx8:750;7xZ11>34;io7om;<3a04d=9:n0q~::7;297~X3=>16=hl5445894cb28927p}>8983>6}Y91201<67:35b?87>k3;346s|1g294?5|V8l;70?i0;04e>;6nj0:j=5rs22e>5<5sW9;j63;1c827g=z{=;26=4={_62=>;39j09955rs0c0>5<5sW;j?63>a2813d=z{8n<6=4<{_3g3>;6l>09;l521d`95a1i6=4={_6a?82e2;=j7p}:4;29e~X2<278:94>fd9>11<5?h16=n?5559>5g>===16=km5559>570`=9:k017}:;?>1>;m4=0a0>45d3ty:j<4?:3y>5c6=ik16=km51g38yv5103:1;v3<65812`=:9k21?;64=0d`>60?34;2o7=98:?2ag<4>116=4k512c89606289i7p};1`83>7}:<8i1=>74=53a>73?3ty:h54?:3y>5a1=ik16=hl51e:8yv7el3:1?v3>bb8b<>;6k80:ni52256956?h7>56z?021<58816?8j526c894e62:?o70?n5;30g>;4nl0:?l521`a956gi7>52z?01a;6>909;l521c:9536<58lh6<8?;|q2ea<72;q6=lo5ac9>5g>=9hn0q~=k7;296~;4>=09;=524c8bf>{ti?0;6>u2a7813d=:==0j463>a8827g=z{;>86=4={<076?52j279894=599~w4g?2909w0?n7;c;?87f138>46s|1`294?4|583m6ll4=0c2>73?3ty:o?4?:3y>5f7=9j801u21ca962g<58h369<50;6x97252;=j70;;:240?87>n3k370?jc;c;?xu61o0;6?u218d962g<583h6<7i;|q2<<<72;q6=565ac9>5;6mk0:5i5218g960>52z?0bf02909w0?77;04e>;6i<0:?o5rs0c7>5<5s4;j?7o7;<3b1?4202wx=hj50;0x94cd2hh01=}:;?>1>;64=`4970d<58h36>8:;<3eg?51=27:5n4<649>5`d=;??01m3;8n6s|37494?2|5:27:jn4<679>5f5=9:h0qpl40=;m0>>vB285<5<a`83>>o?m3:17b:=7;29?l7f:3:17d9j:188k1302900ehm:188k1712900c9?k:188k1d=831d8;650;9a5f2=83n1=7jtL2c6>4}O:990qA=n6;3x 1>e28<>7p*<688270=ni>0;66a=6983>>i5>h0;66a=6b83>>i5>l0;66a=7183>>i5?80;66a=7383>>o6nl0;66g>fg83>>o5890;66g=0083>>o58;0;66l>3383>ao::0yK6555;n055;n05g?6=3f8=i7>5;n044?6=3f8<=7>5;n046?6=3kl26=4<:080I5f=3;pD?><;|&02<5;n04e?6=3`k<6=44b183>6<729q/?;75f59K7d56k1<75rs`594?4|Vh=01=47d:p604=838p1=4=7`9]62g?7>52z\bf>;72hh0qplif;297?7=;rF8m84>{I037>{#;?31j55fac83>>i5?h0;66gn7;29?g6=8391<7>t$24:>c2<@:k87A=n5;3x 42?2=2<7pg7d;29?lge2900c?9n:188yvg02909wSo8;<297}Yik16<7om;|a55g=8391=7=tL2c6>4}O:990q)=99;d;?lge2900c?9n:188md1=831i<7>53;294~"4>00m86F7}:83853;397~J4i<0:wE5;c294?5=83:p(>86:g68L6g43E9j97?t$06;>1>03tc3h7>5;hca>5<5<5sWk<70>58e9~w7352909w0>526c8Z71f3ty99>4?:3y]eg=:83ki7psm10594?5=939p@>o::0yK6555<50z&02<5C3`795~"6<10?4:5ri9f94?=nik0;66a=7`83>>{ti>0;6?uQa69>4?>c3ty99?4?:3y>4?40i2T9;l5rs370>5<5sWki70>5ac9~yg7603:1?7?53zN0e0<6sA8;?6s+37;9b==nik0;66a=7`83>>of?3:17o>50;194?6|,:<26k:4H2c0?I5f=3;p(<:7:5:4?xo?l3:17dom:188k71f2900q~o8:181[g034:14i5rs371>5<5s4:1>:o4^35b?xu5=:0;6?uQac9>4?ge3twi=?>50;195?5|D:k>6N4i:1G?l;51z&20=<30h1ve5j50;9jeg<722e9;l4?::pe2<72;qUm:520;:g?xu5=;0;6?u20;04e>X5?h1v?;<:181[ge34:1mo5r}c33=?6==391;v*<68824<=n:>:1<75f1gd94?=n:9;1<75f21094?=h99:1<75m11794?2=83:p(>86:4;8L6g43`;8m7>5;h30f?6=3`;8o7>5;n065}#;?31945G3`18m45f2900e<=m:188m45d2900c?;7:188yg77<3:1?7>50z&02<<5=j1C?l=4i01b>5<5<53z\135=:99?1=>m4=021>45d3ty:jk4?:2y]5c`<58:>6<=n;<336?74i2wx>=?50;0xZ76634;;97?=;<336?74j2wx==>50;0xZ46734;;87<:8:p557=838p1<>::37;?877<3;8m6s|11094?4|58:96?;7;<330?74j2wvn60:k135<722c9<<4?::k147<722c:jk4?::k12`<722e:5<3290;w)=99;06a>N4i:1b=>o50;9j56d=831b=>m50;9l60>=831v?9?:180[40827:3b9>55b=9:k0q~vP=039>55`=9:k0q~?if;296~X6no16==j512`8yv41m3:1>vP=6d9>55c=9:i0q~??b;296~X68k16==k524:8yv77k3:1>v3>0g811==:99o1=>o4}r33`?6=:r7:55c=9:h0qpl>2983>3<320q/?;7513:8m70?2900e?>?:188m4`b2900e?9=:188m4`a2900c<<>:188f44029086=4?{%15=?313A9j?6g>3`83>>o6;k0;66a=5983>>{e9;91<7=50;2x 60>2<<0D>o<;h30e?6=3`;8n7>5;n0684?:283>5}#;?31985G3`18m45f2900e<=m:188k73?2900qo?=6;291?6=8r.8:44=619K7d55<5<=>50;0xZ76734;9;7?:<50;0xZ71534;9:7?3;8m6s|13194?4|58886?;7;<312?74j2wx=?:50;0x94422;?370?=6;30`>{zj8;m6=4::183!5113?h7E=n3:k27d<722c:?o4?::k27f<722c:?i4?::m11=<722wx=kk50;1xZ4`b34;947?ie:?25c<6;j1vfg9>546=9ol01<<7:0de?876n3;8m6s|21294?5|V;:;70?=8;034>;69o0:?i5rs322>5<4sW8;=63>088144=:98:1>=?4}r036?6=;rT9=;|qb=?6=0rTj563i9;c4?8`a2h=01<>n:`5894762h=01576=:>k01<<7:34;?876n3;8n6s|27c94?5|V;7;ca?8760381;ca?876?381;04e>;69909:h5rs353>5<3sW8<<63>0`813d=:9931>:>4=033>7173ty9;<4?:2y]627<5o31mo52fg813d=z{;=96=4<{_046>;a138298137=z{8:;6=4={dd<58:26<>?;|q24g<72;q6==o5ac9>546=99h0q~?>9;296~;6910jn63>1g811==z{88:6=4={<314?ge34;947?=1:~wd1=838pRl94=011>d?52z\12==:9:81>;64}r05e?6=:rT9:l52120963g52z\12f=:9:81>;m4}r05a?6=:rT9:h52120963c52z\135=:9:81>:>4}r045?6=:rT9;<5212096277>52z\137=:9:81>:<4}r3ea?6=:rT:jh5212095cc52z\2bc=:9:81=kh4}r034?6=:rT9<=52120965652z\144=:9:81>=?4}r036?6=:rT97>53;397~J4i<0:wE649~ 60>2;:h7dom:188k71f2900el950;9a4?6=;3:1N4i:1b4i4?::kbf?6=3f85;|qb3?6=:rTj;63?:9f8yv42:3:1>v3?:35b?[40i2wx>8=50;0xZdd<590jn6srb0`:>5<42808wA=n5;3xL7643tF8m;4>{%6;f?71=2w/?;7521a8mdd=831d>:o50;9je2<722h;6=4<:183!5113l?7E=n3:k;`?6=3`ki6=44o35b>5<k0R?9n;|q116<72;qUmo520;ca?x{e9on1<7=51;1xH6g228qC>==4}M1b2?7|,=2i6<8:;|&02<<58j1bmo4?::m13d<722cj;7>5;c294?5=83:p(>86:g68L6g43`2o6=44i``94?=h:>k1<75rs`594?4|Vh=01=47d:p604=838p1=4=7`9]62g?7>52z\bf>;72hh0qpl<7983>6<52=qG?l;51zJ146=zD:k=65;hc4>5<4?:1y'73?=n=1C?l=4i9f94?=nik0;66a=7`83>>{eih0;6?4?:1y'73?=9<:0D>o<;h30=?6=3f8>47>5;|qb3?6=:rTj;63na;30=>{t:<81<7;fi38>46s|24194?4|5909;l5Q26c8yv42<3:1>vPnb:?3>dd53;090~J4i<0:wE649~ 60>2;8j7dom:188k71f2900el950;9a4?6=;3:1N4i:1b4i4?::kbf?6=3f85;|`be?6=:3:173?3ty99>4?:3y>4?40i2T9;l5rs377>5<5sWki70>5ac9~ygbf290<6?4m{M1b1?7|@;:87pB71f3->6>;m;o64`?70vV>;;:3y1=?4d2tc89n4?::kb3?6=3`9>n7>5;n0;0?6=,;=36?6<;o043?6<3f83>7>5$35;>7>43g8<;7?4;n0;5?6=,;=36?6<;o043?4<3f85$35;>7>43g8<;7=4;c294?d==3ip@>o::0yK6556c53->3=7om;%6;7?40i2.?;k4n7:l73g<73->397=:b:l73a<63t.8:44=149Y84rZ277>7}513896pg<5b83>>of?3:17d=:b;29?j4?<3:1(?97:3:0?k40?3:07b<72;29 71?2;287c<87;38?j4?93:1(?97:3:0?k40?3807b<8b;29 71?2;287c<87;18?ld2290/>:65b59m621=821bn>4?:%045<#:>21n95a26596>=nij0;6)<88;`7?k40?3907o>50;790?>|D:k>633p(966:2g1?!2?93ki7):73;04e>"3?o0j;6`;7c83?!2?=39>n6`;7e82?!2?>39=?6`;7d82?x"4>009=;5fa683>>ofj3:17b<8a;29?l51;3:17d=:b;29?g6=8391=7=tL2c6>4}O:990q)=99;03f>ofj3:17b<8a;29?lg02900n=4?:283>5}#;?31j95G3`18m=b=831bmo4?::m13d<722wxm:4?:3y]e2=:832o7p}=5383>7}:8387>53;294~"4>00>:6Fl50;9l60>=831vn>jj:180>5<7s-9=57<:c:J0e6=n9:k1<75f12`94?=h:<21<75rb383>7<729q/?;751428L6g43`;857>5;n0652z\b3>;528927p}nb;296~Xfj278hh4>3c9~w71f2909wS<8a:?3>71f3ty8:>4?:3y]735<5:nn6<=n;|q01g<72;qU?8l4=2a1>45f3ty:6=4={<29e2=::38>46s|3ef94?4|5:i96<=m;<1ga?4202wx?n?50;0x951?78tL2c6>4}O:990qA=n6;5x 1>>2:o97):71;ca?!2?;38h3?k0;7):75;16f>h3?m0:7p*<68815==ni>0;66gnb;29?j40i3:17d=:b;29?g6=8391=7=tL2c6>4}O:990q)=99;03f>ofj3:17b<8a;29?lg02900n=4?:283>5}#;?31j95G3`18m=b=831bmo4?::m13d<722wxm:4?:3y]e2=:832o7p}=5383>7}:8387>53;294~"4>00>:6Fl50;9l60>=831vn?4?:383>5}#;?31=8>4H2c0?l7413:17b<:8;29?xuf?3:1>vPn7:?1>45>3tyjn7>52z\bf>;4k;0:?o5rs35b>5<5sW8:18186=i>16>7<:8:p7f7=838p1=4nb:?0g7<5=11vqo?::187>6<1sE9j97?tH320?xJ4i?0"3080jn6*;82813d=#<>l1m:5a46`94>"30<089o5a46f95>{#;?31><64i`594?=nik0;66a=7`83>>o4=k0;66l?:180>4<4sE9j97?tH320?x"4>009>i5?h0;66gn7;29?g6=8391<7>t$24:>c2<@:k87d6k:188mdd=831d>:o50;9~wd1=838pRl94=18;`>{t:<81<7k0q~<:3;296~Xfj27;6ll4}|`0g7<72:0;6=u+37;913=O;h90e<=n:188m45e2900c?;7:188yg4=8381<7>t$24:>4373A9j?6g>3883>>i5=10;66s|a683>7}Yi>16>7?<9:peg<72;qUmo523b0956d52z\13d=:838{t93:1>v3?:`5897<5=11v>m>:18186=ik16?n<524:8yxd6n3:187=56zN0e0<6sA8;?6sC3`493~"30008i?5+4939eg=#<191>:o4$55e>d177?3`k<6=44i``94?=h:>k1<75f34`94?=e83:1?7?53zN0e0<6sA8;?6s+37;965d0;66l?:180>5<7s-9=57h;;I1b7>o?l3:17dom:188k71f2900q~o8:181[g034:14i5rs371>5<5s4:1>:o4^35b?xu5=:0;6?uQac9>4?ge3twi?n<50;194?6|,:<26884H2c0?l74i3:17d?5<7s-9=57?:0:J0e6=n9:31<75`24:94?=z{h=1<7:o4=1813d=z{:?i6=4={_16f>;4k;0:?l5rs083>7}:83k<70<524:8yv5d93:1>v3?:``896e52;?37psm29a94?5=83:p(>86:37`?M5f;2c:?l4?::k27g<722e9954?::p70e=839pR>;l;<29735<5;2h6<=m;|qb3?6==rTj;63?:`5896d1n7>53z\01g=:839>n63=8b827d=z{;2i6=4;{<1970d<58?1?8l4=0d970d<5;2h6?;7;|q1<1<72;qU>5:4=0d962g<,:?=6?6<;o161?67>52z\1<7=:9<09;l5+34496=56<5rs3:2>5<5sW83=63<:35b?!52>383?6`<5481?xu5?k0;6?uQ26`895<5?h1/?8852918j6322:1vo;50;0xZg3<58l1mo5+3449f1=i;7}Yj:16=84nb:&013;|qa6?6=:rTi>63<:``8 6312k>0b>;::39~wde=838pRlm4=18bf>"4=?0i86`<5480?x{e:=?1<7656;cxH6g228qC>==4}M1b2?3|,=226>jl;%6;6?db3->3j7=4$5:7>6243->3i7>4}%15=?47=2P3o71>vi7c<87;38?j5313:1(?97:26a?k40?3807b=;4;29 71?2:>i7c<87;18?le0290/>:65c79m621=821bo84?:%045<#:>21o;5a26596>=njo0;6)<88;a5?k40?3907o<;9;297?7=;rF8m84>{I037>{#;?31>?m4ica94?=njm0;66a<4283>>d4io0;6>4<:4y'73?=;hl0eom50;9jfa<722e88>4?::`0ef<72:0;6=u+37;913=O;h90e<=n:188m45e2900c?;7:188yg5fl3:1?7>50z&02<<2>2B8m>5f12c94?=n9:h1<75`24:94?=zj:kn6=4<:183!51138>o6Fl50;9l60>=831vom50;1xZge<5:kh6<=m;<1b`?74i2wxni4?:2y]fa=:;hi1=>o4=2cg>45e3ty88>4?:3y]715<5:kn6?;7;|q0eg<72;q6?lm524:896gb289j7p}7}:;hn1>864=2cf>45e3twxnn4?:3y]ff=:;hl1nn5rscf94?4|Vkn01>oi:cf8yv53;3:1>vP<429>7d`=;=90qpl=4`83>6<62:qG?l;51zJ146=z,:<26?5<2:km7dll:188mgb=831d?9=50;9a7de=8391<7>t$24:>00<@:k87d?4?:1y'73?==?1C?l=4i01b>5<5<53;294~"4>0099n5G3`18m45f2900e<=m:188k73?2900q~ll:180[dd349jo7?ol:37;?85fm3;8m6s|3`a94?4|5:ko6?;7;<1ba?74j2wvom50;0xZge<5:km6om4}r`g>5<5sWho70=nf;`g?xu4<:0;6?uQ351896ga2:>87psm25`94?5=939p@>o::0yK6555<:<:188f6gd29086=4?{%15=?313A9j?6g>3`83>>o6;k0;66a=5983>>{e;hn1<7=50;2x 60>2<<0D>o<;h30e?6=3`;8n7>5;n065}#;?31>8m4H2c0?l74i3:17d?3c9>7db=9:k0q~lk:180[dc349jo7?:<:181[53;278mh4=599~w6ge2909w0=nc;06<>;4il0:?l5rs2c`>5<5s49jh7<:8:?0e`<6;k1vq~ll:181[dd349jj7ll;|qa`?6=:rTih63{t;=91<75<4290;w)=99;76?M5f;2c:?l4?::k27g<722e9954?::a7f2=8391<7>t$24:>03<@:k87d?o<;h30=?6=3f8>47>5;|q0g4<72:q6>9o5bb9>7f4=:<201>m;:01a?xu4k:0;6?u225`9ff=:;j>1>864}r17g?6=:rT88n5225`9715<,:?=6>:m;o161?652z\00d=::=k1?9=4$275>62e3g9>97?4}r17=?6=:rT8845225;9715<,:?=6>:m;o161?452z\001=:;<91>864$275>62e3g9>97=4}ra4>5<5sWi<70<;b;`g?!52>3i=7c=:5;28yve22908wSm:;<07e?dc349h87?2d8984>;|q`0?6=;rTh863=488a`>;4k;0:?l5+3449g3=i;6s|bg83>1}Yjo16>975bb9>7f4=9:h01>;<:01:?!52>3i=7c=:5;18yxu4=j0;6?uQ34a895<4=j1vl950;0xZd1<590j;6s|34`94?4|V:?i70>534`8yv4?<3:1?vP=859>4?4?<279884l7:&013<50:1e?8;50:p6=4=839pR?6=;<296=4<5;>>6n;4$275>7>43g9>97?4}r0;5?6=;rT94<520;0;5>;5<<0h86*<5781<6=i;6s|26`94?5|V;=i70>526`897222kl0(>;9:3:0?k52=390q~=ma;296~;72k?01?:::26`?!52>39i56`<5483?xu4j10;6?u20;`0?843=39?m6*<5780f<=i;63=44800<=#;<<1?o74n276>7=z{:h96=4={<29ef=::=?1?9:4$275>6d>3g9>97=4}|`72=<72<086;uC3`795~N58:1v@>o9:`y'0=d=9??0(966:2g1?!2?93ki7):73;04e>"3?o0j;6`;7c82?!2?83k37c:8c;28 1>22:?i7c:8d;38y!51138:56gn7;29?lg?2900ell50;9l62g=831b?8l50;9a4?6==3819vB:o50;9je2<722cj47>5;h;e>5<50z&02<<6;11C?l=4o014>5<<5902h6s|24094?4|5:k?6<=8;<29=c=z{;?86=4={<2962g7}Yik16<7om;|a7f4=8381<7>t$24:>4373A9j?6g>3883>>i5=10;66sm3e;94?5=83:p(>86:37g?M5f;2c:?l4?::k27g<722e9954?::pe2<72;qUm:520;c4?xuf03:1>vPn8:?0`<<6;h1v?9n:181[40i27;6?9n;|q01g<72:qU?8l4=2a1>45>349o57?j7:18186=i116?i7524:8yxd60:0;694=:4yO7d3=9rB9<>5rL2c5>4}#<1h1=;;4}%15=?46i2cjn7>5;n04e?6=3`k<6=44i6f94?=e83:187>50z&02<5f9g83>>o?l3:17dom:188k71f2900qoon:181>5<7s-9=57?:0:J0e6=n9:31<75`24:94?=z{h=1<77}Yik16<7om;|a537=83>1>7;tL2c6>4}O:990qA=n6;3x 1>e28<>7p*<68815d=nik0;66a=7`83>>of?3:17d9k:188f5<72=0;6=u+37;9b0=O;h90e4h50;9j5;n04e?6=3thjm7>52;294~"4>00:9=5G3`18m45>2900c?;7:188yvg02909wSo8;45>3ty99?4?:3y>4?>c34kj6?;7;|q4`?6=:rTv3?:35b?[40i2wx>8:50;0xZdd<590jn6srb0a6>5<42808wA=n5;3xL7643tF8m;4>{%6;f?71=2w/?;7521a8mdd=831d>:o50;9je2<722h;6=4<:183!5113l?7E=n3:k;`?6=3`ki6=44o35b>5<k0R?9n;|q116<72;qUmo520;ca?x{e9<;1<7=51;1xH6g228qC>==4}M1b2?7|,=2i6<8:;|&02<<58j1bmo4?::m13d<722cj;7>5;c294?5=83:p(>86:g68L6g43`2o6=44i``94?=h:>k1<75rs`594?4|Vh=01=47d:p604=838p1=4=7`9]62g?7>52z\bf>;72hh0qpl;b;297?7=;rF8m84>{I037>{K;h<1=v*;8c8220=z,:<26?>l;hca>5<50z&02<5f8e83>>ofj3:17b<8a;29?xuf?3:1>vPn7:?3>=b>7>52z?3>71f3W80;66l?:180>5<7s-9=57h;;I1b7>o?l3:17dom:188k71f2900qoon:181>5<7s-9=57?:0:J0e6=n9:31<75`24:94?=z{h=1<7v3?:35b?[40i2wx>8:50;0xZdd<590jn6srbbg94?5=939p@>o::0yK6554023t.8:44=1c9jeg<722e9;l4?::kb3?6=3k:1<7=50;2x 60>2o>0D>o<;M1b1?7|,8>36968;|k;`?6=3`ki6=44o35b>5<k0R?9n;|q116<72;qUmo520;ca?x{e;o81<7=52;6xH6g228qC>==4}M1b2?7|,=2i6<8:;|&02<<5:h1bmo4?::m13d<722cj;7>5;c294?5=83:p(>86:g68L6g43`2o6=44i``94?=h:>k1<75rb`c94?4=83:p(>86:073?M5f;2c:?44?::m11=<722wxm:4?:3y]e2=:ih0:?45rs371>5<5s4:14i52a`811==z{;?86=4={<2962g7}Yik16<7om;|a071=8391>7:tL2c6>4}O:990qA=n6;3x 1>e28<>7p*<68816d=nik0;66a=7`83>>of?3:17o>50;194?6|,:<26k:4H2c0?l>c2900ell50;9l62g=831vnlo50;094?6|,:<26<;?;I1b7>o6;00;66a=5983>>{ti>0;6?uQa69>ed<6;01v?;=:18186=0m16ml4=599~w7342909w0>526c8Z71f3ty9994?:3y]eg=:83ki7psm44594?5=:3>p@>o::0yK6554023t.8:44=2`9jeg<722e9;l4?::kb3?6=3k:1<7=50;2x 60>2o>0D>o<;h:g>5<28?;7E=n3:k27<<722e9954?::pe2<72;qUm:52a`827<=z{;?96=4={<295<5s4:1>:o4^35b?xu5==0;6?uQac9>4?ge3twi=nj50;497?>|,:<265;h3`2?6=3`;o:7>5;h3`a?6=3`;h97>5;c3`g?6=;3:1N4i:1b=>o50;9j56d=831d>8650;9~f4ef29086=4?{%15=?313A9j?6g>3`83>>o6;k0;66a=5983>>{e9jh1<7:50;2x 60>2;?n7E=n3:k27d<722c:?o4?::k27f<722e9954?::p5f>=838pR50;0xZ4b734;ho7?14?::k2`1<722c:994?::k2g`<722c:9<4?::`21f<72:0;6=u+37;910=O;h90e<=n:188m45e2900c?;7:188yg7203:1?7>50z&02<<2>2B8m>5f12c94?=n9:h1<75`24:94?=zj8?j6=4<:183!5113?=7E=n3:k27d<722c:?o4?::m11=<722wi=8l50;594?6|,:<26?8=;I1b7>o6;h0;66g>3c83>>o6;j0;66g>3e83>>o6;l0;66g>3g83>>i5=10;66s|14494?4|V8?=70?:b;06<>{t9m:1<7{t9m;1<7{t9m91<7{t9<>1<7{t9<;1<7{t9<31<773?34;>n7?051<75f1bg94?=n86:448L6g43`;8m7>5;h30f?6=3f8>47>5;|`65?6=?3:173?3ty:h=4?:3y]5a6<5<81=>o4}r366?6=:rT:9?5253827g=z{8n:6=4={_3g5>;293;8n6s|1e094?4|V8n970;>:01`?xu6l:0;6?uQ1e18907=9:n0q~?k4;296~X6l=169<4>3d9~w4eb2909wS?le:?64?74i2wx8o4?:3y]0g=:=90:?o5rs5d94?4|5<81>864=43956g73?34?:6<=i;|a074=8381<7>t$24:>4373A9j?6g>3883>>i5=10;66smee83>6<729q/?;75579K7d55<3`83>>o6;k0;66a=5983>>{em:0;6>4?:1y'73?==?1C?l=4i01b>5<5<=h7>54;294~"4>00>46Fl50;9j56e=831d>8650;9~f4>2290?6=4?{%15=?42n2B8m>5f12c94?=n9:h1<75f12a94?=h:<21<75rb53;>5<4290;w)=99;06g>N4i:1b=>o50;9j56d=831d>8650;9~fa2=8391<7>t$24:>73d3A9j?6g>3`83>>o6;k0;66a=5983>>{emj0;684?:1y'73?==l1C?l=4i01b>5<5<5<6=4::183!5113?m7E=n3:k27d<722c:?o4?::k27f<722c:?i4?::m11=<722wii:4?:483>5}#;?319i5G3`18m45f2900e<=m:188m45d2900e<=k:188k73?2900qo:9f;291?6=8r.8:44:d:J0e6=n9:k1<75f12`94?=n9:i1<75f12f94?=h:<21<75rb53e>5<4290;w)=99;75?M5f;2c:?l4?::k27g<722e9954?::a072=8391<7>t$24:>73d3A9j?6g>3`83>>o6;k0;66a=5983>>{e<;;1<7=50;2x 60>2o<;h30e?6=3`;8n7>5;n0653;294~"4>0099n5G3`18m45f2900e<=m:188k73?2900qo?l7;290?6=8r.8:44:9:J0e6=n9:k1<75f12`94?=n9:i1<75`24:94?=zj8im6=47:183!51138=?6Fl50;9j56e=831b=>j50;9j56c=831b=>h50;9j516=831d>8650;9~f43429086=4?{%15=?323A9j?6g>3`83>>o6;k0;66a=5983>>{e92;?h7E=n3:k27d<722c:?o4?::m11=<722wi=8;50;194?6|,:<26884H2c0?l74i3:17d?2;<;7E=n3:k27d<722c:?o4?::k27f<722c:?i4?::m11=<722wih?4?:283>5}#;?31985G3`18m45f2900e<=m:188k73?2900qo=i4;290?6=8r.8:44:9:J0e6=n9:k1<75f12`94?=n9:i1<75`24:94?=zj:l36=49:183!51138==6Fl50;9j56e=831b=>j50;9j56c=831d>8650;9~f14c290?6=4?{%15=?3>3A9j?6g>3`83>>o6;k0;66g>3b83>>i5=10;66sm43d94?5=83:p(>86:448L6g43`;8m7>5;h30f?6=3f8>47>5;|`770<72?0;6=u+37;9637<@:k87d?6<729q/?;75549K7d55<N4i:1b=>o50;9j56d=831d>8650;9~f104290=6=4?{%15=?4192B8m>5f12c94?=n9:h1<75f12a94?=n9:n1<75f12g94?=h:<21<75rb57e>5<4290;w)=99;76?M5f;2c:?l4?::k27g<722e9954?::a72g=8381<7>t$24:>4373A9j?6g>3883>>i5=10;66sm46394?4=83:p(>86:073?M5f;2c:?44?::m11=<722wi=;=50;094?6|,:<26<;?;I1b7>o6;00;66a=5983>>{e;o<1<7<50;2x 60>28?;7E=n3:k27<<722e9954?::a065=8381<7>t$24:>4373A9j?6g>3883>>i5=10;66sm47394?4=83:p(>86:073?M5f;2c:?44?::m11=<722wx=;?50;6xZ40634;h87vP;739>72g=9:30q~=i2;290~X4n;16=5=57e9>537=?m16?k<526c8yv27n3:1>vP;0g9>5=3=9:h0q~?:1;290~X6=816=8?526c8943c28?:70:9d;30f>{tl>0;6>uQd69>ac434;h87;<;1>dd<5:=36l94=dd9e2=:lh0j;63;698b3>;60:0j;63>608b3>{t9hk1<7i6l94=535>d1<5jo1m:523g09e2=:<;=1m:524459e2=z{=8<6=4<{_613>;3:>09;l5243d956g7>52z\2e7=:<:;1=>o4}r5f>5<2sW=n70?l4;034>;3:80:?l521b5956d<58?86<=m;|q712<72:qU8894=574>71f34>>h7?50;0xZ4`734;mh7om;|q0bg<72;qU?kl4=040>45>3ty?=;4?:3y]040<5=;=6?9n;|q75a<72;qU873?3ty?n7>53z\7f>;3j3810;6>uQ47:8910?2;=j70kk:01b?xubj3:1>v3jd;30f>;bk38>46s|9383>2}:9j>1=kh4=80962g<58i<6<=n;<361?74i27o<7?b8813d=:9kh1=>o4=50g>45e3tyo?7>52z?`a?ge34n?6?;7;|qg1?6=:r7o:7<:8:?g6?74j2wx=5:50;0x94>42hh01<6::37;?xu3::0;6?u2436960><5=8:6<=m;|q03=<72;q6?:6526c8910c289h7p}7}:;o>1>864=2d;>45f3ty?:?4?:3y>035=:<2019;i:01a?xudm3:1?v3le;04e>;3:=0:?l52d3827d=z{=?h6=4={<66`?42027?:>4>3c9~w13b2909w0::f;06<>;3>80:?45rsdd94?4|5ll1>:o4=d1956di7>52z?21c<5=116=8;512`8yvc72909w0jn:27a?8c62;?37p}j2;297~;ci39>o63j1;30e>;b;38>46s|e983>7}:m80:?o52e8811==z{:l<6=4={<1e389~w10d2909w0:98;16f>;3>m09955rs041>5<5s4;==7om;<357?4202wx8?m50;1x914c2;?370:<5;30e>;3>:0:?l5rs50f>5<5s4>9j7<:8:?770<6;k1v9=?:181824938>463;32827<=z{=;<6=4={<622?ge34>:47<:8:p5cb=839p1{tmh0;6?u2ee811==:91?1=>m4}r3ae?6=>r7:o94>fd9>5gd=:<2019?i:01b?87d?3;8o63>52827d=:;o>1=>l4}rae>5<5s4n?6<=n;73?3tyo=7>52z?g0?74j27o>7<:8:p5f>=838p17}:<8l1>864=507>45e3ty?>=4?:3y>074=9:3019<>:37;?xu6kl0;69u21bf95fc<58?o64eb34;hj7<:8:p72?=838p1>97:``8961f2;?37p}>5783>7}:9<;1mo5214f9500n6=4={<6a>dd<5<918h5rsd694?5|5l?1>864=d;956g<5==:6<=6;|qf2?6=:r7n;7<:8:?f=?74j2wx=i>50;:x94e32;<370?ld;3g4>;6=m0:h=525282`5=:9jl1=>o4=e2956e<5:l?6<=l;<61`?74k2wx=i?50;:x94e32;;2;3;o=63>cg827g=:l?0:?l523g:956d<5=8m6<=m;<66`?74j2wx=i<50;:x94e32;;2;3;o>63>cg827f=:l?0:?o523g:956e<5=9>6<=l;<657?74k2wx=i=50;;x94e32;;2;3;o?63;19827d=:9jl1=>j4=e4956e<5:l36<=k;<601?74l27?:>4>3e9~w4b32902w0?l4;044>;6=m0:h9525282`1=:<821=>l4=0ae>45b34n=6<=k;<1e3d9>035=9:o0q~?k5;296~;6k=09;<521bd956`53z?2g1<5?;16=nj51e4894ea28>;7p}7}:;o81mo523g4960>=<7>52z?71246s|42694?4|5=9>6?;7;<605?74j2wx8><50;0x91402hh019=<:37;?xu6k?0;6?u21bf95f0<58i<6?;7;|q2g0<72:q6=n;526c894ec28i>70:>f;30f>{t9<81<7=t=07g>43534?86<;=;<367?4202wx=8:50;0x943c28??70?:5;06<>{t45f34>=j7<:8:p`c<72af<6;m16i84>3e9>a2<6;m168;h512`8 6312mo0b>;::19~wab=83?p1io529089`e=9:i01h;512a89`1=9:h0198i:01g?!52>3nn7c=:5;38yvbd290>w0jn:3:2?8cd289i70k::01a?8c0289j70:9f;30e>"4=?0oi6`<5481?xucj3:19v3ka;04f>;bk3;8m63j5;30e>;b?3;8o63;6g827f=#;<<1hh5a34797>{zj:nm6=47:0;96c}K;h?1=vF=029~H6g12;qe85m5829'0=d=;h;0q)=99;c3?_52<39p>o4>b;f9yj5383:17d7j:188k6522900c9>>:188ma1=831b8?;50;9l006=831d;h4?::`20<<72>0:6:uC3`795~N58:1v@>o9:0y'0=d=i81v(>86:015?lg02900c?87:188k70f2900c?8l:188k70b2900e=00:845f1gg94?=n9ol1<75fa883>>i5>10;66a=6`83>>i5>j0;66a=6d83>>da13:1?7?53zN0e0<6sA8;?6s+37;9b==nik0;66a=7`83>>of?3:17o>50;194?6|,:<26k:4H2c0?I5f=3;p(<:7:5:4?xo?l3:17dom:188k71f2900q~o8:181[g034:14i5rs371>5<5s4:1>:o4^35b?xu5=:0;6?uQac9>4?ge3twijk4?:282>6}K;h?1=vF=029~ 60>2o20ell50;9l62g=831bm:4?::`3>5<4290;w)=99;d7?M5f;2F8m84>{%375;|qb3?6=:rTj;63?:9f8yv42:3:1>v3?:35b?[40i2wx>8=50;0xZdd<590jn6srbgg94?3=:386:gg8mc?=831b=kk50;9j5c`=831bjk4?::mee?6=3klo6=4;:183!5113?37E=n3:k27d<722c:?o4?::k27f<722e9954?::abf<72:0;6=u+37;960e<@:k87d?vPi9:?eg?74i2wx=kk50;0xZ4`b34lo6<=n;|q2bc<72;qU=kh4=gf956dbf<6;k1vqo??9;290?5=>r.8:44>089j5cc=831bjk4?::ke=?6=3f;;<7>5;c331?6=;3:1N4i:1b=>o50;9j56d=831d>8650;9~f46529086=4?{%15=?313A9j?6g>3`83>>o6;k0;66a=5983>>{e99>1<7=50;2x 60>2;?h7E=n3:k27d<722c:?o4?::m11=<722wx=kk50;1xZ4`b34;;97?6<=m;|qe=?6=:rTm563>03827d=z{8:;6=4={_334>;68=09955rs022>5<5s4;;97<:8:?241<6;h1v<>=:181877:38>463>05827g=zuk8=57>53;294~"4>00>;6Fl50;9l60>=831vn?8m:180>5<7s-9=57;9;I1b7>o6;h0;66g>3c83>>i5=10;66sm27f94?5=83:p(>86:478L6g43`;8m7>5;h30f?6=3f8>47>5;|`12c<72:0;6=u+37;913=O;h90e<=n:188m45e2900c?;7:188yv`>2906:g;8970>289i70<9b;30e>;5>m0:?o5227d956d71f34ln6kh4=02:>c`<5;<26<=n;<05f?74j279:i4>3`9>63`=9:k0q~?ie;297~X6nl16jh4>fd9>55?=9oo0q~?if;296~X6no16jh4>fg9~wd?=839pRl74=g;9e2=:no0j;6s|27:94?4|V;<370<99;06<>{t:?k1<746s|27a94?4|V;{t:?o1<746s|f`83>7}:n00jn63ie;db?xu6890;6?u2fg8bf>;6800:<=5r}rc4>5<5sWk<70?<2;c:?xu5>10;6?uQ27:894552;<37p}=6`83>7}Y:?k01<==:34b?xu5>j0;6?uQ27a894552;7}Y:?o01<==:34f?xu6nl0;6?uQ1gg8945528ln7p}>fg83>7}Y9ol01<==:0de?x{emo0;684=:4yO7d3=9rB9<>5rL2c5>3}#<1h1m<5+49;97`4<,=2:6ll4$5:0>71f3->c:X;g?4|9m0:o7sU34696~7b28k1qdo8:188k7>6290/>:652928j7102910c?9m:18'62>=:1:0b?98:098mg4=83.9;54m1:l132<732cjo7>5$35;>g76<62:qG?l;51zJ146=z,:<26?>l;hca>5<50z&02<5f8e83>>ofj3:17b<8a;29?xuf?3:1>vPn7:?3>=b>7>52z?3>71f3W8uC3`795~N58:1v(>86:32`?lge2900c?9n:188md1=831i<7>53;294~"4>00m86F5;n04e?6=3tyj;7>52z\b3>;721n0q~<:2;296~;72;=j7S<8a:p605=838pRll4=18bf>{z{h=1<7=t^`5895"4=?094=5a34794>{t:>h1<7k0(>;9:3:3?k52=3;0q~l=:181[d53491mo5+3449f4=i;7}Yij16<7om;%162?d63g9>97?4}|`02d<72>096ouC3`795~N58:1v@>o9:`y'0=d=i81/85753d28 6c42=2o7):73;04e>"3?o0j;6`;7c82?!2?83k37c:8c;38 1>22:?i7c:8d;38y!51138;56T7c;3x62xof?3:17do7:188m63e2900c?6;:18'62>=:190b?98:198k7>5290/>:652918j7102810c?6>:18'62>=:190b?98:398k71e290/>:652918j7102:10n=4?:c87>g}K;h?1=vF=029~H6g120q/85753d08 1>62hh0(96<:35b?!20n3k<7c:8b;38 1>72h20b99l:09'0=3=;2;;o7W6l:3y13?422tP8994={3;967>o4=k0;66a=8583>!400383?6`=7683?>i50;0;6)<88;0;7>h5?>0:76a=8083>!400383?6`=7681?>i5?k0;6)<88;0;7>h5?>0876gm5;29 71?2k>0b?98:198mg5=83.9;54m4:l132<632ci>7>5$35;>g2d7290>6>48{M1b1?7|@;:87pB6*;808bf>"30:09;l5+46d9e2=i<>h1=6*;818b<>h3?j0:7):75;16f>h3?m0:7p*<68815`=ni>0;66gn8;29?lge2900c?9n:188m63e2900n=4?:481>0}K;h?1=vF=029~ 60>2;:i7dom:188k71f2900el950;9je=<722c2j7>5;c294?3=83:p(>86:g48L6g43E9j97?t$06;>1>03tc2h7>5;h;e>5<>i5?h0;66sm3`694?7=83:p(>86:01;?M5f;2e:?:4?::pe2<72;qUm:520;:g?xuf03:1>vPn8:?3>>7>52z?0e1<6;>16<77i;|q116<72;q6<7<8a:\13d=z{;??6=4={_ca?86=ik1vqo=l2;297?6=8r.8:44:6:J0e6=n9:k1<75f12`94?=h:<21<75rb2f:>5<4290;w)=99;06g>N4i:1b=>o50;9j56d=831d>8650;9~wd1=838pRl94=18b3>{ti10;6?uQa99>7a?=9:h0q~om:181[ge349h>7?n7>53z\01g=:;j81=>o4=2f:>45f3ty8o<4?:3y>4?ge349h>7<:8:p7a>=838p1=4n8:?0`<<5=11vqo=50;797?1|D:k>633p(966:2g1?!2?93ki7):73;04e>"3?o0j;6`;7c82?!2?83k37c:8c;38 1>22:?i7c:8d;38y!51138:j6gn7;29?lg?2900ell50;9l62g=831b?8l50;9a4?6==3819vB:o50;9je2<722cj47>5;h;e>5<4}#9=218594}h;g>5<>ofj3:17b<8a;29?xd4i=0;6<4?:1y'73?=9:20D>o<;n303?6=3tyj;7>52z\b3>;721n0q~o7:181[g?34:15i5rs371>5<5s49j87?<7:?3><`?7>52z?3>71f3W83`83>>o6;k0;66a=5983>>{e;m31<7=50;2x 60>2;?h7E=n3:k27d<722c:?o4?::m11=<722wxm:4?:3y]e2=:83k<7p}n8;296~Xf0278h44>3c9~wdd=838pRll4=2a1>45e3ty9;l4?:3y]62g<5909;l5rs27a>5<4sW9>n63o4}r1`5?6=:r7;6ll4=2a1>73?3ty8h54?:3y>4?g?349o57<:8:~f43=83?1?79tL2c6>4}O:990qA=n6;;x 1>>2:o97):71;ca?!2?;38h3?k0:7):70;c;?k20k3;0(96::27a?k20l3;0q)=99;014>of?3:17do7:188mdd=831d>:o50;9j70d=831i<7>55;091~J4i<0:wE0<729q/?;75f79K7d564<729q/?;7512:8L6g43f;8;7>5;|qb3?6=:rTj;63?:9f8yvg?2909wSo7;<29=a=z{;?96=4={<1b0?74?27;64h4}r067?6=:r7;6?9n;_04e>{t:<>1<7m=:180>5<7s-9=57;9;I1b7>o6;h0;66g>3c83>>i5=10;66sm3e;94?5=83:p(>86:37`?M5f;2c:?l4?::k27g<722e9954?::pe2<72;qUm:520;c4?xuf03:1>vPn8:?0`<<6;k1vll50;0xZdd<5:i96<=m;|q13d<72;qU>:o4=1813d=z{:?i6=4<{_16f>;4k;0:?l523e;956g52z?3>dd<5:i96?;7;|q0`=<72;q6<7o7;<1g=?4202wvn633p(966:2g1?!2?93ki7):73;04e>"3?o0j;6`;7c82?!2?83k37c:8c;38 1>22:?i7c:8d;38y!511389=6gn7;29?lg?2900ell50;9l62g=831b?8l50;9a4?6==3819vB:o50;9je2<722cj47>5;h;e>5<4}#9=218594}h;g>5<>ofj3:17b<8a;29?xd4i=0;6<4?:1y'73?=9:20D>o<;n303?6=3tyj;7>52z\b3>;721n0q~o7:181[g?34:15i5rs371>5<5s49j87?<7:?3><`?7>52z?3>71f3W83`83>>o6;k0;66a=5983>>{e;m31<7=50;2x 60>2;?h7E=n3:k27d<722c:?o4?::m11=<722wxm:4?:3y]e2=:83k<7p}n8;296~Xf0278h44>3c9~wdd=838pRll4=2a1>45e3ty9;l4?:3y]62g<5909;l5rs27a>5<4sW9>n63o4}r1`5?6=:r7;6ll4=2a1>73?3ty8h54?:3y>4?g?349o57<:8:~wd1=83?pRl94=18b3>;42h=01<;5a69>5c50n63>5;16f>;6n39>n6s|29694?4|V;2?70?i:35b?!52>383?6`<5483?xu50;0;6?uQ2908943=:>k0(>;9:3:0?k52=3;0q~<71;296~X50816?7<8a:&013<50:1e?8;52:p62d=838pR?9m;<2962g<,:?=6?6<;o161?56=4={_`6?87a2hh0(>;9:c68j632291vo=50;0xZg5<58?1mo5+3449f1=i;7}Yj;16?7om;%162?d33g9>97<4}rc`>5<5sWkh70>5ac9'700=j=1e?8;53:~f72229036;4n{M1b1?7|@;:87pB"30o087):74;177>"30l0;7p*<688140=]0j09w?65278~^6332;q9m7<<:|m00f<72-8<47=;b:l132<732e88l4?:%04;:m00<<72-8<47=;b:l132<532e8894?:%045=h5?>0:76gl4;29 71?2j<0b?98:398mg`=83.9;54l6:l132<432h9844?:282>6}K;h?1=vF=029~ 60>2;8h7dll:188mgb=831d?9=50;9a7d`=8391?7;t$24:>6ga3`hh6=44icf94?=h;=91<75m3`a94?5=83:p(>86:448L6g43`;8m7>5;h30f?6=3f8>47>5;|`0ea<72:0;6=u+37;913=O;h90e<=n:188m45e2900c?;7:188yg5fm3:1?7>50z&02<<5=j1C?l=4i01b>5<5<{t;=91<746s|3``94?4|5:kh6?;7;<1ba?74i2wx?lm50;0x96gc2;?370=ne;30f>{z{ki1<76243twi>9o50;195?5|D:k>6:<:188f6ga29086>4:{%15=?5fn2cio7>5;h`g>5<86=44b2c`>5<4290;w)=99;75?M5f;2c:?l4?::k27g<722e9954?::a7db=8391<7>t$24:>00<@:k87d?4?:1y'73?=:o<;h30e?6=3`;8n7>5;n0653z\ag>;4ij0:?o523`f956g86=4={_177>;4il09955rs2ca>5<5s49jo7<:8:?0e`<6;h1v>ol:18185fl38>463:<;<1bb?53;2wvn?:m:180>4<4sE9j97?tH320?x"4>009>n5fbb83>>oel3:17b=;3;29?g5fn3:1?7=55z&02<<4io1bnn4?::ka`?6=3f9??7>5;c1bg?6=;3:1N4i:1b=>o50;9j56d=831d>8650;9~f6gc29086=4?{%15=?313A9j?6g>3`83>>o6;k0;66a=5983>>{e;ho1<7=50;2x 60>2;?h7E=n3:k27d<722c:?o4?::m11=<722wxnn4?:2y]ff=:;hi1=>l4=2cg>45f3tyih7>53z\a`>;4ij0:?l523`f956d52z\006=:;ho1>864}r1bf?6=:r78mn4=599>7dc=9:k0q~=nc;296~;4im0995523`g956d52z\ag>;4io0io6s|be83>7}Yjm16?lh5be9~w6242909wS=;3:?0ec<4<:1vqo=l2;297?6=8r.8:44:5:J0e6=n9:k1<75f12`94?=h:<21<75rb2a7>5<4290;w)=99;76?M5f;2c:?l4?::k27g<722e9954?::a705=8381<7>t$24:>4373A9j?6g>3883>>i5=10;66s|3b394?5|5;>j6om4=2a1>73?349h87?7}Y;=i01?:m:260?!52>39?n6`<5483?xu487)=:6;17f>h4=<0:7p}<4883>7}Y;=301?:6:260?!52>39?n6`<5481?xu4<=0;6?uQ356896342;?37)=:6;17f>h4=<087p}l7;296~Xd?2798o4md:&0132d8984?;|q`1?6=;rTh963=4`8a`>;4k=0:?l5+3449g3=i;6}Yk=16>975be9>7f4=9:k0(>;9:b48j6322;1voh50;6xZg`<5;>26om4=2a1>45e349>?7?<9:&0132d8984<;|pe2<72;qUm:520;c4?xuf03:1>vPn8:?3>d>n7>52z\01g=:839>n6s|29694?5|V;2?70>5296897222j=0(>;9:3:0?k52=3:0q~<72;297~X50;16<7<72:?1005?50;1xZ7>634:1>5?4=366>f2<,:?=6?6<;o161?453z\13g=:838"4=?094>5a34797>{t;kk1<7;5<<088n5+34497g?6=5rs2`;>5<5s4:1n>52257971g<,:?=6>l6;o161?752z?3>g4<5;>>6>:6;%162?5e12d8984=;|q0f7<72;q6<7ol;<071?53<2.89;4=::186>7<2sE9j97?tH320?xJ4i?0:w):7b;c2?x"4>009>i5?h0;66gn7;29?lg?2900e4h50;9a4?6==3:1N4i:1b5i4?::k:b?6=3`2o6=44i``94?=h:>k1<75rb2c7>5<6290;w)=99;30<>N4i:1d=>950;9~wd1=838pRl94=18;`>{ti10;6?uQa99>4??c3ty99?4?:3y>7d2=9:=01=46f:p605=838p1=4=7`9]62g87>52z\bf>;72hh0qpl<7183>0<52i;hca>5<t$24:>c0<@:k87d7k:188m<`=831b4i4?::kbf?6=3f85;|`0e1<7280;6=u+37;956><@:k87b?<7;29?xuf?3:1>vPn7:?3>=bv3?:35b?[40i2wx>8:50;0xZdd<590jn6srb30g>572h20b99l:09~ 60>2;:j7W6l:0yf>x\4==09w<>5138~md1=831bm54?::m13c<72-8<47<8e:l132<732e9;i4?:%04;:m13f<72-8<47<8e:l132<532e94l4?:%04c;f95f}K;h?1=vF=029~H6g12>q/85753d08 1>62hh0(96<:35b?!20n3k<7c:8b;38 1>72h20b99l:09~ 60>2;887W6l:3yf>g0;;9ylg02900el650;9l62`=83.9;54=7d9m621=821d>:j50;&13=<5?l1e>:951:9l62e=83.9;54=7d9m621=:21d>5o50;&13=<5?l1e>:953:9l6=?=83.9;54=7d9m621=<21d>5650;&13=<5?l1e>:955:9l6=1=83.9;54=7d9m621=>21d>5850;&13=<5?l1e>:957:9l6=3=83.9;54=7d9m621=021d>5:50;&13=<5?l1e>:959:9l6=4=83.9;54=7d9m621=i21d>5?50;&13=<5?l1e>:95b:9l62d=83.9;54=7d9m621=k21bn=4?:%044;hcf>5<#:>21mk5a26595>=nim0;6)<88;ce?k40?3807dlm:18'62>=io1e>:953:9jfd<72-8<47oi;o043?2<3`h26=4+26:9ec=i:>=1965fb983>!4003km7c<87;48?ld0290/>:65ag9m621=?21bn;4?:%045<#:>21mk5a2659=>=nj:0;6)<88;ce?k40?3k07dl=:18'62>=io1e>:95b:9jef<72-8<47oi;o043?e<3k:1<7;52;7xH6g228qC>==4}%15=?47n2cjn7>5;n04e?6=3`k<6=44i`:94?=n1o0;66l?:186>5<7s-9=57h9;I1b7>o>l3:17d7i:188m=b=831bmo4?::m13d<722wi?l:50;394?6|,:<26<=7;I1b7>i6;>0;66s|a683>7}Yi>16<76k;|qbv37}:838==4}%15=?47n2cjn7>5;n04e?6=3`k<6=44i`:94?=n1o0;66l?:186>5<7s-9=57h9;I1b7>o>l3:17d7i:188m=b=831bmo4?::m13d<722wi?l:50;394?6|,:<26<=7;I1b7>i6;>0;66s|a683>7}Yi>16<76k;|qbv37}:8386=4::386I5f=3;pD?><;|&02<<58o1bmo4?::m13d<722cj;7>5;hc;>5<c2900ell50;9l62g=831vn>o;:182>5<7s-9=57?<8:J0e6=h9:=1<75rs`594?4|Vh=01=47d:pe=<72;qUm5520;;g?xu5=;0;6?u23`69561<5902j6s|24194?4|5909;l5Q26c8yv42<3:1>vPnb:?3>dd55;091~J4i<0:wE0<729q/?;75f79K7d5>o?l3:17dom:188k71f2900qo=n4;295?6=8r.8:44>399K7d55<5sWk<70>58e9~wd>=838pRl64=18:`>{t:<81<745034:15k5rs370>5<5s4:1>:o4^35b?xu5==0;6?uQac9>4?ge3twi><4?:481>0}K;h?1=vF=029~ 60>2;:m7dom:188k71f2900el950;9je=<722c2j7>5;c294?3=83:p(>86:g48L6g43`3o6=44i8d94?=n0m0;66gnb;29?j40i3:17pl4<729q/?;7512:8L6g43f;8;7>5;|qb3?6=:rTj;63?:9f8yvg?2909wSo7;<29=a=z{;?96=4={<1b0?74?27;64h4}r067?6=:r7;6?9n;_04e>{t:<>1<76=831b5k4?::`3>5<2290;w)=99;d5?M5f;2c2h7>5;h;e>5<>i5?h0;66sm3`694?7=83:p(>86:01;?M5f;2e:?:4?::pe2<72;qUm:520;:g?xuf03:1>vPn8:?3>>7>52z?0e1<6;>16<77i;|q116<72;q6<7<8a:\13d=z{;??6=4={_ca?86=ik1vqo7<2sE9j97?tH320?x"4>009>i5?h0;66gn7;29?lg?2900e4h50;9a4?6==3:1N4i:1b5i4?::k:b?6=3`2o6=44i``94?=h:>k1<75rb2c7>5<6290;w)=99;30<>N4i:1d=>950;9~wd1=838pRl94=18;`>{ti10;6?uQa99>4??c3ty99?4?:3y>7d2=9:=01=46f:p605=838p1=4=7`9]62g87>52z\bf>;72hh0qpl=f;291?4==rF8m84>{I037>{#;?31>=h4i``94?=h:>k1<75fa683>>of03:17d7i:188f5<72<0;6=u+37;9b3=O;h90e4j50;9j=c<722c3h7>5;hca>5<l2wx>8<50;0x96g3289<70>59g9~w7342909w0>526c8Z71f3ty9994?:3y]eg=:83ki7psm3183>0<52i;hca>5<t$24:>c0<@:k87d7k:188m<`=831b4i4?::kbf?6=3f85;|`0e1<7280;6=u+37;956><@:k87b?<7;29?xuf?3:1>vPn7:?3>=bv3?:35b?[40i2wx>8:50;0xZdd<590jn6srb2394?3=:3?p@>o::0yK6555;hc4>5<>d7290>6=4?{%15=?`13A9j?6g6d;29?l?a2900e5j50;9jeg<722e9;l4?::a7d2=83;1<7>t$24:>45?3A9j?6a>3683>>{ti>0;6?uQa69>4?>c3tyj47>52z\b<>;720n0q~<:2;296~;4i=0:?:520;;e?xu5=:0;6?u20;04e>X5?h1v?;;:181[ge34:1mo5r}c694?3=:3?p@>o::0yK6555;hc4>5<>d7290>6=4?{%15=?`13A9j?6g6d;29?l?a2900e5j50;9jeg<722e9;l4?::a7d2=83;1<7>t$24:>45?3A9j?6a>3683>>{ti>0;6?uQa69>4?>c3tyj47>52z\b<>;720n0q~<:2;296~;4i=0:?:520;;e?xu5=:0;6?u20;04e>X5?h1v?;;:181[ge34:1mo5r}c794?3=:3?p@>o::0yK6555;hc4>5<>d7290>6=4?{%15=?`13A9j?6g6d;29?l?a2900e5j50;9jeg<722e9;l4?::a7d2=83;1<7>t$24:>45?3A9j?6a>3683>>{ti>0;6?uQa69>4?>c3tyj47>52z\b<>;720n0q~<:2;296~;4i=0:?:520;;e?xu5=:0;6?u20;04e>X5?h1v?;;:181[ge34:1mo5r}c494?3=:3?p@>o::0yK6555;hc4>5<>d7290>6=4?{%15=?`13A9j?6g6d;29?l?a2900e5j50;9jeg<722e9;l4?::a7d2=83;1<7>t$24:>45?3A9j?6a>3683>>{ti>0;6?uQa69>4?>c3tyj47>52z\b<>;720n0q~<:2;296~;4i=0:?:520;;e?xu5=:0;6?u20;04e>X5?h1v?;;:181[ge34:1mo5r}rc4>55a69>7?g034;>6l94=0d9e2=::80j;63=d;c4?84b2h=01?h5a69>75=83opRl64=18b<>;42h201<;5a99>5cd><5;o1m5522g8b<>;483k370=>:`:8916l64=78b<>{t:>l1<7k0(>;9:35f?k52=3:0q~<8d;296~X5?m1697<8a:&013<5?l1e?8;51:p62e=838pR?9l;<6962g<,:?=6?9j;o161?452z\16>5rs3::>5<5sW83563<0;04e>"4=?09;h5a34790>{t:121<7h4=<0>7p}=8683>7}Y:1=01?k526c8 6312;=n7c=:5;48yv4?>3:1>vP=879>6a<5?h1/?88526g8j6322>1v?6::181[4?=279=7<8a:&013<5?l1e?8;58:p6=2=838pR?6;;<3e>71f3-9>:7<8e:l010<>3ty94?4?:3y]6=4<58?1>:o4$275>71b3g9>97o4}r0;5?6=:rT94<523;04e>"4=?09;h5a3479f>{t:>h1<7k0(>;9:35f?k52=3i0q~l?:181[d734<1mo5+3449ec=i;7}Yil1697om;%162?ga3g9>97?4}rcg>5<5sWko70:5ac9'700=io1e?8;52:pfg<72;qUno52308bf>"4=?0jj6`<5480?xuei3:1>vPma:?04?ge3-9>:7oi;o161?2;9:`d8j6322<1vo650;0xZg><5;o1mo5+3449ec=i;7}Yj>16>i4nb:&0133km7c=:5;:8yvd22909wSl:;<3e>dd<,:?=6lh4n276><=z{k91<75<6i3;36?;tL2c6>4}O:990qA=n6;7x 1>>2:nh7):72;`f?!2?n390(96;:260?!2?m3:0q)=99;032>\?k38pj7m5}[160?4|980j6pa<4983>!40039?;6`=7683?>i4h5?>0:76a<4483>!40039?;6`=7681?>i4=;0;6)<88;173>h5?>0876a<5083>!40039?;6`=7687?>i4=90;6)<88;173>h5?>0>76a<4g83>!40039?;6`=7685?>i4h5?>0<76a<4e83>!40039?;6`=768;?>i4h5?>0276a<4`83>!40039?;6`=768b?>i4<00;6)<88;173>h5?>0i76a<4583>!40039?;6`=768`?>od;3:1(?97:b08j7102910en?50;&13=;:k`4?6=,;=36n<4n354>7=h5?>0876glc;29 71?2j80b?98:598mfd=83.9;54l2:l132<232chm7>5$35;>f46`=7684?>od03:1(?97:b08j7102110en950;&13=d=1<7*=798`6>h5?>0i76gmf;29 71?2j80b?98:b98f72>29086<4<{M1b1?7|@;:87p*<68816f=njj0;66gmd;29?j53;3:17o=nf;297?5==r.8:445;n177?6=3k9jo7>53;294~"4>00>:6Fl50;9l60>=831vn>ok:180>5<7s-9=57;9;I1b7>o6;h0;66g>3c83>>i5=10;66sm3`g94?5=83:p(>86:37`?M5f;2c:?l4?::k27g<722e9954?::pff<72:qUnn523`a956d<5:ko6<=n;|qa`?6=;rTih63l4}r177?6=:rT88>523`g960>52z?0ef<5=116?lk512c8yv5fk3:1>v3l4}|qag?6=:rTio63{tjm0;6?uQbe9>7d`=jm1v>:<:181[53;278mk4<429~yg43i3:1?7?53zN0e0<6sA8;?6s+37;967e>i4<:0;66l6<425}#;?319;5G3`18m45f2900e<=m:188k73?2900qo=nd;297?6=8r.8:44:6:J0e6=n9:k1<75f12`94?=h:<21<75rb2cf>5<4290;w)=99;06g>N4i:1b=>o50;9j56d=831d>8650;9~wge=839pRom4=2c`>45e349jh7?73?3ty8mo4?:3y>7de=:<201>oj:01b?xu4ij0;6?u23`f960><5:kn6<=m;|pff<72;qUnn523`d9ff=z{kn1<74>:2yO7d3=9rB9<>5r$24:>74d3`hh6=44icf94?=h;=91<75m3`d94?5=;3?p(>86:2ce?ldd2900eoj50;9l715=831i?lm50;194?6|,:<26884H2c0?l74i3:17d?6<729q/?;75579K7d55<3`9~wgb=839pRoj4=2c`>45f349jh7?:<;<1ba?4202wx?ll50;0x96gd2;?370=ne;30e>{t;hi1<773?349ji7?ge7}Y;=901>oi:260?x{e:=i1<7=51;1xH6g228qC>==4}%15=?45k2cio7>5;h`g>5<86=44b2ce>5<42:0>w)=99;1bb>oek3:17dlk:188k6242900n>ol:180>5<7s-9=57;9;I1b7>o6;h0;66g>3c83>>i5=10;66sm3`f94?5=83:p(>86:448L6g43`;8m7>5;h30f?6=3f8>47>5;|`0e`<72:0;6=u+37;960e<@:k87d?3c9~w6242909wS=;3:?0e`<5=11v>om:18185fk38>4633c9~yvdd2909wSll;<1bb?dd3tyih7>52z\a`>;4io0ih6s|35194?4|V:>870=nf;177>{zj;>o6=4<:080I5f=3;pD?><;|&02<<5:j1bnn4?::ka`?6=3f9??7>5;c1bb?6=;3919v*<6880ec=njj0;66gmd;29?j53;3:17o=nc;297?6=8r.8:44:6:J0e6=n9:k1<75f12`94?=h:<21<75rb2cg>5<4290;w)=99;75?M5f;2c:?l4?::k27g<722e9954?::a7dc=8391<7>t$24:>73d3A9j?6g>3`83>>o6;k0;66a=5983>>{tjj0;6>uQbb9>7de=9:h01>ok:01b?xuel3:1?vPmd:?0ef<6;h16?lj512`8yv53;3:1>vP<429>7dc=:<20q~=nb;296~;4ij0995523`g956g52z?0ea<5=116?lk512`8yxuek3:1>vPmc:?0ec5<5sW9??6353;397~J4i<0:wE4?::`0ec<72:0868u+37;97d`>i4<:0;66l6<729q/?;75579K7d55<N4i:1b=>o50;9j56d=831d>8650;9~f6gb29086=4?{%15=?42k2B8m>5f12c94?=n9:h1<75`24:94?=z{ki1<7=t^ca896gd289i70=nd;30e>{tjm0;6>uQbe9>7de=9:k01>ok:01a?xu4<:0;6?uQ351896gb2;?37p}7}:;hi1>864=2cf>45f3ty8mn4?:3y>7db=:<201>oj:01a?x{tjj0;6?uQbb9>7d`=jj1voj50;0xZgb<5:km6oj4}r177?6=:rT88>523`d97156}K;h?1=vF=029~ 60>2;8h7dll:188mgb=831d?9=50;9a7d`=8391?7;t$24:>6ga3`hh6=44icf94?=h;=91<75m3`a94?5=83:p(>86:448L6g43`;8m7>5;h30f?6=3f8>47>5;|`0ea<72:0;6=u+37;913=O;h90e<=n:188m45e2900c?;7:188yg5fm3:1?7>50z&02<<5=j1C?l=4i01b>5<5<{t;=91<746s|3``94?4|5:kh6?;7;<1ba?74i2wx?lm50;0x96gc2;?370=ne;30f>{z{ki1<76243twi>8>50;195?5|D:k>6:<:188f6ga29086>4:{%15=?5fn2cio7>5;h`g>5<86=44b2c`>5<4290;w)=99;75?M5f;2c:?l4?::k27g<722e9954?::a7db=8391<7>t$24:>00<@:k87d?4?:1y'73?=:o<;h30e?6=3`;8n7>5;n0653z\ag>;4ij0:?o523`f956g86=4={_177>;4il09955rs2ca>5<5s49jo7<:8:?0e`<6;h1v>ol:18185fl38>463:<;<1bb?53;2wvn?;>:180>4<4sE9j97?tH320?x"4>009>n5fbb83>>oel3:17b=;3;29?g5fn3:1?7=55z&02<<4io1bnn4?::ka`?6=3f9??7>5;c1bg?6=;3:1N4i:1b=>o50;9j56d=831d>8650;9~f6gc29086=4?{%15=?313A9j?6g>3`83>>o6;k0;66a=5983>>{e;ho1<7=50;2x 60>2;?h7E=n3:k27d<722c:?o4?::m11=<722wxnn4?:2y]ff=:;hi1=>l4=2cg>45f3tyih7>53z\a`>;4ij0:?l523`f956d52z\006=:;ho1>864}r1bf?6=:r78mn4=599>7dc=9:k0q~=nc;296~;4im0995523`g956d52z\ag>;4io0io6s|be83>7}Yjm16?lh5be9~w6242909wS=;3:?0ec<4<:1vqo<;6;297?7=;rF8m84>{I037>{#;?31>?m4ica94?=njm0;66a<4283>>d4io0;6>4<:4y'73?=;hl0eom50;9jfa<722e88>4?::`0ef<72:0;6=u+37;913=O;h90e<=n:188m45e2900c?;7:188yg5fl3:1?7>50z&02<<2>2B8m>5f12c94?=n9:h1<75`24:94?=zj:kn6=4<:183!51138>o6Fl50;9l60>=831vom50;1xZge<5:kh6<=m;<1b`?74i2wxni4?:2y]fa=:;hi1=>o4=2cg>45e3ty88>4?:3y]715<5:kn6?;7;|q0eg<72;q6?lm524:896gb289j7p}7}:;hn1>864=2cf>45e3twxnn4?:3y]ff=:;hl1nn5rscf94?4|Vkn01>oi:cf8yv53;3:1>vP<429>7d`=;=90qpl=4683>6<62:qG?l;51zJ146=z,:<26?5<2:km7dll:188mgb=831d?9=50;9a7de=8391<7>t$24:>00<@:k87d?4?:1y'73?==?1C?l=4i01b>5<5<53;294~"4>0099n5G3`18m45f2900e<=m:188k73?2900q~ll:180[dd349jo7?ol:37;?85fm3;8m6s|3`a94?4|5:ko6?;7;<1ba?74j2wvom50;0xZge<5:km6om4}r`g>5<5sWho70=nf;`g?xu4<:0;6?uQ351896ga2:>87psm25:94?5=939p@>o::0yK6555<:<:188f6gd29086=4?{%15=?313A9j?6g>3`83>>o6;k0;66a=5983>>{e;hn1<7=50;2x 60>2<<0D>o<;h30e?6=3`;8n7>5;n065}#;?31>8m4H2c0?l74i3:17d?3c9>7db=9:k0q~lk:180[dc349jo7?:<:181[53;278mh4=599~w6ge2909w0=nc;06<>;4il0:?l5rs2c`>5<5s49jh7<:8:?0e`<6;k1vq~ll:181[dd349jj7ll;|qa`?6=:rTih63{t;=91<75<4290;w)=99;76?M5f;2c:?l4?::k27g<722e9954?::a7f2=8391<7>t$24:>03<@:k87d?4?:1y'73?==<1C?l=4i01b>5<5<53;294~"4>00>96Fl50;9l60>=831vn>mn:180>5<7s-9=57;:;I1b7>o6;h0;66g>3c83>>i5=10;66sm3ba94?5=83:p(>86:478L6g43`;8m7>5;h30f?6=3f8>47>5;|`0g`<72:0;6=u+37;910=O;h90e<=n:188m45e2900c?;7:188yg5c83:1?7>50z&02<<2=2B8m>5f12c94?=n9:h1<75`24:94?=zj:n96=4<:183!5113?>7E=n3:k27d<722c:?o4?::m11=<722wi?i:50;194?6|,:<268;4H2c0?l74i3:17d?6<729q/?;75549K7d55<1=>l4}r1`7?6=;r798o4mc:?0g1<5=116?n8512`8yv5d=3:1?v3=4b8ag>;4k?0995523b:956d53z?10a7fg=9:h0q~=l9;297~;5l4}r1`f?6=;r798k4mc:?0gf<5=116?nk512`8yv5c=3:1>v3=498ag>;4l?09955rs2ag>5<4s48><7ll;<1`a?420278h=4>3c9~w6ea2908w0<:1;``?85c838>4637<:8:?0`1<6;k1v>j<:180843?3hh70=k4;06<>;4l?0:?o5rs26;>5<5sW9?463=498006=#;<<1?994n276>5=z{:>=6=4={_172>;5<>088>5+34497116<5rs266>5<5sW9?963=478006=#;<<1?994n276>7=z{:?96=4={_166>;5=8088>5+34497116>5rs272>5<5sW9>=63=518006=#;<<1?994n276>1=z{:?;6=4={_164>;55+3449711685rs26e>5<5sW9?j63=4d8006=#;<<1?994n276>3=z{:>n6=4={_17a>;55+34497116:5rs26g>5<5sW9?h63=4b8006=#;<<1?994n276>==z{:>h6=4={_17g>;55+3449711645rs26b>5<5sW9?m63=4`8006=#;<<1?994n276>d=z{:>26=4={_17=>;5<0088>5+34497116o5rs267>5<5sW9?863<52811==#;<<1?994n276>f=z{j91<7;9:b08j632291vn?50;1xZf7<5;><6oj4=2f5>45f3-9>:7m=;o161?73ho70=k4;30e>"4=?0h>6`<5481?xudl3:1?vPld:?1143`9'700=k;1e?8;53:pgf<72:qUon522429fa=:;m:1=>o4$275>f4695rsb`94?5|Vjh01?:i:cf896eb289j7)=:6;a1?k52=3?0q~mn:180[ef348?i7lk;<1`g?74i2.89;4l2:l010<13tyh57>53z\`=>;5{tk10;6>uQc99>61e=jm16?n6512c8 6312j80b>;::99~wf1=839pRn94=36a>gb<5:i=6<=n;%162?e53g9>9774}ra6>5<4sWi>70<;a;`g?85d<3;8m6*<578`6>h4=<0j7p}l4;297~Xd<279844md:?0g7<6;h1/?885c39m703=j2wxnk4?:5y]fc=::=31nn523b0956d<5:?86<=6;%162?e53g9>97m4}|qb3?6=:rTj;63?:`58yvg?2909wSo7;<29e==z{;=m6=4<{_04b>;72;=m70<;5;a0?!52>38uQ26f895<5?m16>9;5c09'700=:>o0b>;::09~w71d2908wS<8c:?3>71d348?97m?;%162?40m2d8984=;|q15o4=1816>5rs3::>5<4sW83563?:3::?843=3ih7)=:6;04a>h4=<0?7p}=8983>6}Y:1201=4=899>613=kk1/?88526g8j6322<1v?68:180[4??27;6?68;<071?ef3-9>:7<8e:l010<13ty94;4?:2y]6=0<59094;522579g<=#;<<1>:k4n276>2=z{;2>6=4<{_0;1>;72;2>70<;5;a;?!52>38uQ296895<50=16>9;5c69'700=:>o0b>;::89~w7>52908wS<72:?3>7>5348?97m:;%162?40m2d8984n;|q1<4<72:qU>5?4=181<4=::=?1o95+344962c6o5rs35a>5<4sW8h4=<0h7p}7}:83h;70<;5;17<>"4=?08n85a34794>{t;k>1<7;5<<088;5+34497g36<5rs2`0>5<5s4:1mi522579713<,:?=6>l:;o161?452z?3>gd<5;>>6>;=;%162?5e=2d8984<;|q0fc<72;q6<7ln;<071?5292.89;4;::49~w6dc2909w0>5b99>613=;=l0(>;9:2`6?k52=3<0q~=mc;296~;72k=01?:::26f?!52>39i96`<5484?xu4jk0;6?u20;`5?843=39?h6*<5780f0=i;<=z{:h36=4={<29f6=::=?1?9o4$275>6d23g9>97o4}r1a3?6=:r7;6o<4=366>62>3-9>:7=m5:l0104?gd348?97=;4:&013<4j<1e?8;5c:~f75d290>6?4:{M1b1?7|@;:87pB>of?3:17do7:188m<`=831i<7>55;294~"4>00m:6F5;h:g>5<:183!5113;846F7}Yi116<77k;|q117<72;q6?l:5125895<>n2wx>8=50;0x95<5?h1U>:o4}r060?6=:rTjn63?:``8yxd3:?0;6>4>:2yO7d3=9rB9<>5rL2c5>4}#<1h1m<5r$24:>76d3`ki6=44o35b>5<{t:<91<763;p(96m:`38y!51138;o6gnb;29?j40i3:17do8:188f5<72:0;6=u+37;9b1=O;h90e5j50;9jeg<722e9;l4?::pe2<72;qUm:520;:g?xu5=;0;6?u20;04e>X5?h1v?;<:181[ge34:1mo5r}c664?6=;3;1?vB:o50;9je2<722h;6=4<:183!5113l?7E=n3:k;`?6=3`ki6=44o35b>5<k0R?9n;|q116<72;qUmo520;ca?x{e<9;1<7=51;1xH6g228qC>==4}M1b2?7|,=2i6l?4}%15=?47k2cjn7>5;n04e?6=3`k<6=44b183>6<729q/?;75f59K7d5>i5?h0;66s|a683>7}Yi>16<76k;|q117<72;q6<7<8a:\13d=z{;?86=4={_ca?86=ik1vqo<;1;29a?5=99q/?;752538k75c2900e?2900e?=n:188m75e2900e?3`83>>o6;k0;66g>3b83>>o6;m0;66g>3d83>>o6;o0;66g>4183>>o6<80;66a=5983>>{e::l1<7850;2x 60>2?:0D>o<;h30e?6=3`;8n7>5;h30g?6=3`;8h7>5;h30a?6=3f8>47>5;|`105<72:0;6=u+37;910=O;h90e<=n:188m45e2900c?;7:188yv44l3:1>vP=3e9>616=:<20q~<=e;296~X5:l16>>h512c8yv44;3:1>vP=329>66c=9:k0q~<<4;296~X5;=16>>k512`8yv44=3:1>vP=349>66c=9:i0q~<<6;296~X5;?16>>k512g8yv44?3:1>vP=369>66c=9:n0q~<<8;296~X5;116>>k512d8yv4413:1>vP=389>66c=9=;0q~<>k51528yv44j3:1>vP=3c9>66`=9:h0q~<=f;296~X5:o16>>h512f8yv4483:1>vP=319>66`=9:i0q~<<2;296~X5;;16>>h512g8yv44m3:1>v3=3d811==::=:1=>o4}r00b?6=:r79?k4=599>616=9:h0qpl74;291?5=?r.8:4474:m4b?6=3`;?i7>5;h635?6=3`2>6=44i6g94?=e0:0;6>4?:1y'73?==?1C?l=4i01b>5<5<5}#;?31>8m4H2c0?l74i3:17d?7<:8:p51c=838pR<:j;<:0>45e3ty?<<4?:3y]057<5191=>o4}r:6>5<5sW2>706>:01b?xu0m3:1>vP8e:?;5?74j2wx4=4?:3y><6<5=1164?4>3`9~w=7=838p15?524:89=4=9:h0qpl;0883>0<42>q/?;7541;8k1632900e<:k:188m1652900e9>n:188m1662900n9>7:180>5<7s-9=57;9;I1b7>o6;h0;66g>3c83>>i5=10;66sm41494?5=83:p(>86:448L6g43`;8m7>5;h30f?6=3f8>47>5;|`742<72:0;6=u+37;960e<@:k87d?4e83>7}Y9=n019>7:01a?xu38;0;6?uQ4108916?289j7p};0`83>7}Y<9k019>9:01b?xu3880;6?uQ41389161289i7p};0483>7}:<921>864=524>45f3ty?<;4?:3y>050=:<2019>8:01a?x{e9=h1<7:50;2x 60>2<20D>o<;h30e?6=3`;8n7>5;h30g?6=3f8>47>5;|`g=?6=;3:1N4i:1b=>o50;9j56d=831d>8650;9~f61329086=4?{%15=?323A9j?6g>3`83>>o6;k0;66a=5983>>{e;>81<7;50;2x 60>2o<;h30e?6=3`;8n7>5;h30g?6=3`;8h7>5;n065}#;?319k5G3`18m45f2900e<=m:188m45d2900e<=k:188k73?2900qo=5<4290;w)=99;06g>N4i:1b=>o50;9j56d=831d>8650;9~f=0=83>1<7>t$24:>73b3A9j?6g>3`83>>o6;k0;66g>3b83>>i5=10;66sm41194?5=83:p(>86:448L6g43`;8m7>5;h30f?6=3f8>47>5;|`74g<72:0;6=u+37;960e<@:k87d?o<;h30=?6=3f8>47>5;|q:a?6=krT2i63>488b3>;bn3k<70=9a;c4?854=3k<70=80;c4?845l3k<70<3k<709j:`5891372h=019>>:`58yv54=3:1>vP<349>763=:>k0q~:?1;291~X388168=?526c89=2=<9;019>6:522?827;3;8m6s|d683>7}Yl>16ik4nc:p073=838pR9<:;<612?ge3ty?9=4?:3y]006<5=?;6?9n;|q4a?6=;rT;?<3=n7p}>4`83>7}:9=31=kk4=06a>73?3ty8?:4?:3y>76>=:<201>=i:01b?xu4;00;6?u232c960><5:9m6<=m;|q20f<72:q6=97527:89=0=9:k019>m:01b?xu645e34>;n7?328>n7069:01`?xu4;j0;6?u23279eg=:;:n1>864}r37b?6=:r7:844=6d9>006=ik1v9>n:18182713>;m63;0c811==z{=:?6=4={<635?ge34>;57:?4:p`=<72?q6?;o5a99>763=i116?:>5a99>51d=9:k01i7524:89613289i7p}<7283>1}:;?k1?8l4=30g>d><5;9h6l64=257>73?3ty52z?4a?ge342?6:h4}r:6>5<5s42?65;4=94960>52z?17f;69:7>53z?20<<6no168?8526c89164289i7p}<7083>7}:;>:1mo52360960>;>7>52z?74<<38;168==524:8yv54m3:1>v3<3g811==:;:n1=>74}r00g?6=:r79?n4=7`9>51d=9:i0q~=9f;291~X4<916?;o529689615289o70=<8;30`>;4;h0:?n5+344973c6=5rs24g>5<3s49=m7<72:?037<6;k16?>6512a8965f289i7)=:6;15a>h4=<0:7p}<6b83>1}:;?k1>5?4=251>45f349847?8m:187851i38o4=21b>45c3-9>:7=9e:l010<43tym>7>52z?fb?4?927o57?71e34n26<=n;%162?`63g9>97?4}r006?6=:r79>i4=7g9>617=::80(>;9:312?k52=3:0q~<<0;296~;5:m09;i522539666<,:?=6?=>;o161?752z?16a<5?j16>9?523d8 6312;9:7c=:5;08yv44j3:1>v3=2e81>l4$275>7563g9>97=4}r00e?6=:r79>i4=889>617=::k0(>;9:312?k52=3>0q~<<9;296~;5:m094552253966?<,:?=6?=>;o161?352z?16a<50>16>9?522:8 6312;9:7c=:5;48yv44?3:1>v3=2e81<3=::=;1>>94$275>7563g9>9794}r002?6=:r79>i4=849>617=::<0(>;9:312?k52=320q~<<5;296~;5:m0949522539663<,:?=6?=>;o161??52z?16a<50;16>9?52268 6312;9:7c=:5;c8yv44;3:1>v3=2e81<4=::=;1>>=4$275>7563g9>97l4}r01a?6=:r79>i4=7c9>617=:;o0(>;9:312?k52=3i0qplfo::0yK655=b<,=2i6>o>;|&02<<6;91d8:<50;9j5d0=831d8=h50;9j5d1=831b?hj50;9l<=<722cm?7>5;h0:g?6=3`9;j7>5;h376?6=3`8i=7>5;h3b7?6=3k<96=4<:387I5f=3;pD?><;|N0e3<6s->3n7?<1:'73?=:;>0ell50;9l62g=831bm:4?::`3>5<4290;w)=99;d7?M5f;2F8m84>{%375;|`be?6=:3:173?3ty99>4?:3y>4?40i2T9;l5rs377>5<5sWki70>5ac9~yg27n3:1?7?53zN0e0<6sA8;?6sC3`495~"30k0:?<5r$24:>76d3`ki6=44o35b>5<{t:<91<74<3sE9j97?tH320?xJ4i?0:w):7b;305>{#;?31>?;4i``94?=h:>k1<75fa683>>o??3:17o>50;694?6|,:<26k94H2c0?l>c2900ell50;9j62?=831d>:o50;9~wd1=838pRl94=18;`>{t0>0;6?uQ869>4?4012wx>8<50;0x95<5?h1U>:o4}r067?6=:rTjn63?:``8yxd?13:1?7>50z&02<<5=m1C?l=4i01b>5<5<55;294~"4>009:=5G3`18m45f2900e<=m:188m45d2900e<=k:188k73?2900qo==2;290?6=8r.8:44:9:J0e6=n9:k1<75f12`94?=n9:i1<75`24:94?=zj:8?6=4::183!5113?i7E=n3:k27d<722c:?o4?::k27f<722c:?i4?::m11=<722wi8<=50;694?6|,:<26874H2c0?l74i3:17d?5<5<5<5290;w)=99;364>N4i:1b=>750;9l60>=831vn9?::181>5<7s-9=57?:0:J0e6=n9:31<75`24:94?=zj==36=4=:183!5113;><6F8650;9~w1152909wS:82:?737<5?h1v278>?4>3`9>772=9:i0q~:?f;296~X38o168=h526c8yv7f?3:1>vP>a69>772=9:n0q~=jd;290~X4mm168:<5869><<<6;h16:94>389~w=>=83>pR564=9;960><5=;86<=m;<642?74j2wxj>4?:5y]b6=:>;0j;63;0g8b3>;3?;0j;6s|28a94?5|V;3h70==2;30g>;4:=0:?l5rs22e>5<5sW9;j63<24827f=z{8>96=4<{_376>;39:0:?l52464956e53z\1f4=:;;81=>l4=207>45e3ty:m>4?:3y]5d5<5:8>6<=k;|q732<72;q68:<5ac9>02>=:<20q~8=:1818052;=j7066:01a?xu39=0;6?u241d9eg=:<8?1>864}r641?6=:r7?;;4=599>02>=9:30q~==0;297~;4:<099552401956e<5===6<=n;|q064<72;q6??;512c896452;?37p}<2283>7}:;;?1=>l4=207>73?3ty=?7>52z?56?ge34o::0yK6556g63t.8:44=069ja`<722c8;84?::m006<722h;6=4<:183!51132i7E=n3:k27<<722co;7>5;n0652z\fa>;72m=0q~<:2;296~;72;?37S=;3:p605=838pR>9:;<2956?6}K;h?1=vF=029~H6g128q/85l53`38y!51138;;6gje;29?l50=3:17b=;3;29?g6=8391<7>t$24:>=d<@:k87d?<9;29?lb02900c?;7:188yvcb2909wSkj;<29`2=z{;?96=4={<2960>87p}=5283>7}Y;>?01=4>389~yg25i3:1?7?53zN0e0<6sA8;?6sC3`495~"30k08m<5r$24:>7603`on6=44i256>5<86=44b183>6<729q/?;758c9K7d5?7>52z\030=:83;856srb57b>5<42808wA=n5;3xL7643tF8m;4>{%6;f?5f92w/?;752158m`c=831b?:;50;9l715=831i<7>53;294~"4>003n6F7}:838>46P<429~w7342909wS=85:?3>45>3twi8;o50;195?5|D:k>63;p(96m:2c2?x"4>009<:5fed83>>o4?<0;66a<4283>>d729086=4?{%15=?>e3A9j?6g>3883>>oc?3:17b<:8;29?xubm3:1>vPje:?3>a1>7>52z?3>73?3W9??6s|24194?4|V:=>70>512;8yxd4m10;6?4?:1y'73?=9<:0D>o<;h30=?6=3f8>47>5;|`0af<72;0;6=u+37;9506<@:k87d?<9;29?j4203:17pl7<729q/?;751428L6g43`;857>5;n065}#;?31>8m4H2c0?l74i3:17d?7<729q/?;751428L6g43`;857>5;n0645034>?h7=85:p046=838pR9??;<1f0?27n2wx?hk50;6xZ6cb34>347=jd:?0a3<4mm16?h:53df8yv20;3:1>vP;729>7`2=<>80q~::8;297~X3=1168565445896c12=?<7p};5283>7}Y<<901967:570?xu>83:1>vP60:?0e2<5?=1v9:8:181[23?27?454;469~w6`a2909wS=if:?0a0<4nk1v9:::181[23=27?454;379~w16d2909wS:?c:?7<=<38j1v9>>:181[279278hk4;009~wa1=839pRi94=2g6>a1<5:nm6i94}rd0>5<1sWl870=j1;;f?82?033n70=j6;;f?85cn33n70=j4;d0?xu3980;6?uQ403896c22=:m7p}7}Y;ll01>h?:260?xu4n00;6?uQ3g;896`f2;?37p};2483>7}Y<;?01>ji:506?xu3?2=7}Y<>>01>k::551?xu3=00;6?uQ44;8913f2:>87p};3`83>7}Y<:k01967:51b?xu>93:1>vP61:?0a0<>92wx4h4?:3y]<`=:;l?14h5rs2g4>5<5sW9n;63;4mj09955rs50;>5<4sW>9463;898762=:;l<18?94}r665?6=:rT?9<5249:9007::7>52z\753=:;l?18<84}r61=?6=:rT?>45243c9715=57>52z\72<=::h7>52z\75a=:;l?18??;<1f0?57n2wx=;?50;0x96c128<:70=j5;355>{t9h<1<7=t=2g2>4g1349n:7?n6:?0a1<6i?1v<;>:18185b>3;>=63n6=4={<67`?cb34>?j7<:8:p5d1=838p1>k9:0c4?85b<3;j;6s|28f94?4|5:o:6?7k;<1f0?4>k2wx8n4?:3y>7`3=69<8;<61e?50=2wx?>;50;0x91>?2:9=70=kf;101>{t010;69u23d39<==:<12145523d49<==:;l>1455rs0:0>5<5s49n:7?76:?0a0<60:1v3;jm63389~w2c=83=p1>k::6g896ba2>o01>h?:dg8914f2lo019;n:dg8910f2lo01>hn:01:?xu6>10;6?u23d3953><5:o=6<87;|q71g<72;q6?h;54458913f2:=>7p}7}:;l21=>74=2gb>73?3ty:454?:3y>7`0=91201>k::0c1?xu51j0;6?u23d3967}:;l?1?k<4=2d3>6123ty87`7=;9l01967:22e?85b>39;j6s|15094?4|5:o:6<:=;<1f0?73:2wx8;l50;0x96c22=<370:9a;141>{t:k;1<77d6349n87k9:0c0?85b<3;j?6s|1e594?4|5:o=67`0===16?hm512;8yv4>83:1>vP=919>0=>=:0:0(>;9:3:e?k52=3:0q~<7e;296~X50l16856529g8 6312;2m7c=:5;38yv4>13:1>vP=989>0=>=:030(>;9:3:e?k52=380q~<68;296~X51116856528:8 6312;2m7c=:5;18yv4>?3:1>vP=969>0=>=:0=0(>;9:3:e?k52=3>0q~<66;296~X51?1685652848 6312;2m7c=:5;78yv4>=3:1>vP=949>0=>=:0?0(>;9:3:e?k52=3<0q~<64;296~X51=1685652868 6312;2m7c=:5;58yv4>;3:1>vP=929>0=>=:090(>;9:3:e?k52=320q~<62;296~X51;1685652808 6312;2m7c=:5;;8yv4>93:1>vP=909>0=>=:0;0(>;9:3:e?k52=3k0q~<7d;296~X50m16856529f8 6312;2m7c=:5;`8yv132909wS9;;<1f5?253-9>:79<;o161?6;7)=:6;50?k52=3;0q~9>:180[16349n=7=i;<6;:79<;o161?43=87c=:5;18yv0b2908wS8j;<1f5?5e34>347=m;%162?143g9>97:4}r4g>5<4sW3-9>:79<;o161?03=87c=:5;58yv0f2908wS8n;<1f5?5034>347=8;%162?143g9>9764}r4:>5<4sW<270=j1;15?82?039=7)=:6;50?k52=330q~87:180[0?349n=7=:;<6;:79<;o161?g3=87c=:5;`8yv012908wS89;<1f5?5434>347=<;%162?143g9>97m4}r46>5<4sW<>70=j1;11?82?03997)=:6;50?k52=3n0q~9l:180[1d349n=7:n;<6;:79<;o161?c270:78;6:?!52>3=87c=:5;d8yv1f2909wS9n;<6;:79<;o161?773ty<57>52z\4=>;3010?;6*<57847>h4=<0:=6s|7983>7}Y?1168565479'700=?:1e?8;5139~w21=838pR:94=5:;>13<,:?=6:=4n276>45?7)=:6;50?k52=3;?7p}85;296~X0=27?454;3:&013<0;2d8984>5:p2c<72;qU:k5249:97f=#;<<1;>5a347953=zutwvLMMt17`f>2`1no33;1;84Zhh|9:;<<640^llp5678Vcf|R>Pnnv3456692;n7<<5D`vbE976294:86?=:HLSQQ:1<26>752F__\XZ5R@>25?699?1:>7AZTQWW>Wct}e~7=<4?>c926?TF48;1<3h4138Qavsk|5;:6=0>1:31>Zgcl9:;=1?>:10:35>LHW]]0J0?=58?386d=593K7><4>>02864442HUM_O2=3;3=51=5;3CE\XZ5A^DPF94428437?4K<083:f=52MkmL2>:1<:?7:14=Whno<=>?<083:4=4n291EC^ZT;QT86<6611?6I2<:1<`?14?>897>@U;;3:5i6:5IORVP?B;;3:5=?5;:HLSQQ4?>g97>JSSX\^1]1=50?37?119:69SV:4294m794Paef3456;;3:596O311<6?D:697?0M1?=>49B8459=2K7=90:;@>21;3G;914>7L2>9?68E979=2K7>=08;@>15?79=2K7><0:;@>16;117;2G;?7>0M1614:C?=;2GTzoUecy>?00]jiuYF[{lTbbz?0132=>GXNZH7==06;@]EWG:69730MRH\B=31:<=FWOYI0<=19:C\BVD;9=427LQISC>21;?89B[CUE48=556OPFR@?5=8>3HUM_O2>9?:8EZ@TJ5;556OPFR@?658>3HUM_O2=1?;8EZ@TJ5892o5N_GQA875=9730MRH\B=00:==FWOYI0?07;@]EWG:4611JSK]M<5<;?DYA[K6>255N_GQA838?3HUM_O28>99B[CUE41437LQISC>::1=Fkex=7LaztNGf?Dir|FOTaxv?012e?Dir|FOTaxv?012255=Fg|~DIRczx123447682KdyyAJ_lw{4567:8l0Mb{{OD]nq}67899:>6O`uuMF[hs89:;Sdcd:ClqqIBWfx;<=>=0:ClqqIBWfx;<=>Pilr\EjssGLUd~=>?0068Evcs<2HJOYk4B@AW[lkwWKKHX<:4BTDF<>DR[VCEJB?4C59@53K13J8;AH@9;B06I@H43J2F86M7Ms18GDUe3JKXS`{w0123g>EF[Vg~t=>?00f8GDUXe|r;<=>>1e9@EVYj}q:;<=<>c:ABWZkrp9:;<>j4C@Q\ip~789:8=i5LAR]nq}6789>:o6MNS^ov|5678EKZ:1HBY74CNONMQRBL>1H^HO[EE08GV0EkcVCEZRgbp^AooZOI^8;0H95K<1<5?A:6294?7I2>>59G87813M686=0;;E>0:2=Ci}k7<394D`vb84823MkmL64D`vbE96912NjxlO31119:FbpdG;984j7Io{a@>2>58?3MkmL2>>99GeqgF4;4j7Io{a@>0>58?3MkmL2<>99GeqgF4=437Io{a@>6:==Ci}kJ0;07;EcweD:0611OmyoN<9<;?AgsiH622;5KotvLA`=Cg|~DIRoad123474?1e9GkprHMVey<=>>219GkprHMVey<=>>_hos[Air|FOTc>?0032?@34EGcohZojxVOMmab>2:GP1>CT494>7H]31?78AV:56>1N_1=50?78AV:46h1N_Road12344g?01]jiuYB[Vkeh=>?00c8AVYffm:;<=5JS^antZcv89::Sdc_DQ\ghvXmx:;<=6:GWEFMXkdzTi|>?01]jiuYB\HI@Snc_ds34566991NXLMD_hos[@RFKB;37H`nbmgWv7=Bz<1N~1>17:Gq84<76<1N~1?14:D@HN4@Al8'Bb55IFe3.Mk7>3OLo= Ga10:8BCb6%@d9n6HId0/JjZojx=1MJi<8;GDg6(Oi02LMh?#Fn0;8BCb5%@d:=55IFe0.Mk4>3OLo> Ga20:8BCb5%@d856HId3/Jj67>3OLo> Ga40:8BCb5%@d>n6HId3/JjZojx=1MJi=8;GDg7(Oi02LMh>#Fn0;8BCb4%@d:=45IFe1.Mk4602LMh>#Fn2`8BCb4%@dTe`~;;GDg02=ANm>&Ec64FGf7)Lh612LMh9#Fn03;?C@c<$Ce>o5IFe6.MkYney>0JKj:4:DE`31@Al?'Bb??7;GDg2(Oi;k1MJi8"Io]jiu733OLo: Ga_hos[C@c>$Ce=95IFe54?C@c?$Ce46HId6/Jj4?199EBa1*Ag827KHk7,Km64?i7KHk7,Km[lkw9=1MJi9"Io]jiuYANm=&Ec?;;GDg<2=ANm2&Ec64FGf;)Lh612LMh5#Fn03;?C@c0$Ce>o5IFe:.MkYney90J^L<;GQNg>@TEV:&|l|jnua8BVKX9$zj~h`{c:DPIZ4*xhxnbym4FRO\7(vfzld=6I<;FLG5>O53@:97D?=;H01?L553@>97D;=;H41?L133@KH_h5FABQ\mhvXAHIX=95FNHV0?LHQ=2CDMNZk;HMBGQYffm:;<=?1e9JKDESWhdo<=>>219JKDESWhdo<=>>_hos[LIFK]Ujbi>?003g?LIFK]Ufyu>?01g8MJGD\Vg~t=>?00d8MJGD\Vg~t=>?003f?LIFK]Ufyu>?010e?LIFK]Ufyu>?0102b>OHIJ^Taxv?01205`=NGHI_S`{w01230472CDMNZ}6:KLF@TUm2CDNH\]_omw4566:;1BCOK]R^llp5679Vcf|RG@BDPQ[kis89::=95FOCO7?LIE[=1BCN]:;HM@W4385FOBQ0g>OHKZUjbi>?013f?LID[Vkeh=>?0^kntZOHKZUjbi>?013e?LID[Vif|Rk~012361=NGJYTo`~Pep2345YneyUBCN]Pclr\at6789;m7DALS^antZcv89::>95FOBQ\ghvXmx:;<?003e?LID[Vif|Rk~012161=NGJYTo`~Pep2347YneyUBCN]Pclr\at678;;m7DALS^antZcv89:8>95FOBQ\ghvXmx:;<>Qfmq]JKFUXkdzTi|>?023e?LID[Vif|Rk~012761=NGJYTo`~Pep2341YneyUBCN]Pclr\at678=;m7DALS^antZcv89:>>95FOBQ\ghvXmx:;<8Qfmq]JKFUXkdzTi|>?043e?LID[Vif|Rk~012561=NGJYTo`~Pep2343YneyUBCN]Pclr\at678?;m7DALS^antZcv89:<>95FOBQ\ghvXmx:;<:Qfmq]JKFUXkdzTi|>?063e?LID[Vif|Rk~012;61=NGJYTo`~Pep234=YneyUBCN]Pclr\at6781;m7DALS^antZcv89:2>95FOBQ\ghvXmx:;<4Qfmq]JKFUXkdzTi|>?083e?LID[Vif|Rk~013361=NGJYTo`~Pep2355YneyUBCN]Pclr\at6799;37DALS^knt3=NGMEEIi5FOEMMAZiu89:;>=5FOEMMAZiu89:;Sdc_HMGKKCXg{:;<=?7;HMGKKCnf>1BCIAAEs68MJJ7k2CD@=Qbuy2345bOHD8i0EBB>_omw45669l1BCA?Pnnv3457XadzTEBB>_omw45669<1BCAJ\9:KLJUTbims:=6G@NQPfeaXign;<=>=8:KLJUTbimsTmcj?012\mhvXAFD[^hoky^cm`56788<0EB^XCR;8MJVPZlkou?03:8MJVPZlkouRczx1234ZojxVCD\Z\jae{\ip~789::;6G@REPFUc=NG[NYI\Qnne2345433@EYH_K^_`lg4567W`g{SDA]DSGR[dhc89:;=k5FOSFQATYj}q:;<=??;HMQ@WCVWds<=>?1038MJTCZL[Taxv?01225474INPGV@WXe|r;<=>;109JKWBUMXUfyu>?016255=NG[NYI\Qbuy23453692CD^I\JQ^ov|5678<;:=6G@REPFUZkrp9:;<;?>0:KLVATBYVg~t=>?0630?LIUL[OZS`{w0123[lkw02CD^I\JQs48MJTBY{;97DA]EPp\mhvXAFXN]?:;HMQS4b_np34566m2CD^Z?Pos2345YneyUBC_Y>_np34566;2CD_95FOR2`?LIT8Vkeh=>?00g8MJU7Whdo<=>?_hos[LIT8Vkeh=>?00a8MJU7Wds<=>?d:KLW5Yj}q:;<=?j;HMP4Zkrp9:;<??;HMP4Zkrp9:;h5FOR]`iuYby9:;?h5FOR]`iuYby9:;8h5FOR]`iuYby9:;9h5FOR]`iuYby9:;:95FOT2`?LIR8Vg~t=>?0e9JKP6Xe|r;<=>>f:KLQ5Yj}q:;<=??1d9JKP6Xe|r;<=>>1g9JKP6Xe|r;<=>>10d8MJS7Wds<=>?133f?LIR8Vg~t=>?001f?LIR8Vg~t=>?006g?LIR8Vg~t=>?03g8MJS7Wds<=>?20g8MJS7Wds<=>?30g8MJS7Wds<=>?40g8MJS7Wds<=>?50g8MJS7Wds<=>?60f8MJS7Wds<=>?7d9JKP6Xe|r;<=>81e9JKP6Xe|r;<=>7e:KLQ5Yj}q:;<=6>e:KLQ5Yj}q:;<=7>119JKP6Xe|r;<=>Pilr7?LIR9j1BCX?Pnnv34576m2CDY109JKRTUMXUfyu>?010254=NG^XYI\Qbuy234556991BCZ\]EP]nq}6789>:=6G@WSPFUZkrp9:;<9?>0:KLSWTBYVg~t=>?0432?LIPZ[OZS`{w012314763@E\^_K^_lw{4567>8;;7DAXRSGR[hs89:;;<=4INUQV@WXe|r;<=>Pilr;?LIPZ[OZ~?5FS59JWQEb3@Y_ORgbp^KPPF7c3@YTo`~Pep2345bOTWjg{Sh?011g?LUXkdzTbh>?0118Mk643@d:?6Ga229Jj65n7FKJP^JJAWGUKAS<7AAHIBCO`>JWEVKOHR]ZB^3g?IVJWHNOS^[M_3f8HUKXIMNT_XLP3c9OTHYE]Z^YEa:NSIZBANV;;m6B_M^FEBZ76i2F[ARJIF^31e>JWEVNMJR?JWEVNMJR?8a:NSIZBANV;3m6B_M^FEBZ7>12F[ARJIF^0b?IVJWMLMS?>n;MRN[A@AW;;j7A^B_EDE[74f3EZFSIHI_31b?IVJWMLMS?:n;MRN[A@AW;?j7A^B_EDE[70f3EZFSIHI_35b?IVJWMLMS?6n;MRN[A@AW;327A^B_EDE[6gJWEVRD^?Q>9:NWmhcu494j7AZfmdp?558d3E^bah|31083:d=K\`gn~1?>>89OPlkbz5;556B[ilgq878>3E^bah|33?;8HQojm{6?245CThofv93912F_e`k}<7<:?IRnelx7;374LUknaw:?601GXdcjr=;=<>JSadoyXN?<;MVji`tSKVif|Rk~012351=K\`gn~YMPclr\at6789;:86B[ilgqPFYdeyUn}=>?0337?IRnelx_ORmbp^gr4567;8=0@YgbesV@[fkwWl{;<=>Pilr;?IRnelxT<<>4LUknawY7Wds<=>?109OPlkbzV:Taxv?012257=K\`gn~R>Pmtz345669880@Ygbes]3[hs89:;>?02326>JSadoyS=Qbuy234526981GXdcjr^2\ip~789:>=95CThofvZ6Xe|r;<=>Pilr;?IRnelxT=45CThofvZ7712F_e`k}_0324>JSadoyS0:NWmhcuW8Ujbi>?0005?IRnelxT=Road1235ZojxVF_e`k}_0]bja6788;:<6B[ilgq[4Yffm:;?2^kntZJSadoyS?0234?IRnelxT=Rmbp^gr4567W`g{==5CThofvZ7Xe|r;<=>>1:NWmhcuW8Ufyu>?01326>JSadoyS?3068HQojm{U:S`{w0123[lkw991GXdcjr^3\jjr789;9:6B[ilgq[4Yig}:;<JSadoyS_np3456XadzT@Ygbes]2[jt789::j6B[ilgq[4Yhz9:;=?:4LUknawY6Wfx;<=?Pilr\HQojm{U:Sb|?0132b>JSadoyS_np3454XadzT@Ygbes]2[jt7898:46B[ilgq[7>JSadoyS;64LUknawY002F_e`k}_9:8HQojm{U296B`ae3g?Iifl8Uecy>?0003?Iifl8Uecy>?00]jiuYKghn:Sca{01225a=JimnTSljk0123`>KflmUTmij?013e?H~hzVXnxb{<02=b>Kg{UYi~{ct=32:`=JpfxT^h}zlu>2:`=JpfxT^h}zlu>1:`=JpfxT^h}zlu>0:`=JpfxT^h}zlu>7:`=JpfxT^h}zlu>6:`=JpfxT^h}zlu>5:`=JpfxT^h}zlu>4:`=JpfxT^h}zlu>;:`=JpfxT^h}zlu>::7=I8;1E=?5A239M77=I<;1E995AOS:2?J3=5@DBQq[dhc89:;Sdc_NF@WwYffm:;<=?<;NP11>IU:K;>7B\=B318KW523FX8N?=4OS66?JT3J890C_;<;NP57>IU?:1D^5:4Ooafg>IiklUjbi>?013f?JhdmVkeh=>?0^kntZIiklUjbi>?0137?Jss8l1Dyy>Pilr\Kpr79=1Dyy?j;Nww5ZojxVE~x??12]O0>VFZ]k0\D@PBTQJ@]d79R84<76=1Z0<0;;P>1:3=V4:0;295^<2>a:S\5Ziu89:;Sdc_P]2[jt789::46_`SSgb`|773XeX^hoky^cm`5678;<0]b]]e`fz[dhc89:;Sdc_PmPV`gcqVkeh=>?0033?TiTZlkouRoad123570?01327>Wh[[ojhtQlmq]fu5679;i0]b]]e`fz[fkwWl{;<=?Pilr\UjUUmhnrSnc_ds34576n2[d__kndx]lv5678;>0]b]]e`fz[jt789:Te`~PQnQQadb~Wfx;<=>>f:SlWWcflpUd~=>?1368UjUUmhnrSb|?013\mhvXYfYYiljv_np34576=2XJ0=09;SC?558?3[K7=<4?>79QE9766<1YM1?15:PB87823[K7?3;4R@>7:0=UI5?596\N<7<6?WG;?7?0^L27>49QE9?9;2XJA85]ALAP3>TFEJY;T<:4R@O@W5^XadzT^LCLS1Z255=UIDIXSdc_SCNGV723[KFICj4R@OFJZgil9:;4R@OFJZgil9:;>d:PBI@HXign;<=?=0:PBI@HXign;<=?Pilr\VDKBFVkeh=>?10f8VDKBFVkeh=>?2328VDKBFVkeh=>?2^kntZTFELDTmcj?0102`>TFELDTmcj?01114>TFELDTmcj?011\mhvXZHGNBRoad12374b0:PBIWcflpUjbi>?0105?WGJZlkouRoad1234ZojxVXJA_kndx]bja6789;:<6\NMSgb`|Yffm:;<<<9;SCNV`gcqVkeh=>?1^kntZTFE[ojhtQnne23447a3[KF^hoky^mq4567:=1YM@\jae{\kw6789Uba}Q]ALPfeaXg{:;<=?7;SCNWqgu|8<0^LC\t`pw[lkwW[KF_yo}t078VDUBDm1YM^KC_lw{4567m2XJ_HBPmtz34566n2XJ_HBPmtz345669l1YM^KC_lw{4567:8;0^L]JL^ov|5678Vcf|85]ARpe1>TF[{xo7_O\rs]nq}6789o0^L]}r^ov|56788l0^L]}r^ov|56788;n7_O\rs]nq}67898:=6\NSsp\ip~789:Te`~l;SC\4Zkrp9:;1:PB[46Xe|r;<=>Pilrg?WGX98Ufyu>?01g8VDY69Vg~t=>?00d8VDY69Vg~t=>?003e?WGX98Ufyu>?0102a>TFW8;Taxv?012054=UIV;:S`{w0123[lkwk2XJSRczx1234a=UIV8Taxv?0122a>TFW;Ufyu>?0132a>TFW;Ufyu>?0102`>TFW;Ufyu>?01124>TFW;Ufyu>?01]jiueTFW:Ufyu>?013f?WGX;Vg~t=>?003f?WGX;Vg~t=>?033g?WGX;Vg~t=>?0233?WGX;Vg~t=>?0^kntf=UIV>Taxv?012g?WGX?00g8VDY3Wds<=>?10g8VDY3Wds<=>?20f8VDY3Wds<=>?3028VDY3Wds<=>?_hosg>TFW?01f8VDY2Wds<=>?1d9QEZ3Xe|r;<=>>1d9QEZ3Xe|r;<=>=1e9QEZ3Xe|r;<=><119QEZ3Xe|r;<=>Pilr`?WGX>Vg~t=>?0e9QEZ0Xe|r;<=>>e:PB[3Yj}q:;<=?>e:PB[3Yj}q:;<=<>d:PB[3Yj}q:;<==>0:PB[3Yj}q:;<=Qfmqa8VDY0Wds<=>?d:PB[2Yj}q:;<=?j;SC\3Zkrp9:;<??;SC\3Zkrp9:;Xe|r;<=>k;SC\4R@];[hs89:;Sdcc:PB[4:PLIFU3PVcf|R\@MBQ7\4bTSD<1Yiljvd:PfeaXign;<=>=0:PfeaXign;<=>Pilr\V`gcqVkeh=>?00f8V`gcqVkeh=>?1328V`gcqVkeh=>?1^kntZTbimsTmcj?013255=UmhnrSdc_Sgb`|7d3[ojhtQ`r12344c?259QacBhflUjbi>?01]jiuYUmoNdbhQnne23457a3[omHb`j_omw4566:=1YikJ`nd]mkq6788Uba}Q]egFlj`Yig}:;<=2:PfbAiimVey<=>?_hos[WcaLfdnSb|?0122e>TbnOxda_]?139Qac@ugdXX?0031?WcaN{ef^^>Paof34575i2XnjK|`mSQ3[dhc89::Sdc_SgeBwijZZ:Tmcj?01325d=UmoLyc`\\0^kntZTbnOxda_]?179QacTby11Yik\jqHl25>Tbn[ozSnc_ds3456502Xnj_k~_bos[`w789:Te`~PRddQatYdeyUn}=>?0031?WcaZl{Te`~PRddQat7b3[om^hPnnv34575:2Xnj_k~_omw4566W`g{S_kiRds\jjr789;::6\jfTpd<>Tbn\xlEc?>;SgeQwaXkdzTi|>?010;?Wca]{mTo`~Pep2345YneyUYik[}g^antZcv89:;=<<4RddVvbYneyUYik[}g048V`urd}30^h}zlu>3:d=Umzgx1??>b9Qavsk|5;:6=0n;Sgpqir;984i7_k|umv?5?6912Xnxb{<0<:?Wct}e~7>3l4Rdqvhq:429427_k|umv?7;?89Qavsk|5?556\jstnw838>3[oxyaz37?;8V`urd}63245]erwop9?9:?1Yi~{ct^2\jjr789:Te`~PRdqvhqY7Wge<=>?1c9PMBDR[VCEJBo4SHE\FPUNLQh0_DIPBTQMEHC23Z]7=3;4SV>1:2=T_591=3;4SV>0:1=Tkex?7^m|t59Pakrd3ZoexRoad12344c<[ldSl`k0123[lkwWZoexRoad12344d<[ldSb|?0122g>Ubf}Ud~=>?0^kntZUbf}Ud~=>?00c8WkbUIDIX[_?=;RlgVDKD[^XTmcj?0121e>Uil[KFO^Y]_`lg4567W`g{S^`kR@O@WRTXign;<=>>139PjaTFEJY\^Road12357g<[gnYM@M\WS]bja6788Uba}Q\nePBIFUPZVkeh=>?1036?VhcZHGH_Z\Pclr\at67899;7^`kR@O@WRTXkdzTi|>?01]jiuYTfmXJAN]XR^antZcv89:;=<;4SofQEHET_[Uha}Qjq123566<[gnYM@M\WS]`iuYby9:;=Rgbp^Qm`WGJKZ]YSnc_ds345769<1Xbi\NMBQTVZejxVoz<=>=319PjaTFEJY\^Rmbp^gr4565W`g{S^`kR@O@WRTXkdzTi|>?03321>Uil[KFO^Y]_bos[`w78998<6]adSCNGVQUWjg{Sh?011\mhvX[gnYM@M\WS]`iuYby9:;??5228WkbUIDIX[_Qlmq]fu567=Vcf|R]adSCNGVQUWjg{Sh?01725d=TfmXJAN]XR^kntZUil[KFO^Y]1038WkbUIDIX[_Q`r12347><[gnYM@M\WS]lv5678Vcf|R]adSCNGVQUWfx;<=>>109PjaTFEJY\^Ra}01226==TfmXJAN]XR^mq4566W`g{S^`kR@O@WRTXg{:;<1:Qm`WGJKZ]YSb|?0101<>Uil[KFO^Y]_np3454XadzT_cj]ALAPSWYhz9:;><64SofQKHET9:1Xbi\@MBQ\ghvXmx:;<=?;;RlgVJKD[Vif|Rk~0123542<[gnYC@M\_bos[`w789:9=95\nePLIFUXkdzTi|>?01120>Uil[EFO^Qlmq]fu5678=;<7^`kRNO@WZejxVoz<=>?_hos56=TfmXDAN]Pclr\at6788;?7^`kRNO@WZejxVoz<=>>1068WkbUGDIXSnc_ds345759=1Xbi\@MBQ\ghvXmx:;<<=>4:Qm`WIJKZUha}Qjq12351703Zdo^BCLS^antZcv89::Sdc129PjaTHEJYTo`~Pep2347733Zdo^BCLS^antZcv89:9=<:4SofQKHETWjg{Sh?010151=TfmXDAN]Pclr\at678;9:;6]adSMNGVYdeyUn}=>?2^knt40<[gnYC@M\_hos[VhcZFGH_=4:Qm`WIJKZUd~=>?0^kntZUil[EFO^Q`r123442<[zo>6Z\d:VP[fkwWl{;<=>k;UQ\ghvXmx:;<i5[S^antZcv89:886ZVPD78Plkbz11_e`k}<1<;?Qojm{6:255[ilgq878f3]cfi2<:1<;?Qojm{682i5[ilgq[dhc89:;>=5[ilgq[dhc89:;Sdc_UknawYffm:;<=?8;Upj@drf;2\HO45YIDU\P\VB<2\[Mm4VQCq[kis89::=h5YP@p\jjr789;Te`~PVQCq[kis89::=l5YiePBIFUPZm1]ei\NMBQTV32l911]ei\NMBQTV32lWjg{Sh?01202>Pnl[KFO^Y]65i\ghvXmx:;<=Qfmq]UmaTFEJY\^;:d_bos[`w789::=55YiePBIFUPZ?>`Snc_ds34574>2\bh_OBCRUQ21mXkdzTi|>?00]jiuYQamXJAN]XR76h[fkwWl{;<=?>219UmaTFEJY\^;:d_hos[SocZHGH_Z\94j321>Pnl[KFO^Y]_bos[`w789:8<6XfdSCNGVQUWjg{Sh?012\mhvX^`nYM@M\WS]`iuYby9:;<?2228RlbUIDIX[_Qlmq]fu567:Vcf|RXfdSCNGVQUWjg{Sh?010250=QamXJAN]XR^antZcv89:8?=5YiePBIFUPZVif|Rk~0120[lkwW_co^LCLSVP\ghvXmx:;<>?>5:Tj`WGJKZ]YSnc_ds3452482\bh_OBCRUQ[fkwWl{;<=:Pilr\RlbUIDIX[_Qlmq]fu567<8;>7[gkR@O@WRTXkdzTi|>?0413?SocZHGH_Z\Pclr\at678>4VhfQEHET_[Uha}Qjq1232ZojxV\bh_OBCRUQ[fkwWl{;<=8>149UmaTFEJY\^Rmbp^gr4560;91]ei\NMBQTVZejxVoz<=>8_hos[SocZHGH_Z\Pclr\at678>;:96XfdSCNGVQUWjg{Sh?01:04>Pnl[KFO^Y]_bos[`w7892Te`~PVhfQEHET_[Uha}Qjq123<4723_co^LCLSVP\ghvXmx:;<4=?;WkgVDKD[^XTo`~Pep23461078RlbUIDIX[_Qlmq]fu5668::0Zdj]ALAPSWYdeyUn}=>>0^kntZPnl[KFO^Y]_bos[`w788::=85YiePBIFUPZVif|Rk~013275=QamXJAN]XR^antZcv89;:Sdc_WkgVDKD[^XTo`~Pep235476i2\bh_OBCRUQ[lkwW_co^LCLSVP254=QamXJAN]XR^mq45679;1]ei\NMBQTVZiu89:;=<<4VhfQEHET_[Ud~=>?0331?SocZHGH_Z\Pos234556=2\bh_OBCRUQ[jt789:Te`~=;VQ6?RU;87?0[^2>>49TW949?2]X0>4?>49TW959>2]j~}]J1e9[MIOIP$RON->!1!QWQG&7&8*J_NGF6:ZPPIOE?2RXXRIAD0g8\VRXADZGI@KAT@VJKKYDGGY_^LGATR33?]USW[^GS]\@PDPW]2=_[]U]ON84Xe`\Ma773QnfS@oeosTfvvohf8:0TicPMhllvScu{`ee96V`<1<5?]i;87;=7Ua30?05?]i;87927Ua30?]jiu5?<1?00>3:f=Ximn;<=?311:1<`?Zgcl9:;=1?>>c9\eab789;7=3l4_`fg45664;4i7Rokd1235959j2Ujhi>?00>7:g=Ximn;<=?35?`8[dbc89::0;0m;^cg`56795=5n6Qnde2344:?6k1Tmij?013?=;>?179\ekb789:Te`~P_`lg4567911Tmcj?01322>Yffm:;<?2^kntZYffm:;?30:8[dhc89:?=;5Paof3452XadzTSl`k01275==Xign;<=;>6:]bja678?0735?Zgil9:;:Rgbp^]bja678?;37Road1233408_hos[Zgil9:;;<64_`lg456?9?1Tmcj?01:\mhvXWhdo<=>7199\ekb7893::6Qnne234Yj}q:;<=94_np34566<2Ud~=>?0^kntZYhz9:;<<94_np34576<2Ud~=>?1^kntZYhz9:;=<;4aeffv`=ffm:;<=Q`r123474Pos2345YneyUjbi>?01]lv5678890ocz8;bmvjqcu:2ix?6jif89gmwcd|`ee?6h|b59jkgk33`ei45aAEmvpIC7911eMIaztMG3[lkwWgKOcxzCE1324>hFLf@H>Pos2345413gKOcxzCE1]lv5678Vcf|R`NDnwwH@6Xg{:;<=?6;oCGkprKM8;37cOKotvOA4YneyUeMIaztMG256=iIZ=0bL]PFR@4?kGTW@EI=?5aAR]JKGYiIZUBCOazt`9mEVYNGKe~x;5aARmvp`=iIZe~xRoad123474?169mEjssGL;?7cO`uuMF[lkwWgKdyyAJ149mF@TU12dII_\PFR@25>hEM[XTJ^LPaof3456502dII_\PFR@\ekb789:Te`~PnCGQVZ@TJVkeh=>?00;8jGCUZVCDN:5aBF]JKG1?259mFWYNGKUjbi>?01]jiuYiJ[UBCOQnne23457a3gHYSDAM_lw{4567991eN_QFOC]nq}6789;:=6`MR^KLFZkrp9:;<1:lAVZOHJVg~t=>?03324>hEZVCDNRczx12346743gHYSDAM_lw{4567W`g{i6`MR^KLFZiu89:;>?5aBS]JKGYhz9:;?159mGDUd3gIJ_R``t12354c6`KT@AH[kis89::Sdc_oFWEFMXff~;<=?>6:lGmkJBl2dOecBJ_np3456582dOecBJ_np3456XadzTbIgaLD]lv56788<0bIgaODg8jAoiGLUjbi>?0101?kBnfFOTmcj?012\mhvXfMceCHQnne234576:2dOecAJ_hos[kBnfFO:;6`KotvLAc=iLfCHQnne2345433gNdyyAJ_`lg4567W`g{ScJ`uuMF[dhc89:;=k5aDnwwK@Yffm:;<<<;;oFlqqIBWhdo<=>>_hos[kBh}}ENSl`k0122542?5aDnwwK@Yhz9:;?149mMUJ5>2dB\A<}4:lO@V>2dDzh|cax]bja6789Uba}QaOwgqhdXign;<=>>119mKscudhsTbbz?01312>hH~lxgmtQaou2344YneyUeC{k}l`{\jjr789;:j6`@vdpoe|Yhz9:;Pilr\jJpbzekrSb|?01223>hUIDF\I:5aR@OVS@22:lQKHQBW`g{Sc\@MVG20>hRLZ20bXJ\_GQA<>hRLZUBCO?;;oWGWZOHJVd^H^QFOCmvpg=i]MYTEBL`uua8jPBTWhdo<=>?1d9mQAUXign;<=>Pilr\jPBTWhdo<=>?1b9mQAUXign;<=?>e:lV@VYffm:;<c:lV@VYig}:;<>e:lVS@Yffm:;<=Qfmq]mQRCXign;<=>>e:lVS@YneyUeYZK>4:lUID>hQEHUjbi>?013f?kPJIVkeh=>?0^kntZhQEHUjbi>?013e?kPJIVif|Rk~012361=i^DKTo`~Pep2345YneyUeZ@OPclr\at6789;h7cXBA^llp56798o0b[CN_omw4566W`g{ScXBA^llp56798>0b[^N8:lUTDYA[K20b[^N_HMAg>hQXHUjbi>?013f?kPWIVkeh=>?0^kntZhQXHUjbi>?013`?kPWIVddx=>?10g8jSVFWge<=>>_hos[kPWIVddx=>?1018jRC03g]NSK]M7:rlhZekc01{caQlljgm<>vhdVyh`64pnn\wvcs02zd~yQlljc8tjtsWjf`ic74pnpw[agsi11{czPoqc5?uiu|V{i7}a}t^pfwpjs12zd~yQ|cmp:?uiu|Vyxiy?4r29qeh>uh}{inSagaeo`1?pv>3kgyhQlio;8rdjrmVe{n55wc8734}jb3qi29>8wlqa70(3zHIz:m=64@Az741:520>7?f289?n<>6:01f46}i;oi1=6`08827`613m9m87>51;3xWd7=<991>4o5126a55?=9:o;?6xI35494?7=93:p_l?541196i==7512g37>"4k<0:j>5+28`90`03?|D:l36?uG1g08 7?e2=o>7pB\?j39pi7?6:379y_50138p==4>8;(0d4=831b4h4?::m720<722c?8>4?::m7g=<722c?mi4?::m7e=<722e3j7>5;n6:g?6=3`>>87>5;n6:e?6=3f>==7>5;n662?6=3`ij6=44id494?=n3:17b:9b;29?j20m3:17b:me;29?j21m3:17b:82;29?l2dn3:17b::1;29?j4e03:1(?7k:3`4?k4>k3:07bk3807bk3>07bk3<07bk3207bk3k07b4j5729m65<#:0n1;>5a28a96>=n?90;6)<6d;50?k4>k3907d8j:18'64m54:9j2a<72-82h79<;o0:g?3<3`!4>l3=87c<6c;58?l0f290/>4j5729m65<#:0n1;>5a28a9e>=n>>0;6)<6d;50?k4>k3h07d89:18'64m5c:9j20<72-82h79<;o0:g?b<3`=h6=4+28f936=i:0i1i65f7c83>!4>l3=87c<6c;d8?l1f290/>4j5729m61:9j3=<72-82h79<;o0:g?7532c<;7>5$3;g>255<#:0n1;>5a28a951=?1<7*=9e847>h51j0:965f6g83>!4>l3=87c<6c;35?>o4?o0;6)<6d;14a>h51j0;76g<7e83>!4>l39o4?j0;6)<6d;14a>h51j0976l4<729q/?oo513c8L6`13f;957>5;|`0bd<7280;6=u+3cc97f2<@:l=7b<69;29?xd3;?0;696:0y27?{n1m0;66a<3683>>i60m0;66a=c583>>i40>0;66g78;29?l2f:3:17b=6f;29?j73l3:17d:le;29?j4d;3:17b=<6;29?j74<3:17d:=:18'64m50:9j05<72-82h7:>;o0:g?7<3`9m6=4+28f904=i:0i1>65f3e83>!4>l3>:7c<6c;18?l5e290/>4j5409m65<#:0n18<5a28a92>=n;10;6)<6d;62?k4>k3=07d=8:18'64m58:9j73<72-82h7:>;o0:g??<3`9>6=4+28f904=i:0i1m65f3583>!4>l3>:7c<6c;`8?l54290/>4j5409m65<#:0n18<5a28a9a>=n<00;6)<6d;62?k4>k3l07o<8b;297?7=;rF8j54>{I3e6>{K;o31=v*;e182?x"4jh0:jn5fa983>>i51o0;66gn4;29?g1=8391<7>t$2`b>`1<@:l=7d6l:188md>=831d>4h50;9~wd2=838pRl:4=68;g>{t:>91<7h6:0y'0`6=92w/?oo52158md>=831d>4h50;9je1<722cj97>5;h;f>5<0;684?:1y'7gg=m01C?k84L2d;>4}#9:<18im4}h;`>5<>of03:17b<6f;29?xd4n>0;6<4?:1y'7gg=9;k0D>h9;n31=?6=3tyj87>52z\b0>;021i0q~o::181[g234=15n5rs350>5<5s49m;7?=9:?4>52z?4>7?a3W82j6s|26794?4|Vh201:4n8:~f40>290n6>4>0z&0fd<6>01d=9j50;9j505=831b=8;50;9j501=831b=8750;9j50d=831b=8j50;9j50`=831b=;?50;9j535=831b=;;50;9j531=831b=8?50;9j7f?=831i=9k50;;94?6|,:hj6?67;I1e2>o6:j0;66g>2e83>>o6:l0;66g>2g83>>o6;90;66g>3083>>o6;;0;66g>3283>>i5?h0;66sm15d94?0=83:p(>ln:3:6?M5a>2c:>n4?::k26a<722c:>h4?::k26c<722c:?=4?::m13d<722wi=8>50;194?6|,:hj6?9j;I1e2>o6:j0;66g>2e83>>i5?h0;66s|15f94?4|V8>o70?:0;04e>{t9<91<770?;e;31`>{t9<=1<7{t9{t96s|17394?4|V8<:70?;f;31`>{t9?91<770?;f;31g>{t9?=1<7{t;j31<7n6?9n;<364?75k2wx=9h50;0x942a2;=j70?:0;31`>{zj:k>6=4j:5825~"4jh08m85`3`294?=n<00;66g;a;29?l552900e>=50;9j71<722c897>5;h15>5<>o413:17d=n:188m6d=831b?i4?::`0e4<72<0;6=u+3cc91g=O;o<0e<50z&0fd<2l2B8j;5f13a94?=n9;n1<75f13g94?=n9;l1<75`26c94?=zj:k86=49:183!5ei3<;7E=i6:k26f<722c:>i4?::k26`<722c:>k4?::k275<722e9;l4?::a7d2=83>1<7>t$2`b>0><@:l=7d?=c;29?l75l3:17d?=e;29?j40i3:17p}7}Y;h:01>o;:35b?xu313:1>vP;9:?0e7<6:j1v9o50;0xZ1g<5:k96<634>2e9~w63=838pR>;4=2c2>44b3ty8:7>52z\02>;4i80:>k5rs2594?4|V:=01>o<:00`?xu403:1>vP<8:?0e7<6:l1v>750;0xZ6?<5:k96<4>319~w6g62909w0=n1;04e>;4i=0:>i5rs2c1>5<5s49j>7<8a:?0e1<6:j1v>o<:18185f;385e;6954}#;kk1?ll4o2c5>5<>o4:3:17d=<:188m62=831b?84?::k02?6=3`9<6=44i2:94?=n;00;66gj50;9a7d1=83?1<7>t$2`b>0d<@:l=7d?=c;29?l75l3:17d?=e;29?l75n3:17b<8a;29?xd4i10;684?:1y'7gg==j1C?k84i00`>5<5<5<56;294~"4jh0=<6F:o50;9~f6gf290?6=4?{%1ae?3?3A9m:6g>2b83>>o6:m0;66g>2d83>>i5?h0;66s|3`494?4|V:k=70=na;04e>{t<00;6?uQ489>7d1=9;i0q~:n:181[2f349j47?=c:p77<72;qU??523`5957b7}Y;=16?l7513f8yv522909wS=:;<1b3?75n2wx?;4?:3y]73=:;h21=?j4}r14>5<5sW9<70=n9;31g>{t;10;6?uQ399>7d>=9;o0q~=6:181[5>349j47?=f:p7d<72;qU?l523`;957`7}Y;m16?l751228yv5f?3:1>v37dg=9;i0q~=n9;296~;4i009;l523`c957c46|,:hj6>l?;n1bg?6=3`>26=44i5c94?=n;;0;66g<3;29?l532900e>;50;9j73<722c8;7>5;h1;>5<>o4j3:17d=k:188f6gc29026=4?{%1ae?063A9m:6g>2b83>>o6:m0;66g>2d83>>o6:o0;66g>3183>>o6;80;66g>3383>>o6;:0;66a=7`83>>{e;ho1<7850;2x 6df2?:0D>h9;h31g?6=3`;9h7>5;h31a?6=3`;9j7>5;h304?6=3f85;|`0ec<72:0;6=u+3cc910=O;o<0e<vP7d`=:>k0q~:6:181[2>349jh7?=c:p0d<72;qU8l523`f957b7}Y;:16?lj513d8yv532909wS=;;<1ba?75l2wx?84?:3y]70=:;hn1=>>4}r15>5<5sW9=70=nd;305>{t;>0;6?uQ369>7dc=9;i0q~=7:181[5?349jh7?<2:p7<<72;qU?4523`f95657}Y;k16?lk513g8yv5c2909wS=k;<1ba?7482wx?lj50;0x96gc2;=j70=nf;31g>{t;ho1<771f349jj7?=d:~f6cc290o6>4i{%1ae?5bl2e8i44?::k0`5<722c8h?4?::k0`1<722c8h;4?::k0`=<722c8hl4?::k0`f<722c8hh4?::k0a5<722c8i?4?::k0a1<722c8i;4?::`0ad<7200;6=u+3cc96=><@:l=7d?=c;29?l75l3:17d?=e;29?l75n3:17d?<0;29?l7493:17d?<2;29?l74;3:17b<8a;29?xd4mk0;684?:1y'7gg=:190D>h9;h31g?6=3`;9h7>5;h31a?6=3`;9j7>5;n04e?6=3th8in4?:283>5}#;kk1>:k4H2d5?l75k3:17d?=d;29?j40i3:17p}7}Y;l301>kl:35b?xu4l90;6?uQ3e2896cf288o7p}7}Y;m801>kn:00`?xu4l=0;6?uQ3e6896cf288n7p}7}Y;m<01>kn:013?xu4l10;6?uQ3e:896cf288m7p}7}Y;mk01>kn:012?xu4lj0;6?uQ3ea896cf28987p}7}Y;mo01>kn:011?xu4m90;6?uQ3d2896ce288h7p}7}Y;l801>km:00f?xu4m=0;6?uQ3d6896ce288o7p}7}Y;l<01>km:00e?xu4mh0;6?u23dc962g<5:oh6<ln:4g8L6`13`;9o7>5;h31`?6=3`;9i7>5;h31b?6=3f85;|`07a<72:0;6=u+3cc962c<@:l=7d?=c;29?l75l3:17b<8a;29?xd4j80;6>4?:1y'7gg==<1C?k84i00`>5<5<53;294~"4jh0>;6F5<7s-9im7;:;I1e2>o6:j0;66g>2e83>>i5?h0;66sm3bd94?3=83:p(>ln:4a8L6`13`;9o7>5;h31`?6=3`;9i7>5;h31b?6=3f85;|`0a=<72:0;6=u+3cc910=O;o<0e<03:1?7>50z&0fd<2=2B8j;5f13a94?=n9;n1<75`26c94?=zj89>6=46:183!5ei3<:7E=i6:k26f<722c:>i4?::k26`<722c:>k4?::k275<722c:?<4?::k277<722c:?>4?::m13d<722wi>:j50;194?6|,:hj68;4H2d5?l75k3:17d?=d;29?j40i3:17pl<3b83>0<729q/?oo55g9K7c05<5<N4n?1b=?m50;9j57b=831b=?k50;9j57`=831d>:o50;9~f6eb290>6=4?{%1ae?3e3A9m:6g>2b83>>o6:m0;66g>2d83>>o6:o0;66a=7`83>>{e;m;1<7;50;2x 6df2h9;h31g?6=3`;9h7>5;h31a?6=3`;9j7>5;n04e?6=3th8h>4?:483>5}#;kk19i5G3g48m44d2900e<k1<75rb2f4>5<2290;w)=ma;7g?M5a>2c:>n4?::k26a<722c:>h4?::k26c<722e9;l4?::a7a?=83?1<7>t$2`b>0b<@:l=7d?=c;29?l75l3:17d?=e;29?l75n3:17b<8a;29?xd4lk0;684?:1y'7gg==j1C?k84i00`>5<5<5<55;294~"4jh0>h6Fji:186>5<7s-9im7;l;I1e2>o6:j0;66g>2e83>>o6:l0;66g>2g83>>i5?h0;66sm3d394?3=83:p(>ln:4f8L6`13`;9o7>5;h31`?6=3`;9i7>5;h31b?6=3f85;|`0a6<72<0;6=u+3cc91f=O;o<0e<50z&0fd<2k2B8j;5f13a94?=n9;n1<75f13g94?=n9;l1<75`26c94?=zj:o<6=4::183!5ei3?i7E=i6:k26f<722c:>i4?::k26`<722c:>k4?::m13d<722wi?5j50;794?6|,:hj68m4H2d5?l75k3:17d?=d;29?l75m3:17d?=f;29?j40i3:17pl<8g83>0<729q/?oo55b9K7c05<5<N4n?1b=?m50;9j57b=831b=?k50;9j57`=831d>:o50;9~f6?4290>6=4?{%1ae?3b3A9m:6g>2b83>>o6:m0;66g>2d83>>o6:o0;66a=7`83>>{e;0?1<7;50;2x 6df2h9;h31g?6=3`;9h7>5;h31a?6=3`;9j7>5;n04e?6=3th85:4?:483>5}#;kk19i5G3g48m44d2900e<k1<75rb075>5<2290;w)=ma;7`?M5a>2c:>n4?::k26a<722c:>h4?::k26c<722e9;l4?::a50>=83?1<7>t$2`b>0e<@:l=7d?=c;29?l75l3:17d?=e;29?l75n3:17b<8a;29?xd6=h0;684?:1y'7gg==m1C?k84i00`>5<5<5<o7>55;294~"4jh0>o6F5<7s-9im7;k;I1e2>o6:j0;66g>2e83>>o6:l0;66g>2g83>>i5?h0;66sm17294?3=83:p(>ln:4f8L6`13`;9o7>5;h31`?6=3`;9i7>5;h31b?6=3f85;|`227<72<0;6=u+3cc91`=O;o<0e<50z&0fd<2k2B8j;5f13a94?=n9;n1<75f13g94?=n9;l1<75`26c94?=zj8<=6=4::183!5ei3?o7E=i6:k26f<722c:>i4?::k26`<722c:>k4?::m13d<722wi=;650;794?6|,:hj68j4H2d5?l75k3:17d?=d;29?l75m3:17d?=f;29?j40i3:17pl<3`83>6<729q/?oo5579K7c05<5<5<53;294~"4jh0>96F7l:187>5<7s-9im7<71:J0b3=n9;i1<75f13f94?=n9;o1<75`26c94?=zj:2i6=4<:183!5ei3?>7E=i6:k26f<722c:>i4?::m13d<722wi?4k50;194?6|,:hj6?9j;I1e2>o6:j0;66g>2e83>>i5?h0;66sm14094?5=83:p(>ln:448L6`13`;9o7>5;h31`?6=3f85;|`7=0<72:0;6=u+3cc913=O;o<0e<13:1?7>50z&0fd<5?l1C?k84i00`>5<5<2;7>52;294~"4jh0:?:5G3g48m44e2900c?9n:188yv?c2908wS7k;<04f?g334>2?7o;;|q072<72?qU?>94=21;>71f3498h7?=d:?0f4<6:j16>:j513a89435288o7p}=c583>1}Y:j>01?m::35b?84d>3;9o63;41109;l5rs9:94?5|V1201?9k:00g?82>13;9h6s|4`094?5|V=k970:63;c;?82>=3;9h6s|38d94?4|V:3m70=m1;04e>{t9=n1<7{t:j91<738{t9:>1<728?>70?:6;04e>{t9<=1<743034;>47<8a:p50?=838p1<86:07:?872i3828?o70?:e;04e>{t9?=1<740034;=47<8a:p50`=838p1<86:07e?871838;<356?40i2wx=;=50;0x940>28<870?94;04e>{t9??1<740234;=:7<8a:p76?=838p1>=k:00`?854i38:m50;0x971e2h201?9k:35b?xu40j0;6?u239f962g<5:3j6<7}:;0;1>:o4=2;b>44b3ty85?4?:3y>7<5=:>k01>7l:00`?xu41=0;6?u2387962g<5:3h6<7}:;131>:o4=2;f>44d3ty84l4?:3y>7=d=:>k01>7j:00g?xu31?0;6?u24819e0=:<0=1>:o4}r1b4?6=:r78m847g5=9;i0q~=52z?0eg<4i?16?o=513f8yv5fk3:1>v32d9>7fe=:>k0q~=ld;296~;4ko0:>k523bg962g=7>52z?22<<6=816=8<526c8yv5b13:1>v30<1=9;h0q~:63;290~;31:095k522b4957b<5:9j6<;4110:>n5rs5;7>5<5s4>297<8a:?7=<<6:j1v>76:18185>i382e9~w6?c2909w0=68;31`>;41l09;l5rs2`1>5<5s49i=7?=d:?0f6<5?h1v>j?:18185bl39o<634=7`9~w6b32909w0=jd;1g0>;4l<09;l5rs2f5>5<5s49nh7=k6:?0`2<5?h1v>j7:18185bl39o463;4m<09;l5rs2a:>5<4sW;3h63>6880g<=:;l21>:o4}r1f2?6=;r78ii47`1=:>k01>66:00`?xu4lj0;6>u23df97ae<5:no6?9n;<1;f?75k2wx?ik50;0x96cc2:nn70=kf;04e>{t;l:1<76c7349n=7<8a:p7`4=838p1>kk:2g1?85b;3847|V=801>=7:00f?84d=3;9h63>348276=:;:i1=?h4=2a`>44c34;>87?=f:?213<6:o16=86513d8943f288m70?:c;31b>;6=l0:>k52172957`<58<96<2e9>53>=9;n0(>9m:538j61f291v9>50;32[27349847?=f:?1g0<6:j16=>;51208965d288n70=lc;31g>;6==0:>h52144957c<58?36<2b9>50c=9;n01<8?:00g?871:3;9i63>65826c=:9?<1=?h4=04;>44a3-9;o14e?70z\0b>;4;10:>i521279567<5:9h6<2e9>500=9;n01<;7:00`?872i3;9h63>5b826`=:944d34;=>7?=d:?221<6:l16=;8513g8940?288h7)=8b;62?k50i380q~=k:1827~X4l278m84;51228965d288h70=lc;31a>;6==0:>n52144957e<58?36<2e9>50c=9;i01<8?:00f?871:3;9o63>65826a=:9?<1=?m4=04;>44b3-9;o14e?5;4kl0:>k5+36`904=i;>k186s|3`83>3}Y;h16?l;53`9>7dd=;h16?o>53`9>563=9;o01>mj:00f?!50j3>:7c=8a;78yv5>290=wS=6;<1b1?5>349jn7=6;<1a4?5>34;897?=d:?0g`<6:m1/?:l5409m72g=>2wx?54?:7y]7==:;h?1?5523``97==:;k:1?552127957e<5:in6<5<68rT8;63;4ik08;63;4l80:>h523e1957b<5:n>6<2e9>7ad=9;i01>jk:00e?85cn3;9j6344a349n;7?=f:&03g<392d8;l47;|q02?6=99qU?;523`7973=:;hh1?;523c2973=:;m;1=?j4=2f0>44d349o97?=f:?0`2<6:o16?i7513d896be288m70=kd;31`>;4lo0:>n523d3957c<5:o86<2d9'72d=<81e?:o59:p70<728:pR>;4=2c6>63<5:ki6>;4=2`3>63<5:n:6<2e9>7a1=9;i01>j6:00f?85cj3;9i6344c349n?7?=c:?0a0<6:m16?h9513f8 61e2=;0b>9n:`9~w62=83;;wS=;;<1b1?53349jn7=;;<1a4?53349o=7?=c:?0`6<6:l16?i;513a896b0288n70=k9;31g>;4lk0:>i523ef957e<5:nm6<4>2e9>7`3=9;i01>k8:00`?!50j3>:7c=8a;`8yv54290jwS=<;<1b1?54349jn7=<;<1a4?543493h7?=f:?0;41>0:>i5+36`904=i;>k1o6s|3383>d}Y;;16?l;5339>7dd=;;16?o>5339>7=b=9;o01>6i:00f?85>93;9i63<92826a=:;0?1=?j4=2;4>44d3-9;o14e?bj6=4n{_6b?85f=3>j70=nb;6b?85e83>j70=7d;31g>;40o0:>i52383957b<5:386<2g9'72d=<81e?:o5e:p0<<72hqU84523`790<=:;hh184523c290<=:;1n1=?j4=2:e>44d3492=7?=c:?0=6<6:o16?4;513a896?0288n7)=8b;62?k50i3l0qpl;de83>65=;k0=31>v??:049yl?c2900c9l6:188m1252900c9o7:188k1?d2900c98>:188m=>=831b?;:50;9l0=`=831b8:m50;9j70b=831b8nk50;9j0de=831b>n=50;9l0d0=831b?>850;9l6a>=831d85l50;9l6g>=83.95i4=b69m6o850;&1=a<5j>1e>4m51:9l6f7=83.95i4=b69m6n>50;&1=a<5j>1e>4m53:9l6g`=83.95i4=b69m6ok50;&1=a<5j>1e>4m55:9l6gb=83.95i4=b69m621d>om50;&1=a<5j>1e>4m57:9l6gd=83.95i4=b69m6oo50;&1=a<5j>1e>4m59:9l6g?=83.95i4=b69m6o;50;&1=a<5j>1e>4m5b:9j7c<72-82h7=j;o0:g?6<3`9o6=4+28f97`=i:0i1=65f3c83>!4>l39n7c<6c;08?l5f290/>4j53d9m65<#:0n1?h5a28a91>=n;>0;6)<6d;1f?k4>k3<07d=9:18'64m57:9j70<72-82h7=j;o0:g?><3`9?6=4+28f97`=i:0i1565f3283>!4>l39n7c<6c;c8?l55290/>4j53d9m65<#:0n1?h5a28a9`>=n<10;6)<6d;1f?k4>k3o07d:8:18'64m5f:9j03<72-82h7=j;o0:g?7732c?97>5$3;g>6c5<#:0n1?h5a28a957=h51j0:?65f3b83>!4>l39n7c<6c;37?>d4;l0;6n4>:byO7c>=9rB:j?5rL2d:>4}#1<75`29a94?=h:1o1<75`28294?=h:081<75`28694?=h:0<1<75`28594?=h:021<75f1df94?=n9lo1<75f1dd94?=e9;>1<7m5c;33I5a03;pD53;397~J4n10:wE?i2:'7gg=mk1bm54?::m1=c<722cj87>5;c594?5=83:p(>ln:d58L6`13E9m47?t$015>1bd3tc3o7>5;hc;>5<5<5sWk?70958b9~w7142909w09528d8Z7?a3ty9;94?:3y]e==:?3k37psmf383>6<62:qG?k651zJ2b7=z,:hj6hl4i`:94?=h:0l1<75fa583>>d029086=4?{%1ae?c03A9m:6B3>oo6sf8b83>>of03:17b<6f;29?xuf<3:1>vPn4:?4>=e52z?4>7?a3W82j6s|26694?4|Vh201:4n8:~fcb=8391=7=tL2d;>4}O9o80q)=ma;ga?lg?2900c?7i:188md2=831i;7>53;294~"4jh0n;6F=9r.:?;4;db9~m=e=831bm54?::m1=c<722wxm94?:3y]e1=:?32h7p}=7283>7}:?382j6P=9g9~w7132909wSo7;<59e==zuk;;87>53;397~J4n10:wE?i2:'7gg=mk1bm54?::m1=c<722cj87>5;c594?5=83:p(>ln:d58L6`13E9m47?t$015>1bd3tc3o7>5;hc;>5<5<5sWk?70958b9~w7142909w09528d8Z7?a3ty9;94?:3y]e==:?3k37psm11c94?5=939p@>h7:0yK5c45<50z&0fd>{ti=0;6?uQa59>3?>d3ty9;>4?:3y>3?4>n2T95k5rs357>5<5sWk37095a99~yg77j3:1?7?53zN0b=<6sA;m>6s+3cc9ag=ni10;66a=9g83>>of<3:17o950;194?6|,:hj6h94H2d5?I5a03;p(<=9:5f`?xo?k3:17do7:188k7?a2900q~o;:181[g334=14n5rs350>5<5s4=1>4h4^3;e?xu5?=0;6?uQa99>3?g?3twi=<=50;195?5|D:l36N4n?1G?k651z&273<3lj1ve5m50;9je=<722e95k4?::pe1<72;qUm9527;:`?xu5?:0;6?u27;0:b>X51o1v?9;:181[g?34=1m55r}c32`?6=;3;1?vBh9;M1e5<k1<75rb024>5<4290;w)=ma;04a>N4n?1b=?m50;9j57b=831d>:o50;9~w7?02909wS<67:?242<6:j1v2b9~w7?32909wS<64:?24=<6:m1v<>::181[77=27:<:4=7`9~w4612909w0??8;04e>;68>0:>i5r}c325?6=>3914v*h9;h31g?6=3`;9h7>5;n04e?6=3th:5}#;kk1945G3g48m44d2900e<50z&0fd<5?l1C?k84i00`>5<5<52z\2ac=:98:1=?m4}r0:0?6=:rT95952102957b52z\1=5=:99o1=?k4}r3f`?6=:rT:ii5211g957e52z\2a`=:99o1=?j4}r33g?6=:rT:52z?255<5?h16==h513a8yv77m3:1>v3>0d813d=:99l1=?j4}|`267<72<096;u+3cc95745<5<5<3290;w)=ma;7b?M5a>2c:>n4?::k26a<722c:>h4?::m13d<722wi=?>50;194?6|,:hj6?9j;I1e2>o6:j0;66g>2e83>>i5?h0;66s|28:94?4|V;3370?=0;31g>{t:0:1<7{t9lo1<7{t98l1<771f34;9<7?=d:~f47d29086=4?{%1ae?323A9m:6g>2b83>>o6:m0;66a=7`83>>{t9ln1<7:t^0gg?87693;nh63>2382aa=:98i1=?m4}r3fa?6=;rT:ih5210395`c<588964ca34;:=7?jf:pe3<720qUm;52eb8b0>;a:3k?70hk:`6894632h>01<>n:`68946e2h>010q~<7c;297~X50j16j?4n8:?e`?4>n2wx>5k50;1xZ7>b34oh6l64=g096<`55z\1=5=:98n1>4h4=032>7?734;9>7<60:?25f<6:m1v?7=:180[4>:27:<27:55?=:0>01:3;7?xu51?0;6>uQ28489cb=i116==l528d8yv4>?3:1?vP=969>af<51o16==752858yv4>03:1?vP=999>552=:0l01<<=:3;;?xu68<0;6?u21169e==:9931==;4}r33g?6=:r7:c;04e>{t98o1<7d><58896;6:=094n5rs3:f>5<5sW83i63>2581<`=z{;3;6=4={_0:4>;6:=095=5rs3;1>5<5sW82>63>2581=7=z{;3?6=4={_0:0>;6:=09595rs3;5>5<5sW82:63>2581=3=z{;3<6=4={_0:3>;6:=095:5rs3;;>5<5sW82463>2581===z{8oo6=4={_3f`>;6:=0:ii5rs0gf>5<5sW;ni63>2582a`=z{8om6=4={_3fb>;6:=0:ik5r}c17b?6==3819vBoi7:<7:&7`351:&7`0;%6ge?5?82d?h?4?;|&0fd<59;1bm94?::kb1?6=3`k36=44o3;e>5<0<525<t$2`b>`?<@:l=7d7l:188m5;|`0b2<7280;6=u+3cc957g<@:l=7b?=9;29?xuf<3:1>vPn4:?4>=e6=4={_c6?81=1j1v?9<:18185a?3;95638:8g8yv40<3:1>v38:3;e?[4>n2wx>:;50;0xZd><5>0j46srb50f>5<4290;w)=ma;04b>N4n?1b=?m50;9j57b=831d>:o50;9~wd2=838pRl:4=68b0>{ti<0;6?uQa49>07c=9;n0q~<6f;296~X51o16;7<6f:p7=6=839pR>6?;<59e==:<;o1=?m4}r61`?6=:r7<6l;4=50f>71f3twi>h?50;195?5|D:l36N4n?1G?k651z&273<3lj1ve5m50;9je=<722e95k4?::pe1<72;qUm9527;:`?xu5?:0;6?u27;0:b>X51o1v?9;:181[g?34=1m55r}c;1>5<42808wA=i8;3xL4`53tF8j44>{%6f4?3d:kb5;hc7>5<0;6>4?:1y'7gg=m>1C?k84L2d;>4}#9:<18im4}h:`>5<1<7:=50;0x92<51o1U>4h4}r040?6=:rTj4638:`:8yxd5m10;6>4>:2yO7c>=9rB:j?5rL2d:>4}#5<7s-9im7k8;I1e2>J4n10:w)?<6;6gg>{n0j0;66gn8;29?j4>n3:17p}n4;296~Xf<27<65m4}r047?6=:r7<6?7i;_0:b>{t:>>1<77<3sE9m47?tH0d1?xJ4n00:w):j0;78y!5ei38:j6gn8;29?j4>n3:17do;:188f2<72:0;6=u+3cc9a2=O;o<0@>h7:0y'560==831d>4h50;9~fd1=8381<7>t$2`b>4503A9m:6g>2c83>>i5?h0;66s|a583>7}Yi=16m:4>2c9~w7142909w0958b9>e2<5?h1v?9;:18181=:0l0R?7i;|q130<72;qUm5527;c;?x{e7473`k36=44o3;e>5<1<75m7;297?6=8r.8nl4j7:J0b3=n0j0;66gn8;29?j4>n3:17pln7;296?6=8r.8nl4>369K7c05<7}:?32h70o8:35b?xu5?=0;6?u27;0:b>X51o1v?9::181[g?34=1m55r}c0`3?6=>391;vBoi7:<7:&7`351:&7`0;%6ge?5?82d?h?4>;%6gf?5e<2d?h>4>;|&0fd<5:81bm94?::kb1?6=3`k36=44o3;e>5<5<0;684=:4yO7c>=9rB:j?5r$2`b>4`e3`k36=44o3;e>5<1<75fa483>>o>m3:17o950;794?6|,:hj6h74H2d5?I5a03;p(<=9:5f`?xo>k3:17d7j:188m=e=831bm54?::m1=c<722wi?k950;394?6|,:hj6<i6:00;66s|a583>7}Yi=16;76l;|qb1?6=:rTj9638:8a8yv40;3:1>v37}:?382j6P=9g9~w7122909wSo7;<59e==zuk>:57>52;294~"4jh0:?:5G3g48m44e2900c?9n:188yg25m3:187>50z&0fd<5081C?k84i00`>5<5<5<5sWk?7095a59~wd3=838pRl;4=50f>44b3ty95k4?:3y]6<`<5>095k5rs2`7>5<5sW9i863;2d826a=z{:2;6=4<{_1;4>;3900:>o5243g957e:47>52z?4>d><5=;26?9n;|q76a<72;q6;7o:;<61a?40i2wvn?66:186>7<2sE9m47?tH0d1?xJ4n00jw):j0;78 1bb2=9<7):k6;c;?!2c0382j6*;d58b0>h3l90:7):k5;c6?k2c93;0(9jn:2:3?k2c:3:0q)=ma;3e`>of<3:17do::188md>=831d>4h50;9j7=6=831i;7>55;091~J4n10:wE?i2:'7gg=9oh0el650;9l6<`=831bm94?::kb1?6=3`3n6=44b683>0<729q/?oo5e89K7c0n3:17pl4<729q/?oo513c8L6`13f;957>5;|qb0?6=:rTj8638:9a8yvg22909wSo:;<59=f=z{;=86=4={<1e3?75127<64k4}r040?6=:r7<6?7i;_0:b>{t:>?1<75<7s-9im7<8f:J0b3=n9;i1<75f13f94?=h:>k1<75rs`694?4|Vh>01:4n4:pe0<72;qUm85243g957b52z\1=c=:?382j6s|39294?5|V:2;7095a99>07c=9;i0q~:=d;296~;02h?0194`d3`k36=44o3;e>5<1<75m7;297?6=8r.8nl4j7:J0b3=n0j0;66gn8;29?j4>n3:17p}n4;296~Xf<27<65m4}r047?6=:r7<6?7i;_0:b>{t:>>1<77<2s-9im750z&0fd<5?l1C?k84i00`>5<5<7>52z\1a7=::l>1>:o4}r175?6=:rT88<522d7957e52z\1`c=::l?1=?j4}r176?6=:rT88?522d6957b52z?1a0<5?h16>h:513a8yxd>j3:147;5cz&0fd<>j2e2?7>5;h175?6=3`8oj7>5;h162?6=3`9?>7>5;h177?6=3`9=?7>5;h170?6=3k3j6=4<:183!5ei3?=7E=i6:k26f<722c:>i4?::m13d<722wi584?:283>5}#;kk1>:k4H2d5?l75k3:17d?=d;29?j40i3:17pl66;297?6=8r.8nl4:5:J0b3=n9;i1<75f13f94?=h:>k1<75rb8:94?5=83:p(>ln:478L6`13`;9o7>5;h31`?6=3f85;|`:=?6==3:171f3ty88<4?:3y]717<50<1=?m4}r0gb?6=;rT9hk529`826f=:1<0:>n5rs275>5<5sW9>:636a;31`>{t;=81<7288o7p}<4283>7}Y;=90146513a8yv51;3:1>vP<629>==<6:m1v>:;:181[53<27257?=f:p=1<72;q65l4=7`9>=0<6:m1v?9<:1818?22;=j7079:00g?xu5?=0;6?u297813d=:100:>n5rs8594?4|5021>:o4=8;957c3}#;kk1>hj4o3g:>5<:6=44i3fe>5<?6=44i21e>5<7E=i6:k26f<722c:>i4?::m13d<722wi>hl50;694?6|,:hj6?6>;I1e2>o6:j0;66g>2e83>>o6:l0;66a=7`83>>{t:l31<7:70{t:ml1<7?70{t;:l1<76<1s-9im75<4290;w)=ma;75?M5a>2c:>n4?::k26a<722e9;l4?::a6c6=8391<7>t$2`b>03<@:l=7d?=c;29?l75l3:17b<8a;29?xd5n80;6>4?:1y'7gg=:>o0D>h9;h31g?6=3`;9h7>5;n04e?6=3ty9n84?:3y]6g3<5;l:6?9n;|q1a4<72:qU>h?4=3d1>44d348m<7?=c:p0d<72;qU8l522g0957bhh50;0x97`72;=j70{zj::>6=4;:285!5ei39;96a=b883>>o5m80;66g<2;29?l242900n>>;:180>5<7s-9im7;9;I1e2>o6:j0;66g>2e83>>i5?h0;66sm31094?5=83:p(>ln:478L6`13`;9o7>5;h31`?6=3f85;|`046<72:0;6=u+3cc962c<@:l=7d?=c;29?l75l3:17b<8a;29?xu5j00;6?uQ2c;896642;=j7p}=e083>6}Y:l;01>>;:00`?857:3;9o6s|3383>7}Y;;16?=:513f8yv242909wS:<;<136?75l2wx?=>50;0x96632;=j70=?3;31g>{t;9;1<771f349;?7?=d:~f670290?6>49{%1ae?56?2e9nn4?::k1a4<722c8?7>5;h67>5<i4?::m13d<722wi?<:50;194?6|,:hj68;4H2d5?l75k3:17d?=d;29?j40i3:17pl<1483>6<729q/?oo526g8L6`13`;9o7>5;h31`?6=3f85;|q1ff<72;qU>om4=236>71f3ty9i<4?:2y]6`7<5:;=6<4?:3y]76=:;8<1=?j4}r67>5<5sW>?70=>4;31`>{t;881<771f349:97?=c:p745=838p1>?;:35b?856=3;9h6srb23g>5<32:0=w)=ma;12`>i5jm0;66g=e083>>o4<3:17d:::188f67d29086=4?{%1ae?313A9m:6g>2b83>>o6:m0;66a=7`83>>{e;8k1<7=50;2x 6df2h9;h31g?6=3`;9h7>5;n04e?6=3th8=o4?:283>5}#;kk1>:k4H2d5?l75k3:17d?=d;29?j40i3:17p}=be83>7}Y:kn01>?m:35b?xu5m80;6>uQ2d38967d288h70=>a;31g>{t;=0;6?uQ359>74e=9;n0q~:::181[22349:m7?=d:p74>=838p1>?l:35b?856j3;9o6s|30;94?4|5:;j6?9n;<12f?75l2wvn><<:187>6<1s-9im7==3:m1f`<722c9i<4?::k01?6=3`>=6=44b201>5<4290;w)=ma;75?M5a>2c:>n4?::k26a<722e9;l4?::a776=8391<7>t$2`b>03<@:l=7d?=c;29?l75l3:17b<8a;29?xd4:80;6>4?:1y'7gg=:>o0D>h9;h31g?6=3`;9h7>5;n04e?6=3ty9nh4?:3y]6gc<5:8:6?9n;|q1a4<72:qU>h?4=201>44d3499<7?=c:p70<72;qU?852330957b=6=4={_65?85583;9h6s|30g94?4|5:896?9n;<115?75k2wx?{zj:826=4;:285!5ei39956a=bg83>>o5m80;66g<6;29?l202900n><7:180>5<7s-9im7;9;I1e2>o6:j0;66g>2e83>>i5?h0;66sm33494?5=83:p(>ln:478L6`13`;9o7>5;h31`?6=3f85;|`062<72:0;6=u+3cc962c<@:l=7d?=c;29?l75l3:17b<8a;29?xu5jo0;6?uQ2cd896402;=j7p}=e083>6}Y:l;01><7:00`?855>3;9o6s|3783>7}Y;?16??6513f8yv202909wS:8;<112?75l2wx??:50;0x964?2;=j70==7;31g>{t;;?1<771f3499;7?=d:~f64a290?6>49{%1ae?55n2e9o=4?::k1a4<722c8m7>5;h1e>5<i4?::m13d<722wi??m50;194?6|,:hj68;4H2d5?l75k3:17d?=d;29?j40i3:17pl<2e83>6<729q/?oo526g8L6`13`;9o7>5;h31`?6=3f85;|q1g5<72;qU>n>4=20g>71f3ty9i<4?:2y]6`7<5:8n6<5<5sW9m70==c;31`>{t;;k1<771f3499h7?=c:p77d=838p1>5<32:0=w)=ma;101>i5k80;66g=e083>>o4?3:17d:6:188f65329086=4?{%1ae?313A9m:6g>2b83>>o6:m0;66a=7`83>>{e;:81<7=50;2x 6df2h9;h31g?6=3`;9h7>5;n04e?6=3th8?>4?:283>5}#;kk1>:k4H2d5?l75k3:17d?=d;29?j40i3:17p}=c083>7}Y:j;01>=<:35b?xu5m80;6>uQ2d389653288h70=<2;31g>{t;>0;6?uQ369>762=9;n0q~:6:181[2>3498>7?=d:p766=838p1>=;:35b?854;3;9o6s|32394?4|5:996?9n;<107?75l2wvn>>m:187>6<1s-9im7=?b:m1fd<722c9i<4?::k036=44b22b>5<4290;w)=ma;75?M5a>2c:>n4?::k26a<722e9;l4?::a75>=8391<7>t$2`b>03<@:l=7d?=c;29?l75l3:17b<8a;29?xd4800;6>4?:1y'7gg=:>o0D>h9;h31g?6=3`;9h7>5;n04e?6=3ty9nl4?:3y]6gg<5::26?9n;|q1a4<72:qU>h?4=22b>44d349;47?=c:p7=<72;qU?55231c957b36=4={_6;?85703;9h6s|31494?4|5::j6?9n;<13=?75k2wx?=950;0x966?2;=j70=?9;31`>{zj;l26=4;:285!5ei38m56a=b783>>o5m80;66g<9;29?l2?2900n?h7:180>5<7s-9im7;9;I1e2>o6:j0;66g>2e83>>i5?h0;66sm2g494?5=83:p(>ln:478L6`13`;9o7>5;h31`?6=3f85;|`1b2<72:0;6=u+3cc962c<@:l=7d?=c;29?l75l3:17b<8a;29?xu5j?0;6?uQ2c4897`02;=j7p}=e083>6}Y:l;01?h7:00`?84a>3;9o6s|3883>7}Y;016>k6513f8yv2?2909wS:7;<0e2?75l2wx>k:50;0x97`?2;=j70{t:o?1<771f348m;7?=d:~f676290?6>49{%1ae?5692e9no4?::k1a4<722c8n7>5;h1g>5<i4?::m13d<722wi?=k50;194?6|,:hj68;4H2d5?l75k3:17d?=d;29?j40i3:17pl<0g83>6<729q/?oo526g8L6`13`;9o7>5;h31`?6=3f85;|q1fg<72;qU>ol4=22e>71f3ty9i<4?:2y]6`7<5:;;6<5<5sW9o70=?e;31`>{t;9i1<771f349;j7?=c:p75b=838p1>>j:35b?857n3;9h6srb3de>5<32:0=w)=ma;0eb>i5j10;66g=e083>>o4j3:17d=k:188f7`b29086=4?{%1ae?313A9m:6g>2b83>>o6:m0;66a=7`83>>{e:oi1<7=50;2x 6df2h9;h31g?6=3`;9h7>5;n04e?6=3th9ji4?:283>5}#;kk1>:k4H2d5?l75k3:17d?=d;29?j40i3:17p}=b983>7}Y:k201?hk:35b?xu5m80;6>uQ2d3897`b288h70{t;k0;6?uQ3c9>6cc=9;n0q~=k:181[5c348mo7?=d:p6cg=838p1?hj:35b?84al3;9o6s|2g`94?4|5;lh6?9n;<0e`?75l2wvn?k?:187>5<7s-9im7;7;I1e2>o6:j0;66g>2e83>>o6:l0;66a=7`83>>{e;i4?::m13d<722wi8ll50;094?6|,:hj6<=8;I1e2>o6:k0;66a=7`83>>{et$2`b>4503A9m:6g>2c83>>i5?h0;66sm49g94?4=83:p(>ln:014?M5a>2c:>o4?::m13d<722wi84<50;094?6|,:hj6<=8;I1e2>o6:k0;66a=7`83>>{e<0l1<7<50;2x 6df289<7E=i6:k26g<722e9;l4?::a731=8391<7>t$2`b>00<@:l=7d?=c;29?l75l3:17b<8a;29?xd4>90;6>4?:1y'7gg==?1C?k84i00`>5<5<97>53;294~"4jh09;h5G3g48m44d2900e<5<50z&0fd<5?l1C?k84i00`>5<5<53;294~"4jh0>96F5<7s-9im7<71:J0b3=n9;i1<75f13f94?=n9;o1<75`26c94?=zj:?36=4<:183!5ei3?=7E=i6:k26f<722c:>i4?::m13d<722wi?8o50;194?6|,:hj6884H2d5?l75k3:17d?=d;29?j40i3:17pl;a`83>6<729q/?oo5569K7c05<N4n?1b=?m50;9j57b=831b=?k50;9j57`=831d>:o50;9~f1>c290>6=4?{%1ae?3b3A9m:6g>2b83>>o6:m0;66g>2d83>>o6:o0;66a=7`83>>{e<0;1<7=50;2x 6df2<<0D>h9;h31g?6=3`;9h7>5;n04e?6=3th?5h4?:583>5}#;kk1945G3g48m44d2900e<50z&0fd<5081C?k84i00`>5<5<N4n?1b=?m50;9j57b=831d>:o50;9~f7e>29086=4?{%1ae?323A9m:6g>2b83>>o6:m0;66a=7`83>>{e:jh1<7=50;2x 6df2<<0D>h9;h31g?6=3`;9h7>5;n04e?6=3th9oi4?:283>5}#;kk19;5G3g48m44d2900e<k1<75rb3f2>5<4290;w)=ma;75?M5a>2c:>n4?::k26a<722e9;l4?::a6ag=8391<7>t$2`b>03<@:l=7d?=c;29?l75l3:17b<8a;29?xd5lj0;6>4?:1y'7gg==?1C?k84i00`>5<5<?7>52;294~"4jh0:?:5G3g48m44e2900c?9n:188yg21;3:1>7>50z&0fd<6;>1C?k84i00a>5<501?k7:`6897c02h>0198>:`6897e02h>01?66:`6897b?2h>0q~:m9;296~X3j0168om526c8yv23:3:1:vP;439>0dg=9;i019lm:00`?82?l3;9o63;90826f=:<0o1=?m4}r6b2o7>52z\7=f=:<0l1>:o4}r655?6=:rT?:<5247396<`44d348hn7?=c:?1ga<6:j16>io513a8yv51<3:1?vP<659>731=9;n01>;>:00g?xu30o0;6?uQ49d891?52;=j7p};7b83>7}Y<>i0196k:00f?xu4=m0;6>uQ34f89607288o70=:1;31g>{t44c3ty?mn4?:3y]0de<5=hi6<n=4=3g3>44c34>2i7?=d:p0d0=838pR9o9;<6b3?40i2wx?>850;0xZ65134>j;7?=b:p6a>=839pR?j7;<0gn279hl4>2e9~w1>e2909wS:7b:?7<`<5?h1v>8<:187854m3;nj636b;157>;4>>09;l5234c957b52z?1a=;5l:09;l5rs3f7>5<5s48h;7=m4:?1`0<5?h1v?j9:18184d?3k>70{t;=l1<7=t=26e>7?a349=;7?=c:?025<6:j1v>;=:181853n393<63<52813d=z{;nm6=49{<10a?7bl279i;4=dg9>=g<5lo16>hj52ed897c72;=j70<7b;31`>{t<0:1<744e34>2=7<8a:p0gg=838p19ll:00a?82ej382b9~w63d2909w0=90;04e>;4=10:>i5rs263>5<5s498i7<7e:?010<6:m1v>:>:18:854m382<63=e78004=:1k088<522df9717<5;2i6<2e9>6a7=9;n01?jl:00g?xu5l00;6?u22eg957e<5;nj6?9n;|q007<72k5280897c02h201?k9:261?8?e2:>970{t:mh1<744b348oo7<8a:p715=838p1>=j:3;7?8?e2:>87p}=c983>7}::m91=?m4=3a:>71f3ty8894?:2y>76c=:0<014l5356897cc2:>?7p}=c`83>7}::m?1=?m4=3aa>71f3ty9on4?:3y>6a3=9;n01?mk:35b?xu5000;6>u229;96<`<5;im6<nk50;0x97b0288h70{t;=?1<7:t=21f>7??348oi7?=d:?1gg<6:m16>nh513f8yv4c83:1>v3=d6826a=::m;1>:o4}r6;g?6=:r7?4h4>2c9>0=b=:>k0q~=:7;296~;4=k0:>n5234:962g57>52z?01g<6:m16?8o526c8yv2>l3:1>v3;9d813d=::18g84b9382j63=f281a4=:;9?1>h?4=234>7c6349:h7;48k09i<522g;96`7<5:;:6?k>;<0eb?4b92wx>h<50;0x97c62h201?k9:3g1?xu4=?0;68u232g95`c<50h1?884=27a>71f348h57?=d:?1`f<6:j1v>;;:181853n3k>70=:5;04e>{t:1k1<7d3<5;2i6?9n;|q1`a<72;q6>i65a99>6ac=:>k0q~=:0;296~;4=809;l52341957d7?a34>=?7?=b:p6f1=83?p1?m8:3;e?84b83;9i63;bc826c=:<1n1=?h4=5;f>44b3ty?m=4?:3y>0<`=9;h019o>:35b?xu>;3:1>v362;c;?8?e2090q~095k524`3957c52z?1a=<51o168l?513f8yv2f13:1>v3;ac826g=::o4}r0a<,:=i6?l8;o14e?652z\1f3=::o31>o84$25a>7d03g952z\1g5=:;;l1>n>4$25a>7d03g952z\1f`=:;;91>ok4$25a>7d03g952z\1ff=:;8=1>om4$25a>7d03g952z\1fd=:;9h1>oo4$25a>7d03g952z\1f0=::o91>o;4$25a>7d03g95<5sW9m70==f;1e?!50j39n7c=8a;28yv5c2908wS=k;<125?5c348mj7=k;%14f?5b3g95<4sW9i70=>1;1a?84an39i7)=8b;1f?k50i380q~=n:181[5f3499j7=n;%14f?5b3g95<5sW92705<5sW9>70==3;16?!50j39n7c=8a;:8yv532909wS=;;<12`?533-95<5sW>j702909wS:6;<101?2>3-936=4<{_6;?857j3>370=6=4={_65?855;3>=7)=8b;1f?k50i3;;7p};5;296~X3=278=i4;5:&03g<4m2d8;l4>1:p01<72;qU8952305901=#;>h1?h5a36c957=z{=91<79m:2g8j61f2890q~=l:181[5d348m?7=l;%14f?5b3g9=9rB:j?5rL2d:>7}i{#;kk1=9m4i8f94?=n9=21<75f19f94?=n9:21<75f17f94?=h91o1<75f45094?=n010;66a>9083>>o3?j0;66g>4e83>>o3kl0;66g;ab83>>i6>o0;66a>d683>>o4;?0;66a;6d83>>i60h0;66a>bd83>>i3j3:17b;;:188k1362900n>l::18a>483:17b<62;29?j4><3:17d?jd;29?l7bm3:17d?jf;29?l7a83:17d?i1;29?g75<3:1n765ezN0b=<6sA;m>6s+3cc97g35<5<1<75meb83>6<62:qG?k651zJ2b7=z,:hj6hl4i`:94?=h:0l1<75fa583>>d029086=4?{%1ae?c03A9m:6B3>oo6sf8b83>>of03:17b<6f;29?xuf<3:1>vPn4:?4>=e52z?4>7?a3W82j6s|26694?4|Vh201:4n8:~fc4=8391=7=tL2d;>4}O9o80q)=ma;ga?lg?2900c?7i:188md2=831i;7>53;294~"4jh0n;6F=9r.:?;4;db9~m=e=831bm54?::m1=c<722wxm94?:3y]e1=:?32h7p}=7283>7}:?382j6P=9g9~w7132909wSo7;<59e==zukl:6=4;:386!5ei3l:7dkl:188m4`62900e?7;:188k`b=831ij=4?:283>5}#;kk1985G3g48m44d2900e<5<7s-9im7<8e:J0b3=n9;i1<75f13f94?=h:>k1<75rsda94?4|Vli01k>513a8yv7a93:1>vP>f09>b5<6:m1v?7;:181[4><27nj7?=d:paa<72;qUii52eg813d=z{lo1<7o6mm0;66gjc;29?l4><3:17d?i1;29?l7bm3:17d?jf;29?l7a83:17bh<:188fcd=8391<7>t$2`b>00<@:l=7d?=c;29?l75l3:17b<8a;29?xda=3:1?7>50z&0fd<2>2B8j;5f13a94?=n9;n1<75`26c94?=zjo=1<7=50;2x 6df2<<0D>h9;h31g?6=3`;9h7>5;n04e?6=3thm57>55;294~"4jh0>h6Fo6:j0;66g>2e83>>o6:l0;66g>2g83>>i5?h0;66s|1df94?4|V8oo70hm:00g?xubk3:18vPjc:?ef?75k27m97?=c:?e=?75l2wx>4:50;1xZ7?334l>6<44c3ty:j<4?:3y]5c7<5o=1=?m4}r3fa?6=:rT:ih52f8826`=z{8om6=4={_3fb>;a13;9j6s|1g294?4|V8l;70h6:00`?xua;3:1>vPi3:?ee?40i2wxj94?:3y>bg<5?h16jl4>2b9~wc3=838p1k;526c89cg=9;n0q~h9:1818`02;=j70hn:00f?xua03:1>v3i9;04e>;ai3;9j6srb3:g>5<4290;w)=ma;75?M5a>2c:>n4?::k26a<722e9;l4?::a6=`=8391<7>t$2`b>03<@:l=7d?=c;29?l75l3:17b<8a;29?xd5180;6>4?:1y'7gg==?1C?k84i00`>5<5<53;294~"4jh0>;6Fbf2b9>6=`=9;n01?7>:00g?84>;3;9h6s|1df94?4|V8oo70hl:0gg?xu6ml0;6?uQ1dg89ce=9lo0q~?jf;296~X6mo16jn4>eg9~w4`72909wS?i0:?eg?7a82wx=k?50;1xZ4`634l:6;4`63tyj:7>53z\b2>;bk3k?70h=:`68yv4?k3:1>vP=8b9>6=b=:>k0q~<7e;296~X50l16>5h526c8yv4>83:1>vP=919>6<7=:>k0q~<62;296~X51;16>4=526c8yv4><3:14vP=959>b7<51o16j<4=959>bf<51=16>5j513f897>a288h70<61;31g>;51:0:>n5rsdf94?4|5li1m552f08f`>{tn:0;6?u2f38b<>;ak3l87ps|a583>7}Yi=16=?:5a79~w7>d2909wS<7c:?261<50j1v?6j:181[4?m27:>94=8d9~w7?72909wS<60:?261<5191v?7=:181[4>:27:>94=939~w7?32909wS<64:?261<51=1v94>ee9~w4cb2909wS?je:?261<6ml1v94>eg9~w4`72909wS?i0:?261<6n91v:181[7a927:>94>f09~yg73?3:1?7?53zN0b=<6sA;m>6sC3g;95~"3m90?7p*>of<3:17o950;194?6|,:hj6h94H2d5?I5a03;p(<=9:5f`?xo?k3:17do7:188k7?a2900q~o;:181[g334=14n5rs350>5<5s4=1>4h4^3;e?xu5?=0;6?uQa99>3?g?3twi=;k50;195?5|D:l36N4n?1b4n4?::kb5;|qb0?6=:rTj8638:9a8yv40;3:1>v38:3;e?[4>n2wx>::50;0xZd><5>0j46srb0c0>5<22;0>wA=i8;3xL4`53tF8j44>{%6f4?25;hc7>5<>d0290>6=4?{%1ae?c>3A9m:6g6c;29?l?b2900e5m50;9je=<722e95k4?::a7c1=83;1<7>t$2`b>44f3A9m:6a>2883>>{ti=0;6?uQa59>3?>d3tyj97>52z\b1>;020i0q~<83;296~;4n>0:>4527;;f?xu5?=0;6?u27;0:b>X51o1v?9::181[g?34=1m55r}c057?6=<3818vBoi7:<7:&7`351:&7`d<4091e8i<51:'7gg=:9:0el:50;9je=<722e95k4?::k0<5<722h<6=4<:080I5a03;pD5;c594?5=83:p(>ln:d58L6`13`2h6=44i`:94?=h:0l1<75rs`694?4|Vh>01:47c:p625=838p1:4=9g9]6<`52z\b<>;02h20qpl;1883>7<729q/?oo51258L6`13`;9n7>5;n04e?6=3tyj87>52z\b0>;02h>0q~<6f;296~X51o16;7<6f:p7=6=838pR>6?;<62=?75j2wx8<650;0x920(9jj:514?!2c>3k37):k8;0:b>"3l=0j86`;d182?!2ci393<6`;d383?x"4jh09<<5fa583>>of03:17b<6f;29?l5?83:17o950;195?5|D:l36n3:17do;:188f2<72:0;6=u+3cc9a2=O;o<0e5m50;9je=<722e95k4?::pe1<72;qUm9527;:`?xu5?:0;6?u27;0:b>X51o1v?9;:181[g?34=1m55r}rc7>5<5sWk?7095a59~w7?a2909wS<6f:?4>7?a3ty84=4?:3y]7=6<5>0j46srb4694?3=:3?p@>h7:0yK5c41=#94$5f5>d><,=n36?7i;%6g0?g33g>o<7?4$5f6>d37653`k?6=44i`794?=ni10;66a=9g83>>o4j=0;66l8:186>7<2sE9m47?tH0d1?x"4jh0:jo5fa983>>i51o0;66gn4;29?lg22900e4k50;9a3?6==3:1N4n?1b5n4?::k:a?6=3`2h6=44i`:94?=h:0l1<75rb2d4>5<6290;w)=ma;31e>N4n?1d=?750;9~wd2=838pRl:4=68;g>{ti<0;6?uQa49>3??d3ty9;>4?:3y>7c1=9;301:46e:p622=838p1:4=9g9]6<`52z\b<>;02h20qpl;3183>6<729q/?oo526g8L6`13`;9o7>5;h31`?6=3f85;|qb0?6=:rTj8638:`68yvg22909wSo:;<604?75l2wx>4h50;0xZ7?a34=1>4h4}r1a0?6=;rT8n9527;c;?82483;9o6s|43d94?4|5>0j963;31813d=zuk;3:7>55;091~J4n10:wE?i2:O7c?=9r.?i=4;;|&0fd<6no1bm54?::m1=c<722cj87>5;hc6>5<d2900el650;9l6<`=831vn>h8:182>5<7s-9im7?=a:J0b3=h9;31<75rs`694?4|Vh>01:47c:pe0<72;qUm8527;;`?xu5?:0;6?u23g5957?<5>02i6s|26694?4|5>095k5Q28d8yv40=3:1>vPn8:?4>d>4?:481>0}K;o21=vF>f39~H6`>28q/8h>54:'7gg=9ol0el650;9l6<`=831bm94?::kb1?6=3`3n6=44b683>0<729q/?oo5e89K7c0>o?k3:17do7:188k7?a2900qo=i7;295?6=8r.8nl4>2`9K7c05<5sWk?70958b9~wd3=838pRl;4=68:g>{t:>91<744>34=15h5rs357>5<5s4=1>4h4^3;e?xu5?<0;6?uQa99>3?g?3twi=4?50;195?5|D:l36N4n?1b4n4?::kb5;|qb0?6=:rTj8638:9a8yv40;3:1>v38:3;e?[4>n2wx>::50;0xZd><5>0j46srb0f4>5<42808wA=i8;3xL4`53tF8j44>{%6f4?25;hc7>5<0;6>4?:1y'7gg=m>1C?k84i9a94?=ni10;66a=9g83>>{ti=0;6?uQa59>3?>d3ty9;>4?:3y>3?4>n2T95k5rs357>5<5sWk37095a99~yg71n3:1?7?53zN0b=<6sA;m>6sC3g;95~"3m90?7p*>of<3:17o950;194?6|,:hj6h94H2d5?l>d2900el650;9l6<`=831vl:50;0xZd2<5>03o6s|26194?4|5>095k5Q28d8yv40<3:1>vPn8:?4>d>6}K;o21=vF>f39~H6`>28q/8h>54:'7gg=9oi0el650;9l6<`=831bm94?::`4>5<4290;w)=ma;g4?M5a>2c3o7>5;hc;>5<5<5sWk?70958b9~w7142909w09528d8Z7?a3ty9;94?:3y]e==:?3k37psm4c83>6<62:qG?k651zJ2b7=zD:l26{#;kk1=km4i`:94?=h:0l1<75fa583>>d029086=4?{%1ae?c03A9m:6g7c;29?lg?2900c?7i:188yvg32909wSo;;<597}Yi116;7o7;|a7=4=83?1>7;tL2d;>4}O9o80qA=i9;cx 1c72=1/8ik54258 1b12h20(9j7:3;e?!2c<3k?7c:k0;38 1b22h?0b9j>:09'0ag=;1:0b9j=:19~ 6df2;:87do;:188md3=831bm54?::m1=c<722c84=4?::`4>5<22;0>wA=i8;3xL4`53t.8nl4>fc9je=<722e95k4?::kb0?6=3`k>6=44i8g94?=e?3:197>50z&0fd50z&0fd<6:h1C?k84o00:>5<02o6s|26194?4|5:l<6<<6;<59=`=z{;=?6=4={<596<`7}Yi116;7o7;|a07c=8391<7>t$2`b>71a3A9m:6g>2b83>>o6:m0;66a=7`83>>{ti=0;6?uQa59>3?g33tyj97>52z\b1>;3:l0:>i5rs3;e>5<5sW82j638:3;e?xu4090;6>uQ392892h4>2b9~w14c2909w095a49>07c=:>k0qpl>8`83>0<52"3ll0??:5+4e49e==#4h4$5f7>d21<75fa483>>of03:17b<6f;29?l5?83:17o950;796?3|D:l36n3:17do;:188md3=831b5h4?::`4>5<2290;w)=ma;g:?M5a>2c2o7>5;h;f>5<>i51o0;66sm3g594?7=83:p(>ln:00b?M5a>2e:>44?::pe1<72;qUm9527;:`?xuf=3:1>vPn5:?4>52z?0b2<6:016;77j;|q131<72;q6;7<6f:\1=c=z{;=>6=4={_c;?81=i11vqo:=e;297?6=8r.8nl4=7g9K7c05<5<5sWk?7095a59~wd3=838pRl;4=50f>44c3ty95k4?:3y]6<`<5>095k5rs2:3>5<4sW93<638:`:8914b288h7p};2e83>7}:?3k>70:=e;04e>{zj82n6=4;:386I5a03;pDn<7:4$5ff>1503->o:7o7;%6gn2.?h94n4:l7`5<63->on7=m4:l7`6<63t.8nl4=059je1<722cj47>5;n0:b?6=3`9i87>5;c594?5=939p@>h7:0yK5c45;hc7>5<0;6>4?:1y'7gg=m>1C?k84i9a94?=ni10;66a=9g83>>{ti=0;6?uQa59>3?>d3ty9;>4?:3y>3?4>n2T95k5rs357>5<5sWk37095a99~yg25m3:1?7>50z&0fd<5?l1C?k84i00`>5<5<<5=8n6<4h4=681=c=z{:h?6=4={_1a0>;3:l0:>n5rs50g>5<5s4=1m55243g962g3}K;o21=vF>f39~H6`>2hq/8h>54:&7``<3;>1/8i85a99'0a>=:0l0(9j;:`68j1b7281/8i;5a49m0a7=92.?ho45;h1a0?6=3k=1<7;52;7xH6`?28qC=k<4}%1ae?7aj2cj47>5;n0:b?6=3`k?6=44i`794?=n1l0;66l8:186>5<7s-9im7k6;I1e2>o>k3:17d7j:188m=e=831bm54?::m1=c<722wi?k950;394?6|,:hj6<i6:00;66s|a583>7}Yi=16;76l;|qb1?6=:rTj9638:8a8yv40;3:1>v37}:?382j6P=9g9~w7122909wSo7;<59e==zuk>9i7>52;294~"4jh0:?:5G3g48m44e2900c?9n:188yg2483:1?7>50z&0fd<5?o1C?k84i00`>5<5<4h4=681=c=z{:h?6=4<{_1a0>;3:l0:>o52422957e9j7>52z?4>d3<5=9;6?9n;|q76a<72;q6;7o7;<61a?40i2wvn6<>s-9im7?n8:m2e1<722c347>5;h37`?6=3`??6=44i2:1>5<5<6=4::183!5ei3?i7E=i6:k26f<722c:>i4?::k26`<722c:>k4?::m13d<722wi=l850;194?6|,:hj6894H2d5?l75k3:17d?=d;29?j40i3:17pl>a683>6<729q/?oo5549K7c05<o70?n5;31g>{t==0;6?uQ559>5d0=9;i0q~=72;296~X40;16=l8513f8yv7?l3:1>vP>8e9>5d3=9;o0q~?n9;296~X6i016=l;513d8yv7f=3:1>v3>a4813d=:9h=1=?m4}r3b2?6=:r7:m;4=7`9>5d1=9;n0qpl>9g83>d<028:p(>ln:0;e?j7><3:17d=m9;29?l332900e:188m6d02900e<:8:188f4?b29086=4?{%1ae?323A9m:6g>2b83>>o6:m0;66a=7`83>>{e90<1<7:50;2x 6df2<30D>h9;h31g?6=3`;9h7>5;h31a?6=3f85;|`2=2<72:0;6=u+3cc962c<@:l=7d?=c;29?l75l3:17b<8a;29?xd6110;6>4?:1y'7gg==<1C?k84i00`>5<5<53;294~"4jh0>96F5<7s-9im7;6;I1e2>o6:j0;66g>2e83>>o6:l0;66a=7`83>>{e90n1<7:50;2x 6df2;2:7E=i6:k26f<722c:>i4?::k26`<722e9;l4?::p5<2=838pR<7;;<3:`?40i2wx?o750;0xZ6d>34;247?=c:p11<72:qU995218g957e<583=6<44c3ty:5?4?:3y]5<4<583=6<44b3ty8n;4?:3y]7g0<583j6<44c34;2o7?=d:p7g1=838pR>l8;<3:g?75m2wx=9950;0xZ42034;2o7?=c:p5<3=838p1<7j:35b?87>?3;9o6s|18494?4|583=6?9n;<3:3?75l2wx>:=50;0x94?02;=j70?68;31`>{t:>>1<771f34;2h7?=c:p5l3;9h6s|18`94?4|583h6?9n;<3:`?75m2wvn2<68r.8nl4>e29l5a>=831b?o750;9j11<722c:m>4?::k2=7<722c?oh4?::k0f3<722c:h:4?::k0f2<722c:8:4?::`2a7<72:0;6=u+3cc913=O;o<0e<50z&0fd<2i2B8j;5f13a94?=n9;n1<75f13g94?=h:>k1<75rb0fa>5<4290;w)=ma;04a>N4n?1b=?m50;9j57b=831d>:o50;9~f4bd29086=4?{%1ae?323A9m:6g>2b83>>o6:m0;66a=7`83>>{e9mo1<7=50;2x 6df2h9;h31g?6=3`;9h7>5;n04e?6=3th:i=4?:583>5}#;kk1945G3g48m44d2900e<50z&0fd<5081C?k84i00`>5<5<52z\0f<=:9mi1=?m4}r77>5<4sW??70?j2;31`>;6lh0:>i5rs0c0>5<5sW;j?63>e3826f=z{8396=4={_3:6>;6lh0:>h5rs5af>5<5sW>hi63>d`826f=z{:h=6=4={_1a2>;6ll0:>n5rs0f4>5<4sW;o;63>dd826a=:9l:1=?j4}r1a3?6=:rT8n:521d2957c52z\202=:9l:1=?m4}r3g=?6=:r7:i?4=7`9>5ad=9;i0q~?ka;296~;6lh09;l521e`957b52z?2`g<5?h16=im513f8yv40<3:1>v3>db813d=:9l;1=?m4}r3g`?6=:r7:hh4=7`9>5`7=9;n0q~?kf;296~;6m909;l521d3957c4?:88g>43|,:hj6<6<;n344?6=3`9i57>5;h77>5<5<5<5<7E=i6:k26f<722c:>i4?::m13d<722wi=:950;194?6|,:hj6884H2d5?l75k3:17d?=d;29?j40i3:17pl>7883>6<729q/?oo5579K7c05<50z&0fd<2>2B8j;5f13a94?=n9;n1<75`26c94?=zj8=h6=4<:183!5ei385<7s-9im7;:;I1e2>o6:j0;66g>2e83>>i5?h0;66sm16d94?5=83:p(>ln:478L6`13`;9o7>5;h31`?6=3f85;|`2<4<72:0;6=u+3cc912=O;o<0e<50z&0fd<5?l1C?k84i00`>5<5<7>53;294~"4jh0>96F5<7s-9im7;9;I1e2>o6:j0;66g>2e83>>i5?h0;66sm16794?2=83:p(>ln:3:2?M5a>2c:>n4?::k26a<722c:>h4?::m13d<722wx=:>50;0xZ41734;<97<8a:p7g?=838pR>l6;<34`?75k2wx994?:4y]11=:9><1=?m4=05a>44d34;27:;;4>2e9>52`=9;n0q~?62;297~X61;16=:9513f8941>288h7p};7b83>6}Y<>i01<98:00`?87?93;9h6s|17d94?5|V8;6?=0:>i5rs2`5>5<5sW9i:63>73826f=z{82?6=4={_3;0>;6?=0:>n5rs052>5<5s4;<:7<8a:?23f<6:j1v<9<:181870?387`826f=z{8=36=4={<34=?40i27:;l4>2e9~w7142909w0?8a;04e>;6?k0:>i5rs357>5<5s4;7e826a=z{;==6=4={<34`?40i27:;84>2b9~w41b2909w0?8f;04e>;60;0:>n5rs0:3>5<5s4;3=7<8a:?2<7<6:m1v?98:18187?:3873826a=z{;=36=4={<346?40i27:;84>2e9~w4132909w0?84;04e>;6?<0:>h5r}c3g6?6=13n1=8u+3cc95a45<1<75f1e194?=n9081<75f4`a94?=n9ko1<75f3c494?=n91>1<75m1b794?5=83:p(>ln:478L6`13`;9o7>5;h31`?6=3f85;|`2g3<72:0;6=u+3cc913=O;o<0e<50z&0fd<2>2B8j;5f13a94?=n9;n1<75`26c94?=zj8i26=4<:183!5ei385<7s-9im7;9;I1e2>o6:j0;66g>2e83>>i5?h0;66sm1b`94?5=83:p(>ln:35f?M5a>2c:>n4?::k26a<722e9;l4?::a5fe=8391<7>t$2`b>03<@:l=7d?=c;29?l75l3:17b<8a;29?xd6kl0;6>4?:1y'7gg==<1C?k84i00`>5<5<53;294~"4jh0>;6F:180>5<7s-9im7<8e:J0b3=n9;i1<75f13f94?=h:>k1<75rb0a2>5<4290;w)=ma;76?M5a>2c:>n4?::k26a<722e9;l4?::a5f5=8391<7>t$2`b>00<@:l=7d?=c;29?l75l3:17b<8a;29?xd6k=0;694?:1y'7gg=:1;0D>h9;h31g?6=3`;9h7>5;h31a?6=3f85;|q2fc<72;qU=oh4=0a7>71f3ty8n44?:3y]7g?<58ih6<863>c4826f=:9jk1=?m4=0af>44d34;o<7?=c:p5a5=839pR2e9~w4?52908wS?62:?2g3<6:m16=n6513a8yv2fk3:1?vP;ab9>5f0=9;i01uQ1cg894e?288o70?l3;31`>{t;k<1<7{t9j:1<771f34;hn7?=c:p5f4=838p1:=50;0x94e>2;=j70?la;31`>{t:>>1<771f34;hn7?=d:p623=838p1{t9jl1<771f34;o=7?=d:p621=838p1:35b?87d93;9h6s|26:94?4|58i:6?9n;<3`0?75l2wx=n=50;0x94e42;=j70?l4;31a>{zj==:6=4=:183!5ei3;8;6F:o50;9~f6>3290?6=4?{%1ae?3>3A9m:6g>2b83>>o6:m0;66g>2d83>>i5?h0;66sm19a94?2=83:p(>ln:4;8L6`13`;9o7>5;h31`?6=3`;9i7>5;n04e?6=3th:5=4?:283>5}#;kk19:5G3g48m44d2900e<k1<75rb553>5<2290;w)=ma;7g?M5a>2c:>n4?::k26a<722c:>h4?::k26c<722e9;l4?::a5dg=83>1<7>t$2`b>7>63A9m:6g>2b83>>o6:m0;66g>2d83>>i5?h0;66sm18194?2=83:p(>ln:4;8L6`13`;9o7>5;h31`?6=3`;9i7>5;n04e?6=3th:484?:283>5}#;kk1>:k4H2d5?l75k3:17d?=d;29?j40i3:17pl<8783>6<729q/?oo526g8L6`13`;9o7>5;h31`?6=3f85;|`120<72;0;6=u+3cc9561<@:l=7d?=b;29?j40i3:17pl>8983>7<729q/?oo51258L6`13`;9n7>5;n04e?6=3th:h84?:383>5}#;kk1=>94H2d5?l75j3:17b<8a;29?xu>l3:1=>uQ9e9>7g3=i=16=995a59>53c=i=16=l=5a59>635=i=16m>4n4:?60?g334;3:7o;;<3g7?g334;2=7o;;<3g3?g334;=j7o;;<3aa?g334>i6l:4=2:1>d2<582j6l:4=0:f>d2<5=?:6l:4}r3754z\244d3493:7?=c:p56>=838pR<=7;<373?g?3ty::i4?:3y]53b<58?>7>52z\707=:<>:1=?m4}r:;>5<0sW2370=m5;3fa>;40;084=5219c97=6<5=?:6>l;;<3b?34;2?7?=e:p5<7=839pR<7>;<3:5?4>n27:5k4>909~w11d2908wS:8c:?2<6<3?j16=56513`8yv73l3:1:vP>4e9>7g3=9ll011eb34><<7?=f:p0de=839pR9ol;<3g6?2fk27:h84>2c9~w40a2908wS?9f:?22c<51o16=5=517d8yv7c?3:1?vP>d69>5a1=:0l017}Y:35b?xu60h0;6?uQ19c894>f2;3m7p}>bd83>6}Y9ko017}Y4cc34??6?7i;<3b:181[22927?9<4=9g9~w6d?2909w0=m5;0:4>;6ih0:>h5rs0f;>5<5s4;o;7o7;<3f7?7c02wx?o750;5x96d22;3970?6f;1a=>;6m:08n45219197g?<58n96>l6;<3;1?75k2784;4>2e9~w10a2909w0:81;31f>;3?909;l5rs0`e>5<5s4;ii7o7;<3g6?7en2wx=l:50;1x94g42h?016n27:m54<839>5=e=9;o019;<:00`?87>;3;9o6s|39194?4|5:296l;4=2:7>71f3ty:8:4?:5y>7g3=9o;01<:8:3;e?87>n3;?;63>e28202=z{83?6=4={<3:5?g?34;2j7?64:p5=`=838p1<6j:`:894?72;=j7p};2b83>7}:;k?1>4:4=5`9e==z{h91<7=t=`196<`<5<>1m85219g97g252z?126<40916>;;526c8yv7??3:1>v3>878b<>;60109;l5rs0c:>5<5s4;j47?n9:?2ed<5?h1v9g82e6=:9l91=l=4}r057?6==r79:>4=9g9>11<4j=16=585a49>5a5=i<16=4>513a8yv7?>3:1>v3>8781=c=:9191=584}r344?6=:r7::k4n8:?2<6<6?91v<7=:18687>n3;2>63>e282=7=:9191=4<4=0f1>4?534;2?7<8a:p5=2=839p1<6<:0:7?87c:3;3863>84813d=z{=?96=4={<665?g234>>?7<8a:p53c=838p1<8j:3;e?87?k3;9h6s|19`94?4|582j6l;4=0:`>71f3ty:h94?:3y>5a5=i116=i;526c8yv7c;3:1>v3>d281=c=:9m81=i=4}r1;1?6=:r78494>2e9>7=0=:>k0q~=m6;29<~;4j<094n52a280<5=:90l1?o84=0g0>6d134;3?7=m6:?2`7<4j?16=lo513a894>2288o7p}1}:;k?1>5k4=0;e>6d034;n?7=m7:?2ed<6:m1vqo:n<784}%1ae?73j2P8;44>{3:9yj7303:17d:l7;29?j23?3:17d:94;29?j7403:17dmn:188m1g52900c<8n:188m<6=831b=4?50;9j5;n6bg?6=3`;o:7>5;h3g3?6=3`>><7>5;n65f?6=3f><>7>5;n6a>5<5{%6f4?55;n0;a?6=3f82<7>5;n0:6?6=3f8287>5;n0:2?6=3f82;7>5;h3f`?6=3`;ni7>5;h3fb?6=3`;m<7>5;h3e5?6=3k;987>5d;`954}K;o21=vF>f39~ 6df28ki7d?jd;29?l7bm3:17d?jf;29?l7a83:17d?i1;29?lg12900c?6l:188k7>b2900c?7?:188k7?52900c?7;:188k7?12900c?78:188f`e=8391=7=tL2d;>4}O9o80q)=ma;ga?lg?2900c?7i:188md2=831i;7>53;294~"4jh0n;6F=9r.:?;4;db9~m=e=831bm54?::m1=c<722wxm94?:3y]e1=:?32h7p}=7283>7}:?382j6P=9g9~w7132909wSo7;<59e==zukl96=4<:080I5a03;pD5;n0:b?6=3`k?6=44b683>6<729q/?oo5e69K7c001:47c:p625=838p1:4=9g9]6<`52z\b<>;02h20qplid;297?7=;rF8j54>{I3e6>{#;kk1io5fa983>>i51o0;66gn4;29?g1=8391<7>t$2`b>`1<@:l=7A=i8;3x 4512=nh7pg7c;29?lg?2900c?7i:188yvg32909wSo;;<597}Yi116;7o7;|a552=8391=7=tL2d;>4}O9o80q)=ma;ga?lg?2900c?7i:188md2=831i;7>53;294~"4jh0n;6F=9r.:?;4;db9~m=e=831bm54?::m1=c<722wxm94?:3y]e1=:?32h7p}=7283>7}:?382j6P=9g9~w7132909wSo7;<59e==zuk;;m7>53;397~J4n10:wE?i2:'7gg=mk1bm54?::m1=c<722cj87>5;c594?5=83:p(>ln:d58L6`13E9m47?t$015>1bd3tc3o7>5;hc;>5<5<5sWk?70958b9~w7142909w09528d8Z7?a3ty9;94?:3y]e==:?3k37psm11`94?5=939p@>h7:0yK5c45<50z&0fd>{ti=0;6?uQa59>3?>d3ty9;>4?:3y>3?4>n2T95k5rs357>5<5sWk37095a99~yg76;3:1?7?53zN0b=<6sA;m>6s+3cc9ag=ni10;66a=9g83>>of<3:17o950;194?6|,:hj6h94H2d5?I5a03;p(<=9:5fe?xo?k3:17do7:188k7?a2900q~o;:181[g334=14n5rs350>5<5s4=1>4h4^3;e?xu5?=0;6?uQa99>3?g?3twijn4?:480>2}#;kk1jn5f28694?=n9lo1<75f1g294?=n9o;1<75`f283>>da03:187>50z&0fd<212B8j;5f13a94?=n9;n1<75f13g94?=h:>k1<75rbg794?2=83:p(>ln:4;8L6`13`;9o7>5;h31`?6=3`;9i7>5;n04e?6=3thm;7>53;294~"4jh09;h5G3g48m44d2900e<2d9>b0<6:l1v50;0xZ4`734l36<{tn<0;6?u2f4813d=:n>0:>i5r}c337?6=>3914v*1<75f1g294?=n9o;1<75f1dg94?=n:081<75`fd83>>d68;0;694?:1y'7gg==h1C?k84i00`>5<5<N4n?1b=?m50;9j57b=831d>:o50;9~f466290?6=4?{%1ae?4?92B8j;5f13a94?=n9;n1<75f13g94?=h:>k1<75rs3;7>5<4sW82863>03826`=:99:1=?m4}r3e4?6=:rT:j=52110957b52z\2b4=:9981=?m4}r3fa?6=:rT:ih52112957b7>52z\1=7=:99;1=?k4}rdf>5<5sWln70??1;04e>{tno0;6?u2110962g<58::6<526c89466288o7psm10`94?0=<33p(>ln:03a?l4?k3:17d?jf;29?l7bl3:17d<67;29?l7bm3:17b?>4;29?g76i3:1?7>50z&0fd<2>2B8j;5f13a94?=n9;n1<75`26c94?=zj8;=6=4<:183!5ei3?=7E=i6:k26f<722c:>i4?::m13d<722wi=<650;194?6|,:hj68;4H2d5?l75k3:17d?=d;29?j40i3:17pl>1883>0<729q/?oo52918L6`13`;9o7>5;h31`?6=3`;9i7>5;h31b?6=3f85;|q15m4=03b>44c34;::7?=d:?25=<6:m1v2b9~w4cc2909wS?jd:?253<6:j1v?78:181[4>?27:=44>2d9~w4cb2909wS?je:?25=<6:j1va;04e>;6900:>n5rs035>5<5s4;::7<8a:?25<<6:m1v18826c=zuk;:>7>55;294~"4jh0>o6Fee9>544=9;o0q~?je;291~X6ml16jn4>ed9>555=9lo01b;3fb>;69;0:>k5rs0d3>5<4sW;m<63ic;3e4>;68:0:j=5rs0d2>5<4sW;m=63ic;3e5>;68:0:j<5rs`494?>|Vh<01hm5a59>b75m4=030>7?a34;:n7<7c:?257<6:m1v?6j:180[4?m27:827:<94n8:?24d<51o1v?7=:180[4>:27:<94=9g9>555=:080q~<64;290~X51=16ji4=9g9>bf<51=16===52868yv4>>3:1?vP=979>af7<6f:p6<1=839pR?78;7?a34;:n7<67:pb6<72;q6j?4n8:?eg?`43tymi7>52z?e`?g?34;;?7hj;|q24f<72;q6==l5a99>544=:>k0q~?>4;296~;69:0j463>1c8251=zuzk?6=4={_c7?875<3k=7p}=8b83>7}Y:1i01<<;:3:`?xu50l0;6?uQ29g894432;2n7p}=9183>7}Y:0:01<<;:3;3?xu51;0;6?uQ280894432;397p}=9583>7}Y:0>01<<;:3;7?xu51?0;6?uQ284894432;3=7p}=9683>7}Y:0=01<<;:3;4?xu6mm0;6?uQ1df8944328oo7p}>ed83>7}Y9lo01<<;:0gf?xu6mo0;6?uQ1dd8944328om7p}>f183>7}Y9o:01<<;:0d3?xu6n80;6?uQ1g38944328l:7psm9083>6<62:qG?k651zJ2b7=zD:l26{#;kk1=km4i`:94?=h:0l1<75fa583>>d029086=4?{%1ae?c03A9m:6g7c;29?lg?2900c?7i:188yvg32909wSo;;<597}Yi116;7o7;|a5d6=8391=7=tL2d;>4}O9o80qA=i9;3x 1c72:1v(>ln:0d`?lg?2900c?7i:188md2=831i;7>53;294~"4jh0n;6F5;n0:b?6=3tyj87>52z\b0>;021i0q~<83;296~;02;3m7S<6f:p622=838pRl64=68b<>{zj8o?6=4<:080I5a03;pDn<7=4}%1ae?7ak2cj47>5;n0:b?6=3`k?6=44b683>6<729q/?oo5e69K7c0>i51o0;66s|a583>7}Yi=16;76l;|q136<72;q6;7<6f:\1=c=z{;=?6=4={_c;?81=i11vqo=l6;297?4={I3e6>{K;o31=v*;e180?x"4jh09>=5fa983>>i51o0;66gn4;29?g1=8391<7>t$2`b>`1<@:l=7d6l:188md>=831d>4h50;9~fd1=8381<7>t$2`b>4503A9m:6g>2c83>>i5?h0;66s|a583>7}Yi=16m:4>2c9~w7142909w0958b9>e2<5?h1v?9;:18181=:0l0R?7i;|q130<72;qUm5527;c;?x{em;0;6>4=:5yO7c>=9rB:j?5rL2d:>4}#5<7s-9im7k8;I1e2>o?k3:17do7:188k7?a2900qoo8:181>5<7s-9im7?<7:J0b3=n9;h1<75`26c94?=z{h>1<7v38:3;e?[4>n2wx>:;50;0xZd><5>0j46srbbf94?1=:3hp@>h7:0yK5c46=#;4$51;>1c53->o47<6f:&7`1;|&0fd<6n11Q4o4>{3`9y_50138p>i4<1;j7=7=831bm94?::k0<5<722e9m84?:%0:`?4f<2d95n4?;:m1e6<72-82h7oi7:<7:&7`350:&7`d<4091e8i<51:'7gg=:9<0V5l52z0a>7?=uS9<57>o4090;66a=a483>!4>l38j86`=9b83?>i5i:0;6)<6d;0b0>h51j0:76a=a383>!4>l38j86`=9b81?>i5i90;6)<6d;0b0>h51j0876gne;29 7?c2hn0b?7l:198mde=83.95i4nd:l1=f<632cjn7>5$3;g>dbd0290>6947{M1e8;6*;d78b<>"3l1095k5+4e69e1=i1<75fa983>>i51o0;66g>o4090;66l8:180>4<4sE9m47?tH0d1?x"4jh0:jo5fa983>>i51o0;66gn4;29?g1=8391<7>t$2`b>`1<@:l=7d6l:188md>=831d>4h50;9~wd2=838pRl:4=68;g>{t:>91<750z&0fd<5?l1C?k84i00`>5<5<{ti10;6?uQa99>065=9;n0q~<6f;296~X51o16;7<6f:p7g2=838pR>l;;<607?75k2wx?5>50;0xZ6>734>:57?=c:p4=7`9~w17?2909w095a99>04?=:>k0qpln:187>6<1sE9m47?tH0d1?xJ4n00"3l?0j46*;d981=c=#1m95a4e294>"3lh084=5a4e095>{#;kk1>=74i`694?=ni10;66a=9g83>>o4090;66l8:180>4<4sE9m47?tH0d1?x"4jh0:jo5fa983>>i51o0;66gn4;29?g1=8391<7>t$2`b>`1<@:l=7d6l:188md>=831d>4h50;9~wd2=838pRl:4=68;g>{t:>91<7t$2`b>4503A9m:6g>2c83>>i5?h0;66s|a583>7}Yi=1657?=b:pe=<72;qUm55240;957b52z\1=c=:?382j6s|39294?4|V:2;70:>9;31g>{t03:1>v38:`689<<5?h1v9?7:18181=i1168<7526c8yxd6=3:187=56zN0b=<6sA;m>6sC3g;93~"3ll0??:5+4e49e==#4h4$5f7>d276>3`k?6=44i`:94?=h:0l1<75f39294?=e?3:1?7?53zN0b=<6sA;m>6s+3cc95cd5<7s-9im7k8;I1e2>o?k3:17do7:188k7?a2900q~o;:181[g334=14n5rs350>5<5s4=1>4h4^3;e?xu5?=0;6?uQa99>3?g?3twi8<750;194?6|,:hj6884H2d5?l75k3:17d?=d;29?j40i3:17pl6:181>5<7s-9im7?<7:J0b3=n9;h1<75`26c94?=z{h>1<7<5=;26<4h4=681=c=z{:2;6=4={_1;4>;3900:>n5rs983>7}:?3k?707526c8yv2603:1>v38:`:8917>2;=j7psm2583>1<42?qG?k651zJ2b7=zD:l26:u+4eg9061<,=n=6l64$5f;>7?a3->o87o;;o6g4?6<,=nj6>6?;o6g6?75<6<62:qG?k651zJ2b7=z,:hj65<50z&0fd>of03:17b<6f;29?xuf<3:1>vPn4:?4>=e52z?4>7?a3W82j6s|26694?4|Vh201:4n8:~f17>29086=4?{%1ae?313A9m:6g>2b83>>o6:m0;66a=7`83>>{e13:1>7>50z&0fd<6;>1C?k84i00a>5<5<5sWk?707513`8yvg?2909wSo7;<62=?75l2wx>4h50;0xZ7?a34=1>4h4}r1;4?6=:rT84=5240;957e;>2;=j7p};1983>7}:?3k370:>9;04e>{zj;k<6=4<:183!5ei386>:180[5?927<6>l;;<0b3?75l2wxm94?:4y]e1=:?3k?70o5a59>504=680<5=::h=1=?m4}r0b2?6=6?;<36>6>7348?6>6?;<0b3?40i2wx>l;50;0xZ7g2348?6?7i;%14f?4f<2d8;l4?;|q1e6<72;qU>l=4=0796<`<,:=i6?o;;o14e?77>52z\1e7=:i382j6*<7c81e1=i;>k1>6s|2`294?4|V;k;709528d8 61e2;k?7c=8a;18yvgb2909wSoj;<07>d><,:=i6lj4n25b>5=z{hi1<734=1m55+36`9ea=i;>k1?6srb345>5o57=98:&7a6<03t.8nl4>f79Yl4rZ25:>7}5m38<6pa<7083>!4>l39<<6`=9b83?>i4>o0;6)<6d;144>h51j0:76a<6d83>!4>l39<<6`=9b81?>i4>00;6)<6d;144>h51j0876gma;29 7?c2k30b?7l:198mg>=83.95i4m9:l1=f<632ci;7>5$3;g>g?d5>h0;6>4>:2yO7c>=9rB:j?5r$2`b>7453`km6=44ic294?=h;?21<75m41094?5=;3?p(>ln:521?lga2900eo>50;9l73>=831i?kh50;194?6|,:hj6884H2d5?l75k3:17d?=d;29?j40i3:17pl;0183>6<729q/?oo5579K7c05<2b9~wg6=839pRo>4=2de>44d34>;<7?=d:p73>=838pR>87;<635?40i2wx?kk50;0x96`a2;=j70:?1;31g>{t;ol1<771f34>;=7?=d:~wd`=838pRlh4=521>d`7}Y;?2019>=:24;?x{e:?h1<7=51;1xH6`?28qC=k<4}%1ae?45:2cjj7>5;h`3>5<5<42:0>w)=ma;636>ofn3:17dl?:188k60?2900n>hi:180>5<7s-9im7;9;I1e2>o6:j0;66g>2e83>>i5?h0;66sm41294?5=83:p(>ln:448L6`13`;9o7>5;h31`?6=3f85;|`744<72:0;6=u+3cc962c<@:l=7d?=c;29?l75l3:17b<8a;29?xufn3:1?vPnf:?0bc<6:m168=>513a8yvd72908wSl?;<1eb?75k27?<=4>2e9~w60?2909wS=98:?744<5?h1v>hj:18185an382e9~yvga2909wSoi;<636?ga3tyi<7>52z\a4>;38;0i<6s|37:94?4|V:<370:?2;15<>{zj;5;c636?6=;3919v*k1<75rb523>5<4290;w)=ma;75?M5a>2c:>n4?::k26a<722e9;l4?::a057=8391<7>t$2`b>71b3A9m:6g>2b83>>o6:m0;66a=7`83>>{tio0;6>uQag9>7c`=9;n019>?:00`?xue83:1?vPm0:?0bc<6:j168=>513f8yv5103:1>vP<699>057=:>k0q~=ie;296~;4no09;l52413957e52z?745<5?h168=?513f8yxufn3:1>vPnf:?7475<5sW9=463;03802==zuk>:57>53;294~"4jh0>96F5<7s-9im7;:;I1e2>o6:j0;66g>2e83>>i5?h0;66sm36:94?4=83:p(>ln:014?M5a>2c:>o4?::m13d<722wx8<650;1x970e2hl019?6:35b?826j3;9h6s|40c94?4|5;71f3ty8;<4?:3y]727<5;
87;%14f?5082d8;l4?;|q02c<72;qU?;h4=34a>60?3-987;%14f?5082d8;l4=;|q02<<72;qU?;74=25;>71f3-952z\ae>;5>j0i<6*<7c8a=>h4?h0;7p}m8;297~Xe0279:o4m0:?75g<6:j1/?:l5b89m72g=92wxn:4?:2y]f2=::?k1n=5240;957e<,:=i6o74n25b>7=z{k81<7:t^c08970f2hl019?6:00g?85003;9n6*<7c8a=>h4?h087ps|39394?4|V:2:70953938yvg32909wSo;;<59e1=z{:2;6=4={_1;4>;02:2;7p}=a483>6}Y:h?01:4=a49>630=jh1/?:l52`68j61f291v?o<:180[4f;27<6?o<;<052?d?3-909m?522749f2=#;>h1>l:4n25b>7=z{;k;6=4<{_0b4>;02;k;70<96;`1?!50j38j86`<7`80?xu38h0;6?u27;cf?841>39<=6*<7c874<=i;>k1<6s|41:94?4|5>0jo63=67802c=#;>h18=74n25b>4=z{=:<6=4={<59eg=::?<1?;k4$25a>16>3g960>3-9ln:32b?lg32900el;50;9je=<722e95k4?::k0<5<722h<6=4::386I5a03;pD5;hc6>5<d2900el650;9l6<`=831vn>h8:182>5<7s-9im7?=a:J0b3=h9;31<75rs`694?4|Vh>01:47c:pe0<72;qUm8527;;`?xu5?:0;6?u23g5957?<5>02i6s|26694?4|5>095k5Q28d8yv40=3:1>vPn8:?4>d>5}#;kk1=>94H2d5?l75j3:17b<8a;29?xd3:l0;6>4?:1y'7gg=:>l0D>h9;h31g?6=3`;9h7>5;n04e?6=3tyj87>52z\b0>;02h>0q~o::181[g234>9i7?=c:p6<`=838pR?7i;<596<`53z\0<5=:<831=?l4=50f>44c3ty?=54?:3y>3?g?34>:57<8a:p07b=838p1:4n5:?76`<5?h1vqo?9a;290?4==rF8j54>{I3e6>{K;o31=v*;e180?x"4jh09>i51o0;66gn4;29?l1c2900n:4?:583>5}#;kk1i55G3g48m5;|`b3?6=:3:171f3ty52z\4`>;020o0q~<84;296~;02;3m7S<6f:p623=838pRl64=68b<>{zj8>36=4;:386I5a03;pDn<7=4}%1ae?47j2cj47>5;n0:b?6=3`k?6=44i6f94?=e?3:187>50z&0fd>o?k3:17do7:188k7?a2900qoo8:181>5<7s-9im7?<7:J0b3=n9;h1<75`26c94?=z{h>1<77}Yi116;7o7;|a5de=8391=7=tL2d;>4}O9o80qA=i9;3x 1c72:1v(>ln:0d`?lg?2900c?7i:188md2=831i;7>53;294~"4jh0n;6F5;n0:b?6=3tyj87>52z\b0>;021i0q~<83;296~;02;3m7S<6f:p622=838pRl64=68b<>{zj8936=4<:080I5a03;pDn<7=4}%1ae?7ak2cj47>5;n0:b?6=3`k?6=44b683>6<729q/?oo5e69K7c0>i51o0;66s|a583>7}Yi=16;76l;|q136<72;q6;7<6f:\1=c=z{;=?6=4={_c;?81=i11vqo:m:180>4<4sE9m47?tH0d1?xJ4n00:w):j0;18y!5ei3;mo6gn8;29?j4>n3:17do;:188f2<72:0;6=u+3cc9a2=O;o<0e5m50;9je=<722e95k4?::pe1<72;qUm9527;:`?xu5?:0;6?u27;0:b>X51o1v?9;:181[g?34=1m55r}c65f?6=;3818vBh9;h:`>5<09;l5rs357>5<5s4=1>4h4^3;e?xu5?<0;6?uQa99>3?g?3twio<4?:282>6}K;o21=vF>f39~H6`>28q/8h>53:'7gg=:9i0el650;9l6<`=831bm94?::`4>5<4290;w)=ma;g4?M5a>2F8j54>{%302?2ck2wb4n4?::kb5;|qb0?6=:rTj8638:9a8yv40;3:1>v38:3;e?[4>n2wx>::50;0xZd><5>0j46srb564>5<42;0?wA=i8;3xL4`53tF8j44>{%6f4?55;hc7>5<0;6>4?:1y'7gg=m>1C?k84i9a94?=ni10;66a=9g83>>{ei>0;6?4?:1y'7gg=9:=0D>h9;h31f?6=3f85;|qb0?6=:rTj863n7;31f>{t:>91<7;f?38095k5Q28d8yv40=3:1>vPn8:?4>d>1}K;o21=vF>f39~H6`>28q/8h>53:'7gg=:;:0el650;9l6<`=831bm94?::`4>5<4290;w)=ma;g4?M5a>2c3o7>5;hc;>5<5<5290;w)=ma;303>N4n?1b=?l50;9l62g=831vl:50;0xZd2<5h=1=?l4}r047?6=:r7<65m4=`5962g52z?4>7?a3W82j6s|26794?4|Vh201:4n8:~f1gd29086?4;{M1eof03:17b<6f;29?lg32900n:4?:283>5}#;kk1i:5G3g48m=e=831bm54?::m1=c<722wim:4?:383>5}#;kk1=>94H2d5?l75j3:17b<8a;29?xuf<3:1>vPn4:?b3?75j2wx>:=50;0x9252z\b<>;02h20qpl>b583>3<421q/?oo51c68k4ga2900e2b83>>o6:m0;66a=7`83>>{e9k;1<7=50;2x 6df2<<0D>h9;h31g?6=3`;9h7>5;n04e?6=3th:n?4?:583>5}#;kk1>5?4H2d5?l75k3:17d?=d;29?l75m3:17b<8a;29?xu6io0;6?uQ1`d894d52;=j7p}>b683>7}Y9k=01be83>7}Y9kn01ab83>7}Y9hi01:00g?xu6j90;6?u21c1962g<58h96<ln:067?j74l3:17d?m7;29?l7413:17d?m8;29?l7e13:17d?ma;29?l7ej3:17d?50z&0fd<2=2B8j;5f13a94?=n9;n1<75`26c94?=zj89m6=4<:183!5ei3?=7E=i6:k26f<722c:>i4?::m13d<722wi=9?50;194?6|,:hj6884H2d5?l75k3:17d?=d;29?j40i3:17pl>4383>2<729q/?oo52948L6`13`;9o7>5;h31`?6=3`;9i7>5;h31b?6=3`;8<7>5;h305?6=3f85;|q27a<72;qU=>j4=061>71f3ty:n:4?:3y]5g1<58>86<74=060>44c3ty:n54?:3y]5g><58>96<44b3ty:nl4?:3y]5gg<58>96<44c3ty:?o4?:3y]56d<589m6<44d3ty:?54?:3y]56><58>:6<3g83>7}:9:l1>:o4=061>4573ty:8=4?:3y>517=:>k01<:=:012?x{e=:0;644<:cy'7gg==:1d8h4?::k2f2<722c:?44?::k2f=<722c:n44?::k2fd<722c:no4?::k2f0<722c?n7>5;c71>5<4290;w)=ma;76?M5a>2c:>n4?::k26a<722e9;l4?::a15<72:0;6=u+3cc913=O;o<0e<2B8j;5f13a94?=n9;n1<75f13g94?=n9;l1<75f12294?=n9:;1<75`26c94?=z{=o1<7k0q~?m7;296~X6j>169?4>2b9~w45>2909wS?<9:?66?75l2wx=o650;0xZ4d?34?:6<52z\2fd=:=80:>k5rs0`a>5<5sW;in63:1;304>{t9k?1<7<7?=d:p0c<72;q69?4=7`9>14<6:j1v8>50;0x906=:>k018?51238yxd3?>0;6?4?:1y'7gg=9:=0D>h9;h31f?6=3f85;|`f4?6=;3:1N4n?1b=?m50;9j57b=831d>:o50;9~f4g529086=4?{%1ae?40m2B8j;5f13a94?=n9;n1<75`26c94?=zjm>1<7=50;2x 6df2<<0D>h9;h31g?6=3`;9h7>5;n04e?6=3tho:7>53;294~"4jh0>:6F5<7s-9im7;7;I1e2>o6:j0;66g>2e83>>o6:l0;66a=7`83>>{e9?i1<7:50;2x 6df2;297E=i6:k26f<722c:>i4?::k26`<722e9;l4?::a03b=8391<7>t$2`b>71b3A9m:6g>2b83>>o6:m0;66a=7`83>>{ek>0;6>4?:1y'7gg=:>o0D>h9;h31g?6=3`;9h7>5;n04e?6=3thoj7>55;294~"4jh0>i6Fk1<75rb5a7>5<2290;w)=ma;7g?M5a>2c:>n4?::k26a<722c:>h4?::k26c<722e9;l4?::a022=8391<7>t$2`b>00<@:l=7d?=c;29?l75l3:17b<8a;29?xd3?00;6>4?:1y'7gg=:>o0D>h9;h31g?6=3`;9h7>5;n04e?6=3th?;;4?:283>5}#;kk1985G3g48m44d2900e<5<7s-9im7<8e:J0b3=n9;i1<75f13f94?=h:>k1<75rb0cf>5<3290;w)=ma;7:?M5a>2c:>n4?::k26a<722c:>h4?::m13d<722wi=o850;:94?6|,:hj6?68;I1e2>o6:j0;66g>2e83>>o6:l0;66g>2g83>>o6;90;66g>3083>>o6;;0;66a=7`83>>{e9:k1<7=50;2x 6df2h9;h31g?6=3`;9h7>5;n04e?6=3th:8;4?:283>5}#;kk1>:k4H2d5?l75k3:17d?=d;29?j40i3:17pl>3b83>6<729q/?oo5579K7c05<5<3290;w)=ma;7:?M5a>2c:>n4?::k26a<722c:>h4?::m13d<722wio44?:483>5}#;kk1>5=4H2d5?l75k3:17d?=d;29?l75m3:17d?=f;29?j40i3:17pll5;297?6=8r.8nl4:5:J0b3=n9;i1<75f13f94?=h:>k1<75rb56:>5<3290;w)=ma;7:?M5a>2c:>n4?::k26a<722c:>h4?::m13d<722wi89j50;494?6|,:hj6?6:;I1e2>o6:j0;66g>2e83>>o6:l0;66g>2g83>>o6;90;66a=7`83>>{e<181<7:50;2x 6df2<30D>h9;h31g?6=3`;9h7>5;h31a?6=3f85;|`7<1<72:0;6=u+3cc913=O;o<0e<50z&0fd<50<1C?k84i00`>5<5<5<N4n?1b=?m50;9j57b=831d>:o50;9~f1d529086=4?{%1ae?313A9m:6g>2b83>>o6:m0;66a=7`83>>{e7E=i6:k26f<722c:>i4?::k26`<722c:>k4?::k275<722e9;l4?::a0g2=8391<7>t$2`b>03<@:l=7d?=c;29?l75l3:17b<8a;29?xd4k10;6?4?:1y'7gg=9:=0D>h9;h31f?6=3f85;|`7g3<72;0;6=u+3cc9561<@:l=7d?=b;29?j40i3:17pl>4`83>7<729q/?oo51258L6`13`;9n7>5;n04e?6=3th?8o4?:383>5}#;kk1=>94H2d5?l75j3:17b<8a;29?xd3010;6?4?:1y'7gg=9:=0D>h9;h31f?6=3f85;|`7f3<72;0;6=u+3cc9561<@:l=7d?=b;29?j40i3:17p}>4983>1}Y9=20147826a=z{=i<6=4={_6`3>;4k10:>o5rs564>5<3sW>?;63>6`84`>;6<10;6>j0:>i5rs01;>5<3sW;8463>3981=c=:9=>1=>64=5a1>44c3tyhm7>53z\`e>;b:3k370j9:00`?xu3i;0;6?uQ4`08940d288h7p}>6`83>1}Y9?k0147826f=z{0:1<76t^8289<7=i116?n85a59>a750101019l5a59>03d=i=16o<4n4:?7022b9~w2c=83?pR:k4=0ca>4ca34><:7?=c:?2e`<6:m16=>o513f8yv2fk3:1?vP;ab9>0de=:0l019l=:00`?xu6l?0;6?uQ1e4891d3288h7p}>d683>7}Y9m=01vP;519>51g=9;h0q~:9b;296~X3>k168;l528d8yv20:3:1>vP;739>021=:>k0q~:m:180[2e34>i6?7i;<70>1dih7>53z\7fa=:4h4=d2957e44c34nm6?9n;|q:5?6=?r7:mo4>ed9>=4<51o16=lk513a8945d288h70m<:00`?82313;9o63;83826f=z{8k;6=4<{<3b4?4>n27:m?4>2b9>0=4=9;n0q~m9:1818e62h201n9526c8yve?2909w0m6:35b?8e2288o7p}>6c83>7}:9?k1m55217a962g<47>52z?73<<5?h168:8513f8yv5d>3:1>v301b=9;i0q~:m7;296~;3j109;l524c6957b7?a34><57?=c:?`1?75k2wx8o?50;0x91d52;=j70:m8;31`>{t71f34>i:7?=b:pa7<72;q6i?4=9g9>`3<6:m1v<:::181873>383b826a=z{m91<71>:o4}rf6>5<4s4io6>6>;44d34n=6?9n;|qgf?6=:r7o87?=d:?gg?40i2wx89m50;0x912c2;=j70:;b;31f>{t6>734>h>7<8a:p51?=838p1<:7:`:8942f2;=j7p};8083>6}:<181>:o4=5:b>44d34>i47?=c:p0=5=838p196;:35b?82?i3;9h6s|49794?4|5=2=6?9n;<6;u21d696<`<58k96<44c3tyoh7>52z?f4?40i27::n4>2d9~w4g6290=w0?nb;3f`>;6i;09;l52466957e<58kn6<2e9~wf4=838p1n9513a89f5=:>k0q~m;:1818e0288o70m::35b?xu6io0;6?u21`a9e==:9k>1=lh4}r647?6=:r7?;94=7`9>02?=9;n0q~:85;296~;3?>0:>o52464962g54z?2f1<6j<16=9:51c78905=9k?010;6?u23b49e==:;j21>:o4}r30`?6=:r7:?54n8:?201<6;m1v9k50;0x91d=i1169>4;e:p`2<72:q6h54=7`9>`f<6:j168n8513`8yvb>2909w0jn:35b?8bd288o7p}>b683>=}:9hh1>5m4=0`7>4d034;?87?m7:?67?7e?27:n;4>2b9>g6<6:l16897513g891>5288n7p}>b983>=}:9hh1>5k4=067>4d?34?86b883>=}:9hh1>4>4=067>4d>34?86b`83><}:9hh1>4<4=067>4df34?862g9>g<<6:l1689j513d891>f288m70:m8;31b>{t9kh1<77t=0ca>7?334;?87?mb:?67?7ej27?:i4>2e9>5g0=9::01n7513d8912c289;70:7a;304>;3j10:?=5rs0``>5<5s4;jn7<66:?2f3<6;81vb582fa=:9k<1=><4}r67e?6=:r7?8:4n8:?70g<5?h1v9l::18182fk3k370:m6;04e>{td3<5=i=6?9n;|q7<<<72;q685o526c891>1288o7p};8683>7}:<>i1m55249:962g52z?2f1<6im16=lk526c8yv7fk3:1?v3>ab81=c=:9k>1=lm4=557>44c3ty:?44?:2y>512=9:3018=512;8945f2;=j7p}>3c83>7}:9=>1=>l4=01`>71f3ty?o>4?:3y>0f4=9;i019m;:35b?xuc:3:19v3ld;0b1>;cn3;9j63k8;31b>;ci3;9j63;c5826a=#;>h1h<5a36c94>{tl90;68u2ce81e6=:lo0:>h52d9826`=:lh0:>i524b6957`<,:=i6i?4n25b>4=z{jl1<7;t=bf96d4<5ml1=?j4=e:957b<5mk1=?m4=5a7>44d3-9;o14e?47g734nm6<44d34nj6<:50;g973<2=rF8j54>{I3e6>{K;o31>v`;e08;6>"3m90=7p*\4?00=w<<5d;3`>7`=::08<7s`37194?=n1m0;66g<8683>>i4=j0;66g78;29?j22>3:17dmn:188m6?a2900e99n:188k1g22900c:k50;9j0fc=831d>l650;9j6f5=831i=h;50;:95?>|D:l36d2900c?6j:188k7?72900c?7=:188k7?32900e<>i50j0;66a=8d83>>i5190;66a=9383>>i51=0;66ljc;297?7=;rF8j54>{I3e6>{#;kk1io5fa983>>i51o0;66gn4;29?g1=8391<7>t$2`b>`1<@:l=7A=i8;3x 4512=nh7pg7c;29?lg?2900c?7i:188yvg32909wSo;;<597}Yi116;7o7;|ab7<72:0:6>uC3g:95~N6n;1v(>ln:d`8md>=831d>4h50;9je1<722h<6=4<:183!5ei3o<7E=i6:N0b=<6s-;8:7:kc:j5;n0:b?6=3tyj87>52z\b0>;021i0q~<83;296~;02;3m7S<6f:p622=838pRl64=68b<>{zjo;1<7;52;4x 6df2o;0ehm50;9j5`b=831b=hk50;9jb7<722enh7>5;cd3>5<3290;w)=ma;7;?M5a>2c:>n4?::k26a<722c:>h4?::m13d<722wiik4?:283>5}#;kk1>:k4H2d5?l75k3:17d?=d;29?j40i3:17p}jc;296~Xbk27nj7?=c:p5`b=838pR44d3ty:ih4?:3y]5`c<5o:1=?j4}rd1>5<5sWl970h?:00f?xubl3:1>vPjd:?fb?40i2wxih4?:3y>b5<5?h16ik4>2e9~yg`d290?6>49{%1ae?`d3`;nh7>5;hd1>5<>da03:1?7>50z&0fd<2>2B8j;5f13a94?=n9;n1<75`26c94?=zjo?1<7=50;2x 6df2<<0D>h9;h31g?6=3`;9h7>5;n04e?6=3thm;7>53;294~"4jh09;h5G3g48m44d2900e<2b9>b0<6:m1vk<50;0xZc4<5o21=?j4}rg`>5<5sWoh70h::00`?xua;3:1>vPi3:?e3?40i2wxj94?:3y>b=<5?h16j:4>2b9~wc3=838p1k;526c89c1=9;n0qpl=8e83>6<729q/?oo5579K7c05<93:1?7>50z&0fd<2?2B8j;5f13a94?=n9;n1<75`26c94?=zj;386=4<:183!5ei3?=7E=i6:k26f<722c:>i4?::m13d<722wi>4;50;194?6|,:hj68;4H2d5?l75k3:17d?=d;29?j40i3:17p}jc;29<~;bk382j63i1;g`?8`d2li01?6k:00g?84?n3;9o63=90826a=::091=?m4=3;6>44c3tym>7>58z?e6?4>n27m=7h=;c4<5;2o6<2b9>6<5=9;n01?7::00`?xu6mm0;6>uQ1df89c7=9ln01km51df8yv7bm3:1>vP>ed9>b4<6ml1vl850;1xZd0<5li1m952f38b0>{t:1i1<7{t:0:1<7938{t:0>1<7=387}:mj0j463i1;gg?xua;3:1>v3i2;c;?8`d2o90qp}n4;296~Xf<27:>94n6:p6=e=838pR?6l;<310?4?k2wx>5k50;0xZ7>b34;987<7e:p6<6=838pR?7?;<310?4>82wx>4<50;0xZ7?534;987<62:p6<2=838pR?7;;<310?4><2wx=hj50;0xZ4cc34;987?jd:p5`c=838pR\?j38p=k4>d;Y72?=:r8:65<5<#:0n1>l?4n3;`>4=h51j0;76gn9;29 7?c2hk0b?7l:098f2<72:0:6>uC3g:95~N6n;1v(>ln:0d`?lg?2900c?7i:188md2=831i;7>53;294~"4jh0n;6F5;n0:b?6=3tyj87>52z\b0>;021i0q~<83;296~;02;3m7S<6f:p622=838pRl64=68b<>{zjh0;6>4>:2yO7c>=9rB:j?5r$2`b>4`d3`k36=44o3;e>5<1<75m7;297?6=8r.8nl4j7:J0b3=n0j0;66gn8;29?j4>n3:17p}n4;296~Xf<27<65m4}r047?6=:r7<6?7i;_0:b>{t:>>1<70j863n:`68yv4f:3:1>vP=a39>e?4>n2.8;o4=a09m72g=82wx>l>50;0xZ7g734=1>4h4$25a>7g63g95<5sWki70o5a99'72d=ih1e?:o50:pe<<72;qUm4527;c;?!50j3kj7c=8a;38yxd3?k0;6>4>:2yO7c>=9rB:j?5rL2d:>4}#5<7s-9im7k8;I1e2>o?k3:17do7:188k7?a2900q~o;:181[g334=14n5rs350>5<5s4=1>4h4^3;e?xu5?=0;6?uQa99>3?g?3twi?ol50;596?d|D:l36h3l90:7):k5;c6?k2c93;0(9jn:2:3?k2c:3;0q)=ma;3e=>\?j3;p>o4rZ25:>7}5l39:6pgn4;29?lg22900e>6?:188k7g2290/>4j52`68j7?d2910c?o<:18'60b?7l:098k7g5290/>4j52`68j7?d2;10c?o?:18'60b?7l:298f2<72k0?6ouC3g:95~N6n;1v@>h6:8y'0ac=<:=0(9j9:`:8 1b?2;3m7):k4;c7?k2c83;0(9j::`78j1b6281/8io53928j1b5281v(>ln:32f?_>e2;q9n7<6:|X03<<5s;n1>;4ri`694?=ni<0;66g<8183>>i5i<0;6)<6d;0b0>h51j0;76a=a283>!4>l38j86`=9b82?>i5i;0;6)<6d;0b0>h51j0976a=a183>!4>l38j86`=9b80?>ofm3:1(?7k:`f8j7?d2910elm50;&1=a;:kbf?6=,;3o6lj4n3;`>7=h51j0876l8:186>6<0sE9m47?tH0d1?xJ4n002w):ke;603>"3l?0j46*;d981=c=#1m95a4e295>"3l<0j96`;d082?!2ci393<6`;d382?x"4jh09>of=3:17do7:188k7?a2900e>6?:188f2<72<0968uC3g:95~N6n;1v(>ln:0da?lg?2900c?7i:188md2=831bm84?::k:a?6=3k=1<7;50;2x 6df2l30D>h9;M1e>{e;o=1<7?50;2x 6df288j7E=i6:m26<<722wxm94?:3y]e1=:?32h7p}n5;296~Xf=27<64m4}r047?6=:r78j:4>289>3??b3ty9;94?:3y>3?4>n2T95k5rs356>5<5sWk37095a99~yg2613:1?7>50z&0fd<2>2B8j;5f13a94?=n9;n1<75`26c94?=zj=8n6=4<:183!5ei380j86s|a483>7}Yi<168?k513f8yvg?2909wSo7;<62=?75l2wx>4h50;0xZ7?a34=1>4h4}r1;4?6=;rT84=5240;957e<5=8n6<h4=7`9~ygg=83?1?79tL2d;>4}O9o80qA=i9;;x 1bb2=9<7):k6;c;?!2c0382j6*;d58b0>h3l90:7):k5;c6?k2c93;0(9jn:2:3?k2c:3;0q)=ma;024>of<3:17do::188md>=831d>4h50;9j7=6=831i;7>55;091~J4n10:wE?i2:'7gg=9oh0el650;9l6<`=831bm94?::kb1?6=3`3n6=44b683>0<729q/?oo5e89K7c0n3:17pl4<729q/?oo513c8L6`13f;957>5;|qb0?6=:rTj8638:9a8yvg22909wSo:;<59=f=z{;=86=4={<1e3?75127<64k4}r040?6=:r7<6?7i;_0:b>{t:>?1<75<7s-9im7;9;I1e2>o6:j0;66g>2e83>>i5?h0;66sm43g94?5=83:p(>ln:35f?M5a>2c:>n4?::k26a<722e9;l4?::pe1<72;qUm9527;c7?xuf=3:1>vPn5:?76`<6:m1vl650;0xZd><5=;26<4h4=681=c=z{:2;6=4<{_1;4>;3900:>n5243g957e:47>52z?4>d><5=;26?9n;|q76a<72;q6;7o:;<61a?40i2wvn<;50;797?1|D:l363k37):k8;0:b>"3l=0j86`;d182?!2c=3k>7c:k1;38 1bf2:2;7c:k2;38y!5ei38:=6gn4;29?lg22900el650;9l6<`=831b?5>50;9a3?6==3819vB=831d>4h50;9je1<722cj97>5;h;f>5<0;684?:1y'7gg=m01C?k84L2d;>4}#9:<18im4}h;`>5<>of03:17b<6f;29?xd4n>0;6<4?:1y'7gg=9;k0D>h9;n31=?6=3tyj87>52z\b0>;021i0q~o::181[g234=15n5rs350>5<5s49m;7?=9:?4>52z?4>7?a3W82j6s|26794?4|Vh201:4n8:~f17>29086=4?{%1ae?313A9m:6g>2b83>>o6:m0;66a=7`83>>{e<;o1<7=50;2x 6df2;=n7E=i6:k26f<722c:>i4?::m13d<722wxm94?:3y]e1=:?3k?7p}n5;296~Xf=27?>h4>2e9~wd>=838pRl64=53:>44c3ty95k4?:3y]6<`<5>095k5rs2:3>5<4sW93<63;18826f=:<;o1=?m4}r6271f3ty?>i4?:3y>3?g234>9i7<8a:~f72=83?1?79tL2d;>4}O9o80qA=i9;;x 1bb2=9<7):k6;c;?!2c0382j6*;d58b0>h3l90:7):k5;c6?k2c93;0(9jn:2:3?k2c:3;0q)=ma;027>of<3:17do::188md>=831d>4h50;9j7=6=831i;7>55;091~J4n10:wE?i2:'7gg=9oh0el650;9l6<`=831bm94?::kb1?6=3`3n6=44b683>0<729q/?oo5e89K7c0n3:17pl4<729q/?oo513c8L6`13f;957>5;|qb0?6=:rTj8638:9a8yvg22909wSo:;<59=f=z{;=86=4={<1e3?75127<64k4}r040?6=:r7<6?7i;_0:b>{t:>?1<75<7s-9im7;9;I1e2>o6:j0;66g>2e83>>i5?h0;66sm43g94?5=83:p(>ln:35f?M5a>2c:>n4?::k26a<722e9;l4?::pe1<72;qUm9527;c7?xuf=3:1>vPn5:?76`<6:m1vl650;0xZd><5=;26<4h4=681=c=z{:2;6=4<{_1;4>;3900:>n5243g957e:47>52z?4>d><5=;26?9n;|q76a<72;q6;7o:;<61a?40i2wvl:50;7xZd2<5>0j863n:`68943=i=16>94n4:pe0<72084=52a;1;4>;6=393<63=4;1;4>{t:h?1<7h4?h0;7p}=a283>7}Y:h901<;528d8 61e2;k?7c=8a;38yv4f:3:1>vP=a39>e?4>n2.8;o4=a59m72g=:2wx>l>50;0xZ7g734=1>4h4$25a>7g33g95<5sWkn70<;:`:8 61e2hn0b>9n:19~wde=838pRlm4=079e==#;>h1mi5a36c95>{tik0;6?uQac9>e?g?3-93w):ke;605>"3l>0i=6*;e58b?!2c139=46*;e284?x"4jh0:j;5U8c817e=:h0vV>96:3y1a?402te8;<4?:%0:`?5082d95n4?;:m02c<72-82h7=80:l1=f<632e8:h4?:%0:`?5082d95n4=;:m02<<72-82h7=80:l1=f<432cim7>5$3;g>g?oe?3:1(?7k:c;8j7?d2;10eo<50;&1=auC3g:95~N6n;1v(>ln:301?lga2900eo>50;9l73>=831i8=<50;197?3|,:hj69>=;hce>5<h9;h31g?6=3`;9h7>5;n04e?6=3th?<=4?:283>5}#;kk19;5G3g48m44d2900e<5<5<4sWkm70=if;31`>;3890:>n5rsc294?5|Vk:01>hi:00`?82783;9h6s|37:94?4|V:<370:?1;04e>{t;oo1<771f34>;=7?=c:p7c`=838p19>?:35b?82793;9h6srs`d94?4|Vhl019>=:`d8yvd72909wSl?;<636?d73ty8:54?:3y]73><5=:96>87;|a63d=8391=7=tL2d;>4}O9o80q)=ma;016>ofn3:17dl?:188k60?2900n9>=:180>6<2s-9im7:?2:kbb?6=3`h;6=44o24;>5<i4?::m13d<722wi8=>50;194?6|,:hj6884H2d5?l75k3:17d?=d;29?j40i3:17pl;0083>6<729q/?oo526g8L6`13`;9o7>5;h31`?6=3f85;|qbb?6=;rTjj635<4sWh;70=if;31g>;3890:>i5rs24;>5<5sW9=463;00813d=z{:ln6=4={<1eb?40i27?<<4>2b9~w6`a2909w0:?0;04e>;3880:>i5r}rce>5<5sWkm70:?2;ce?xue83:1>vPm0:?747;>7=98:~f70d29086<4<{M1e5;n1553;294~"4jh0>:6F?:180>5<7s-9im7;9;I1e2>o6:j0;66g>2e83>>i5?h0;66sm41394?5=83:p(>ln:35f?M5a>2c:>n4?::k26a<722e9;l4?::pec<72:qUmk523gd957b<5=:;6<52z?0bc<5?h168=?513a8yv5an3:1>v3;01813d=:<9;1=?j4}|qbb?6=:rTjj63;038bb>{tj90;6?uQb19>054=j91v>87:181[51027?50z&0fd<2=2B8j;5f13a94?=n9;n1<75`26c94?=zj=;i6=4<:183!5ei3?>7E=i6:k26f<722c:>i4?::m13d<722wi?:650;094?6|,:hj6<=8;I1e2>o6:k0;66a=7`83>>{t<821<7=t=34a>d`<5=;26?9n;<62f?75l2wx8h4?h0;7p}<6g83>7}Y;?l01?8m:24;?!50j39<<6`<7`82?xu4>l0;6?uQ37g8970f2:<37)=8b;144>h4?h097p}<6883>7}Y;?301>97:35b?!50j39<<6`<7`80?xuei3:1>vPma:?12f53z\a<>;5>k0i<63;1c826f=#;>h1n45a36c95>{tj>0;6>uQb69>63g=j9168<7513a8 61e2k30b>9n:39~wg4=83>pRo<4=34b>d`<5=;26<{t:h?1<7=t^3c6?81=:h?01?89:cc8 61e2;k?7c=8a;28yv4f;3:1?vP=a29>3?4f;279:;4m8:&03g<5i=1e?:o51:p6d4=839pR?o=;<596d4<5;<=6o94$25a>7g33g9;5>?0i>6*<7c81e1=i;>k1?6s|41c94?4|5>0ji63=678034=#;>h18=74n25b>5=z{=:36=4={<59ef=::?<1?;h4$25a>16>3g960b3-93?g>348=:7=99:&03g<3801e?:o53:~f604290>6?4:{M1eof03:17b<6f;29?lg32900el;50;9j=`<722h<6=4::183!5ei3o27E=i6:k:g?6=3`3n6=44i9a94?=ni10;66a=9g83>>{e;o=1<7?50;2x 6df288j7E=i6:m26<<722wxm94?:3y]e1=:?32h7p}n5;296~Xf=27<64m4}r047?6=:r78j:4>289>3??b3ty9;94?:3y>3?4>n2T95k5rs356>5<5sWk37095a99~yg52k3:197<55zN0b=<6sA;m>6sC3g;95~"3m90;7p*>of<3:17do::188m55;294~"4jh0n56F5;h:`>5<:183!5ei3;9m6F03o6s|a483>7}Yi<16;77l;|q136<72;q6?k9513;892<>m2wx>::50;0x92<51o1U>4h4}r041?6=:rTj4638:`:8yxd5<80;684<:6yO7c>=9rB:j?5rL2d:>d}#4=#"3lh084=5a4e095>{#;kk1><:4i`694?=ni<0;66gn8;29?j4>n3:17d=70;29?g1=83?1>7;tL2d;>4}O9o80q)=ma;3ef>of03:17b<6f;29?lg32900el;50;9j=`<722h<6=4::183!5ei3o27E=i6:k:g?6=3`3n6=44i9a94?=ni10;66a=9g83>>{e;o=1<7?50;2x 6df288j7E=i6:m26<<722wxm94?:3y]e1=:?32h7p}n5;296~Xf=27<64m4}r047?6=:r78j:4>289>3??b3ty9;94?:3y>3?4>n2T95k5rs356>5<5sWk37095a99~yg2613:1?7>50z&0fd<2>2B8j;5f13a94?=n9;n1<75`26c94?=zj=8n6=4<:183!5ei380j86s|a483>7}Yi<168?k513f8yvg?2909wSo7;<62=?75l2wx>4h50;0xZ7?a34=1>4h4}r1;4?6=;rT84=5240;957e<5=8n6<h4=7`9~yg4383:197=57zN0b=<6sA;m>6sC3g;9e~"3m90;7):ke;603>"3l?0j46*;d981=c=#1m95a4e295>"3l<0j96`;d082?!2ci393<6`;d382?x"4jh09=95fa583>>of=3:17do7:188k7?a2900e>6?:188f2<72<0968uC3g:95~N6n;1v(>ln:0da?lg?2900c?7i:188md2=831bm84?::k:a?6=3k=1<7;50;2x 6df2l30D>h9;h;`>5<>of03:17b<6f;29?xd4n>0;6<4?:1y'7gg=9;k0D>h9;n31=?6=3tyj87>52z\b0>;021i0q~o::181[g234=15n5rs350>5<5s49m;7?=9:?4>52z?4>7?a3W82j6s|26794?4|Vh201:4n8:~f17>29086=4?{%1ae?313A9m:6g>2b83>>o6:m0;66a=7`83>>{e<;o1<7=50;2x 6df2;=n7E=i6:k26f<722c:>i4?::m13d<722wxm94?:3y]e1=:?3k?7p}n5;296~Xf=27?>h4>2e9~wd>=838pRl64=53:>44c3ty95k4?:3y]6<`<5>095k5rs2:3>5<4sW93<63;18826f=:<;o1=?m4}r6271f3ty?>i4?:3y>3?g234>9i7<8a:~f705290>6>48{M1e3k37):k8;0:b>"3l=0j86`;d182?!2c=3k>7c:k1;38 1bf2:2;7c:k2;38y!5ei38:86gn4;29?lg22900el650;9l6<`=831b?5>50;9a3?6==3819vB=831d>4h50;9je1<722cj97>5;h;f>5<0;684?:1y'7gg=m01C?k84i8a94?=n1l0;66g7c;29?lg?2900c?7i:188yg5a?3:1=7>50z&0fd<6:h1C?k84o00:>5<02o6s|26194?4|5:l<6<<6;<59=`=z{;=?6=4={<596<`7}Yi116;7o7;|a04?=8391<7>t$2`b>00<@:l=7d?=c;29?l75l3:17b<8a;29?xd3:l0;6>4?:1y'7gg=:>o0D>h9;h31g?6=3`;9h7>5;n04e?6=3tyj87>52z\b0>;02h>0q~o::181[g234>9i7?=d:pe=<72;qUm55240;957b52z\1=c=:?382j6s|39294?5|V:2;70:>9;31g>;3:l0:>n5rs53;>5<5s4=1m55240;962g9h7>52z?4>d3<5=8n6?9n;|a637=83?1?79tL2d;>4}O9o80qA=i9;cx 1c7291/8ik54258 1b12h20(9j7:3;e?!2c<3k?7c:k0;38 1b22h?0b9j>:09'0ag=;1:0b9j=:09~ 6df2;;?7do;:188md3=831bm54?::m1=c<722c84=4?::`4>5<22;0>wA=i8;3xL4`53t.8nl4>fc9je=<722e95k4?::kb0?6=3`k>6=44i8g94?=e?3:197>50z&0fd>o>m3:17d6l:188md>=831d>4h50;9~f6`0290:6=4?{%1ae?75i2B8j;5`13;94?=z{h>1<77}:;o=1=?74=68:a>{t:>>1<750z&0fd<5?l1C?k84i00`>5<5<;02;3m7p}<8183>6}Y;1:019?6:00`?825m3;9o6s|40:94?4|5>0j463;18813d=z{=8o6=4={<59e0=:<;o1>:o4}|`125<72<086:uC3g:95~N6n;1v@>h6:`y'0`6=82.?hh4;369'0a0=i11/8i6528d8 1b32h>0b9j?:09'0a3=i<1e8i?51:&7`d<4091e8i<51:'7gg=:8>0el:50;9je0<722cj47>5;n0:b?6=3`93<7>5;c594?3=:3?p@>h7:0yK5c45;hc7>5<>d0290>6=4?{%1ae?c>3A9m:6g6c;29?l?b2900e5m50;9je=<722e95k4?::a7c1=83;1<7>t$2`b>44f3A9m:6a>2883>>{ti=0;6?uQa59>3?>d3tyj97>52z\b1>;020i0q~<83;296~;4n>0:>4527;;f?xu5?=0;6?u27;0:b>X51o1v?9::181[g?34=1m55r}c62=?6=;3:1N4n?1b=?m50;9j57b=831d>:o50;9~f14b29086=4?{%1ae?40m2B8j;5f13a94?=n9;n1<75`26c94?=z{h>1<75<5sWk370:>9;31`>{t:0l1<77}:?3k370:>9;04e>{t<;n1<7;3:l09;l5r}c06b?6==391;vBoi7:<7:&7`351:&7`0;%6ge?5?82d?h?4>;|&0fd<59=1bm94?::kb1?6=3`k36=44o3;e>5<0<525<t$2`b>`?<@:l=7d7l:188m5;|`0b2<7280;6=u+3cc957g<@:l=7b?=9;29?xuf<3:1>vPn4:?4>=e6=4={_c6?81=1j1v?9<:18185a?3;95638:8g8yv40<3:1>v38:3;e?[4>n2wx>:;50;0xZd><5>0j46srb53:>5<4290;w)=ma;75?M5a>2c:>n4?::k26a<722e9;l4?::a07c=8391<7>t$2`b>71b3A9m:6g>2b83>>o6:m0;66a=7`83>>{ti=0;6?uQa59>3?g33tyj97>52z\b1>;3:l0:>i5rs`:94?4|Vh2019?6:00g?xu51o0;6?uQ28d892<51o1v>6?:180[5?827?=44>2b9>07c=9;i0q~:>8;296~;02h2019?6:35b?xu3:m0;6?u27;c6?825m385<22:0o47<6f:&7`1;%6g1?g23g>o=7?4$5fb>6>73g>o>7?4}%1ae?46<2cj87>5;hc6>5<{I3e6>{#;kk1=kl4i`:94?=h:0l1<75fa583>>of=3:17d7j:188f2<72<0;6=u+3cc9a<=O;o<0e4m50;9j=`<722c3o7>5;hc;>5<k2wx>:=50;0x96`0288270959d9~w7132909w09528d8Z7?a3ty9;84?:3y]e==:?3k37psm40;94?5=83:p(>ln:448L6`13`;9o7>5;h31`?6=3f85;|`76`<72:0;6=u+3cc962c<@:l=7d?=c;29?l75l3:17b<8a;29?xuf<3:1>vPn4:?4>d26=4={_c6?825m3;9h6s|a983>7}Yi1168<7513f8yv4>n3:1>vP=9g9>3?4>n2wx?5>50;1xZ6>734>:57?=c:?76`<6:j1v9?7:18181=i1168<7526c8yv25l3:1>v38:`78914b2;=j7psm24f94?3=;3=p@>h7:0yK5c45=#94$5f5>d><,=n36?7i;%6g0?g33g>o<7?4$5f6>d37733`k?6=44i`794?=ni10;66a=9g83>>o4090;66l8:186>7<2sE9m47?tH0d1?x"4jh0:jo5fa983>>i51o0;66gn4;29?lg22900e4k50;9a3?6==3:1N4n?1b5n4?::k:a?6=3`2h6=44i`:94?=h:0l1<75rb2d4>5<6290;w)=ma;31e>N4n?1d=?750;9~wd2=838pRl:4=68;g>{ti<0;6?uQa49>3??d3ty9;>4?:3y>7c1=9;301:46e:p622=838p1:4=9g9]6<`52z\b<>;02h20qpl;1883>6<729q/?oo5579K7c05<2e9~w7?a2909wS<6f:?4>7?a3ty84=4?:2y]7=6<5=;26<07c=:>k0qpl=5b83>0<42>qG?k651zJ2b7=zD:l26lu+4d294>"3ll0??:5+4e49e==#4h4$5f7>d21<75fa483>>of03:17b<6f;29?l5?83:17o950;796?3|D:l36n3:17do;:188md3=831b5h4?::`4>5<2290;w)=ma;g:?M5a>2c2o7>5;h;f>5<>i51o0;66sm3g594?7=83:p(>ln:00b?M5a>2e:>44?::pe1<72;qUm9527;:`?xuf=3:1>vPn5:?4>52z?0b2<6:016;77j;|q131<72;q6;7<6f:\1=c=z{;=>6=4={_c;?81=i11vqo:>9;297?6=8r.8nl4:6:J0b3=n9;i1<75f13f94?=h:>k1<75rb50f>5<4290;w)=ma;04a>N4n?1b=?m50;9j57b=831d>:o50;9~wd2=838pRl:4=68b0>{ti<0;6?uQa49>07c=9;n0q~o7:181[g?34>:57?=d:p6<`=838pR?7i;<596<`53z\0<5=:<831=?m4=50f>44d3ty?=54?:3y>3?g?34>:57<8a:p07b=838p1:4n5:?76`<5?h1vqo<:b;291?5=?rF8j54>{I3e6>{K;o31mv*;e183?!2cm3>8;6*;d78b<>"3l1095k5+4e69e1=ih3l80:7):ka;1;4>h3l;0:7p*72900n:4?:481>0}K;o21=vF>f39~ 6df28li7do7:188k7?a2900el:50;9je0<722c2i7>5;c594?3=83:p(>ln:d;8L6`13`3h6=44i8g94?=n0j0;66gn8;29?j4>n3:17pl4<729q/?oo513c8L6`13f;957>5;|qb0?6=:rTj8638:9a8yvg22909wSo:;<59=f=z{;=86=4={<1e3?75127<64k4}r040?6=:r7<6?7i;_0:b>{t:>?1<75<7s-9im7;9;I1e2>o6:j0;66g>2e83>>i5?h0;66sm43g94?5=83:p(>ln:35f?M5a>2c:>n4?::k26a<722e9;l4?::pe1<72;qUm9527;c7?xuf=3:1>vPn5:?76`<6:m1vl650;0xZd><5=;26<4h4=681=c=z{:2;6=4<{_1;4>;3900:>n5243g957e:47>52z?4>d><5=;26?9n;|q76a<72;q6;7o:;<61a?40i2wvn?=i:186>7<2sE9m47?tH0d1?xJ4n00:w):j0;28y!5ei3;mj6gn8;29?j4>n3:17do;:188md3=831b5h4?::`4>5<2290;w)=ma;g:?M5a>2c2o7>5;h;f>5<>i51o0;66sm3g594?7=83:p(>ln:00b?M5a>2e:>44?::pe1<72;qUm9527;:`?xuf=3:1>vPn5:?4>52z?0b2<6:016;77j;|q131<72;q6;7<6f:\1=c=z{;=>6=4={_c;?81=i11vqo<<6;291?4==rF8j54>{I3e6>{K;o31=v*;e183?x"4jh0:jk5fa983>>i51o0;66gn4;29?lg22900e4k50;9a3?6==3:1N4n?1b5n4?::k:a?6=3`2h6=44i`:94?=h:0l1<75rb2d4>5<6290;w)=ma;31e>N4n?1d=?750;9~wd2=838pRl:4=68;g>{ti<0;6?uQa49>3??d3ty9;>4?:3y>7c1=9;301:46e:p622=838p1:4=9g9]6<`52z\b<>;02h20qpl=3183>6<62:qG?k651zJ2b7=zD:l26{#;kk1=km4i`:94?=h:0l1<75fa583>>d029086=4?{%1ae?c03A9m:6g7c;29?lg?2900c?7i:188yvg32909wSo;;<597}Yi116;7o7;|a7`c=83<1>7otL2d;>4}O9o80qA=i9;:x 1c7291/8ik54278 15?2=o97):k8;0:b>"3l=0j86`;d182?!2ci393<6`;d383?x"4jh0:jl5U8c827d=uS9<57>i5i<0;6)<6d;0b0>h51j0;76a=a283>!4>l38j86`=9b82?>i5i;0;6)<6d;0b0>h51j0976a=a183>!4>l38j86`=9b80?>d0290j694n{M1e8;6*;d78b<>"3l1095k5+4e69e1=ivi4=6;je1<722c84=4?::m1e0<72-82h74?:%0:`?4f<2d95n4>;:m1e7<72-82h75=h51j0:76gnb;29 7?c2hn0b?7l:398md?=83.95i4nd:l1=f<432h<6=4;:386I5a03;pDoi7:<7:&7`351:&7`d<4091e8i<50:'7gg=:8<0el:50;9je=<722e95k4?::k0<5<722h<6=4<:080I5a03;pD5;c594?5=83:p(>ln:d58L6`13E9m47?t$015>1bd3tc3o7>5;hc;>5<5<5sWk?70958b9~w7142909w09528d8Z7?a3ty9;94?:3y]e==:?3k37psm40;94?5=83:p(>ln:478L6`13`;9o7>5;h31`?6=3f85;|qb0?6=:rTj8638:`68yvg?2909wSo7;<62=?75l2wx>4h50;0xZ7?a34=1>4h4}r1;4?6=:rT84=5240;957e:47>52z?4>d><5=;26?9n;|ae?6=<3819vB1/8i85a99'0a>=:0l0(9j;:`68j1b7281/8io53928j1b5291v(>ln:334?lg32900el650;9l6<`=831b?5>50;9a3?6=;3;1?vB=831d>4h50;9je1<722h<6=4<:183!5ei3o<7E=i6:N0b=<6s-;8:7:kc:j5;n0:b?6=3tyj87>52z\b0>;021i0q~<83;296~;02;3m7S<6f:p622=838pRl64=68b<>{zj=;26=4<:183!5ei3?>7E=i6:k26f<722c:>i4?::m13d<722wxm94?:3y]e1=:?3k?7p}n8;296~Xf027?=44>2e9~w7?a2909wS<6f:?4>7?a3ty84=4?:3y]7=6<5=;26<3k37):k8;0:b>"3l=0j86`;d182?!2ci393<6`;d383?x"4jh09=55fa583>>of03:17b<6f;29?l5?83:17o950;195?5|D:l36n3:17do;:188f2<72:0;6=u+3cc9a2=O;o<0@>h7:0y'560==831d>4h50;9~wd2=838pRl:4=68;g>{t:>91<7288o7p}=9g83>7}Y:0l01:4=9g9~w6>72909wS=70:?75<<6:j1v9?7:18181=i1168<7526c8yxd5<3:187<55zN0b=<6sA;m>6sC3g;93~"3ll0??:5+4e49e==#4h4$5f7>d277>3`k?6=44i`:94?=h:0l1<75f39294?=e?3:1?7?53zN0b=<6sA;m>6s+3cc95cd5<7s-9im7k8;I1e2>J4n10:w)?<6;6gg>{n0j0;66gn8;29?j4>n3:17p}n4;296~Xf<27<65m4}r047?6=:r7<6?7i;_0:b>{t:>>1<75<7s-9im7;:;I1e2>o6:j0;66g>2e83>>i5?h0;66s|a583>7}Yi=16;7o;;|qb;02;3m7p}<8183>7}Y;1:019?6:00`?xu3910;6?u27;c;?82613801:4n4:?b>d2<58?1m952258b0>{t;1:1<7;t^2:3?81=;1:01l4<819>50<40916>94<819~w7g22909wSn2.8;o4=a59m72g=82wx>l=50;0xZ7g434;>6?7i;%14f?4f<2d8;l4>;|q1e7<72;qU>l<4=`81=c=#;>h1>l:4n25b>7=z{;k;6=4={_0b4>;02;3m7)=8b;0b0>h4?h087p}ne;296~Xfm27987o7;%14f?gc3g94}rc`>5<5sWkh70?::`:8 61e2hn0b>9n:09~wdd=838pRll4=`8b<>"4?k0jh6`<7`81?xuf13:1>vPn9:?4>d><,:=i6lj4n25b>6=zuk8=:7>58;49e~J4n10:wE?i2:O7c?==r.?hh4;309'0a1=j81/8h:5a:&7`<<4>11/8h=57:'7gg=9o<0V5l52z0`>7g=uS9<57;1<7*=9e8035=i:0i1<65`37d94?"51m08;=5a28a95>=h;?o1<7*=9e8035=i:0i1>65`37;94?"51m08;=5a28a97>=njh0;6)<6d;`:?k4>k3:07dl7:18'64m51:9jf2<72-82h7l6;o0:g?4<3`h96=4+28f9f<=i:0i1?65m27c94?5=939p@>h7:0yK5c45<;>6gnf;29?ld72900c>87:188f6`a29086=4?{%1ae?313A9m:6g>2b83>>o6:m0;66a=7`83>>{e<9:1<7=50;2x 6df2<<0D>h9;h31g?6=3`;9h7>5;n04e?6=3th?<<4?:283>5}#;kk1>:k4H2d5?l75k3:17d?=d;29?j40i3:17p}nf;297~Xfn278jk4>2e9>056=9;i0q~l?:180[d7349mj7?=c:?745<6:m1v>87:181[51027?<<4=7`9~w6`b2909w0=if;04e>;3880:>n5rs2de>5<5s4>;<7<8a:?744<6:m1vq~oi:181[ga34>;>7oi;|qa4?6=:rTi<63;038a4>{t;?21<75<42808wA=i8;3xL4`53t.8nl4=239jec<722ci<7>5;n15;>7>53;191~"4jh0?>oe83:17b=98;29?g5an3:1?7>50z&0fd<2>2B8j;5f13a94?=n9;n1<75`26c94?=zj=:;6=4<:183!5ei3?=7E=i6:k26f<722c:>i4?::m13d<722wi8=?50;194?6|,:hj6?9j;I1e2>o6:j0;66g>2e83>>i5?h0;66s|ag83>6}Yio16?kh513f89167288h7p}m0;297~Xe8278jk4>2b9>056=9;n0q~=98;296~X4>1168=?526c8yv5am3:1>v3057=9;n0qp}nf;296~Xfn27?;38;08:55r}c05g?6=;3;1?vB0}#;kk18=<4i`d94?=nj90;66a<6983>>d4no0;6>4?:1y'7gg==?1C?k84i00`>5<5<;<7>53;294~"4jh0>:6F>:180>5<7s-9im7<8e:J0b3=n9;i1<75f13f94?=h:>k1<75rs`d94?5|Vhl01>hi:00g?82783;9o6s|b183>6}Yj916?kh513a89167288o7p}<6983>7}Y;?2019>>:35b?xu4nl0;6?u23gd962g<5=::6<526c89166288o7ps|ag83>7}Yio168=<5ag9~wg6=838pRo>4=521>g652z\02==:<981?;64}|`75<<72:0;6=u+3cc910=O;o<0e<50z&0fd<2=2B8j;5f13a94?=n9;n1<75`26c94?=zj:=36=4=:183!5ei3;8;6F:o50;9~w17?2908w0<9b;ce?826138:n7<8a:p727=838pR>9>;<05g?5102.8;o4<719m72g=82wx?;h50;0xZ60a348=n7=98:&03g<4?91e?:o51:p73c=838pR>8j;<05e?5102.8;o4<719m72g=:2wx?;750;0xZ60>349<47<8a:&03g<4?91e?:o53:pfd<72;qUnl5227a9f5=#;>h1n45a36c94>{tj10;6>uQb99>63d=j91689n:09~wg1=839pRo94=34b>g6<5=;26<3g95<3sWh970<9a;ce?82613;9h63<79826g=#;>h1n45a36c97>{z{h>1<750;0xZ6>734=1?5>4}r0b1?6=;rT9m8527;0b1>;5>?0im6*<7c81e1=i;>k1<6s|2`194?5|V;k870952`1897012k20(>9m:3c7?k50i3;0q~l>50;1xZ7g734=1>l>4=345>g4<,:=i6?o;;o14e?5;m7>52z?4>dc<5;<=6>9>;%14f?2712d8;l4?;|q74=<72;q6;7ol;<052?51n2.8;o4;089m72g=92wx8=950;0x929n:39~w1622909w095a89>630=;?30(>9m:52:?k50i390qpl=a983>6<62:qG?k651zJ2b7=zD:l26{#;kk1=km4i`:94?=h:0l1<75fa583>>d029086=4?{%1ae?c03A9m:6g7c;29?lg?2900c?7i:188yvg32909wSo;;<597}Yi116;7o7;|a3`<72:0:6>uC3g:95~N6n;1v@>h6:0y'0`6=82w/?oo51ga8md>=831d>4h50;9je1<722h<6=4<:183!5ei3o<7E=i6:k;g?6=3`k36=44o3;e>5<4`d3`k36=44o3;e>5<1<75m7;297?6=8r.8nl4j7:J0b3=n0j0;66gn8;29?j4>n3:17p}n4;296~Xf<27<65m4}r047?6=:r7<6?7i;_0:b>{t:>>1<74<4sE9m47?tH0d1?xJ4n00:w):j0;28y!5ei3;mo6gn8;29?j4>n3:17do;:188f2<72:0;6=u+3cc9a2=O;o<0e5m50;9je=<722e95k4?::pe1<72;qUm9527;:`?xu5?:0;6?u27;0:b>X51o1v?9;:181[g?34=1m55r}c053?6=910::7<={M1e97):ke;605>"3l>0i=6*;e58b?!2c139=46*;e284?!2b83:0q)=ma;3e0>\?j38pj7m5}[14=?4|980i6pa<6b83>!4>l39=n6`=9b83?>i4>h0;6)<6d;15f>h51j0:76a<7683>!4>l39=n6`=9b81?>i4??0;6)<6d;15f>h51j0876a<7483>!4>l39=n6`=9b87?>i4?=0;6)<6d;15f>h51j0>76a<7283>!4>l39=n6`=9b85?>i4?;0;6)<6d;15f>h51j0<76a<7083>!4>l39=n6`=9b8;?>i4>o0;6)<6d;15f>h51j0276a<6d83>!4>l39=n6`=9b8b?>i4>00;6)<6d;15f>h51j0i76gm5;29 7?c2k>0b?7l:198mg5=83.95i4m4:l1=f<632ch<7>5$3;g>g2oem3:1(?7k:c68j7?d2=10eoj50;&1=a3=h51j0<76gma;29 7?c2k>0b?7l:998mg>=83.95i4m4:l1=f<>32ci;7>5$3;g>g2d5>h0;6>4>:2yO7c>=9rB:j?5r$2`b>7453`km6=44ic294?=h;?21<75m41094?5=;3?p(>ln:521?lga2900eo>50;9l73>=831i?kh50;194?6|,:hj6884H2d5?l75k3:17d?=d;29?j40i3:17pl;0183>6<729q/?oo5579K7c05<2b9~wg6=839pRo>4=2de>44d34>;<7?=d:p73>=838pR>87;<635?40i2wx?kk50;0x96`a2;=j70:?1;31g>{t;ol1<771f34>;=7?=d:~wd`=838pRlh4=521>d`7}Y;?2019>=:24;?x{e:?h1<7=51;1xH6`?28qC=k<4}%1ae?45:2cjj7>5;h`3>5<5<42:0>w)=ma;636>ofn3:17dl?:188k60?2900n>hi:180>5<7s-9im7;9;I1e2>o6:j0;66g>2e83>>i5?h0;66sm41294?5=83:p(>ln:448L6`13`;9o7>5;h31`?6=3f85;|`744<72:0;6=u+3cc962c<@:l=7d?=c;29?l75l3:17b<8a;29?xufn3:1?vPnf:?0bc<6:m168=>513a8yvd72908wSl?;<1eb?75k27?<=4>2e9~w60?2909wS=98:?744<5?h1v>hj:18185an382e9~yvga2909wSoi;<636?ga3tyi<7>52z\a4>;38;0i<6s|37:94?4|V:<370:?2;15<>{zj;5;c636?6=;3919v*k1<75rb523>5<4290;w)=ma;75?M5a>2c:>n4?::k26a<722e9;l4?::a057=8391<7>t$2`b>71b3A9m:6g>2b83>>o6:m0;66a=7`83>>{tio0;6>uQag9>7c`=9;n019>?:00`?xue83:1?vPm0:?0bc<6:j168=>513f8yv5103:1>vP<699>057=:>k0q~=ie;296~;4no09;l52413957e52z?745<5?h168=?513f8yxufn3:1>vPnf:?7475<5sW9=463;03802==zuk8=h7>53;397~J4n10:wE?i2:'7gg=:;80elh50;9jf5<722e8:54?::`747<72:0868u+3cc9054>i4>10;66l6<729q/?oo5579K7c05<N4n?1b=?m50;9j57b=831d>:o50;9~f16629086=4?{%1ae?40m2B8j;5f13a94?=n9;n1<75`26c94?=z{hl1<7=t^`d896`a288o70:?0;31g>{tj90;6>uQb19>7c`=9;i019>?:00g?xu4>10;6?uQ37:891662;=j7p}7}:;ol1>:o4=522>44d3ty8jk4?:3y>056=:>k019>>:00g?x{tio0;6?uQag9>054=io1vo>50;0xZg6<5=:96o>4}r156}K;o21=vF>f39~ 6df2;897doi:188mg6=831d?;650;9a054=8391?7;t$2`b>1653`km6=44ic294?=h;?21<75m3gd94?5=83:p(>ln:448L6`13`;9o7>5;h31`?6=3f85;|`745<72:0;6=u+3cc913=O;o<0e<50z&0fd<5?l1C?k84i00`>5<5<{t;?21<7{z{hl1<7;>7l?;|q02=<72;qU?;64=521>60?3twi>;h50;195?5|D:l366gnf;29?ld72900c>87:188f16529086>4:{%1ae?27:2cjj7>5;h`3>5<5<4290;w)=ma;75?M5a>2c:>n4?::k26a<722e9;l4?::a056=8391<7>t$2`b>00<@:l=7d?=c;29?l75l3:17b<8a;29?xd3880;6>4?:1y'7gg=:>o0D>h9;h31g?6=3`;9h7>5;n04e?6=3tyjj7>53z\bb>;4no0:>i52412957e;38809;l5rs2df>5<5s49mj7<8a:?744<6:j1v>hi:181827838=838pR>87;<636?5102wvn?9?:180>4<4sE9m47?tH0d1?x"4jh09>?5fag83>>oe83:17b=98;29?g27:3:1?7=55z&0fd<38;1bmk4?::ka4?6=3f9=47>5;c1eb?6=;3:1N4n?1b=?m50;9j57b=831d>:o50;9~f16729086=4?{%1ae?313A9m:6g>2b83>>o6:m0;66a=7`83>>{e<9;1<7=50;2x 6df2;=n7E=i6:k26f<722c:>i4?::m13d<722wxmk4?:2y]ec=:;ol1=?j4=523>44d3tyi<7>53z\a4>;4no0:>n52412957b52z\02==:<9;1>:o4}r1ea?6=:r78jk4=7`9>057=9;i0q~=if;296~;38909;l52413957b52z\bb>;38;0jj6s|b183>7}Yj9168=<5b19~w60?2909wS=98:?747<4>11vqo<81;297?7=;rF8j54>{I3e6>{#;kk1>?<4i`d94?=nj90;66a<6983>>d38;0;6>4<:4y'7gg=<980elh50;9jf5<722e8:54?::`0bc<72:0;6=u+3cc913=O;o<0e<50z&0fd<2>2B8j;5f13a94?=n9;n1<75`26c94?=zj=::6=4<:183!5ei3844c3ty8:54?:3y]73><5=::6?9n;|q0b`<72;q6?kh526c89166288h7p}7}:<9:1>:o4=522>44c3twxmk4?:3y]ec=:<981mk5rsc294?4|Vk:019>=:c28yv5103:1>vP<699>054=;?20qpl=7383>6<62:qG?k651zJ2b7=z,:hj6?<=;hce>5<t$2`b>00<@:l=7d?=c;29?l75l3:17b<8a;29?xd3890;6>4?:1y'7gg==?1C?k84i00`>5<5<;=7>53;294~"4jh09;h5G3g48m44d2900e<50;1xZg6<5:lm6<;=7<8a:p7cc=838p1>hi:35b?82793;9o6s|3gd94?4|5=:;6?9n;<635?75l2wvlh50;0xZd`<5=:96lh4}r`3>5<5sWh;70:?2;`3?xu4>10;6?uQ37:891652:<37psm27:94?5=939p@>h7:0yK5c45<;>6gnf;29?ld72900c>87:188f6`a29086=4?{%1ae?313A9m:6g>2b83>>o6:m0;66a=7`83>>{e<9:1<7=50;2x 6df2<<0D>h9;h31g?6=3`;9h7>5;n04e?6=3th?<<4?:283>5}#;kk1>:k4H2d5?l75k3:17d?=d;29?j40i3:17p}nf;297~Xfn278jk4>2e9>056=9;i0q~l?:180[d7349mj7?=c:?745<6:m1v>87:181[51027?<<4=7`9~w6`b2909w0=if;04e>;3880:>n5rs2de>5<5s4>;<7<8a:?744<6:m1vq~oi:181[ga34>;>7oi;|qa4?6=:rTi<63;038a4>{t;?21<75<42808wA=i8;3xL4`53t.8nl4=239jec<722ci<7>5;n15;>7>53;191~"4jh0?>oe83:17b=98;29?g5an3:1?7>50z&0fd<2>2B8j;5f13a94?=n9;n1<75`26c94?=zj=:;6=4<:183!5ei3?=7E=i6:k26f<722c:>i4?::m13d<722wi8=?50;194?6|,:hj6?9j;I1e2>o6:j0;66g>2e83>>i5?h0;66s|ag83>6}Yio16?kh513f89167288h7p}m0;297~Xe8278jk4>2b9>056=9;n0q~=98;296~X4>1168=?526c8yv5am3:1>v3057=9;n0qp}nf;296~Xfn27?;38;08:55r}c62=?6=;3:1N4n?1b=?m50;9j57b=831d>:o50;9~f17e29086=4?{%1ae?323A9m:6g>2b83>>o6:m0;66a=7`83>>{e<8n1<7=50;2x 6df2h9;h31g?6=3`;9h7>5;n04e?6=3th?=k4?:283>5}#;kk1985G3g48m44d2900e<k1<75rb500>5<4290;w)=ma;76?M5a>2c:>n4?::k26a<722e9;l4?::a073=8391<7>t$2`b>03<@:l=7d?=c;29?l75l3:17b<8a;29?xd3:>0;6>4?:1y'7gg==<1C?k84i00`>5<5<957>53;294~"4jh0>96F5<7s-9im7;:;I1e2>o6:j0;66g>2e83>>i5?h0;66sm36:94?4=83:p(>ln:014?M5a>2c:>o4?::m13d<722wx8<650;1x970e2hl019?6:35b?826j3;9h6s|40c94?5|5;71f34>:h7?=d:p04e=839p1?8k:`d8917c2;=j70:>f;31`>{t<8o1<7=t=34f>d`<5=;m6?9n;<615?75l2wx8?>50;1x970a2hl019<>:35b?825;3;9h6s|43094?5|5;=;6lh4=500>71f34>997?=d:p072=839p1?9>:`d891422;=j70:=7;31`>{t<;<1<7=t=351>d`<5=8<6?9n;<61=?75l2wx8?650;1x970?2hl019<6:35b?825j3;9h6s|43c94?4|5;<26lh4=50a>71f3ty8:n4?:3y]73e<5;<26>87;%14f?51j2d8;l4?;|q02d<72;qU?;o4=34;>60?3-987;%14f?51j2d8;l4=;|q033<72;qU?:84=352>60?3-987;%14f?51j2d8;l4;;|q031<72;qU?::4=34e>60?3-94?:3y]725<5;87;%14f?51j2d8;l49;|q037<72;qU?:<4=34g>60?3-987;%14f?51j2d8;l47;|q02c<72;qU?;h4=34a>60?3-93ty8:h4?:3y]73c<5;87;%14f?51j2d8;l4n;|q02<<72;qU?;74=25;>71f3-952z\a1>;5>00i<6*<7c8a0>h4?h0;7p}m3;297~Xe;279:54m0:?76g<6:j1/?:l5b59m72g=92wxo=4?:2y]g5=::>81n=5243;957e<,:=i6o:4n25b>7=z{kl1<7=t^cd897162k:019<8:00`?!50j3h?7c=8a;18yvdb2908wSlj;<044?d734>997?=c:&03g;3::0:>n5+36`9f1=i;>k196s|bb83>6}Yjj16>;k5b19>077=9;i0(>9m:c68j61f2?1vol50;1xZgd<5;4=53e>44d3-9d;31g>"4?k0i86`<7`8;?xue03:1?vPm8:?12g2b9'72d=j=1e?:o59:pf2<72:qUn:5227c9f5=:<831=?m4$25a>g2288o70=88;31f>"4?k0i86`<7`8a?x{e:?<1<7:52;6xH6`?28qC=k<4}M1e=?1|f=o:69?4$5ff>1563->o;7l>;%6f0?g<,=n26>87;%6f7?1<,=o;6=5r$2`b>4`23S2i6?u=0;3f>x\4?009w?<51c8~k60b290/>4j537f8j7?d2910c>86:18'67>5$3;g>g05<42808wA=i8;3xL4`53t.8nl4=239jec<722ci<7>5;n15;>7>53;191~"4jh0?>oe83:17b=98;29?g5an3:1?7>50z&0fd<2>2B8j;5f13a94?=n9;n1<75`26c94?=zj=:;6=4<:183!5ei3?=7E=i6:k26f<722c:>i4?::m13d<722wi8=?50;194?6|,:hj6?9j;I1e2>o6:j0;66g>2e83>>i5?h0;66s|ag83>6}Yio16?kh513f89167288h7p}m0;297~Xe8278jk4>2b9>056=9;n0q~=98;296~X4>1168=?526c8yv5am3:1>v3057=9;n0qp}nf;296~Xfn27?;38;08:55r}c14l16>;o537:8 61e2:vP<689>72>=:>k0(>9m:24g?k50i3;0q~l8:181[d0348=m7l?;%14f?d13g94}r`1>5<4sWh970<9a;ce?85003;9n6*<7c8a2>h4?h0:7psm24094?0=;32p(>ln:371?j43l3:17d?j8;29?l27n3:17d<;6;29?l4303:17d:?7;29?g4293:1?7>50z&0fd<2>2B8j;5f13a94?=n9;n1<75`26c94?=zj;>m6=4::183!5ei3?o7E=i6:k26f<722c:>i4?::k26`<722c:>k4?::m13d<722wi>8>50;194?6|,:hj6?9j;I1e2>o6:j0;66g>2e83>>i5?h0;66s|25f94?4|V;>o70<:0;04e>{t9l21<7=t^0g;?84293;9o63=4g826`=z{=:m6=4={_63b>;5=80:>i5rs365>5<5sW8?:63=4g826f=z{;>36=4={_07<>;5i5rs524>5<5sW>;;63=4g826c=z{;>n6=4={<065?40i2799=4>2b9~w72a2909w0<;f;04e>;5=90:>i5r}c00a?6=>3?1mv*h9;h31g?6=3`;9h7>5;n04e?6=3th9?44?:583>5}#;kk19l5G3g48m44d2900e<50z&0fd<5?l1C?k84i00`>5<5<53;294~"4jh0>96F5<7s-9im7<8e:J0b3=n9;i1<75f13f94?=h:>k1<75rs314>5<5sW88;63=3b813d=z{8o36=4<{_3f<>;5;m0:>n5222`957e;n7>52z\74g=:::n1=?j4}r072?6=;rT98;5222;957e<5;9j6<964=31:>44c3ty?<84?:3y]053<5;926<>j526c8975d288h7p}=3883>7}:::31>:o4=31b>44c3ty9;>4?:3y>66g=:>k01?=m:00g?xu5?=0;6?u222`962g<5;9h6<7523f88=7>5;h017?6=3`89;7>5;h015;h01e?6=3`89n7>5;h01g?6=3`89h7>5;h01a?6=3`89j7>5;h010?6=3`89:7>5;c006?6=13:1N4n?1b=?m50;9j57b=831b=?k50;9j57`=831b=>>50;9j567=831b=><50;9j565=831d>:o50;9~f754290>6=4?{%1ae?3d3A9m:6g>2b83>>o6:m0;66g>2d83>>o6:o0;66a=7`83>>{e::>1<7=50;2x 6df2h9;h31g?6=3`;9h7>5;n04e?6=3ty9?<4?:3y]667<5;9?6?9n;|q166<72;qU>?=4=310>44d3ty9>:4?:3y]671<5;996<?64=311>44c3ty9>44?:3y]67?<5;996<?o4=311>44b3ty9>o4?:3y]67d<5;996<=?;|q16f<72;qU>?m4=311>4553ty9>i4?:3y]67b<5;996<=>;|q16`<72;qU>?k4=311>4543ty9>k4?:3y]67`<5;986<?:4=310>44c3ty9>;4?:3y]670<5;986<><526c89753288h7p}=3283>7}:::91>:o4=317>44c3twi494?:480>2}#;kk1495`7g83>>o6mk0;66g;5783>>o?=3:17d9j:188f=5=8391<7>t$2`b>00<@:l=7d?=c;29?l75l3:17b<8a;29?xd?93:1?7>50z&0fd<2>2B8j;5f13a94?=n9;n1<75`26c94?=zj181<7=50;2x 6df2;=n7E=i6:k26f<722c:>i4?::m13d<722wx;k4?:3y]3c=:0;09;l5rs0ga>5<5sW;nn6373;31`>{t<<<1<74288h7p}75;296~X?=273=7?=c:p3`<72;qU;h5280826a=z{1:1<75<5s42:6?9n;<:1>44c3twi88k50;797?1|,:hj69;j;n66=?6=3`;nm7>5;h663?6=3`>>j7>5;h662?6=3k>>h7>53;294~"4jh0>:6F5<7s-9im7;9;I1e2>o6:j0;66g>2e83>>i5?h0;66sm44a94?5=83:p(>ln:35f?M5a>2c:>n4?::k26a<722e9;l4?::p00?=838pR9;6;<66g?40i2wx=ho50;0xZ4cf34>>h7?=d:p001=838pR9;8;<66`?75k2wx88h50;0xZ13a34>>n7?=c:p000=838pR9;9;<66f?75l2wx88o50;0x913c2;=j70::c;31g>{t<71f34>>o7?=d:~f72d290o6>4i{%1ae?43k2e9854?::k166<722c9>:4?::k16=<722c9>44?::k16d<722c9>o4?::k16f<722c9>i4?::k16`<722c9>k4?::k161<722c9>;4?::`10<<7200;6=u+3cc962?<@:l=7d?=c;29?l75l3:17d?=e;29?l75n3:17d?<0;29?l7493:17d?<2;29?l74;3:17b<8a;29?xd55<5<5<53;294~"4jh0>96F2b9~w74?2909wS<=8:?10<<6:l1v?<6:181[451279844>319~w74f2909wS<=a:?10<<6:o1v?309~w74d2909wS<=c:?10<<6;:1v?339~w74b2909wS<=e:?10d<6:j1v?2d9~w7432909wS<=4:?10d<6:m1v?<9:181[45>2798l4>2g9~w72>2909w0<;9;04e>;5n5rs36b>5<5s48?m7<8a:?10g<6:m1vqo?j7;290?6=8r.8nl4:8:J0b3=n9;i1<75f13f94?=n9;o1<75`26c94?=zjji1<7=50;2x 6df2<<0D>h9;h31g?6=3`;9h7>5;n04e?6=3th8o?4?:283>5}#;kk1985G3g48m44d2900e<k1<75rb3`1>5<4290;w)=ma;04a>N4n?1b=?m50;9j57b=831d>:o50;9~f63a290>6=4?{%1ae?3c3A9m:6g>2b83>>o6:m0;66g>2d83>>o6:o0;66a=7`83>>{e:ho1<7=50;2x 6df2<=0D>h9;h31g?6=3`;9h7>5;n04e?6=3th9n=4?:483>5}#;kk19o5G3g48m44d2900e<5<50z&0fd<2k2B8j;5f13a94?=n9;n1<75f13g94?=n9;l1<75`26c94?=zj;>>6=4<:183!5ei3?>7E=i6:k26f<722c:>i4?::m13d<722wi>8o50;194?6|,:hj6?9j;I1e2>o6:j0;66g>2e83>>i5?h0;66sm25594?5=83:p(>ln:478L6`13`;9o7>5;h31`?6=3f85;|`1ed<72:0;6=u+3cc912=O;o<0e<50z&0fd<50=1C?k84i00`>5<5<5<53;294~"4jh0>96F;I1e2>o6:j0;66g>2e83>>o6:l0;66a=7`83>>{e<<21<7=50;2x 6df2<<0D>h9;h31g?6=3`;9h7>5;n04e?6=3th?:=4?:283>5}#;kk1>:k4H2d5?l75k3:17d?=d;29?j40i3:17pl<6383>7<729q/?oo51258L6`13`;9n7>5;n04e?6=3th9994?:383>5}#;kk1=>94H2d5?l75j3:17b<8a;29?xu4>:0;68uQ371896042;3m70?j7;31`>;4k;0:>n52244957b9z\:`>;6m<0j863j2;c7?820j3k?70=mb;c7?851;3k?70=:c;c7?84393k?70<;0;c7?841:3k?70<91;c7?84183k?70<:f;c7?842m3k?70<:d;c7?842k3k?70<:b;c7?844n3k?70<<6;c7?84483k?70=je;c7?84f03k?709j:`6891g22h>019;9:`68yv5??3:1?vP<869>60>=9;n01?:8:00g?xu4=j0;6?uQ34a8963d2;3m7p}78;291~X?0278ih4<819>60>=9;i01?:8:00`?84fk3;9o6s|44494?3|V=?=70::6;0:b>;?<3>>:63;5d8713=:<<21=?m4}rab>5<5sWij70k=:`;8yv5>n3:1>vP<9g9>6dg=9;n0q~:8a;296~X3?h168:l5a99~w1g22909wS:n5:?7e0<51o1v:k50;1xZ2c<5>o1>4h4=9693`=z{=in6=4={_6`a>;5j=0:>n5rs3c;>5<4sW8j463=a981=c=::k>1=?j4}r0`7?6=:rT9o>522`c957e52z?17c{t;??1<7d><5:<=6?9n;|q024<72;q6?8m5a99>734=:>k0q~?j8;29b~;6m<094n5225397=6<5;>;6>6?;<056?5?8279:<4<819>636=;1:01?;i:2:3?842m393<63=5e80<5=::4=37a>6>734>j97o7;<066?7b0279?h4>e99>615=9;l0q~?j9;297~;6m<095=5287826f=:00c=9lk0158513f89107288o7p}>ec83>6}:9l?1>4:4=9695`d<51<1=?k4}r66b?6=:r7?9h4;5g9>036=:>k0q~7>52z?106<5?h16>8o513a8yv4fj3:1>v3=b3826a=::hi1>:o4}r66=?6=:r7?9;4n8:?71`<3=01v?:;:181843=38=6=4<{<066?43>279?h4=479>611=:>k0q~?j6;296~;6m<0:ii521d5962g2e9>600=9;i0q~=l1;29g~;4jk084=522539e0=::=:1m8522709e0=::?;1m8522729e0=::d><51>1;k5rs9794?4|51>1485287813d=z{;9:6=4={<004?g?348897<<1:p02d=839p16=4<{<062?40i2798>4>2e9>613=9;i0q~<:7;296~;5=109;l52251957c57>52z?11d<5?h16>8:513`8yv22?3:1>v3;5d8712=:<<21>:o4}r0766c=:=201?:l:36;?843;3;9o6s|22294?4|5;9;6?7i;<3f3?75m2wx>o?50;0x97g?2h201?l=:35b?xu5j:0;6?u22c6962g<5;kh6<>h5a49>660=i<16>8:526c8yv4fl3:1>v3=b3826f=::ho1>:o4}r0bb?6=:r79n=4=7`9>6g2=9;o0q~:?e;296~;5<80j463=66802f=#;>h18=j4n25b>5=z{=:h6=4={<074?g?348=;7=9a:&03g<38m1e?:o51:p041=838p1?8=:`:897002:=<7)=8b;63`>h4?h097p};1783>7}::?;1m5522759720<,:=i69>k;o14e?5:97>52z?1259n:59~w1732909w0<:f;c;?841?39<86*<7c874a=i;>k196s|40194?4|5;?n6l64=344>6143-960b=i116>;953608 61e2=:o7c=8a;58yv2693:1>v3=5b8b<>;5>>08;<5+36`905b5<5s48>n7o7;<053?51n2.8;o4;0e9m72g=12wx8=h50;0x97002:"4?k0?{t<9h1<760>3488i7:?b:&03g<38m1e?:o5b:p670=83>p1?:>:3;e?841?3h>70<<5;012>;5;5+36`96735<3s48?<7<6f:?12261e=:;>0(>9m:306?k50i3;0q~<=f;290~;5>;095k522759g5=:::?1>?h4=36`>74a3-9h4?:5y>637=:0l01?88:cd897522;8n70<;c;01a>"4?k09>85a36c97>{t:;n1<7:t=343>7?a348=;7lj;<001?45l2798n4=2e9'72d=:;?0b>9n:59~w74d290?w0<:f;0:b>;5>>0ih63=34816f=::=i1>?m4$25a>7423g9631=jj16>>;523`8972d2;8i7)=8b;011>h4?h0=7p}=2`83>1}::4h4=344>gd<5;9>6??750;6x973d2;3m70<97;`b?844=389563=4b816<=#;>h1>?;4n25b>==z{;836=4;{<06f?4>n279::4m8:?170<5:116>9m523:8 61e2;8>7c=8a;;8yv45?3:1:v3=3g81=c=::?=1n:522749f2=:::?1>?94=36`>740348ji7?=d:&03g<5:<1e?:o5a:p675=837423g95<5s4o96?o=;44c3-9dd<5l81>l>4=ba957e<,:=i6h:4n25b>4=z{:i;6=4<{<1af?4f=278:;4>2g9>70`=9;l0(>9m:2`e?k50i3:0q~=me;297~;4jk09m>52374957b<5:?m6<;|q0fa<72:q6?ol52`089601288h70=:f;31a>"4?k08nk5a36c96>{t;ki1<7=t=2`a>7g7349=:7?=e:?01c<6:j1/?:l53cd8j61f2:1v9>8:181841>39=i63=538742=#;>h18=84n25b>5=z{=:>6=4={<052?511279?h4;049'72d=<9<0b>9n:09~w6`42909w0=je;0b1>;5j90:>k5+36`97c45<5s49ni7h?:18185bm38j>63=b1826a=#;>h1?k<4n25b>7=z{:om6=4={<1fa?4f8279n=4>2b9'72d=;o80b>9n:29~yg2413:1h7j516yO7c>=9rB:j?5rL2d:>7}i{#;kk1=?=4o5a4>5<5<5<>o5i10;66g=c283>>o4;?0;66g>3583>>o5l10;66g>8`83>>d1:3:1?7<54zN0b=<6sA;m>6sC3g;95~"3m9097p*>of<3:17o950;194?6|,:hj6h94H2d5?I5a03;p(<=9:5f`?xo?k3:17do7:188k7?a2900qoo8:181>5<7s-9im7?<7:J0b3=n9;h1<75`26c94?=z{h>1<7v38:3;e?[4>n2wx>:;50;0xZd><5>0j46srb547>5<42808wA=i8;3xL4`53tF8j44>{%6f4?45;hc7>5<0;6>4?:1y'7gg=m>1C?k84i9a94?=ni10;66a=9g83>>{ti=0;6?uQa59>3?>d3ty9;>4?:3y>3?4>n2T95k5rs357>5<5sWk37095a99~yg2d?3:187?54zN0b=<6sA;m>6sC3g;95~"3m9097p*>of<3:17d68:188f2<72=0;6=u+3cc9ad=O;o<0e5m50;9je=<722c95h4?::m1=c<722wxm94?:3y]e1=:?32h7p}77;296~X??27<6?7j;|q136<72;q6;7<6f:\1=c=z{;=?6=4={_c;?81=i11vqo66:180>5<7s-9im7<8f:J0b3=n9;i1<75f13f94?=h:>k1<75rb26`>5<4290;w)=ma;76?M5a>2c:>n4?::k26a<722e9;l4?::a71?=83>1<7>t$2`b>0?<@:l=7d?=c;29?l75l3:17d?=e;29?j40i3:17pl<4c83>0<729q/?oo55c9K7c05<5<50z&0fd<212B8j;5f13a94?=n9;n1<75f13g94?=h:>k1<75rb5aa>5<3290;w)=ma;7;?M5a>2c:>n4?::k26a<722c:>h4?::m13d<722wi:94?:383>5}#;kk1=>94H2d5?l75j3:17b<8a;29?xd3>h0;6?4?:1y'7gg=9:=0D>h9;h31f?6=3f85;|`7ga<72;0;6=u+3cc9561<@:l=7d?=b;29?j40i3:17p};c683>7}YuQ19f8962>288h70=;b;31a>{t1<7{t<=81<7:t^561?82d?32<7066:00`?803288i7p}78;290~X?027357<8a:?72=<6:m168nl513f8yvc1290?wSk9;<41>d2<5=d252z\1e==:;=i1=?m4}r0`7?6=;rT9o>5235;957c<5:>i6<84=26f>44b3ty:?94?:2y]562<5=<36<i650;1xZ7b?349?57?=d:?00g<6:m1v<6n:181[7?i2788h4>2g9~w1ed2909w0:l7;c;?82dl387}:>;095k5288826a=z{=<26=4={<650?g?34>=m7<8a:p71b=838p1>:l:00g?853m38;3kk0:>n5rs26;>5<5s49?57<8a:?00`<6:j1v>:n:181853j38=09;l5rs544>5<5s4>=47<8a:?72d<6:k1vqo:n2;297?7=;rF8j54>{I3e6>{K;o31=v*;e185?x"4jh0:j:5fe083>>o4k:0;66a<6983>>d029086=4?{%1ae?>f3A9m:6g>2c83>>odi3:17b<8a;29?xub93:1>vPj1:?4>fg52z?4>71f3W9=46s|26694?4|V:i8709513`8yxd3<<0;6>4>:2yO7c>=9rB:j?5rL2d:>4}#>i5?h0;66s|e083>7}Ym816;7mn;|q136<72;q6;7<8a:\02==z{;=?6=4={_1`7>;0288i7psm46d94?5=939p@>h7:0yK5c43=z,:hj65<5<0;6>4?:1y'7gg=0h1C?k84i00a>5<:=50;0x92<5?h1U?;64}r040?6=:rT8o>527;31f>{zj=km6=4<:080I5a03;pDn<784}%1ae?7a?2cn=7>5;h1`7?6=3f9=47>5;c594?5=83:p(>ln:9c8L6`13`;9n7>5;hab>5<5<5sWo:7095c`9~w7142909w09526c8Z60?3ty9;94?:3y]7f5<5>0:>o5r}c6ab?6=;3;1?vBf69ja4<722c8o>4?::m02=<722h<6=4<:183!5ei32j7E=i6:k26g<722chm7>5;n04e?6=3tyn=7>52z\f5>;02jk0q~<83;296~;02;=j7S=98:p622=838pR>m<;<5957d5}#;kk1=>94H2d5?l75j3:17b<8a;29?xd3<80;6?4?:1y'7gg=9:=0D>h9;h31f?6=3f85;|`70c<72;0;6=u+3cc9561<@:l=7d?=b;29?j40i3:17pl;3g83>6<729q/?oo526g8L6`13`;9o7>5;h31`?6=3f85;|`7e1<72;0;6=u+3cc9561<@:l=7d?=b;29?j40i3:17p};a383>1}Yj>63;a3802==z{=<>6=4={_651>;3;00?:95rs560>5<3sW>??63;de8707=:<:h189<4=51:>1253ty?o54?:3y]0f><5=9269m8;|q7ea<72:qU8lj4=5fg>1gd34>8n7:nc:p0d>=838pR9o7;<6g`?2f02wx4k4?:3y]474}r6:g?6=:rT?5n524ef90>87>52z\711=:<:k188>4}r6:e?6=:rT?5l524ef90=d==7>52z\724=:3:1:vPj6:?773<>l27?hi46d:?77g<>l27??946d:?77<2wx8;850;0xZ10134>8m7:94:p012=838pR9:;;<671?5102wx89k50;0xZ12b34>?j7<8a:p02g=838pR99n;<600?20i2wx84l50;0xZ1?e34>oh7:m9:p0f?=838pR9m6;<60e?2d?2wx8lk50;0xZ1gb34>jj7=98:p0=`=838pR96i;<6g`?2?n2wx5=4?:3y]=5=:<:k15=5rs9f94?4|V1n019=n:9f8yv24k3:1>vP;3b9>06b=:>k0q~:;0;296~X3<91689?526c8yv20l3:1?vP;7e9>0ab=<>i019=m:55`?xu3i?0;6?uQ4`4891bc2=k=7p};6c83>7}Y7}Yl0;6?uQ47g8915e2=7}Y<>8019=n:551?xu3ko0;68uQ4bd891512=in70:kd;6`a>;3;k0?oh5242690fc>=7>52z\714=:<:h188?4}r157?6=:r7?hi4<659>062=;?90q~=<7;296~;3;?08?:5242;976052z?77g<6<1168>o515:8yv7?l3:1?v3;37824>c3ty:?54?:3y>06d=9:2019=n:01;?xu3i:0;6?u24`09a4=:1>:o4}r3;a?6=:r7??o4>8d9>06?=91o0q~85395891532:2<7p};c;296~;3;h0?n63;3g826a=z{=n1<71d<5=9m6<o546a8911a2:i87p}<5b83>7}:63d3ty347>55z?773{t9?k1<740c34>8m7?9a:p5<7=838p19=m:0;2?824i3;2=6s|4`794?4|5=9?69o:;<6b0?75j2wx;h4?:6y>06g=?l168>:57d9>013=m8168:h5e09>0d`=m8168oh5e09>01`=9;h0q~?;d;296~;3;?0:8i5242`951bi<7>52z?77d<3ij168lh53b18yv24m3:1>v3;3e826g=:<:l1>:o4}r0b06?=:h20q~?9f;296~;3;k0::k5242c95=?52z?773<5k:168ij52b18yv7c?3:1>v3;3c82`2=:<:k1=i94}r672?6=:r7??l4;469>013=;j90q~=<6;297~;3;?08?;524ef9760<5=9i6>=9;|q271<72;q68>851268915>289?7p};c183>7}:<:k18oj4=5`e>6e43ty9h54?:3y>0ab=:m2019=6:3f;?xu60h0;6?u242`95=g<5=926<6n;|q2f`<72;q68>l51cg8915f28n=7p}:4;296~;3;k0>863;40826g=z{;=86=4={<1e3?75127?m?427?hi4=b79'72d=:k=0b>9n:09~w7e62909wS9n:29~w7da2909wS9n:49~w7dc2909wS9n:69~w7de2909wS9n:89~w7d>2909wS9n:c9~w22=838pR::4=515>14<,:=i6:=4n25b>5=z{>81<79m:618j61f281v:?50;1xZ27<5=9=6>h4=5fg>6`<,:=i6:=4n25b>7=z{>:1<7=t^62891512:n019jk:2f8 61e2>90b>9n:29~w3c=839pR;k4=515>6d<5=no6>l4$25a>259m:618j61f2<1v;m50;1xZ3e<5=9=6>74=5fg>6?<,:=i6:=4n25b>3=z{?h1<7=t^7`891512:2019jk:2:8 61e2>90b>9n:69~w3g=839pR;o4=515>61<5=no6>94$25a>259m:618j61f201v;650;1xZ3><5=9=6>;4=5fg>63<,:=i6:=4n25b>d=z{?=1<7=t^75891512:>019jk:268 61e2>90b>9n:c9~w30=839pR;84=515>65<5=no6>=4$25a>259m:618j61f2m1v:m50;1xZ2e<5=9=69o4=5fg>1g<,:=i6:=4n25b>`=z{>h1<7=t^6`891512=3019jk:5;8 61e2>90b>9n:g9~w2g=838pR:o4=5fg>1><,:=i6:=4n25b>46<7)=8b;50?k50i3;:7p}88;296~X0027?hi4;6:&03g<0;2d8;l4>2:p32<72;qU;:524ef900=#;>h1;>5a36c956=z{><1<70(>9m:618j61f28>0q~9::181[1234>oh7:<;%14f?143g9"4?k0{zutwKLNu>a1:97c64jmk;qMNM{1CDU}zHI \ No newline at end of file diff --git a/cpld/XC95144XL/WarpSE.pad b/cpld/XC95144XL/WarpSE.pad index ae06fc2..1f8a6c8 100644 --- a/cpld/XC95144XL/WarpSE.pad +++ b/cpld/XC95144XL/WarpSE.pad @@ -1,7 +1,7 @@ Release 8.1i - Fit P.20131013 Copyright(c) 1995-2003 Xilinx Inc. All rights reserved - 4- 7-2023 2:26AM + 4- 9-2023 4:14AM NOTE: This file is designed to be imported into a spreadsheet program such as Microsoft Excel for viewing, printing and sorting. The pipe '|' @@ -76,7 +76,7 @@ P55|RA<10>|O|I/O|OUTPUT||||||||| P56|RA<9>|O|I/O|OUTPUT||||||||| P57|VCC||VCCINT|||||||||| P58|C25MEN|O|I/O|OUTPUT||||||||| -P59|C20MEN|O|I/O|OUTPUT||||||||| +P59|TIE||I/O|||||||||| P60|TIE||I/O|||||||||| P61|TIE||I/O|||||||||| P62|GND||GND|||||||||| diff --git a/cpld/XC95144XL/WarpSE.pnx b/cpld/XC95144XL/WarpSE.pnx index 68b4bce..64c8360 100644 --- a/cpld/XC95144XL/WarpSE.pnx +++ b/cpld/XC95144XL/WarpSE.pnx @@ -15,4 +15,4 @@ sr (SLOW|FAST|slow|fast) "SLOW" dir (BIDIR|bidir|INPUT|input|OUTPUT|output) "BIDIR"> ]> - + diff --git a/cpld/XC95144XL/WarpSE.prj b/cpld/XC95144XL/WarpSE.prj index 8ca6847..dc97722 100644 --- a/cpld/XC95144XL/WarpSE.prj +++ b/cpld/XC95144XL/WarpSE.prj @@ -1,7 +1,7 @@ -verilog work "RAM.v" -verilog work "IOBS.v" -verilog work "IOBM.v" -verilog work "FSB.v" -verilog work "CS.v" -verilog work "CNT.v" -verilog work "WarpSE.v" +verilog work "../RAM.v" +verilog work "../IOBS.v" +verilog work "../IOBM.v" +verilog work "../FSB.v" +verilog work "../CS.v" +verilog work "../CNT.v" +verilog work "../WarpSE.v" diff --git a/cpld/XC95144XL/WarpSE.rpt b/cpld/XC95144XL/WarpSE.rpt index 7c6d42b..a3f9556 100644 --- a/cpld/XC95144XL/WarpSE.rpt +++ b/cpld/XC95144XL/WarpSE.rpt @@ -1,7 +1,7 @@ cpldfit: version P.20131013 Xilinx Inc. Fitter Report -Design Name: WarpSE Date: 4- 7-2023, 2:26AM +Design Name: WarpSE Date: 4- 9-2023, 4:14AM Device Used: XC95144XL-10-TQ100 Fitting Status: Successful @@ -9,22 +9,22 @@ Fitting Status: Successful Macrocells Product Terms Function Block Registers Pins Used/Tot Used/Tot Inps Used/Tot Used/Tot Used/Tot -121/144 ( 84%) 395 /720 ( 55%) 236/432 ( 55%) 97 /144 ( 67%) 71 /81 ( 88%) +125/144 ( 87%) 438 /720 ( 61%) 285/432 ( 66%) 100/144 ( 69%) 70 /81 ( 86%) ** Function Block Resources ** Function Mcells FB Inps Pterms IO Block Used/Tot Used/Tot Used/Tot Used/Tot -FB1 18/18* 24/54 24/90 11/11* -FB2 5/18 4/54 5/90 8/10 -FB3 18/18* 35/54 39/90 10/10* -FB4 15/18 39/54 77/90 10/10* -FB5 17/18 35/54 64/90 8/10 +FB1 18/18* 28/54 40/90 11/11* +FB2 15/18 16/54 16/90 8/10 +FB3 16/18 39/54 81/90 10/10* +FB4 17/18 42/54 62/90 10/10* +FB5 11/18 42/54 38/90 8/10 FB6 18/18* 36/54 68/90 10/10* -FB7 18/18* 24/54 37/90 8/10 -FB8 12/18 39/54 81/90 6/10 +FB7 18/18* 41/54 52/90 7/10 +FB8 12/18 41/54 81/90 6/10 ----- ----- ----- ----- - 121/144 236/432 395/720 71/81 + 125/144 285/432 438/720 70/81 * - Resource is exhausted @@ -40,18 +40,18 @@ Global set/reset net(s) unused. Signal Type Required Mapped | Pin Type Used Total ------------------------------------|------------------------------------ -Input : 32 32 | I/O : 65 73 -Output : 35 35 | GCK/IO : 3 3 +Input : 32 32 | I/O : 64 73 +Output : 34 34 | GCK/IO : 3 3 Bidirectional : 1 1 | GTS/IO : 3 4 GCK : 3 3 | GSR/IO : 0 1 GTS : 0 0 | GSR : 0 0 | ---- ---- - Total 71 71 + Total 70 70 ** Power Data ** -There are 121 macrocells in high performance mode (MCHP). +There are 125 macrocells in high performance mode (MCHP). There are 0 macrocells in low power mode (MCLP). End of Mapped Resource Summary ************************** Errors and Warnings *************************** @@ -73,6 +73,8 @@ INFO:Cpld - Inferring BUFG constraint for signal 'FCLK' based upon the LOC in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored. +WARNING:Cpld:1007 - Removing unused input(s) 'C20MEN'. The input(s) are unused + after optimization. Please verify functionality via simulation. WARNING:Cpld:1007 - Removing unused input(s) 'SW<1>'. The input(s) are unused after optimization. Please verify functionality via simulation. WARNING:Cpld:1007 - Removing unused input(s) 'SW<2>'. The input(s) are unused @@ -83,182 +85,186 @@ WARNING:Cpld:1007 - Removing unused input(s) 'nBG_IOB'. The input(s) are unused after optimization. Please verify functionality via simulation. ************************* Summary of Mapped Logic ************************ -** 36 Outputs ** +** 35 Outputs ** -Signal Total Total Loc Pin Pin Pin Pwr Slew Reg Init -Name Pts Inps No. Type Use Mode Rate State -nDTACK_FSB 8 17 FB3_9 28 I/O O STD FAST RESET -nROMWE 1 2 FB3_17 34 I/O O STD FAST -nAoutOE 2 4 FB4_2 87 I/O O STD FAST SET -nDoutOE 2 5 FB4_5 89 I/O O STD FAST -nDinOE 3 6 FB4_6 90 I/O O STD FAST -nRES 1 1 FB4_8 91 I/O I/O STD FAST -nVPA_FSB 3 9 FB4_11 93 I/O O STD FAST RESET -nROMCS 2 5 FB5_2 35 I/O O STD FAST -nCAS 1 1 FB5_5 36 I/O O STD FAST RESET -nOE 1 2 FB5_6 37 I/O O STD FAST -RA<4> 2 3 FB5_9 40 I/O O STD FAST -RA<3> 2 3 FB5_11 41 I/O O STD FAST -RA<5> 2 3 FB5_12 42 I/O O STD FAST -RA<2> 2 3 FB5_14 43 I/O O STD FAST -RA<6> 2 3 FB5_15 46 I/O O STD FAST -nVMA_IOB 3 8 FB6_2 74 I/O O STD FAST RESET -nLDS_IOB 6 10 FB6_9 79 I/O O STD FAST RESET -nUDS_IOB 6 10 FB6_11 80 I/O O STD FAST RESET -nAS_IOB 4 9 FB6_12 81 I/O O STD FAST RESET -nADoutLE1 2 3 FB6_14 82 I/O O STD FAST SET -nADoutLE0 1 2 FB6_15 85 I/O O STD FAST -nDinLE 1 2 FB6_17 86 I/O O STD FAST RESET -RA<1> 2 3 FB7_2 50 I/O O STD FAST -RA<7> 2 3 FB7_5 52 I/O O STD FAST -RA<0> 2 3 FB7_6 53 I/O O STD FAST -RA<8> 2 3 FB7_8 54 I/O O STD FAST -RA<10> 2 3 FB7_9 55 I/O O STD FAST -RA<9> 2 3 FB7_11 56 I/O O STD FAST -C25MEN 0 0 FB7_12 58 I/O O STD FAST -C20MEN 0 0 FB7_14 59 I/O O STD FAST -RA<11> 2 3 FB8_2 63 I/O O STD FAST -nRAS 3 7 FB8_5 64 I/O O STD FAST -nRAMLWE 1 4 FB8_6 65 I/O O STD FAST -nRAMUWE 1 4 FB8_8 66 I/O O STD FAST -nBERR_FSB 3 5 FB8_12 70 I/O O STD FAST RESET -nBR_IOB 2 4 FB8_15 72 I/O O STD FAST RESET +Signal Total Total Loc Pin Pin Pin Pwr Slew Reg Init +Name Pts Inps No. Type Use Mode Rate State +nDTACK_FSB 9 17 FB3_9 28 I/O O STD FAST RESET +nROMWE 1 2 FB3_17 34 I/O O STD FAST +nAoutOE 2 4 FB4_2 87 I/O O STD FAST SET +nDoutOE 2 5 FB4_5 89 I/O O STD FAST +nDinOE 3 6 FB4_6 90 I/O O STD FAST +nRES 1 1 FB4_8 91 I/O I/O STD FAST +nVPA_FSB 3 12 FB4_11 93 I/O O STD FAST RESET +nROMCS 2 5 FB5_2 35 I/O O STD FAST +nCAS 1 1 FB5_5 36 I/O O STD FAST RESET +nOE 1 2 FB5_6 37 I/O O STD FAST +RA<4> 2 3 FB5_9 40 I/O O STD FAST +RA<3> 2 3 FB5_11 41 I/O O STD FAST +RA<5> 2 3 FB5_12 42 I/O O STD FAST +RA<2> 2 3 FB5_14 43 I/O O STD FAST +RA<6> 2 3 FB5_15 46 I/O O STD FAST +nVMA_IOB 3 8 FB6_2 74 I/O O STD FAST RESET +nLDS_IOB 6 10 FB6_9 79 I/O O STD FAST RESET +nUDS_IOB 6 10 FB6_11 80 I/O O STD FAST RESET +nAS_IOB 4 9 FB6_12 81 I/O O STD FAST RESET +nADoutLE1 2 3 FB6_14 82 I/O O STD FAST SET +nADoutLE0 1 2 FB6_15 85 I/O O STD FAST +nDinLE 1 2 FB6_17 86 I/O O STD FAST RESET +RA<1> 2 3 FB7_2 50 I/O O STD FAST +RA<7> 2 3 FB7_5 52 I/O O STD FAST +RA<0> 2 3 FB7_6 53 I/O O STD FAST +RA<8> 2 3 FB7_8 54 I/O O STD FAST +RA<10> 2 3 FB7_9 55 I/O O STD FAST +RA<9> 2 3 FB7_11 56 I/O O STD FAST +C25MEN 0 0 FB7_12 58 I/O O STD FAST +RA<11> 2 3 FB8_2 63 I/O O STD FAST +nRAS 3 7 FB8_5 64 I/O O STD FAST +nRAMLWE 1 4 FB8_6 65 I/O O STD FAST +nRAMUWE 1 4 FB8_8 66 I/O O STD FAST +nBERR_FSB 3 5 FB8_12 70 I/O O STD FAST RESET +nBR_IOB 2 4 FB8_15 72 I/O O STD FAST RESET -** 85 Buried Nodes ** +** 90 Buried Nodes ** -Signal Total Total Loc Pwr Reg Init -Name Pts Inps Mode State -iobs/IODONEr 1 1 FB1_1 STD RESET -iobs/IOACTr 1 1 FB1_2 STD RESET -iobm/VPAr 1 1 FB1_3 STD RESET -iobm/IOWRREQr 1 1 FB1_4 STD RESET -iobm/IOS_FSM_FFd5 1 1 FB1_5 STD RESET -iobm/IOS_FSM_FFd4 1 1 FB1_6 STD RESET -iobm/IOS_FSM_FFd1 1 1 FB1_7 STD RESET -iobm/IORDREQr 1 1 FB1_8 STD RESET -iobm/Er 1 1 FB1_9 STD RESET -iobm/C8Mr 1 1 FB1_10 STD RESET -cnt/nIPL2r 1 1 FB1_11 STD RESET -cnt/Er<0> 1 1 FB1_12 STD RESET -ALE0S 1 1 FB1_13 STD RESET -iobs/IOU1 2 2 FB1_14 STD RESET -iobs/IOL1 2 2 FB1_15 STD RESET -iobm/IOS_FSM_FFd2 2 4 FB1_16 STD RESET -IOBERR 2 2 FB1_17 STD RESET -iobm/ES<2> 3 5 FB1_18 STD RESET -ram/RS_FSM_FFd5 1 1 FB2_14 STD RESET -ram/RS_FSM_FFd3 1 1 FB2_15 STD RESET -ram/RS_FSM_FFd2 1 1 FB2_16 STD RESET -ram/RS_FSM_FFd1 1 1 FB2_17 STD RESET -ram/RASrf 1 1 FB2_18 STD RESET -ram/BACTr 1 2 FB3_1 STD RESET -fsb/ASrf 1 1 FB3_2 STD RESET -cnt/LTimerTC 2 16 FB3_3 STD RESET -cnt/LTimer<9> 2 12 FB3_4 STD RESET -cnt/LTimer<8> 2 11 FB3_5 STD RESET -cnt/LTimer<7> 2 10 FB3_6 STD RESET -cnt/LTimer<6> 2 9 FB3_7 STD RESET -cnt/LTimer<5> 2 8 FB3_8 STD RESET -cnt/LTimer<4> 2 7 FB3_10 STD RESET -cnt/LTimer<3> 2 6 FB3_11 STD RESET -cnt/LTimer<2> 2 5 FB3_12 STD RESET -cnt/LTimer<1> 2 4 FB3_13 STD RESET -cnt/LTimer<12> 2 15 FB3_14 STD RESET -cnt/LTimer<11> 2 14 FB3_15 STD RESET -cnt/LTimer<10> 2 13 FB3_16 STD RESET -IOPWReady 2 5 FB3_18 STD RESET -nRESout 1 2 FB4_1 STD RESET +Signal Total Total Loc Pwr Reg Init +Name Pts Inps Mode State +iobs/Clear1 1 2 FB1_1 STD RESET +iobm/Er 1 1 FB1_2 STD RESET +cnt/nIPL2r 1 1 FB1_3 STD RESET +cnt/Er<1> 1 1 FB1_4 STD RESET +cnt/Er<0> 1 1 FB1_5 STD RESET +ram/RS_FSM_FFd4 2 4 FB1_6 STD RESET +iobs/TS_FSM_FFd1 2 3 FB1_7 STD RESET +iobs/IOU1 2 2 FB1_8 STD RESET +cnt/Timer<0> 2 4 FB1_9 STD RESET +cnt/IS_FSM_FFd2 2 6 FB1_10 STD RESET +RefUrg 2 6 FB1_11 STD RESET +RefReq 2 6 FB1_12 STD RESET +IOPWReady 2 3 FB1_13 STD RESET +IOBERR 2 2 FB1_14 STD RESET +iobm/ES<2> 3 5 FB1_15 STD RESET +cnt/Timer<1> 4 5 FB1_16 STD RESET +cnt/Timer<3> 5 7 FB1_17 STD RESET +cnt/Timer<2> 5 6 FB1_18 STD RESET +ram/RS_FSM_FFd5 1 1 FB2_4 STD RESET +ram/RS_FSM_FFd3 1 1 FB2_5 STD RESET +ram/RS_FSM_FFd2 1 1 FB2_6 STD RESET +ram/RS_FSM_FFd1 1 1 FB2_7 STD RESET +ram/RASrf 1 1 FB2_8 STD RESET +iobs/IODONEr 1 1 FB2_9 STD RESET +iobs/IOACTr 1 1 FB2_10 STD RESET +iobm/VPAr 1 1 FB2_11 STD RESET +iobm/IOWRREQr 1 1 FB2_12 STD RESET +iobm/IOS_FSM_FFd5 1 1 FB2_13 STD RESET +iobm/IOS_FSM_FFd4 1 1 FB2_14 STD RESET +iobm/IOS_FSM_FFd1 1 1 FB2_15 STD RESET +iobm/IORDREQr 1 1 FB2_16 STD RESET +iobm/C8Mr 1 1 FB2_17 STD RESET +iobm/IOS_FSM_FFd2 2 4 FB2_18 STD RESET +iobs/Sent 13 18 FB3_1 STD RESET +ram/RefDone 2 5 FB3_2 STD RESET +ram/RS_FSM_FFd7 2 7 FB3_3 STD RESET +cs/nOverlay 2 5 FB3_4 STD RESET +ram/RS_FSM_FFd6 3 7 FB3_5 STD RESET +ram/RASrr 4 9 FB3_6 STD RESET +ram/RASEL 3 8 FB3_7 STD RESET -Signal Total Total Loc Pwr Reg Init -Name Pts Inps Mode State -ram/RS_FSM_FFd8 11 12 FB4_3 STD SET -iobs/IORW1 8 19 FB4_4 STD RESET -IORDREQ 9 15 FB4_9 STD RESET -cs/ODCSr 2 6 FB4_10 STD RESET -iobs/Load1 8 18 FB4_12 STD RESET -iobs/TS_FSM_FFd1 2 3 FB4_13 STD RESET -RAMReady 10 13 FB4_15 STD RESET -ram/RS_FSM_FFd7 2 7 FB4_16 STD RESET -iobs/Sent 13 18 FB4_17 STD RESET -ram/RAMEN 12 14 FB5_3 STD RESET -ram/RASrr 4 9 FB5_4 STD RESET -ram/RS_FSM_FFd6 9 12 FB5_7 STD RESET -ram/Once 3 8 FB5_8 STD RESET -ram/RASEL 3 8 FB5_10 STD RESET -cs/nOverlay 2 5 FB5_13 STD RESET -ram/RS_FSM_FFd4 2 4 FB5_16 STD RESET -ram/RefDone 2 5 FB5_17 STD RESET -ram/CAS 13 14 FB5_18 STD RESET -iobm/IOS_FSM_FFd6 2 5 FB6_1 STD RESET -iobm/IOS_FSM_FFd7 3 6 FB6_3 STD SET -iobm/IOS_FSM_FFd3 3 5 FB6_4 STD RESET -iobm/ES<0> 3 6 FB6_5 STD RESET -iobm/ES<3> 4 6 FB6_6 STD RESET -iobm/ES<1> 4 6 FB6_7 STD RESET -iobm/DoutOE 4 8 FB6_8 STD RESET -IODONE 4 8 FB6_10 STD RESET -iobm/IOS0 5 12 FB6_13 STD RESET -ALE0M 5 11 FB6_16 STD RESET -IOACT 8 14 FB6_18 STD RESET -cnt/LTimer<0> 1 3 FB7_1 STD RESET -cnt/INITS_FSM_FFd1 1 7 FB7_3 STD RESET -cnt/Er<1> 1 1 FB7_4 STD RESET -cnt/TimerTC 2 6 FB7_7 STD RESET -cnt/Timer<0> 2 4 FB7_10 STD RESET -cnt/INITS_FSM_FFd2 2 6 FB7_13 STD RESET -RefReq 2 5 FB7_15 STD RESET -cnt/Timer<1> 4 5 FB7_16 STD RESET -cnt/Timer<2> 5 6 FB7_17 STD RESET -RefUrg 5 7 FB7_18 STD RESET -iobs/TS_FSM_FFd2 14 19 FB8_4 STD RESET +Signal Total Total Loc Pwr Reg Init +Name Pts Inps Mode State +ram/Once 3 8 FB3_8 STD RESET +RAMReady 4 8 FB3_10 STD RESET +ram/RS_FSM_FFd8 5 11 FB3_11 STD SET +ram/RAMEN 6 10 FB3_12 STD RESET +ram/CAS 7 13 FB3_14 STD RESET +iobs/Load1 8 17 FB3_15 STD RESET +IORDREQ 9 15 FB3_16 STD RESET +QoSReady 18 25 FB4_1 STD RESET +fsb/ASrf 1 1 FB4_3 STD RESET +cnt/WS<0> 1 3 FB4_4 STD RESET +$OpTx$$OpTx$FX_DC$354_INV$541 1 2 FB4_7 STD +iobs/IOL1 2 2 FB4_9 STD RESET +cs/ODCSr 2 6 FB4_10 STD RESET +cnt/WS<2> 3 5 FB4_12 STD RESET +cnt/WS<1> 3 4 FB4_13 STD RESET +IONPReady 5 16 FB4_14 STD RESET +cnt/LTimer<1> 11 24 FB4_15 STD RESET +cnt/WS<3> 3 6 FB4_16 STD RESET +nRESout 1 2 FB4_17 STD RESET +cnt/LTimerTC 1 12 FB5_13 STD RESET +ALE0S 1 1 FB5_16 STD RESET +cnt/LTimer<0> 22 34 FB5_18 STD RESET +iobm/IOS_FSM_FFd6 2 5 FB6_1 STD RESET +iobm/IOS_FSM_FFd7 3 6 FB6_3 STD SET +iobm/IOS_FSM_FFd3 3 5 FB6_4 STD RESET +iobm/ES<0> 3 6 FB6_5 STD RESET +iobm/ES<3> 4 6 FB6_6 STD RESET +iobm/ES<1> 4 6 FB6_7 STD RESET +iobm/DoutOE 4 8 FB6_8 STD RESET +IODONE 4 8 FB6_10 STD RESET +iobm/IOS0 5 12 FB6_13 STD RESET +ALE0M 5 11 FB6_16 STD RESET +IOACT 8 14 FB6_18 STD RESET +cnt/LTimer<9> 3 15 FB7_1 STD RESET +cnt/LTimer<8> 3 14 FB7_3 STD RESET +cnt/LTimer<7> 3 13 FB7_4 STD RESET +cnt/LTimer<6> 3 12 FB7_7 STD RESET +cnt/LTimer<5> 3 11 FB7_10 STD RESET +cnt/LTimer<4> 3 10 FB7_13 STD RESET +cnt/LTimer<3> 3 9 FB7_14 STD RESET -Signal Total Total Loc Pwr Reg Init -Name Pts Inps Mode State -IOWRREQ 15 21 FB8_9 STD RESET -IOU0 17 21 FB8_13 STD RESET -iobs/Clear1 1 2 FB8_16 STD RESET -IONPReady 5 17 FB8_17 STD RESET -IOL0 17 21 FB8_18 STD RESET +Signal Total Total Loc Pwr Reg Init +Name Pts Inps Mode State +cnt/LTimer<2> 3 8 FB7_15 STD RESET +cnt/LTimer<11> 3 17 FB7_16 STD RESET +cnt/LTimer<10> 3 16 FB7_17 STD RESET +cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 10 36 FB7_18 STD +IOL0 16 21 FB8_1 STD RESET +iobs/TS_FSM_FFd2 13 19 FB8_3 STD RESET +IOWRREQ 15 21 FB8_9 STD RESET +IOU0 16 21 FB8_14 STD RESET +cnt/IS_FSM_FFd1 1 7 FB8_16 STD RESET +iobs/IORW1 8 18 FB8_17 STD RESET ** 35 Inputs ** -Signal Loc Pin Pin Pin -Name No. Type Use -A_FSB<13> FB1_2 11 I/O I -A_FSB<14> FB1_3 12 I/O I -A_FSB<15> FB1_5 13 I/O I -A_FSB<16> FB1_6 14 I/O I -A_FSB<17> FB1_8 15 I/O I -A_FSB<18> FB1_9 16 I/O I -A_FSB<19> FB1_11 17 I/O I -A_FSB<20> FB1_12 18 I/O I -A_FSB<21> FB1_14 19 I/O I -A_FSB<22> FB1_15 20 I/O I -C16M FB1_17 22 GCK/I/O GCK -A_FSB<5> FB2_6 2 GTS/I/O I -A_FSB<6> FB2_8 3 GTS/I/O I -A_FSB<7> FB2_9 4 GTS/I/O I -A_FSB<8> FB2_11 6 I/O I -A_FSB<9> FB2_12 7 I/O I -A_FSB<10> FB2_14 8 I/O I -A_FSB<11> FB2_15 9 I/O I -A_FSB<12> FB2_17 10 I/O I -C8M FB3_2 23 GCK/I/O GCK/I -A_FSB<23> FB3_5 24 I/O I -E FB3_6 25 I/O I -FCLK FB3_8 27 GCK/I/O GCK -nWE_FSB FB3_11 29 I/O I -nLDS_FSB FB3_12 30 I/O I -nAS_FSB FB3_14 32 I/O I -nUDS_FSB FB3_15 33 I/O I -nIPL2 FB4_9 92 I/O I -A_FSB<1> FB4_12 94 I/O I -A_FSB<2> FB4_14 95 I/O I -A_FSB<3> FB4_15 96 I/O I -A_FSB<4> FB4_17 97 I/O I -nBERR_IOB FB6_5 76 I/O I -nVPA_IOB FB6_6 77 I/O I -nDTACK_IOB FB6_8 78 I/O I +Signal Loc Pin Pin Pin +Name No. Type Use +A_FSB<13> FB1_2 11 I/O I +A_FSB<14> FB1_3 12 I/O I +A_FSB<15> FB1_5 13 I/O I +A_FSB<16> FB1_6 14 I/O I +A_FSB<17> FB1_8 15 I/O I +A_FSB<18> FB1_9 16 I/O I +A_FSB<19> FB1_11 17 I/O I +A_FSB<20> FB1_12 18 I/O I +A_FSB<21> FB1_14 19 I/O I +A_FSB<22> FB1_15 20 I/O I +C16M FB1_17 22 GCK/I/O GCK +A_FSB<5> FB2_6 2 GTS/I/O I +A_FSB<6> FB2_8 3 GTS/I/O I +A_FSB<7> FB2_9 4 GTS/I/O I +A_FSB<8> FB2_11 6 I/O I +A_FSB<9> FB2_12 7 I/O I +A_FSB<10> FB2_14 8 I/O I +A_FSB<11> FB2_15 9 I/O I +A_FSB<12> FB2_17 10 I/O I +C8M FB3_2 23 GCK/I/O GCK/I +A_FSB<23> FB3_5 24 I/O I +E FB3_6 25 I/O I +FCLK FB3_8 27 GCK/I/O GCK +nWE_FSB FB3_11 29 I/O I +nLDS_FSB FB3_12 30 I/O I +nAS_FSB FB3_14 32 I/O I +nUDS_FSB FB3_15 33 I/O I +nIPL2 FB4_9 92 I/O I +A_FSB<1> FB4_12 94 I/O I +A_FSB<2> FB4_14 95 I/O I +A_FSB<3> FB4_15 96 I/O I +A_FSB<4> FB4_17 97 I/O I +nBERR_IOB FB6_5 76 I/O I +nVPA_IOB FB6_6 77 I/O I +nDTACK_IOB FB6_8 78 I/O I Legend: Pin No. - ~ - User Assigned @@ -276,275 +282,291 @@ Pin Type/Use - I - Input GCK - Global Clock X - Signal used as input to the macrocell logic. Pin No. - ~ - User Assigned *********************************** FB1 *********************************** -Number of function block inputs used/remaining: 24/30 -Number of signals used by logic mapping into function block: 24 +Number of function block inputs used/remaining: 28/26 +Number of signals used by logic mapping into function block: 28 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -iobs/IODONEr 1 0 0 4 FB1_1 (b) (b) -iobs/IOACTr 1 0 0 4 FB1_2 11 I/O I -iobm/VPAr 1 0 0 4 FB1_3 12 I/O I -iobm/IOWRREQr 1 0 0 4 FB1_4 (b) (b) -iobm/IOS_FSM_FFd5 1 0 0 4 FB1_5 13 I/O I -iobm/IOS_FSM_FFd4 1 0 0 4 FB1_6 14 I/O I -iobm/IOS_FSM_FFd1 1 0 0 4 FB1_7 (b) (b) -iobm/IORDREQr 1 0 0 4 FB1_8 15 I/O I -iobm/Er 1 0 0 4 FB1_9 16 I/O I -iobm/C8Mr 1 0 0 4 FB1_10 (b) (b) -cnt/nIPL2r 1 0 0 4 FB1_11 17 I/O I -cnt/Er<0> 1 0 0 4 FB1_12 18 I/O I -ALE0S 1 0 0 4 FB1_13 (b) (b) -iobs/IOU1 2 0 0 3 FB1_14 19 I/O I -iobs/IOL1 2 0 0 3 FB1_15 20 I/O I -iobm/IOS_FSM_FFd2 2 0 0 3 FB1_16 (b) (b) -IOBERR 2 0 0 3 FB1_17 22 GCK/I/O GCK -iobm/ES<2> 3 0 0 2 FB1_18 (b) (b) +iobs/Clear1 1 0 0 4 FB1_1 (b) (b) +iobm/Er 1 0 0 4 FB1_2 11 I/O I +cnt/nIPL2r 1 0 0 4 FB1_3 12 I/O I +cnt/Er<1> 1 0 0 4 FB1_4 (b) (b) +cnt/Er<0> 1 0 0 4 FB1_5 13 I/O I +ram/RS_FSM_FFd4 2 0 0 3 FB1_6 14 I/O I +iobs/TS_FSM_FFd1 2 0 0 3 FB1_7 (b) (b) +iobs/IOU1 2 0 0 3 FB1_8 15 I/O I +cnt/Timer<0> 2 0 0 3 FB1_9 16 I/O I +cnt/IS_FSM_FFd2 2 0 0 3 FB1_10 (b) (b) +RefUrg 2 0 0 3 FB1_11 17 I/O I +RefReq 2 0 0 3 FB1_12 18 I/O I +IOPWReady 2 0 0 3 FB1_13 (b) (b) +IOBERR 2 0 0 3 FB1_14 19 I/O I +iobm/ES<2> 3 0 0 2 FB1_15 20 I/O I +cnt/Timer<1> 4 0 0 1 FB1_16 (b) (b) +cnt/Timer<3> 5 0 0 0 FB1_17 22 GCK/I/O GCK +cnt/Timer<2> 5 0 0 0 FB1_18 (b) (b) Signals Used by Logic in Function Block - 1: C8M 9: iobm/ES<0> 17: iobs/Load1 - 2: E 10: iobm/ES<1> 18: iobs/TS_FSM_FFd2 - 3: IOACT 11: iobm/ES<2> 19: nAS_IOB - 4: IOBERR 12: iobm/Er 20: nBERR_IOB - 5: IODONE 13: iobm/IOS_FSM_FFd2 21: nIPL2 - 6: IORDREQ 14: iobm/IOS_FSM_FFd3 22: nLDS_FSB - 7: IOWRREQ 15: iobm/IOS_FSM_FFd5 23: nUDS_FSB - 8: iobm/C8Mr 16: iobm/IOS_FSM_FFd6 24: nVPA_IOB + 1: E 11: cnt/Timer<3> 20: iobs/TS_FSM_FFd2 + 2: RefUrg 12: iobm/ES<0> 21: nADoutLE1 + 3: cnt/Er<0> 13: iobm/ES<1> 22: nAS_IOB + 4: cnt/Er<1> 14: iobm/ES<2> 23: nBERR_IOB + 5: cnt/IS_FSM_FFd1 15: iobm/Er 24: nIPL2 + 6: cnt/IS_FSM_FFd2 16: iobs/Clear1 25: nUDS_FSB + 7: cnt/LTimerTC 17: iobs/IOACTr 26: ram/RS_FSM_FFd1 + 8: cnt/Timer<0> 18: iobs/Load1 27: ram/RS_FSM_FFd5 + 9: cnt/Timer<1> 19: iobs/TS_FSM_FFd1 28: ram/RefDone + 10: cnt/Timer<2> Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -iobs/IODONEr ....X................................... 1 -iobs/IOACTr ..X..................................... 1 -iobm/VPAr .......................X................ 1 -iobm/IOWRREQr ......X................................. 1 -iobm/IOS_FSM_FFd5 ...............X........................ 1 -iobm/IOS_FSM_FFd4 ..............X......................... 1 -iobm/IOS_FSM_FFd1 ............X........................... 1 -iobm/IORDREQr .....X.................................. 1 -iobm/Er .X...................................... 1 -iobm/C8Mr X....................................... 1 -cnt/nIPL2r ....................X................... 1 -cnt/Er<0> .X...................................... 1 -ALE0S .................X...................... 1 -iobs/IOU1 ................X.....X................. 2 -iobs/IOL1 ................X....X.................. 2 -iobm/IOS_FSM_FFd2 ...XX..X.....X.......................... 4 -IOBERR ..................XX.................... 2 -iobm/ES<2> .X......XXXX............................ 5 +iobs/Clear1 ..................XX.................... 2 +iobm/Er X....................................... 1 +cnt/nIPL2r .......................X................ 1 +cnt/Er<1> ..X..................................... 1 +cnt/Er<0> X....................................... 1 +ram/RS_FSM_FFd4 .X.......................XXX............ 4 +iobs/TS_FSM_FFd1 ................X.XX.................... 3 +iobs/IOU1 .................X......X............... 2 +cnt/Timer<0> .XXX...X................................ 4 +cnt/IS_FSM_FFd2 .XXXXXX................................. 6 +RefUrg ..XX...XXXX............................. 6 +RefReq ..XX...XXXX............................. 6 +IOPWReady ...............X.X..X................... 3 +IOBERR .....................XX................. 2 +iobm/ES<2> X..........XXXX......................... 5 +cnt/Timer<1> .XXX...XX............................... 5 +cnt/Timer<3> .XXX...XXXX............................. 7 +cnt/Timer<2> .XXX...XXX.............................. 6 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB2 *********************************** -Number of function block inputs used/remaining: 4/50 -Number of signals used by logic mapping into function block: 4 +Number of function block inputs used/remaining: 16/38 +Number of signals used by logic mapping into function block: 16 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use (unused) 0 0 0 5 FB2_1 (b) (unused) 0 0 0 5 FB2_2 99 GSR/I/O (unused) 0 0 0 5 FB2_3 (b) -(unused) 0 0 0 5 FB2_4 (b) -(unused) 0 0 0 5 FB2_5 1 GTS/I/O -(unused) 0 0 0 5 FB2_6 2 GTS/I/O I -(unused) 0 0 0 5 FB2_7 (b) -(unused) 0 0 0 5 FB2_8 3 GTS/I/O I -(unused) 0 0 0 5 FB2_9 4 GTS/I/O I -(unused) 0 0 0 5 FB2_10 (b) -(unused) 0 0 0 5 FB2_11 6 I/O I -(unused) 0 0 0 5 FB2_12 7 I/O I -(unused) 0 0 0 5 FB2_13 (b) -ram/RS_FSM_FFd5 1 0 0 4 FB2_14 8 I/O I -ram/RS_FSM_FFd3 1 0 0 4 FB2_15 9 I/O I -ram/RS_FSM_FFd2 1 0 0 4 FB2_16 (b) (b) -ram/RS_FSM_FFd1 1 0 0 4 FB2_17 10 I/O I -ram/RASrf 1 0 0 4 FB2_18 (b) (b) +ram/RS_FSM_FFd5 1 0 0 4 FB2_4 (b) (b) +ram/RS_FSM_FFd3 1 0 0 4 FB2_5 1 GTS/I/O (b) +ram/RS_FSM_FFd2 1 0 0 4 FB2_6 2 GTS/I/O I +ram/RS_FSM_FFd1 1 0 0 4 FB2_7 (b) (b) +ram/RASrf 1 0 0 4 FB2_8 3 GTS/I/O I +iobs/IODONEr 1 0 0 4 FB2_9 4 GTS/I/O I +iobs/IOACTr 1 0 0 4 FB2_10 (b) (b) +iobm/VPAr 1 0 0 4 FB2_11 6 I/O I +iobm/IOWRREQr 1 0 0 4 FB2_12 7 I/O I +iobm/IOS_FSM_FFd5 1 0 0 4 FB2_13 (b) (b) +iobm/IOS_FSM_FFd4 1 0 0 4 FB2_14 8 I/O I +iobm/IOS_FSM_FFd1 1 0 0 4 FB2_15 9 I/O I +iobm/IORDREQr 1 0 0 4 FB2_16 (b) (b) +iobm/C8Mr 1 0 0 4 FB2_17 10 I/O I +iobm/IOS_FSM_FFd2 2 0 0 3 FB2_18 (b) (b) Signals Used by Logic in Function Block - 1: ram/RS_FSM_FFd2 3: ram/RS_FSM_FFd6 4: ram/RS_FSM_FFd7 - 2: ram/RS_FSM_FFd3 + 1: C8M 7: iobm/C8Mr 12: nVPA_IOB + 2: IOACT 8: iobm/IOS_FSM_FFd2 13: ram/RS_FSM_FFd2 + 3: IOBERR 9: iobm/IOS_FSM_FFd3 14: ram/RS_FSM_FFd3 + 4: IODONE 10: iobm/IOS_FSM_FFd5 15: ram/RS_FSM_FFd6 + 5: IORDREQ 11: iobm/IOS_FSM_FFd6 16: ram/RS_FSM_FFd7 + 6: IOWRREQ Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -ram/RS_FSM_FFd5 ...X.................................... 1 -ram/RS_FSM_FFd3 ..X..................................... 1 -ram/RS_FSM_FFd2 .X...................................... 1 -ram/RS_FSM_FFd1 X....................................... 1 -ram/RASrf ...X.................................... 1 +ram/RS_FSM_FFd5 ...............X........................ 1 +ram/RS_FSM_FFd3 ..............X......................... 1 +ram/RS_FSM_FFd2 .............X.......................... 1 +ram/RS_FSM_FFd1 ............X........................... 1 +ram/RASrf ...............X........................ 1 +iobs/IODONEr ...X.................................... 1 +iobs/IOACTr .X...................................... 1 +iobm/VPAr ...........X............................ 1 +iobm/IOWRREQr .....X.................................. 1 +iobm/IOS_FSM_FFd5 ..........X............................. 1 +iobm/IOS_FSM_FFd4 .........X.............................. 1 +iobm/IOS_FSM_FFd1 .......X................................ 1 +iobm/IORDREQr ....X................................... 1 +iobm/C8Mr X....................................... 1 +iobm/IOS_FSM_FFd2 ..XX..X.X............................... 4 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB3 *********************************** -Number of function block inputs used/remaining: 35/19 -Number of signals used by logic mapping into function block: 35 -Signal Total Imp Exp Unused Loc Pin Pin Pin -Name Pt Pt Pt Pt # Type Use -ram/BACTr 1 0 0 4 FB3_1 (b) (b) -fsb/ASrf 1 0 0 4 FB3_2 23 GCK/I/O GCK/I -cnt/LTimerTC 2 0 0 3 FB3_3 (b) (b) -cnt/LTimer<9> 2 0 0 3 FB3_4 (b) (b) -cnt/LTimer<8> 2 0 0 3 FB3_5 24 I/O I -cnt/LTimer<7> 2 0 0 3 FB3_6 25 I/O I -cnt/LTimer<6> 2 0 0 3 FB3_7 (b) (b) -cnt/LTimer<5> 2 0 \/2 1 FB3_8 27 GCK/I/O GCK -nDTACK_FSB 8 3<- 0 0 FB3_9 28 I/O O -cnt/LTimer<4> 2 0 /\1 2 FB3_10 (b) (b) -cnt/LTimer<3> 2 0 0 3 FB3_11 29 I/O I -cnt/LTimer<2> 2 0 0 3 FB3_12 30 I/O I -cnt/LTimer<1> 2 0 0 3 FB3_13 (b) (b) -cnt/LTimer<12> 2 0 0 3 FB3_14 32 I/O I -cnt/LTimer<11> 2 0 0 3 FB3_15 33 I/O I -cnt/LTimer<10> 2 0 0 3 FB3_16 (b) (b) -nROMWE 1 0 0 4 FB3_17 34 I/O O -IOPWReady 2 0 0 3 FB3_18 (b) (b) - -Signals Used by Logic in Function Block - 1: A_FSB<13> 13: RAMReady 25: cnt/LTimer<6> - 2: A_FSB<14> 14: cnt/Er<0> 26: cnt/LTimer<7> - 3: A_FSB<16> 15: cnt/Er<1> 27: cnt/LTimer<8> - 4: A_FSB<17> 16: cnt/LTimer<0> 28: cnt/LTimer<9> - 5: A_FSB<18> 17: cnt/LTimer<10> 29: cnt/TimerTC - 6: A_FSB<19> 18: cnt/LTimer<11> 30: cs/nOverlay - 7: A_FSB<20> 19: cnt/LTimer<12> 31: fsb/ASrf - 8: A_FSB<21> 20: cnt/LTimer<1> 32: iobs/Clear1 - 9: A_FSB<22> 21: cnt/LTimer<2> 33: nADoutLE1 - 10: A_FSB<23> 22: cnt/LTimer<3> 34: nAS_FSB - 11: IONPReady 23: cnt/LTimer<4> 35: nWE_FSB - 12: IOPWReady 24: cnt/LTimer<5> - -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -ram/BACTr ..............................X..X...... 2 -fsb/ASrf .................................X...... 1 -cnt/LTimerTC .............XXXXXXXXXXXXXXXX........... 16 -cnt/LTimer<9> .............XXX...XXXXXXXX.X........... 12 -cnt/LTimer<8> .............XXX...XXXXXXX..X........... 11 -cnt/LTimer<7> .............XXX...XXXXXX...X........... 10 -cnt/LTimer<6> .............XXX...XXXXX....X........... 9 -cnt/LTimer<5> .............XXX...XXXX.....X........... 8 -nDTACK_FSB XXXXXXXXXXXXX................XX..XX..... 17 -cnt/LTimer<4> .............XXX...XXX......X........... 7 -cnt/LTimer<3> .............XXX...XX.......X........... 6 -cnt/LTimer<2> .............XXX...X........X........... 5 -cnt/LTimer<1> .............XXX............X........... 4 -cnt/LTimer<12> .............XXXXX.XXXXXXXXXX........... 15 -cnt/LTimer<11> .............XXXX..XXXXXXXXXX........... 14 -cnt/LTimer<10> .............XXX...XXXXXXXXXX........... 13 -nROMWE .................................XX..... 2 -IOPWReady ...........X..................XXXX...... 5 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 - *********************************** FB4 *********************************** Number of function block inputs used/remaining: 39/15 Number of signals used by logic mapping into function block: 39 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -nRESout 1 0 \/2 2 FB4_1 (b) (b) -nAoutOE 2 2<- \/5 0 FB4_2 87 I/O O -ram/RS_FSM_FFd8 11 6<- 0 0 FB4_3 (b) (b) -iobs/IORW1 8 4<- /\1 0 FB4_4 (b) (b) -nDoutOE 2 1<- /\4 0 FB4_5 89 I/O O -nDinOE 3 0 /\1 1 FB4_6 90 I/O O -(unused) 0 0 0 5 FB4_7 (b) -nRES 1 0 \/4 0 FB4_8 91 I/O I/O -IORDREQ 9 4<- 0 0 FB4_9 92 I/O I -cs/ODCSr 2 0 0 3 FB4_10 (b) (b) -nVPA_FSB 3 0 0 2 FB4_11 93 I/O O -iobs/Load1 8 3<- 0 0 FB4_12 94 I/O I -iobs/TS_FSM_FFd1 2 0 /\3 0 FB4_13 (b) (b) -(unused) 0 0 \/5 0 FB4_14 95 I/O I -RAMReady 10 5<- 0 0 FB4_15 96 I/O I -ram/RS_FSM_FFd7 2 0 \/3 0 FB4_16 (b) (b) -iobs/Sent 13 8<- 0 0 FB4_17 97 I/O I -(unused) 0 0 /\5 0 FB4_18 (b) (b) +iobs/Sent 13 8<- 0 0 FB3_1 (b) (b) +ram/RefDone 2 0 /\3 0 FB3_2 23 GCK/I/O GCK/I +ram/RS_FSM_FFd7 2 0 0 3 FB3_3 (b) (b) +cs/nOverlay 2 0 0 3 FB3_4 (b) (b) +ram/RS_FSM_FFd6 3 0 0 2 FB3_5 24 I/O I +ram/RASrr 4 0 0 1 FB3_6 25 I/O I +ram/RASEL 3 0 \/2 0 FB3_7 (b) (b) +ram/Once 3 2<- \/4 0 FB3_8 27 GCK/I/O GCK +nDTACK_FSB 9 4<- 0 0 FB3_9 28 I/O O +RAMReady 4 0 \/1 0 FB3_10 (b) (b) +ram/RS_FSM_FFd8 5 1<- \/1 0 FB3_11 29 I/O I +ram/RAMEN 6 1<- 0 0 FB3_12 30 I/O I +(unused) 0 0 \/5 0 FB3_13 (b) (b) +ram/CAS 7 5<- \/3 0 FB3_14 32 I/O I +iobs/Load1 8 3<- 0 0 FB3_15 33 I/O I +IORDREQ 9 4<- 0 0 FB3_16 (b) (b) +nROMWE 1 0 /\4 0 FB3_17 34 I/O O +(unused) 0 0 \/5 0 FB3_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<13> 14: RefReq 27: iobs/TS_FSM_FFd1 - 2: A_FSB<14> 15: RefUrg 28: iobs/TS_FSM_FFd2 - 3: A_FSB<16> 16: cnt/INITS_FSM_FFd1 29: nADoutLE1 - 4: A_FSB<17> 17: cnt/INITS_FSM_FFd2 30: nAS_FSB - 5: A_FSB<18> 18: cs/nOverlay 31: nAoutOE - 6: A_FSB<19> 19: fsb/ASrf 32: nBR_IOB - 7: A_FSB<20> 20: iobm/DoutOE 33: nRESout - 8: A_FSB<21> 21: iobm/IORDREQr 34: nWE_FSB - 9: A_FSB<22> 22: iobm/IOS0 35: ram/BACTr - 10: A_FSB<23> 23: iobm/IOWRREQr 36: ram/RAMEN - 11: IONPReady 24: iobs/IOACTr 37: ram/RS_FSM_FFd4 - 12: IORDREQ 25: iobs/IORW1 38: ram/RS_FSM_FFd8 - 13: RAMReady 26: iobs/Sent 39: ram/RefDone + 1: A_FSB<13> 14: nRES.PIN 27: nADoutLE1 + 2: A_FSB<14> 15: QoSReady 28: nAS_FSB + 3: A_FSB<16> 16: RAMReady 29: nWE_FSB + 4: A_FSB<17> 17: RefReq 30: ram/Once + 5: A_FSB<18> 18: RefUrg 31: ram/RAMEN + 6: A_FSB<19> 19: cs/ODCSr 32: ram/RS_FSM_FFd2 + 7: A_FSB<20> 20: cs/nOverlay 33: ram/RS_FSM_FFd3 + 8: A_FSB<21> 21: fsb/ASrf 34: ram/RS_FSM_FFd4 + 9: A_FSB<22> 22: iobs/IOACTr 35: ram/RS_FSM_FFd5 + 10: A_FSB<23> 23: iobs/IORW1 36: ram/RS_FSM_FFd6 + 11: IONPReady 24: iobs/Sent 37: ram/RS_FSM_FFd7 + 12: IOPWReady 25: iobs/TS_FSM_FFd1 38: ram/RS_FSM_FFd8 + 13: IORDREQ 26: iobs/TS_FSM_FFd2 39: ram/RefDone Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -nRESout ...............XX....................... 2 -nAoutOE ...............XX.............XX........ 4 -ram/RS_FSM_FFd8 ........XX...XX..XX..........X....XXXXX. 12 -iobs/IORW1 XXXXXXXXXX.......XX.....XXXXXX...X...... 19 -nDoutOE ...................XXXX.......X......... 5 -nDinOE ......XXXX...................X...X...... 6 -nRES ................................X....... 1 -IORDREQ ......XXXX.X.....XX....XXXXXXX...X...... 15 -cs/ODCSr ......XXXX........X..........X.......... 6 -nVPA_FSB ....XXXXXXX.......X..........X.......... 9 -iobs/Load1 XXXXXXXXXX.......XX......XXXXX...X...... 18 -iobs/TS_FSM_FFd1 .......................X..XX............ 3 -RAMReady ........XX..XXX..XX..........X....XXXXX. 13 -ram/RS_FSM_FFd7 ........XX.......XX..........X.....X.X.. 7 -iobs/Sent XXXXXXXXXX.......XX......XXXXX...X...... 18 +iobs/Sent XXXXXXXXXX.........XX..XXXXXX........... 18 +ram/RefDone ................XX.............XX.....X. 5 +ram/RS_FSM_FFd7 ........XX.........XX......X..X......X.. 7 +cs/nOverlay .............X....XXX......X............ 5 +ram/RS_FSM_FFd6 ................XX..X......X......X..XX. 7 +ram/RASrr ........XX.........XX......X..X.X..X.X.. 9 +ram/RASEL ........XX.........XX......X..X.....XX.. 8 +ram/Once ........XX.........XX......X.XX......X.. 8 +nDTACK_FSB XXXXXXXXXXXX..XX....X......XX........... 17 +RAMReady ...............XXX..X......X.....X...XX. 8 +ram/RS_FSM_FFd8 ........XX......XX.XX......X..X..X...XX. 11 +ram/RAMEN ................XX..X......X.XX..X..XXX. 10 +ram/CAS ........XX......XX.XX......X..X...XXXXX. 13 +iobs/Load1 XXXXXXXXXX..........X..XXXXXX........... 17 +IORDREQ ......XXXX..X......XXXXXXXXXX........... 15 +nROMWE ...........................XX........... 2 0----+----1----+----2----+----3----+----4 0 0 0 0 + *********************************** FB4 *********************************** +Number of function block inputs used/remaining: 42/12 +Number of signals used by logic mapping into function block: 42 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +QoSReady 18 13<- 0 0 FB4_1 (b) (b) +nAoutOE 2 2<- /\5 0 FB4_2 87 I/O O +fsb/ASrf 1 0 /\2 2 FB4_3 (b) (b) +cnt/WS<0> 1 0 0 4 FB4_4 (b) (b) +nDoutOE 2 0 0 3 FB4_5 89 I/O O +nDinOE 3 0 0 2 FB4_6 90 I/O O +$OpTx$$OpTx$FX_DC$354_INV$541 + 1 0 0 4 FB4_7 (b) (b) +nRES 1 0 0 4 FB4_8 91 I/O I/O +iobs/IOL1 2 0 0 3 FB4_9 92 I/O I +cs/ODCSr 2 0 0 3 FB4_10 (b) (b) +nVPA_FSB 3 0 0 2 FB4_11 93 I/O O +cnt/WS<2> 3 0 \/2 0 FB4_12 94 I/O I +cnt/WS<1> 3 2<- \/4 0 FB4_13 (b) (b) +IONPReady 5 4<- \/4 0 FB4_14 95 I/O I +cnt/LTimer<1> 11 6<- 0 0 FB4_15 96 I/O I +cnt/WS<3> 3 0 /\2 0 FB4_16 (b) (b) +nRESout 1 0 \/3 1 FB4_17 97 I/O I +(unused) 0 0 \/5 0 FB4_18 (b) (b) + +Signals Used by Logic in Function Block + 1: $OpTx$$OpTx$FX_DC$354_INV$541 15: A_FSB<23> 29: fsb/ASrf + 2: A_FSB<10> 16: A_FSB<8> 30: iobm/DoutOE + 3: A_FSB<11> 17: A_FSB<9> 31: iobm/IORDREQr + 4: A_FSB<12> 18: IONPReady 32: iobm/IOS0 + 5: A_FSB<13> 19: QoSReady 33: iobm/IOWRREQr + 6: A_FSB<14> 20: cnt/IS_FSM_FFd1 34: iobs/IODONEr + 7: A_FSB<15> 21: cnt/IS_FSM_FFd2 35: iobs/Load1 + 8: A_FSB<16> 22: cnt/LTimer<0> 36: iobs/Sent + 9: A_FSB<17> 23: cnt/LTimer<1> 37: nAS_FSB + 10: A_FSB<18> 24: cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 38: nAoutOE + 11: A_FSB<19> 25: cnt/WS<0> 39: nBR_IOB + 12: A_FSB<20> 26: cnt/WS<1> 40: nLDS_FSB + 13: A_FSB<21> 27: cnt/WS<2> 41: nRESout + 14: A_FSB<22> 28: cnt/WS<3> 42: nWE_FSB + +Signal 1 2 3 4 5 FB +Name 0----+----0----+----0----+----0----+----0----+----0 Inputs +QoSReady XXXXXXXXXXXXXXXXX.X..XX.XXXX.............X........ 25 +nAoutOE ...................XX................XX........... 4 +fsb/ASrf ....................................X............. 1 +cnt/WS<0> ........................X...X.......X............. 3 +nDoutOE .............................XXXX....X............ 5 +nDinOE ...........XXXX.....................X....X........ 6 +$OpTx$$OpTx$FX_DC$354_INV$541 + ............................X.......X............. 2 +nRES ........................................X......... 1 +iobs/IOL1 ..................................X....X.......... 2 +cs/ODCSr ...........XXXX.............X.......X............. 6 +nVPA_FSB .......XXXXXXXX..XX.........X.......X............. 12 +cnt/WS<2> ........................XXX.X.......X............. 5 +cnt/WS<1> ........................XX..X.......X............. 4 +IONPReady ....XX.XXXXXXXX..X..........X....X.XX....X........ 16 +cnt/LTimer<1> .XXXXXXXXXXXXXXXX..XXXXX....X.......X....X........ 24 +cnt/WS<3> ........................XXXXX.......X............. 6 +nRESout ...................XX............................. 2 + 0----+----1----+----2----+----3----+----4----+----5 + 0 0 0 0 0 *********************************** FB5 *********************************** -Number of function block inputs used/remaining: 35/19 -Number of signals used by logic mapping into function block: 35 +Number of function block inputs used/remaining: 42/12 +Number of signals used by logic mapping into function block: 42 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use (unused) 0 0 /\5 0 FB5_1 (b) (b) -nROMCS 2 0 \/3 0 FB5_2 35 I/O O -ram/RAMEN 12 7<- 0 0 FB5_3 (b) (b) -ram/RASrr 4 3<- /\4 0 FB5_4 (b) (b) -nCAS 1 0 /\3 1 FB5_5 36 I/O O -nOE 1 0 \/3 1 FB5_6 37 I/O O -ram/RS_FSM_FFd6 9 4<- 0 0 FB5_7 (b) (b) -ram/Once 3 0 /\1 1 FB5_8 39 I/O (b) +nROMCS 2 0 /\3 0 FB5_2 35 I/O O +(unused) 0 0 0 5 FB5_3 (b) +(unused) 0 0 0 5 FB5_4 (b) +nCAS 1 0 0 4 FB5_5 36 I/O O +nOE 1 0 0 4 FB5_6 37 I/O O +(unused) 0 0 0 5 FB5_7 (b) +(unused) 0 0 0 5 FB5_8 39 I/O RA<4> 2 0 0 3 FB5_9 40 I/O O -ram/RASEL 3 0 0 2 FB5_10 (b) (b) +(unused) 0 0 0 5 FB5_10 (b) RA<3> 2 0 0 3 FB5_11 41 I/O O RA<5> 2 0 0 3 FB5_12 42 I/O O -cs/nOverlay 2 0 0 3 FB5_13 (b) (b) +cnt/LTimerTC 1 0 0 4 FB5_13 (b) (b) RA<2> 2 0 0 3 FB5_14 43 I/O O RA<6> 2 0 0 3 FB5_15 46 I/O O -ram/RS_FSM_FFd4 2 0 0 3 FB5_16 (b) (b) -ram/RefDone 2 0 \/3 0 FB5_17 49 I/O (b) -ram/CAS 13 8<- 0 0 FB5_18 (b) (b) +ALE0S 1 0 \/4 0 FB5_16 (b) (b) +(unused) 0 0 \/5 0 FB5_17 49 I/O (b) +cnt/LTimer<0> 22 17<- 0 0 FB5_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<11> 13: A_FSB<7> 25: ram/RAMEN - 2: A_FSB<12> 14: nRES.PIN 26: ram/RASEL - 3: A_FSB<13> 15: RefReq 27: ram/RS_FSM_FFd1 - 4: A_FSB<16> 16: RefUrg 28: ram/RS_FSM_FFd2 - 5: A_FSB<19> 17: cs/ODCSr 29: ram/RS_FSM_FFd3 - 6: A_FSB<20> 18: cs/nOverlay 30: ram/RS_FSM_FFd4 - 7: A_FSB<21> 19: fsb/ASrf 31: ram/RS_FSM_FFd5 - 8: A_FSB<22> 20: nAS_FSB 32: ram/RS_FSM_FFd6 - 9: A_FSB<23> 21: nWE_FSB 33: ram/RS_FSM_FFd7 - 10: A_FSB<3> 22: ram/BACTr 34: ram/RS_FSM_FFd8 - 11: A_FSB<4> 23: ram/CAS 35: ram/RefDone - 12: A_FSB<5> 24: ram/Once + 1: A_FSB<10> 15: A_FSB<3> 29: cnt/LTimer<4> + 2: A_FSB<11> 16: A_FSB<4> 30: cnt/LTimer<5> + 3: A_FSB<12> 17: A_FSB<5> 31: cnt/LTimer<6> + 4: A_FSB<13> 18: A_FSB<7> 32: cnt/LTimer<7> + 5: A_FSB<14> 19: A_FSB<8> 33: cnt/LTimer<8> + 6: A_FSB<15> 20: A_FSB<9> 34: cnt/LTimer<9> + 7: A_FSB<16> 21: cnt/IS_FSM_FFd1 35: cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + 8: A_FSB<17> 22: cnt/IS_FSM_FFd2 36: cs/nOverlay + 9: A_FSB<18> 23: cnt/LTimer<0> 37: fsb/ASrf + 10: A_FSB<19> 24: cnt/LTimer<10> 38: iobs/TS_FSM_FFd2 + 11: A_FSB<20> 25: cnt/LTimer<11> 39: nAS_FSB + 12: A_FSB<21> 26: cnt/LTimer<1> 40: nWE_FSB + 13: A_FSB<22> 27: cnt/LTimer<2> 41: ram/CAS + 14: A_FSB<23> 28: cnt/LTimer<3> 42: ram/RASEL -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -nROMCS .....XXXX........X...................... 5 -ram/RAMEN .......XX.....XX.XXX.X.XX....X..XXX..... 14 -ram/RASrr .......XX........XXX....X...X..X.X...... 9 -nCAS ......................X................. 1 -nOE ...................XX................... 2 -ram/RS_FSM_FFd6 .......XX.....XX.XXX.X..X.....X..XX..... 12 -ram/Once .......XX........XXX...XX........X...... 8 -RA<4> X........X...............X.............. 3 -ram/RASEL .......XX........XXX....X.......XX...... 8 -RA<3> ....XX...................X.............. 3 -RA<5> .X........X..............X.............. 3 -cs/nOverlay .............X..XXXX.................... 5 -RA<2> ...X........X............X.............. 3 -RA<6> ..X........X.............X.............. 3 -ram/RS_FSM_FFd4 ...............X..........X...X...X..... 4 -ram/RefDone ..............XX...........XX.....X..... 5 -ram/CAS .......XX.....XX.XXX.X..X.....XXXXX..... 14 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 +Signal 1 2 3 4 5 FB +Name 0----+----0----+----0----+----0----+----0----+----0 Inputs +nROMCS ..........XXXX.....................X.............. 5 +nCAS ........................................X......... 1 +nOE ......................................XX.......... 2 +RA<4> .X............X..........................X........ 3 +RA<3> .........XX..............................X........ 3 +RA<5> ..X............X.........................X........ 3 +cnt/LTimerTC ......................XXXXXXXXXXXX................ 12 +RA<2> ......X..........X.......................X........ 3 +RA<6> ...X............X........................X........ 3 +ALE0S .....................................X............ 1 +cnt/LTimer<0> XXXXXXXXXXXXXX....XXXXXXXXXXXXXXXXX.X.XX.......... 34 + 0----+----1----+----2----+----3----+----4----+----5 + 0 0 0 0 0 *********************************** FB6 *********************************** Number of function block inputs used/remaining: 36/18 Number of signals used by logic mapping into function block: 36 @@ -606,120 +628,132 @@ IOACT ...XXX...X......X.XXXXXXXX.....X........ 14 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB7 *********************************** -Number of function block inputs used/remaining: 24/30 -Number of signals used by logic mapping into function block: 24 +Number of function block inputs used/remaining: 41/13 +Number of signals used by logic mapping into function block: 41 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -cnt/LTimer<0> 1 0 0 4 FB7_1 (b) (b) -RA<1> 2 0 0 3 FB7_2 50 I/O O -cnt/INITS_FSM_FFd1 1 0 0 4 FB7_3 (b) (b) -cnt/Er<1> 1 0 0 4 FB7_4 (b) (b) +cnt/LTimer<9> 3 1<- /\3 0 FB7_1 (b) (b) +RA<1> 2 0 /\1 2 FB7_2 50 I/O O +cnt/LTimer<8> 3 0 0 2 FB7_3 (b) (b) +cnt/LTimer<7> 3 0 0 2 FB7_4 (b) (b) RA<7> 2 0 0 3 FB7_5 52 I/O O RA<0> 2 0 0 3 FB7_6 53 I/O O -cnt/TimerTC 2 0 0 3 FB7_7 (b) (b) +cnt/LTimer<6> 3 0 0 2 FB7_7 (b) (b) RA<8> 2 0 0 3 FB7_8 54 I/O O RA<10> 2 0 0 3 FB7_9 55 I/O O -cnt/Timer<0> 2 0 0 3 FB7_10 (b) (b) +cnt/LTimer<5> 3 0 0 2 FB7_10 (b) (b) RA<9> 2 0 0 3 FB7_11 56 I/O O C25MEN 0 0 0 5 FB7_12 58 I/O O -cnt/INITS_FSM_FFd2 2 0 0 3 FB7_13 (b) (b) -C20MEN 0 0 0 5 FB7_14 59 I/O O -RefReq 2 0 0 3 FB7_15 60 I/O (b) -cnt/Timer<1> 4 0 0 1 FB7_16 (b) (b) -cnt/Timer<2> 5 0 0 0 FB7_17 61 I/O (b) -RefUrg 5 0 0 0 FB7_18 (b) (b) +cnt/LTimer<4> 3 0 0 2 FB7_13 (b) (b) +cnt/LTimer<3> 3 0 0 2 FB7_14 59 I/O (b) +cnt/LTimer<2> 3 0 0 2 FB7_15 60 I/O (b) +cnt/LTimer<11> 3 0 0 2 FB7_16 (b) (b) +cnt/LTimer<10> 3 0 \/2 0 FB7_17 61 I/O (b) +cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + 10 5<- 0 0 FB7_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<10> 9: A_FSB<6> 17: cnt/INITS_FSM_FFd2 - 2: A_FSB<14> 10: A_FSB<7> 18: cnt/LTimerTC - 3: A_FSB<15> 11: A_FSB<8> 19: cnt/Timer<0> - 4: A_FSB<17> 12: A_FSB<9> 20: cnt/Timer<1> - 5: A_FSB<18> 13: RefUrg 21: cnt/Timer<2> - 6: A_FSB<1> 14: cnt/Er<0> 22: cnt/TimerTC - 7: A_FSB<21> 15: cnt/Er<1> 23: cnt/nIPL2r - 8: A_FSB<2> 16: cnt/INITS_FSM_FFd1 24: ram/RASEL + 1: A_FSB<10> 15: A_FSB<23> 29: cnt/LTimer<1> + 2: A_FSB<11> 16: A_FSB<2> 30: cnt/LTimer<2> + 3: A_FSB<12> 17: A_FSB<6> 31: cnt/LTimer<3> + 4: A_FSB<13> 18: A_FSB<7> 32: cnt/LTimer<4> + 5: A_FSB<14> 19: A_FSB<8> 33: cnt/LTimer<5> + 6: A_FSB<15> 20: A_FSB<9> 34: cnt/LTimer<6> + 7: A_FSB<16> 21: RefUrg 35: cnt/LTimer<7> + 8: A_FSB<17> 22: cnt/Er<0> 36: cnt/LTimer<8> + 9: A_FSB<18> 23: cnt/Er<1> 37: cnt/LTimer<9> + 10: A_FSB<19> 24: cnt/IS_FSM_FFd1 38: fsb/ASrf + 11: A_FSB<1> 25: cnt/IS_FSM_FFd2 39: nAS_FSB + 12: A_FSB<20> 26: cnt/LTimer<0> 40: nWE_FSB + 13: A_FSB<21> 27: cnt/LTimer<10> 41: ram/RASEL + 14: A_FSB<22> 28: cnt/LTimer<11> -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -cnt/LTimer<0> .............XX......X.................. 3 -RA<1> X......X...............X................ 3 -cnt/INITS_FSM_FFd1 .............XXXXX...XX................. 7 -cnt/Er<1> .............X.......................... 1 -RA<7> .X......X..............X................ 3 -RA<0> .....X.....X...........X................ 3 -cnt/TimerTC ............XXX...XXX................... 6 -RA<8> ....X.X................X................ 3 -RA<10> ...X.....X.............X................ 3 -cnt/Timer<0> .............XX...X..X.................. 4 -RA<9> ..X.......X............X................ 3 -C25MEN ........................................ 0 -cnt/INITS_FSM_FFd2 .............XXXXX...X.................. 6 -C20MEN ........................................ 0 -RefReq ............XXX....XX................... 5 -cnt/Timer<1> .............XX...XX.X.................. 5 -cnt/Timer<2> .............XX...XXXX.................. 6 -RefUrg ............XXX...XXXX.................. 7 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 +Signal 1 2 3 4 5 FB +Name 0----+----0----+----0----+----0----+----0----+----0 Inputs +cnt/LTimer<9> ....................XXXXXX..XXXXXXXXX............. 15 +RA<1> X..............X........................X......... 3 +cnt/LTimer<8> ....................XXXXXX..XXXXXXXX.............. 14 +cnt/LTimer<7> ....................XXXXXX..XXXXXXX............... 13 +RA<7> ....X...........X.......................X......... 3 +RA<0> ..........X........X....................X......... 3 +cnt/LTimer<6> ....................XXXXXX..XXXXXX................ 12 +RA<8> ........X...X...........................X......... 3 +RA<10> .......X.........X......................X......... 3 +cnt/LTimer<5> ....................XXXXXX..XXXXX................. 11 +RA<9> .....X............X.....................X......... 3 +C25MEN .................................................. 0 +cnt/LTimer<4> ....................XXXXXX..XXXX.................. 10 +cnt/LTimer<3> ....................XXXXXX..XXX................... 9 +cnt/LTimer<2> ....................XXXXXX..XX.................... 8 +cnt/LTimer<11> ....................XXXXXXXXXXXXXXXXX............. 17 +cnt/LTimer<10> ....................XXXXXXX.XXXXXXXXX............. 16 +cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + XXXXXXXXXX.XXXX...XXXXXXXXXXXXXXXXXXXXXX.......... 36 + 0----+----1----+----2----+----3----+----4----+----5 + 0 0 0 0 0 *********************************** FB8 *********************************** -Number of function block inputs used/remaining: 39/15 -Number of signals used by logic mapping into function block: 39 +Number of function block inputs used/remaining: 41/13 +Number of signals used by logic mapping into function block: 41 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -(unused) 0 0 /\5 0 FB8_1 (b) (b) -RA<11> 2 0 /\3 0 FB8_2 63 I/O O -(unused) 0 0 \/5 0 FB8_3 (b) (b) -iobs/TS_FSM_FFd2 14 9<- 0 0 FB8_4 (b) (b) -nRAS 3 2<- /\4 0 FB8_5 64 I/O O -nRAMLWE 1 0 /\2 2 FB8_6 65 I/O O +IOL0 16 11<- 0 0 FB8_1 (b) (b) +RA<11> 2 2<- /\5 0 FB8_2 63 I/O O +iobs/TS_FSM_FFd2 13 10<- /\2 0 FB8_3 (b) (b) +(unused) 0 0 /\5 0 FB8_4 (b) (b) +nRAS 3 3<- /\5 0 FB8_5 64 I/O O +nRAMLWE 1 0 /\3 1 FB8_6 65 I/O O (unused) 0 0 \/1 4 FB8_7 (b) (b) nRAMUWE 1 1<- \/5 0 FB8_8 66 I/O O IOWRREQ 15 10<- 0 0 FB8_9 67 I/O (b) (unused) 0 0 /\5 0 FB8_10 (b) (b) -(unused) 0 0 \/2 3 FB8_11 68 I/O (b) -nBERR_FSB 3 2<- \/4 0 FB8_12 70 I/O O -IOU0 17 12<- 0 0 FB8_13 (b) (b) -(unused) 0 0 /\5 0 FB8_14 71 I/O (b) +(unused) 0 0 \/1 4 FB8_11 68 I/O (b) +nBERR_FSB 3 1<- \/3 0 FB8_12 70 I/O O +(unused) 0 0 \/5 0 FB8_13 (b) (b) +IOU0 16 11<- 0 0 FB8_14 71 I/O (b) nBR_IOB 2 0 /\3 0 FB8_15 72 I/O O -iobs/Clear1 1 0 \/4 0 FB8_16 (b) (b) -IONPReady 5 4<- \/4 0 FB8_17 73 I/O (b) -IOL0 17 12<- 0 0 FB8_18 (b) (b) +cnt/IS_FSM_FFd1 1 0 \/4 0 FB8_16 (b) (b) +iobs/IORW1 8 4<- \/1 0 FB8_17 73 I/O (b) +(unused) 0 0 \/5 0 FB8_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<13> 14: IOU0 27: iobs/TS_FSM_FFd1 - 2: A_FSB<14> 15: IOWRREQ 28: iobs/TS_FSM_FFd2 - 3: A_FSB<16> 16: cnt/INITS_FSM_FFd1 29: nADoutLE1 - 4: A_FSB<17> 17: cnt/INITS_FSM_FFd2 30: nAS_FSB - 5: A_FSB<18> 18: cnt/nIPL2r 31: nBERR_FSB - 6: A_FSB<19> 19: cs/nOverlay 32: nBR_IOB - 7: A_FSB<20> 20: fsb/ASrf 33: nLDS_FSB - 8: A_FSB<21> 21: iobs/IOACTr 34: nUDS_FSB - 9: A_FSB<22> 22: iobs/IODONEr 35: nWE_FSB - 10: A_FSB<23> 23: iobs/IOL1 36: ram/RAMEN - 11: IOBERR 24: iobs/IORW1 37: ram/RASEL - 12: IOL0 25: iobs/IOU1 38: ram/RASrf - 13: IONPReady 26: iobs/Sent 39: ram/RASrr + 1: A_FSB<13> 15: RefUrg 29: iobs/TS_FSM_FFd1 + 2: A_FSB<14> 16: cnt/Er<0> 30: iobs/TS_FSM_FFd2 + 3: A_FSB<16> 17: cnt/Er<1> 31: nADoutLE1 + 4: A_FSB<17> 18: cnt/IS_FSM_FFd1 32: nAS_FSB + 5: A_FSB<18> 19: cnt/IS_FSM_FFd2 33: nBERR_FSB + 6: A_FSB<19> 20: cnt/LTimerTC 34: nBR_IOB + 7: A_FSB<20> 21: cnt/nIPL2r 35: nLDS_FSB + 8: A_FSB<21> 22: cs/nOverlay 36: nUDS_FSB + 9: A_FSB<22> 23: fsb/ASrf 37: nWE_FSB + 10: A_FSB<23> 24: iobs/IOACTr 38: ram/RAMEN + 11: IOBERR 25: iobs/IOL1 39: ram/RASEL + 12: IOL0 26: iobs/IORW1 40: ram/RASrf + 13: IOU0 27: iobs/IOU1 41: ram/RASrr + 14: IOWRREQ 28: iobs/Sent -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -RA<11> .....XX.............................X... 3 -iobs/TS_FSM_FFd2 XXXXXXXXXX........XXX....XXXXX....X..... 19 -nRAS ........XX........X..........X.....X.XX. 7 -nRAMLWE .............................X..X.XX.... 4 -nRAMUWE .............................X...XXX.... 4 -IOWRREQ XXXXXXXXXX....X...XXX..X.XXXXX....X..... 21 -nBERR_FSB ..........X........X.....X...XX......... 5 -IOU0 XXXXXXXXXX...X....XX....XXXXXX...XX..... 21 -nBR_IOB ...............XXX.............X........ 4 -iobs/Clear1 ..........................XX............ 2 -IONPReady XXXXXXXXXX..X.....XX.X...X...X....X..... 17 -IOL0 XXXXXXXXXX.X......XX..X..XXXXX..X.X..... 21 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 +Signal 1 2 3 4 5 FB +Name 0----+----0----+----0----+----0----+----0----+----0 Inputs +IOL0 XXXXXXXXXX.X.........XX.X..XXXXX..X.X............. 21 +RA<11> .....XX...............................X........... 3 +iobs/TS_FSM_FFd2 XXXXXXXXXX...........XXX...XXXXX....X............. 19 +nRAS ........XX...........X.........X.....X.XX......... 7 +nRAMLWE ...............................X..X.XX............ 4 +nRAMUWE ...............................X...XXX............ 4 +IOWRREQ XXXXXXXXXX...X.......XXX.X.XXXXX....X............. 21 +nBERR_FSB ..........X...........X....X...XX................. 5 +IOU0 XXXXXXXXXX..X........XX...XXXXXX...XX............. 21 +nBR_IOB .................XX.X............X................ 4 +cnt/IS_FSM_FFd1 ..............XXXXXXX............................. 7 +iobs/IORW1 XXXXXXXXXX............X..X.XXXXX....X............. 18 + 0----+----1----+----2----+----3----+----4----+----5 + 0 0 0 0 0 ******************************* Equations ******************************** ********** Mapped Logic ********** + +$OpTx$$OpTx$FX_DC$354_INV$541 <= (nAS_FSB AND NOT fsb/ASrf); + FDCPE_ALE0M: FDCPE port map (ALE0M,ALE0M_D,C16M,'0','0'); ALE0M_D <= ((iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND nAoutOE) @@ -738,9 +772,6 @@ ALE0M_D <= ((iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd3 AND FDCPE_ALE0S: FDCPE port map (ALE0S,iobs/TS_FSM_FFd2,FCLK,'0','0'); -C20MEN <= '0'; - - C25MEN <= '1'; @@ -758,6 +789,10 @@ C25MEN <= '1'; + + + + @@ -782,7 +817,6 @@ IODONE_D <= ((NOT nRES.PIN) FTCPE_IOL0: FTCPE port map (IOL0,IOL0_T,FCLK,'0','0'); IOL0_T <= ((iobs/TS_FSM_FFd1) - OR (RA_11_OBUF$BUF0.EXP) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19) AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18) AND @@ -791,14 +825,17 @@ IOL0_T <= ((iobs/TS_FSM_FFd1) NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16) AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB AND + OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND cs/nOverlay AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (iobs/IORW1.EXP) OR (NOT iobs/IOL1 AND NOT IOL0 AND NOT nADoutLE1) OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay AND + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (nLDS_FSB AND NOT IOL0 AND nADoutLE1) @@ -810,15 +847,15 @@ IONPReady_D <= ((NOT iobs/Sent AND NOT IONPReady) OR (NOT IONPReady AND NOT iobs/IODONEr) OR (nAS_FSB AND NOT fsb/ASrf) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND - NOT nWE_FSB AND NOT IONPReady AND A_FSB(13)) + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND + NOT nWE_FSB AND NOT IONPReady) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND - NOT nWE_FSB AND NOT IONPReady AND A_FSB(14))); + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND + NOT nWE_FSB AND NOT IONPReady)); FDCPE_IOPWReady: FDCPE port map (IOPWReady,IOPWReady_D,FCLK,'0','0'); -IOPWReady_D <= ((nAS_FSB AND NOT fsb/ASrf) - OR (NOT IOPWReady AND NOT iobs/Clear1 AND NOT nADoutLE1)); +IOPWReady_D <= ((iobs/Clear1) + OR (NOT iobs/Load1 AND nADoutLE1)); FDCPE_IORDREQ: FDCPE port map (IORDREQ,IORDREQ_D,FCLK,'0','0'); IORDREQ_D <= ((NOT iobs/IORW1 AND NOT iobs/TS_FSM_FFd2 AND NOT nADoutLE1) @@ -836,15 +873,15 @@ IORDREQ_D <= ((NOT iobs/IORW1 AND NOT iobs/TS_FSM_FFd2 AND NOT nADoutLE1) FTCPE_IOU0: FTCPE port map (IOU0,IOU0_T,FCLK,'0','0'); IOU0_T <= ((iobs/TS_FSM_FFd1) + OR (nBERR_FSB_OBUF.EXP) OR (NOT iobs/IOU1 AND NOT IOU0 AND NOT nADoutLE1) OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay AND + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20) AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (nBR_IOB_OBUF.EXP) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19) AND + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18) AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) @@ -852,8 +889,6 @@ IOU0_T <= ((iobs/TS_FSM_FFd1) NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16) AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (nUDS_FSB AND NOT IOU0 AND nADoutLE1) OR (NOT nUDS_FSB AND IOU0 AND nADoutLE1) @@ -866,28 +901,24 @@ IOWRREQ_D <= ((A_FSB(22) AND A_FSB(21) AND NOT iobs/Sent AND NOT nWE_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Sent AND NOT nWE_FSB AND + NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) OR (A_FSB(22) AND NOT iobs/Sent AND NOT cs/nOverlay AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (A_FSB(22) AND NOT iobs/Sent AND NOT cs/nOverlay AND NOT nWE_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) - OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Sent AND NOT nWE_FSB AND + OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND + A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND NOT iobs/Sent AND NOT nWE_FSB AND + NOT nAS_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND + A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND NOT iobs/Sent AND NOT nWE_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND - A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND cs/nOverlay AND NOT nWE_FSB AND - NOT nAS_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND A_FSB(14) AND - nADoutLE1) + A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND NOT iobs/Sent AND NOT nWE_FSB AND + NOT nAS_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND - A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND cs/nOverlay AND NOT nWE_FSB AND - NOT nAS_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND A_FSB(13) AND - nADoutLE1) - OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND - A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND cs/nOverlay AND NOT nWE_FSB AND - NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND A_FSB(14) AND fsb/ASrf AND - nADoutLE1) - OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND - A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND cs/nOverlay AND NOT nWE_FSB AND - NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND A_FSB(13) AND fsb/ASrf AND - nADoutLE1) + A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND NOT iobs/Sent AND NOT nWE_FSB AND + NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) OR (NOT iobs/TS_FSM_FFd1 AND iobs/TS_FSM_FFd2 AND IOWRREQ) OR (iobs/TS_FSM_FFd2 AND NOT iobs/IOACTr AND IOWRREQ) OR (NOT iobs/IORW1 AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND @@ -897,13 +928,42 @@ IOWRREQ_D <= ((A_FSB(22) AND A_FSB(21) AND NOT iobs/Sent AND NOT nWE_FSB AND OR (A_FSB(23) AND NOT iobs/Sent AND NOT nWE_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1)); +FDCPE_QoSReady: FDCPE port map (QoSReady,QoSReady_D,FCLK,'0','0'); +QoSReady_D <= ((A_FSB(22) AND NOT A_FSB(17) AND + NOT $OpTx$$OpTx$FX_DC$354_INV$541) + OR (A_FSB(22) AND NOT A_FSB(16) AND + NOT $OpTx$$OpTx$FX_DC$354_INV$541) + OR (A_FSB(22) AND NOT A_FSB(13) AND + NOT $OpTx$$OpTx$FX_DC$354_INV$541) + OR (A_FSB(22) AND NOT A_FSB(14) AND + NOT $OpTx$$OpTx$FX_DC$354_INV$541) + OR (A_FSB(22) AND NOT A_FSB(10) AND + NOT $OpTx$$OpTx$FX_DC$354_INV$541) + OR (nRESout.EXP) + OR (A_FSB(22) AND A_FSB(21) AND + NOT $OpTx$$OpTx$FX_DC$354_INV$541) + OR (A_FSB(22) AND A_FSB(19) AND + NOT $OpTx$$OpTx$FX_DC$354_INV$541) + OR (A_FSB(22) AND A_FSB(18) AND + NOT $OpTx$$OpTx$FX_DC$354_INV$541) + OR (A_FSB(22) AND A_FSB(15) AND + NOT $OpTx$$OpTx$FX_DC$354_INV$541) + OR (A_FSB(22) AND A_FSB(12) AND + NOT $OpTx$$OpTx$FX_DC$354_INV$541) + OR (A_FSB(23) AND NOT $OpTx$$OpTx$FX_DC$354_INV$541) + OR (NOT cnt/LTimer(0) AND NOT cnt/LTimer(1)) + OR (NOT nWE_FSB AND NOT $OpTx$$OpTx$FX_DC$354_INV$541) + OR (QoSReady AND NOT $OpTx$$OpTx$FX_DC$354_INV$541) + OR (A_FSB(22) AND A_FSB(20) AND + NOT $OpTx$$OpTx$FX_DC$354_INV$541)); + RA(0) <= ((ram/RASEL AND A_FSB(1)) OR (NOT ram/RASEL AND A_FSB(9))); -RA(1) <= ((ram/RASEL AND A_FSB(2)) - OR (NOT ram/RASEL AND A_FSB(10))); +RA(1) <= ((A_FSB(10) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(2))); RA(2) <= ((A_FSB(16) AND NOT ram/RASEL) @@ -914,28 +974,28 @@ RA(3) <= ((A_FSB(20) AND ram/RASEL) OR (A_FSB(19) AND NOT ram/RASEL)); -RA(4) <= ((ram/RASEL AND A_FSB(3)) - OR (NOT ram/RASEL AND A_FSB(11))); +RA(4) <= ((A_FSB(11) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(3))); -RA(5) <= ((ram/RASEL AND A_FSB(4)) - OR (NOT ram/RASEL AND A_FSB(12))); +RA(5) <= ((A_FSB(12) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(4))); -RA(6) <= ((ram/RASEL AND A_FSB(5)) - OR (NOT ram/RASEL AND A_FSB(13))); +RA(6) <= ((A_FSB(13) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(5))); -RA(7) <= ((ram/RASEL AND A_FSB(6)) - OR (NOT ram/RASEL AND A_FSB(14))); +RA(7) <= ((A_FSB(14) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(6))); RA(8) <= ((A_FSB(21) AND ram/RASEL) OR (A_FSB(18) AND NOT ram/RASEL)); -RA(9) <= ((ram/RASEL AND A_FSB(8)) - OR (NOT ram/RASEL AND A_FSB(15))); +RA(9) <= ((A_FSB(15) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(8))); RA(10) <= ((A_FSB(17) AND NOT ram/RASEL) @@ -946,148 +1006,323 @@ RA(11) <= ((A_FSB(20) AND ram/RASEL) OR (A_FSB(19) AND NOT ram/RASEL)); FDCPE_RAMReady: FDCPE port map (RAMReady,RAMReady_D,FCLK,'0','0'); -RAMReady_D <= ((A_FSB(23) AND NOT ram/RefDone AND RefReq AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd4 AND NOT RAMReady AND NOT ram/BACTr) - OR (A_FSB(23) AND NOT ram/RefDone AND RefReq AND - NOT ram/RS_FSM_FFd4 AND NOT RAMReady AND fsb/ASrf AND NOT ram/BACTr) - OR (A_FSB(22) AND NOT ram/RefDone AND RefReq AND - NOT ram/RS_FSM_FFd4 AND NOT RAMReady AND fsb/ASrf AND NOT ram/BACTr) - OR (NOT ram/RefDone AND RefReq AND NOT cs/nOverlay AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd4 AND NOT RAMReady AND NOT ram/BACTr) - OR (NOT ram/RefDone AND RefReq AND NOT cs/nOverlay AND - NOT ram/RS_FSM_FFd4 AND NOT RAMReady AND fsb/ASrf AND NOT ram/BACTr) - OR (NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4 AND NOT RAMReady) +RAMReady_D <= ((NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4 AND NOT RAMReady) OR (nAS_FSB AND NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4 AND NOT fsb/ASrf) - OR (RefUrg AND NOT ram/RAMEN AND NOT ram/RefDone AND - NOT ram/RS_FSM_FFd4 AND NOT RAMReady) OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND NOT ram/RS_FSM_FFd4 AND NOT fsb/ASrf) - OR (A_FSB(22) AND NOT ram/RefDone AND RefReq AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd4 AND NOT RAMReady AND NOT ram/BACTr)); + OR (NOT ram/RefDone AND RefReq AND nAS_FSB AND + NOT ram/RS_FSM_FFd4 AND NOT fsb/ASrf)); FDCPE_RefReq: FDCPE port map (RefReq,RefReq_D,FCLK,'0','0',RefReq_CE); -RefReq_D <= (NOT RefUrg AND NOT cnt/Timer(1) AND NOT cnt/Timer(2)); +RefReq_D <= (NOT cnt/Timer(0) AND cnt/Timer(1) AND NOT cnt/Timer(2) AND + cnt/Timer(3)); RefReq_CE <= (NOT cnt/Er(0) AND cnt/Er(1)); -FTCPE_RefUrg: FTCPE port map (RefUrg,RefUrg_T,FCLK,'0','0',RefUrg_CE); -RefUrg_T <= ((RefUrg AND cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)) - OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2) AND - NOT cnt/TimerTC) - OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2) AND - cnt/Er(0)) - OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2) AND - NOT cnt/Er(1))); +FDCPE_RefUrg: FDCPE port map (RefUrg,RefUrg_D,FCLK,'0','0',RefUrg_CE); +RefUrg_D <= (cnt/Timer(0) AND NOT cnt/Timer(1) AND NOT cnt/Timer(2) AND + cnt/Timer(3)); RefUrg_CE <= (NOT cnt/Er(0) AND cnt/Er(1)); FDCPE_cnt/Er0: FDCPE port map (cnt/Er(0),E,FCLK,'0','0'); FDCPE_cnt/Er1: FDCPE port map (cnt/Er(1),cnt/Er(0),FCLK,'0','0'); -FTCPE_cnt/INITS_FSM_FFd1: FTCPE port map (cnt/INITS_FSM_FFd1,cnt/INITS_FSM_FFd1_T,FCLK,'0','0'); -cnt/INITS_FSM_FFd1_T <= (cnt/TimerTC AND cnt/LTimerTC AND NOT cnt/INITS_FSM_FFd1 AND - cnt/INITS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/nIPL2r AND cnt/Er(1)); +FTCPE_cnt/IS_FSM_FFd1: FTCPE port map (cnt/IS_FSM_FFd1,cnt/IS_FSM_FFd1_T,FCLK,'0','0'); +cnt/IS_FSM_FFd1_T <= (RefUrg AND NOT cnt/IS_FSM_FFd1 AND cnt/IS_FSM_FFd2 AND + NOT cnt/Er(0) AND cnt/nIPL2r AND cnt/Er(1) AND cnt/LTimerTC); -FTCPE_cnt/INITS_FSM_FFd2: FTCPE port map (cnt/INITS_FSM_FFd2,cnt/INITS_FSM_FFd2_T,FCLK,'0','0'); -cnt/INITS_FSM_FFd2_T <= ((cnt/TimerTC AND cnt/LTimerTC AND cnt/INITS_FSM_FFd1 AND - cnt/INITS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1)) - OR (cnt/TimerTC AND cnt/LTimerTC AND NOT cnt/INITS_FSM_FFd1 AND - NOT cnt/INITS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); +FTCPE_cnt/IS_FSM_FFd2: FTCPE port map (cnt/IS_FSM_FFd2,cnt/IS_FSM_FFd2_T,FCLK,'0','0'); +cnt/IS_FSM_FFd2_T <= ((RefUrg AND cnt/IS_FSM_FFd1 AND cnt/IS_FSM_FFd2 AND + NOT cnt/Er(0) AND cnt/Er(1) AND cnt/LTimerTC) + OR (RefUrg AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND + NOT cnt/Er(0) AND cnt/Er(1) AND cnt/LTimerTC)); -FTCPE_cnt/LTimer0: FTCPE port map (cnt/LTimer(0),'1',FCLK,'0','0',cnt/LTimer_CE(0)); -cnt/LTimer_CE(0) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); +FDCPE_cnt/LTimer0: FDCPE port map (cnt/LTimer(0),cnt/LTimer_D(0),FCLK,'0','0'); +cnt/LTimer_D(0) <= ((nROMCS_OBUF.EXP) + OR (NOT cnt/LTimer(0) AND cnt/LTimer(10) AND + cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) + OR (NOT cnt/LTimer(0) AND cnt/LTimer(8) AND + cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) + OR (NOT cnt/LTimer(0) AND cnt/LTimer(9) AND + cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) + OR (NOT cnt/LTimer(0) AND cnt/LTimer(11) AND + cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND + A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND + NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND + A_FSB(8) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) + OR (ALE0S.EXP) + OR (NOT cnt/LTimer(0) AND cnt/LTimer(3) AND + cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) + OR (NOT cnt/LTimer(0) AND cnt/LTimer(4) AND + cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) + OR (NOT cnt/LTimer(0) AND cnt/LTimer(5) AND + cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) + OR (NOT cnt/LTimer(0) AND cnt/LTimer(6) AND + cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) + OR (NOT cnt/LTimer(0) AND cnt/LTimer(7) AND + cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) + OR (cnt/LTimer(0) AND + NOT cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) + OR (NOT cnt/LTimer(0) AND cnt/LTimer(1) AND + cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) + OR (NOT cnt/LTimer(0) AND cnt/LTimer(2) AND + cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) + OR (NOT cnt/LTimer(0) AND NOT cnt/IS_FSM_FFd1 AND + cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) + OR (NOT cnt/LTimer(0) AND cnt/IS_FSM_FFd2 AND + cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2)); -FTCPE_cnt/LTimer1: FTCPE port map (cnt/LTimer(1),cnt/LTimer(0),FCLK,'0','0',cnt/LTimer_CE(1)); -cnt/LTimer_CE(1) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); +FDCPE_cnt/LTimer1: FDCPE port map (cnt/LTimer(1),cnt/LTimer_D(1),FCLK,'0','0'); +cnt/LTimer_D(1) <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND + A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND + NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND + A_FSB(9) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND + A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND + NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(8) AND + fsb/ASrf AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND + A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND + NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND + A_FSB(9) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND + A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND + NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(8) AND + fsb/ASrf AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND + A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND + NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(9) AND + fsb/ASrf AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND + A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND + NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(9) AND + fsb/ASrf AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) + OR (NOT cnt/LTimer(0) AND NOT cnt/LTimer(1)) + OR (NOT cnt/LTimer(1) AND + NOT cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) + OR (cnt/LTimer(0) AND cnt/LTimer(1) AND + cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND + A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND + NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND + A_FSB(8) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND + A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND + NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND + A_FSB(8) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2)); -FTCPE_cnt/LTimer2: FTCPE port map (cnt/LTimer(2),cnt/LTimer_T(2),FCLK,'0','0',cnt/LTimer_CE(2)); -cnt/LTimer_T(2) <= (cnt/LTimer(0) AND cnt/LTimer(1)); -cnt/LTimer_CE(2) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); +FTCPE_cnt/LTimer2: FTCPE port map (cnt/LTimer(2),cnt/LTimer_T(2),FCLK,'0','0'); +cnt/LTimer_T(2) <= ((cnt/LTimer(2) AND cnt/IS_FSM_FFd1 AND + NOT cnt/IS_FSM_FFd2) + OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND + NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) + OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND + cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); -FTCPE_cnt/LTimer3: FTCPE port map (cnt/LTimer(3),cnt/LTimer_T(3),FCLK,'0','0',cnt/LTimer_CE(3)); -cnt/LTimer_T(3) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2)); -cnt/LTimer_CE(3) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); +FTCPE_cnt/LTimer3: FTCPE port map (cnt/LTimer(3),cnt/LTimer_T(3),FCLK,'0','0'); +cnt/LTimer_T(3) <= ((cnt/LTimer(3) AND cnt/IS_FSM_FFd1 AND + NOT cnt/IS_FSM_FFd2) + OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND + cnt/LTimer(2) AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) + OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND + cnt/LTimer(2) AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); -FTCPE_cnt/LTimer4: FTCPE port map (cnt/LTimer(4),cnt/LTimer_T(4),FCLK,'0','0',cnt/LTimer_CE(4)); -cnt/LTimer_T(4) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND - cnt/LTimer(3)); -cnt/LTimer_CE(4) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); +FTCPE_cnt/LTimer4: FTCPE port map (cnt/LTimer(4),cnt/LTimer_T(4),FCLK,'0','0'); +cnt/LTimer_T(4) <= ((cnt/LTimer(4) AND cnt/IS_FSM_FFd1 AND + NOT cnt/IS_FSM_FFd2) + OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND + cnt/LTimer(2) AND cnt/LTimer(3) AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND + cnt/Er(1)) + OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND + cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND + cnt/Er(1))); -FTCPE_cnt/LTimer5: FTCPE port map (cnt/LTimer(5),cnt/LTimer_T(5),FCLK,'0','0',cnt/LTimer_CE(5)); -cnt/LTimer_T(5) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND - cnt/LTimer(3) AND cnt/LTimer(4)); -cnt/LTimer_CE(5) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); +FTCPE_cnt/LTimer5: FTCPE port map (cnt/LTimer(5),cnt/LTimer_T(5),FCLK,'0','0'); +cnt/LTimer_T(5) <= ((cnt/LTimer(5) AND cnt/IS_FSM_FFd1 AND + NOT cnt/IS_FSM_FFd2) + OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND + cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND NOT cnt/IS_FSM_FFd1 AND + NOT cnt/Er(0) AND cnt/Er(1)) + OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND + cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/IS_FSM_FFd2 AND + NOT cnt/Er(0) AND cnt/Er(1))); -FTCPE_cnt/LTimer6: FTCPE port map (cnt/LTimer(6),cnt/LTimer_T(6),FCLK,'0','0',cnt/LTimer_CE(6)); -cnt/LTimer_T(6) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND - cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5)); -cnt/LTimer_CE(6) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); - -FTCPE_cnt/LTimer7: FTCPE port map (cnt/LTimer(7),cnt/LTimer_T(7),FCLK,'0','0',cnt/LTimer_CE(7)); -cnt/LTimer_T(7) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND - cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6)); -cnt/LTimer_CE(7) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); - -FTCPE_cnt/LTimer8: FTCPE port map (cnt/LTimer(8),cnt/LTimer_T(8),FCLK,'0','0',cnt/LTimer_CE(8)); -cnt/LTimer_T(8) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND - cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND - cnt/LTimer(7)); -cnt/LTimer_CE(8) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); - -FTCPE_cnt/LTimer9: FTCPE port map (cnt/LTimer(9),cnt/LTimer_T(9),FCLK,'0','0',cnt/LTimer_CE(9)); -cnt/LTimer_T(9) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND - cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND - cnt/LTimer(7) AND cnt/LTimer(8)); -cnt/LTimer_CE(9) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); - -FTCPE_cnt/LTimer10: FTCPE port map (cnt/LTimer(10),cnt/LTimer_T(10),FCLK,'0','0',cnt/LTimer_CE(10)); -cnt/LTimer_T(10) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND - cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND - cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9)); -cnt/LTimer_CE(10) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); - -FTCPE_cnt/LTimer11: FTCPE port map (cnt/LTimer(11),cnt/LTimer_T(11),FCLK,'0','0',cnt/LTimer_CE(11)); -cnt/LTimer_T(11) <= (cnt/LTimer(0) AND cnt/LTimer(10) AND cnt/LTimer(1) AND +FTCPE_cnt/LTimer6: FTCPE port map (cnt/LTimer(6),cnt/LTimer_T(6),FCLK,'0','0'); +cnt/LTimer_T(6) <= ((cnt/LTimer(6) AND cnt/IS_FSM_FFd1 AND + NOT cnt/IS_FSM_FFd2) + OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND - cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9)); -cnt/LTimer_CE(11) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); + NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) + OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND + cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND + cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); -FTCPE_cnt/LTimer12: FTCPE port map (cnt/LTimer(12),cnt/LTimer_T(12),FCLK,'0','0',cnt/LTimer_CE(12)); -cnt/LTimer_T(12) <= (cnt/LTimer(0) AND cnt/LTimer(10) AND cnt/LTimer(11) AND - cnt/LTimer(1) AND cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND - cnt/LTimer(5) AND cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND - cnt/LTimer(9)); -cnt/LTimer_CE(12) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); +FTCPE_cnt/LTimer7: FTCPE port map (cnt/LTimer(7),cnt/LTimer_T(7),FCLK,'0','0'); +cnt/LTimer_T(7) <= ((cnt/LTimer(7) AND cnt/IS_FSM_FFd1 AND + NOT cnt/IS_FSM_FFd2) + OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND + cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND + cnt/LTimer(6) AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) + OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND + cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND + cnt/LTimer(6) AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); -FDCPE_cnt/LTimerTC: FDCPE port map (cnt/LTimerTC,cnt/LTimerTC_D,FCLK,'0','0',cnt/LTimerTC_CE); -cnt/LTimerTC_D <= (NOT cnt/LTimer(0) AND cnt/LTimer(10) AND cnt/LTimer(11) AND - cnt/LTimer(1) AND cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND +FTCPE_cnt/LTimer8: FTCPE port map (cnt/LTimer(8),cnt/LTimer_T(8),FCLK,'0','0'); +cnt/LTimer_T(8) <= ((cnt/LTimer(8) AND cnt/IS_FSM_FFd1 AND + NOT cnt/IS_FSM_FFd2) + OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND + cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND + cnt/LTimer(6) AND cnt/LTimer(7) AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND + cnt/Er(1)) + OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND + cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND + cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND + cnt/Er(1))); + +FTCPE_cnt/LTimer9: FTCPE port map (cnt/LTimer(9),cnt/LTimer_T(9),FCLK,'0','0'); +cnt/LTimer_T(9) <= ((RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND + cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND + cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/IS_FSM_FFd2 AND + NOT cnt/Er(0) AND cnt/Er(1)) + OR (cnt/LTimer(9) AND cnt/IS_FSM_FFd1 AND + NOT cnt/IS_FSM_FFd2) + OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND + cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND + cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND NOT cnt/IS_FSM_FFd1 AND + NOT cnt/Er(0) AND cnt/Er(1))); + +FTCPE_cnt/LTimer10: FTCPE port map (cnt/LTimer(10),cnt/LTimer_T(10),FCLK,'0','0'); +cnt/LTimer_T(10) <= ((cnt/LTimer(10) AND cnt/IS_FSM_FFd1 AND + NOT cnt/IS_FSM_FFd2) + OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND + cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND + cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9) AND + NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) + OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND + cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND + cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9) AND + cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); + +FTCPE_cnt/LTimer11: FTCPE port map (cnt/LTimer(11),cnt/LTimer_T(11),FCLK,'0','0'); +cnt/LTimer_T(11) <= ((cnt/LTimer(11) AND cnt/IS_FSM_FFd1 AND + NOT cnt/IS_FSM_FFd2) + OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND + cnt/LTimer(10) AND cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND - cnt/LTimer(9) AND cnt/LTimer(12)); -cnt/LTimerTC_CE <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); + cnt/LTimer(9) AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) + OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND + cnt/LTimer(10) AND cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND + cnt/LTimer(5) AND cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND + cnt/LTimer(9) AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); + +FDCPE_cnt/LTimerTC: FDCPE port map (cnt/LTimerTC,cnt/LTimerTC_D,FCLK,'0','0'); +cnt/LTimerTC_D <= (NOT cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(10) AND + cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND + cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9) AND + cnt/LTimer(11)); + + +cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND + A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND + NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(9) AND + fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND + A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND + NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(9) AND + fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND + A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND + NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(8) AND + fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND + A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND + NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(8) AND + fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND + A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND + NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND + A_FSB(9)) + OR (RefUrg AND NOT cnt/Er(0) AND cnt/Er(1)) + OR (NOT cnt/LTimer(0) AND NOT cnt/LTimer(1) AND NOT cnt/LTimer(10) AND + NOT cnt/LTimer(2) AND NOT cnt/LTimer(3) AND NOT cnt/LTimer(4) AND NOT cnt/LTimer(5) AND + NOT cnt/LTimer(6) AND NOT cnt/LTimer(7) AND NOT cnt/LTimer(8) AND NOT cnt/LTimer(9) AND + NOT cnt/LTimer(11) AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND + A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND + NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND + A_FSB(9)) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND + A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND + NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND + A_FSB(8)) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND + A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND + NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND + A_FSB(8))); FTCPE_cnt/Timer0: FTCPE port map (cnt/Timer(0),cnt/Timer_T(0),FCLK,'0','0',cnt/Timer_CE(0)); -cnt/Timer_T(0) <= (NOT cnt/Timer(0) AND cnt/TimerTC AND NOT cnt/Er(0) AND - cnt/Er(1)); +cnt/Timer_T(0) <= (RefUrg AND NOT cnt/Timer(0) AND NOT cnt/Er(0) AND cnt/Er(1)); cnt/Timer_CE(0) <= (NOT cnt/Er(0) AND cnt/Er(1)); FDCPE_cnt/Timer1: FDCPE port map (cnt/Timer(1),cnt/Timer_D(1),FCLK,'0','0',cnt/Timer_CE(1)); cnt/Timer_D(1) <= ((cnt/Timer(0) AND cnt/Timer(1)) OR (NOT cnt/Timer(0) AND NOT cnt/Timer(1)) - OR (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1))); + OR (RefUrg AND NOT cnt/Er(0) AND cnt/Er(1))); cnt/Timer_CE(1) <= (NOT cnt/Er(0) AND cnt/Er(1)); FDCPE_cnt/Timer2: FDCPE port map (cnt/Timer(2),cnt/Timer_D(2),FCLK,'0','0',cnt/Timer_CE(2)); cnt/Timer_D(2) <= ((NOT cnt/Timer(0) AND NOT cnt/Timer(2)) OR (NOT cnt/Timer(1) AND NOT cnt/Timer(2)) - OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2)) - OR (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1))); + OR (RefUrg AND NOT cnt/Er(0) AND cnt/Er(1)) + OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2))); cnt/Timer_CE(2) <= (NOT cnt/Er(0) AND cnt/Er(1)); -FDCPE_cnt/TimerTC: FDCPE port map (cnt/TimerTC,cnt/TimerTC_D,FCLK,'0','0',cnt/TimerTC_CE); -cnt/TimerTC_D <= (RefUrg AND cnt/Timer(0) AND NOT cnt/Timer(1) AND - NOT cnt/Timer(2)); -cnt/TimerTC_CE <= (NOT cnt/Er(0) AND cnt/Er(1)); +FTCPE_cnt/Timer3: FTCPE port map (cnt/Timer(3),cnt/Timer_T(3),FCLK,'0','0',cnt/Timer_CE(3)); +cnt/Timer_T(3) <= ((RefUrg AND cnt/Timer(3) AND NOT cnt/Er(0) AND cnt/Er(1)) + OR (NOT RefUrg AND cnt/Timer(0) AND cnt/Timer(1) AND + cnt/Timer(2)) + OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2) AND + cnt/Er(0)) + OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2) AND + NOT cnt/Er(1))); +cnt/Timer_CE(3) <= (NOT cnt/Er(0) AND cnt/Er(1)); + +FTCPE_cnt/WS0: FTCPE port map (cnt/WS(0),cnt/WS_T(0),FCLK,'0','0'); +cnt/WS_T(0) <= (nAS_FSB AND NOT cnt/WS(0) AND NOT fsb/ASrf); + +FDCPE_cnt/WS1: FDCPE port map (cnt/WS(1),cnt/WS_D(1),FCLK,'0','0'); +cnt/WS_D(1) <= ((nAS_FSB AND NOT fsb/ASrf) + OR (NOT cnt/WS(0) AND NOT cnt/WS(1)) + OR (cnt/WS(0) AND cnt/WS(1))); + +FTCPE_cnt/WS2: FTCPE port map (cnt/WS(2),cnt/WS_T(2),FCLK,'0','0'); +cnt/WS_T(2) <= ((nAS_FSB AND cnt/WS(2) AND NOT fsb/ASrf) + OR (NOT nAS_FSB AND cnt/WS(0) AND cnt/WS(1)) + OR (cnt/WS(0) AND cnt/WS(1) AND fsb/ASrf)); + +FTCPE_cnt/WS3: FTCPE port map (cnt/WS(3),cnt/WS_T(3),FCLK,'0','0'); +cnt/WS_T(3) <= ((nAS_FSB AND cnt/WS(3) AND NOT fsb/ASrf) + OR (NOT nAS_FSB AND cnt/WS(0) AND cnt/WS(1) AND cnt/WS(2)) + OR (cnt/WS(0) AND cnt/WS(1) AND cnt/WS(2) AND fsb/ASrf)); FDCPE_cnt/nIPL2r: FDCPE port map (cnt/nIPL2r,nIPL2,FCLK,'0','0'); @@ -1200,103 +1435,103 @@ FDCPE_iobs/IOL1: FDCPE port map (iobs/IOL1,NOT nLDS_FSB,FCLK,'0','0',iobs/Load1) FTCPE_iobs/IORW1: FTCPE port map (iobs/IORW1,iobs/IORW1_T,FCLK,'0','0'); iobs/IORW1_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND - cs/nOverlay AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd1 AND - A_FSB(14) AND fsb/ASrf AND nADoutLE1) + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND + NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd1 AND + fsb/ASrf AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND - cs/nOverlay AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd1 AND - A_FSB(13) AND fsb/ASrf AND nADoutLE1) + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND + NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd2 AND + fsb/ASrf AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND - cs/nOverlay AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd2 AND - A_FSB(14) AND fsb/ASrf AND nADoutLE1) + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND + NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd1 AND + fsb/ASrf AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND - cs/nOverlay AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd2 AND - A_FSB(13) AND fsb/ASrf AND nADoutLE1) + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND + NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd2 AND + fsb/ASrf AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND - cs/nOverlay AND NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND - iobs/TS_FSM_FFd1 AND A_FSB(14) AND nADoutLE1) + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND + NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND + iobs/TS_FSM_FFd1 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND - cs/nOverlay AND NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND - iobs/TS_FSM_FFd1 AND A_FSB(13) AND nADoutLE1) + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND + NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND + iobs/TS_FSM_FFd2 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND - cs/nOverlay AND NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND - iobs/TS_FSM_FFd2 AND A_FSB(14) AND nADoutLE1) + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND + NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND + iobs/TS_FSM_FFd1 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND - cs/nOverlay AND NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND - iobs/TS_FSM_FFd2 AND A_FSB(13) AND nADoutLE1)); + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND + NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND + iobs/TS_FSM_FFd2 AND nADoutLE1)); FDCPE_iobs/IOU1: FDCPE port map (iobs/IOU1,NOT nUDS_FSB,FCLK,'0','0',iobs/Load1); FDCPE_iobs/Load1: FDCPE port map (iobs/Load1,iobs/Load1_D,FCLK,'0','0'); iobs/Load1_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND - cs/nOverlay AND NOT nWE_FSB AND iobs/TS_FSM_FFd1 AND A_FSB(14) AND - fsb/ASrf AND nADoutLE1) + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND + NOT iobs/Sent AND NOT nWE_FSB AND iobs/TS_FSM_FFd1 AND fsb/ASrf AND + nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND - cs/nOverlay AND NOT nWE_FSB AND iobs/TS_FSM_FFd1 AND A_FSB(13) AND - fsb/ASrf AND nADoutLE1) + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND + NOT iobs/Sent AND NOT nWE_FSB AND iobs/TS_FSM_FFd2 AND fsb/ASrf AND + nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND - cs/nOverlay AND NOT nWE_FSB AND iobs/TS_FSM_FFd2 AND A_FSB(13) AND - fsb/ASrf AND nADoutLE1) + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND + NOT iobs/Sent AND NOT nWE_FSB AND iobs/TS_FSM_FFd1 AND fsb/ASrf AND + nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND - cs/nOverlay AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd1 AND - A_FSB(14) AND nADoutLE1) + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND + NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd1 AND + nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND - cs/nOverlay AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd1 AND - A_FSB(13) AND nADoutLE1) + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND + NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd2 AND + nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND - cs/nOverlay AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd2 AND - A_FSB(14) AND nADoutLE1) + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND + NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd1 AND + nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND - cs/nOverlay AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd2 AND - A_FSB(13) AND nADoutLE1) + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND + NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd2 AND + nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND - cs/nOverlay AND NOT nWE_FSB AND iobs/TS_FSM_FFd2 AND A_FSB(14) AND - fsb/ASrf AND nADoutLE1)); + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND + NOT iobs/Sent AND NOT nWE_FSB AND iobs/TS_FSM_FFd2 AND fsb/ASrf AND + nADoutLE1)); FTCPE_iobs/Sent: FTCPE port map (iobs/Sent,iobs/Sent_T,FCLK,'0','0'); -iobs/Sent_T <= ((A_FSB(22) AND A_FSB(21) AND NOT iobs/Sent AND NOT nAS_FSB AND - NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Sent AND - NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) - OR (A_FSB(22) AND NOT iobs/Sent AND NOT cs/nOverlay AND - NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) +iobs/Sent_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND + NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND + NOT iobs/Sent AND NOT nWE_FSB AND fsb/ASrf AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND + NOT iobs/Sent AND NOT nWE_FSB AND fsb/ASrf AND nADoutLE1) OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/Sent AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) + OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Sent AND + NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) + OR (A_FSB(22) AND NOT iobs/Sent AND NOT cs/nOverlay AND NOT nAS_FSB AND + NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (A_FSB(22) AND NOT iobs/Sent AND NOT cs/nOverlay AND + NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND - cs/nOverlay AND NOT nWE_FSB AND NOT nAS_FSB AND A_FSB(14) AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND - cs/nOverlay AND NOT nWE_FSB AND NOT nAS_FSB AND A_FSB(13) AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND - cs/nOverlay AND NOT nWE_FSB AND A_FSB(14) AND fsb/ASrf AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND - cs/nOverlay AND NOT nWE_FSB AND A_FSB(13) AND fsb/ASrf AND nADoutLE1) + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND + NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND nADoutLE1) OR (iobs/Sent AND nAS_FSB AND NOT fsb/ASrf) OR (A_FSB(23) AND NOT iobs/Sent AND NOT nAS_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (A_FSB(23) AND NOT iobs/Sent AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) - OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Sent AND NOT nAS_FSB AND + OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/Sent AND NOT nAS_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (A_FSB(22) AND NOT iobs/Sent AND NOT cs/nOverlay AND NOT nAS_FSB AND + OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Sent AND NOT nAS_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1)); FDCPE_iobs/TS_FSM_FFd1: FDCPE port map (iobs/TS_FSM_FFd1,iobs/TS_FSM_FFd1_D,FCLK,'0','0'); @@ -1304,31 +1539,20 @@ iobs/TS_FSM_FFd1_D <= ((iobs/TS_FSM_FFd2) OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr)); FDCPE_iobs/TS_FSM_FFd2: FDCPE port map (iobs/TS_FSM_FFd2,iobs/TS_FSM_FFd2_D,FCLK,'0','0'); -iobs/TS_FSM_FFd2_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND +iobs/TS_FSM_FFd2_D <= ((nRAS_OBUF.EXP) + OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND + nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19) AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18) AND + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20) AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16) AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20) AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(17) AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/TS_FSM_FFd2 AND - NOT A_FSB(14) AND NOT A_FSB(13) AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND cs/nOverlay AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2) OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr) - OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND - nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1)); + OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1)); nADoutLE0 <= (NOT ALE0M AND NOT ALE0S); @@ -1349,38 +1573,36 @@ nAS_IOB <= nAS_IOB_I when nAS_IOB_OE = '1' else 'Z'; nAS_IOB_OE <= NOT nAoutOE; FDCPE_nAoutOE: FDCPE port map (nAoutOE,nAoutOE_D,FCLK,'0','0'); -nAoutOE_D <= ((NOT nBR_IOB AND cnt/INITS_FSM_FFd1 AND - cnt/INITS_FSM_FFd2) - OR (cnt/INITS_FSM_FFd1 AND NOT cnt/INITS_FSM_FFd2 AND - NOT nAoutOE)); +nAoutOE_D <= ((NOT nBR_IOB AND cnt/IS_FSM_FFd1 AND cnt/IS_FSM_FFd2) + OR (cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND NOT nAoutOE)); FDCPE_nBERR_FSB: FDCPE port map (nBERR_FSB,nBERR_FSB_D,FCLK,'0','0'); -nBERR_FSB_D <= ((NOT iobs/Sent AND nBERR_FSB) - OR (NOT IOBERR AND nBERR_FSB) +nBERR_FSB_D <= ((NOT IOBERR AND nBERR_FSB) + OR (NOT iobs/Sent AND nBERR_FSB) OR (nAS_FSB AND NOT fsb/ASrf)); FTCPE_nBR_IOB: FTCPE port map (nBR_IOB,nBR_IOB_T,FCLK,'0','0'); -nBR_IOB_T <= ((nBR_IOB AND NOT cnt/INITS_FSM_FFd1 AND - NOT cnt/INITS_FSM_FFd2) - OR (NOT nBR_IOB AND NOT cnt/INITS_FSM_FFd1 AND - cnt/INITS_FSM_FFd2 AND NOT cnt/nIPL2r)); +nBR_IOB_T <= ((nBR_IOB AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2) + OR (NOT nBR_IOB AND NOT cnt/IS_FSM_FFd1 AND cnt/IS_FSM_FFd2 AND + NOT cnt/nIPL2r)); FDCPE_nCAS: FDCPE port map (nCAS,NOT ram/CAS,NOT FCLK,'0','0'); FDCPE_nDTACK_FSB: FDCPE port map (nDTACK_FSB,nDTACK_FSB_D,FCLK,'0','0'); -nDTACK_FSB_D <= ((A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18)) +nDTACK_FSB_D <= ((NOT QoSReady) + OR (NOT A_FSB(22) AND NOT IONPReady AND NOT RAMReady) + OR (A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16)) OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND - A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND NOT nWE_FSB AND NOT IONPReady AND - NOT IOPWReady AND A_FSB(14)) + A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND NOT nWE_FSB AND NOT IONPReady AND + NOT IOPWReady) OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND - A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND NOT nWE_FSB AND NOT IONPReady AND - NOT IOPWReady AND A_FSB(13)) + A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND NOT nWE_FSB AND NOT IONPReady AND + NOT IOPWReady) OR (A_FSB(23) AND NOT IONPReady) OR (nAS_FSB AND NOT fsb/ASrf) OR (A_FSB(22) AND A_FSB(21) AND NOT IONPReady) - OR (A_FSB(22) AND A_FSB(20) AND NOT IONPReady) - OR (NOT A_FSB(22) AND NOT IONPReady AND NOT RAMReady)); + OR (A_FSB(22) AND A_FSB(20) AND NOT IONPReady)); FDCPE_nDinLE: FDCPE port map (nDinLE,nDinLE_D,NOT C16M,'0','0'); nDinLE_D <= (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4); @@ -1391,9 +1613,9 @@ nDinOE <= NOT (((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB) OR (A_FSB(22) AND A_FSB(20) AND nWE_FSB AND NOT nAS_FSB))); -nDoutOE <= NOT (((NOT iobm/IORDREQr AND iobm/IOS0 AND NOT iobm/IOWRREQr AND - NOT nAoutOE) - OR (iobm/DoutOE AND NOT nAoutOE))); +nDoutOE <= NOT (((iobm/DoutOE AND NOT nAoutOE) + OR (NOT iobm/IORDREQr AND iobm/IOS0 AND NOT iobm/IOWRREQr AND + NOT nAoutOE))); FDCPE_nLDS_IOB: FDCPE port map (nLDS_IOB_I,nLDS_IOB,NOT C16M,'0','0'); nLDS_IOB <= ((iobm/IOS_FSM_FFd7 AND NOT iobm/C8Mr AND IOL0 AND @@ -1426,7 +1648,7 @@ nRES <= nRES_I when nRES_OE = '1' else 'Z'; nRES_OE <= NOT nRESout; FDCPE_nRESout: FDCPE port map (nRESout,nRESout_D,FCLK,'0','0'); -nRESout_D <= (cnt/INITS_FSM_FFd1 AND NOT cnt/INITS_FSM_FFd2); +nRESout_D <= (cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2); nROMCS <= NOT (((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20)) @@ -1455,66 +1677,41 @@ nVMA_IOB_OE <= NOT nAoutOE; FDCPE_nVPA_FSB: FDCPE port map (nVPA_FSB,nVPA_FSB_D,FCLK,'0',nAS_FSB); nVPA_FSB_D <= ((A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND IONPReady AND NOT nAS_FSB) + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND IONPReady AND + NOT nAS_FSB AND QoSReady) OR (A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND IONPReady AND fsb/ASrf)); - -FDCPE_ram/BACTr: FDCPE port map (ram/BACTr,ram/BACTr_D,FCLK,'0','0'); -ram/BACTr_D <= (nAS_FSB AND NOT fsb/ASrf); + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND IONPReady AND + QoSReady AND fsb/ASrf)); FDCPE_ram/CAS: FDCPE port map (ram/CAS,ram/CAS_D,FCLK,'0','0'); ram/CAS_D <= ((ram/RS_FSM_FFd7) OR (ram/RS_FSM_FFd6) - OR (A_FSB(23) AND NOT ram/RefDone AND RefReq AND NOT nAS_FSB AND - ram/RS_FSM_FFd8 AND NOT ram/BACTr) - OR (A_FSB(23) AND NOT ram/RefDone AND RefReq AND - ram/RS_FSM_FFd8 AND fsb/ASrf AND NOT ram/BACTr) - OR (A_FSB(22) AND NOT ram/RefDone AND RefReq AND - ram/RS_FSM_FFd8 AND fsb/ASrf AND NOT ram/BACTr) - OR (NOT ram/RefDone AND RefReq AND NOT cs/nOverlay AND NOT nAS_FSB AND - ram/RS_FSM_FFd8 AND NOT ram/BACTr) - OR (NOT ram/RefDone AND RefReq AND NOT cs/nOverlay AND - ram/RS_FSM_FFd8 AND fsb/ASrf AND NOT ram/BACTr) + OR (RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd5) + OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND + ram/RS_FSM_FFd8 AND NOT fsb/ASrf) + OR (NOT ram/RefDone AND RefReq AND nAS_FSB AND + ram/RS_FSM_FFd8 AND NOT fsb/ASrf) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND NOT nAS_FSB AND ram/RS_FSM_FFd8) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND - ram/RS_FSM_FFd8 AND fsb/ASrf) - OR (A_FSB(22) AND NOT ram/RefDone AND RefReq AND NOT nAS_FSB AND - ram/RS_FSM_FFd8 AND NOT ram/BACTr) - OR (RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd5) - OR (RefUrg AND NOT ram/RAMEN AND NOT ram/RefDone AND - ram/RS_FSM_FFd8) - OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND - ram/RS_FSM_FFd8 AND NOT fsb/ASrf)); + ram/RS_FSM_FFd8 AND fsb/ASrf)); FTCPE_ram/Once: FTCPE port map (ram/Once,ram/Once_T,FCLK,'0','0'); -ram/Once_T <= ((ram/Once AND nAS_FSB AND NOT fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND +ram/Once_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND NOT ram/Once AND NOT nAS_FSB AND ram/RS_FSM_FFd8) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND - NOT ram/Once AND ram/RS_FSM_FFd8 AND fsb/ASrf)); + NOT ram/Once AND ram/RS_FSM_FFd8 AND fsb/ASrf) + OR (ram/Once AND nAS_FSB AND NOT fsb/ASrf)); FDCPE_ram/RAMEN: FDCPE port map (ram/RAMEN,ram/RAMEN_D,FCLK,'0','0'); ram/RAMEN_D <= ((ram/RS_FSM_FFd7) OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND ram/RS_FSM_FFd8 AND NOT fsb/ASrf) - OR (A_FSB(23) AND NOT ram/RefDone AND RefReq AND NOT nAS_FSB AND - ram/RS_FSM_FFd8 AND NOT ram/BACTr) - OR (A_FSB(22) AND NOT ram/RefDone AND RefReq AND NOT nAS_FSB AND - ram/RS_FSM_FFd8 AND NOT ram/BACTr) - OR (A_FSB(23) AND NOT ram/RefDone AND RefReq AND - ram/RS_FSM_FFd8 AND fsb/ASrf AND NOT ram/BACTr) - OR (A_FSB(22) AND NOT ram/RefDone AND RefReq AND - ram/RS_FSM_FFd8 AND fsb/ASrf AND NOT ram/BACTr) - OR (NOT ram/RefDone AND RefReq AND NOT cs/nOverlay AND NOT nAS_FSB AND - ram/RS_FSM_FFd8 AND NOT ram/BACTr) - OR (NOT ram/RefDone AND RefReq AND NOT cs/nOverlay AND - ram/RS_FSM_FFd8 AND fsb/ASrf AND NOT ram/BACTr) OR (NOT ram/RAMEN AND ram/Once AND NOT nAS_FSB) OR (NOT ram/RAMEN AND ram/Once AND fsb/ASrf) OR (NOT ram/RAMEN AND NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4) - OR (RefUrg AND NOT ram/RAMEN AND NOT ram/RefDone AND - ram/RS_FSM_FFd8)); + OR (NOT ram/RefDone AND RefReq AND nAS_FSB AND + ram/RS_FSM_FFd8 AND NOT fsb/ASrf)); FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,FCLK,'0','0'); ram/RASEL_D <= ((ram/RS_FSM_FFd7) @@ -1546,23 +1743,11 @@ ram/RS_FSM_FFd4_D <= ((NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd1) FDCPE_ram/RS_FSM_FFd5: FDCPE port map (ram/RS_FSM_FFd5,ram/RS_FSM_FFd7,FCLK,'0','0'); FDCPE_ram/RS_FSM_FFd6: FDCPE port map (ram/RS_FSM_FFd6,ram/RS_FSM_FFd6_D,FCLK,'0','0'); -ram/RS_FSM_FFd6_D <= ((A_FSB(23) AND NOT ram/RefDone AND RefReq AND - ram/RS_FSM_FFd8 AND fsb/ASrf AND NOT ram/BACTr) - OR (A_FSB(22) AND NOT ram/RefDone AND RefReq AND - ram/RS_FSM_FFd8 AND fsb/ASrf AND NOT ram/BACTr) - OR (NOT ram/RefDone AND RefReq AND NOT cs/nOverlay AND NOT nAS_FSB AND - ram/RS_FSM_FFd8 AND NOT ram/BACTr) - OR (NOT ram/RefDone AND RefReq AND NOT cs/nOverlay AND - ram/RS_FSM_FFd8 AND fsb/ASrf AND NOT ram/BACTr) - OR (RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd5) - OR (RefUrg AND NOT ram/RAMEN AND NOT ram/RefDone AND - ram/RS_FSM_FFd8) +ram/RS_FSM_FFd6_D <= ((RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd5) OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND ram/RS_FSM_FFd8 AND NOT fsb/ASrf) - OR (A_FSB(23) AND NOT ram/RefDone AND RefReq AND NOT nAS_FSB AND - ram/RS_FSM_FFd8 AND NOT ram/BACTr) - OR (A_FSB(22) AND NOT ram/RefDone AND RefReq AND NOT nAS_FSB AND - ram/RS_FSM_FFd8 AND NOT ram/BACTr)); + OR (NOT ram/RefDone AND RefReq AND nAS_FSB AND + ram/RS_FSM_FFd8 AND NOT fsb/ASrf)); FDCPE_ram/RS_FSM_FFd7: FDCPE port map (ram/RS_FSM_FFd7,ram/RS_FSM_FFd7_D,FCLK,'0','0'); ram/RS_FSM_FFd7_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND @@ -1571,27 +1756,15 @@ ram/RS_FSM_FFd7_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOve ram/RS_FSM_FFd8 AND fsb/ASrf)); FDCPE_ram/RS_FSM_FFd8: FDCPE port map (ram/RS_FSM_FFd8,ram/RS_FSM_FFd8_D,FCLK,'0','0'); -ram/RS_FSM_FFd8_D <= ((A_FSB(23) AND NOT ram/RefDone AND RefReq AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd4 AND NOT ram/BACTr) - OR (A_FSB(23) AND NOT ram/RefDone AND RefReq AND - NOT ram/RS_FSM_FFd4 AND fsb/ASrf AND NOT ram/BACTr) - OR (A_FSB(22) AND NOT ram/RefDone AND RefReq AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd4 AND NOT ram/BACTr) - OR (A_FSB(22) AND NOT ram/RefDone AND RefReq AND - NOT ram/RS_FSM_FFd4 AND fsb/ASrf AND NOT ram/BACTr) - OR (NOT ram/RefDone AND RefReq AND NOT cs/nOverlay AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd4 AND NOT ram/BACTr) - OR (NOT ram/RefDone AND RefReq AND NOT cs/nOverlay AND - NOT ram/RS_FSM_FFd4 AND fsb/ASrf AND NOT ram/BACTr) +ram/RS_FSM_FFd8_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND + NOT ram/RS_FSM_FFd4 AND fsb/ASrf) OR (NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4) - OR (RefUrg AND NOT ram/RAMEN AND NOT ram/RefDone AND - NOT ram/RS_FSM_FFd4) OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND NOT ram/RS_FSM_FFd4 AND NOT fsb/ASrf) + OR (NOT ram/RefDone AND RefReq AND nAS_FSB AND + NOT ram/RS_FSM_FFd4 AND NOT fsb/ASrf) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND - NOT nAS_FSB AND NOT ram/RS_FSM_FFd4) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND - NOT ram/RS_FSM_FFd4 AND fsb/ASrf)); + NOT nAS_FSB AND NOT ram/RS_FSM_FFd4)); FDCPE_ram/RefDone: FDCPE port map (ram/RefDone,ram/RefDone_D,FCLK,'0','0'); ram/RefDone_D <= ((NOT RefUrg AND NOT RefReq) @@ -1650,7 +1823,7 @@ No. Name No. Name 6 A_FSB<8> 56 RA<9> 7 A_FSB<9> 57 VCC 8 A_FSB<10> 58 C25MEN - 9 A_FSB<11> 59 C20MEN + 9 A_FSB<11> 59 KPR 10 A_FSB<12> 60 KPR 11 A_FSB<13> 61 KPR 12 A_FSB<14> 62 GND diff --git a/cpld/XC95144XL/WarpSE.syr b/cpld/XC95144XL/WarpSE.syr index f90ad48..5c96716 100644 --- a/cpld/XC95144XL/WarpSE.syr +++ b/cpld/XC95144XL/WarpSE.syr @@ -3,13 +3,13 @@ Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. --> Parameter TMPDIR set to xst/projnav.tmp -Total REAL time to Xst completion: 0.00 secs +Total REAL time to Xst completion: 1.00 secs Total CPU time to Xst completion: 0.09 secs --> Parameter xsthdpdir set to xst -Total REAL time to Xst completion: 0.00 secs +Total REAL time to Xst completion: 1.00 secs Total CPU time to Xst completion: 0.09 secs --> Reading design: WarpSE.prj @@ -75,18 +75,18 @@ wysiwyg : NO ========================================================================= * HDL Compilation * ========================================================================= -Compiling verilog file "RAM.v" in library work -Compiling verilog file "IOBS.v" in library work +Compiling verilog file "../RAM.v" in library work +Compiling verilog file "../IOBS.v" in library work Module compiled -Compiling verilog file "IOBM.v" in library work +Compiling verilog file "../IOBM.v" in library work Module compiled -Compiling verilog file "FSB.v" in library work +Compiling verilog file "../FSB.v" in library work Module compiled -Compiling verilog file "CS.v" in library work +Compiling verilog file "../CS.v" in library work Module compiled -Compiling verilog file "CNT.v" in library work +Compiling verilog file "../CNT.v" in library work Module compiled -Compiling verilog file "WarpSE.v" in library work +Compiling verilog file "../WarpSE.v" in library work Module compiled Module compiled No errors in compilation @@ -143,9 +143,7 @@ Module is correct for synthesis. Performing bidirectional port resolution... Synthesizing Unit . - Related source file is "CS.v". -WARNING:Xst:1305 - Output is never assigned. Tied to value 0. -WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + Related source file is "../CS.v". Found 1-bit register for signal . Found 1-bit register for signal . Summary: @@ -154,7 +152,8 @@ Unit synthesized. Synthesizing Unit . - Related source file is "RAM.v". + Related source file is "../RAM.v". +WARNING:Xst:646 - Signal is assigned but never used. This unconnected signal will be trimmed during the optimization process. Found finite state machine for signal . ----------------------------------------------------------------------- | States | 8 | @@ -168,7 +167,6 @@ Synthesizing Unit . ----------------------------------------------------------------------- Found 1-bit register for signal . Found 1-bit register for signal . - Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . @@ -178,12 +176,12 @@ Synthesizing Unit . Found 1-bit register for signal . Summary: inferred 1 Finite State Machine(s). - inferred 7 D-type flip-flop(s). + inferred 6 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . - Related source file is "IOBS.v". + Related source file is "../IOBS.v". Found finite state machine for signal . ----------------------------------------------------------------------- | States | 4 | @@ -219,7 +217,7 @@ Unit synthesized. Synthesizing Unit . - Related source file is "IOBM.v". + Related source file is "../IOBM.v". Found finite state machine for signal . ----------------------------------------------------------------------- | States | 7 | @@ -256,37 +254,42 @@ Unit synthesized. Synthesizing Unit . - Related source file is "CNT.v". - Found finite state machine for signal . + Related source file is "../CNT.v". + Found finite state machine for signal . ----------------------------------------------------------------------- | States | 4 | | Transitions | 8 | | Inputs | 2 | - | Outputs | 4 | + | Outputs | 5 | | Clock | CLK (rising_edge) | | Power Up State | 00 | | Encoding | automatic | | Implementation | automatic | ----------------------------------------------------------------------- + Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . + Found 1-bit register for signal . + Found 2-bit adder for signal <$add0000> created at line 65. + Found 12-bit adder for signal <$add0001> created at line 66. Found 2-bit register for signal . - Found 13-bit up counter for signal . + Found 12-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 4-bit up counter for signal . - Found 1-bit register for signal . + Found 4-bit up counter for signal . Summary: inferred 1 Finite State Machine(s). inferred 2 Counter(s). - inferred 7 D-type flip-flop(s). + inferred 10 D-type flip-flop(s). + inferred 2 Adder/Subtractor(s). Unit synthesized. Synthesizing Unit . - Related source file is "FSB.v". + Related source file is "../FSB.v". Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . @@ -296,7 +299,8 @@ Unit synthesized. Synthesizing Unit . - Related source file is "WarpSE.v". + Related source file is "../WarpSE.v". +WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Found 1-bit tristate buffer for signal . @@ -313,11 +317,13 @@ Unit synthesized. HDL Synthesis Report Macro Statistics +# Adders/Subtractors : 2 + 12-bit adder : 1 + 2-bit adder : 1 # Counters : 3 - 13-bit up counter : 1 - 4-bit up counter : 2 -# Registers : 56 - 1-bit register : 55 + 4-bit up counter : 3 +# Registers : 68 + 1-bit register : 67 2-bit register : 1 # Tristates : 5 1-bit tristate buffer : 5 @@ -329,7 +335,7 @@ Macro Statistics ========================================================================= Analyzing FSM for best encoding. -Optimizing FSM on signal with johnson encoding. +Optimizing FSM on signal with johnson encoding. ------------------- State | Encoding ------------------- @@ -381,11 +387,13 @@ Advanced HDL Synthesis Report Macro Statistics # FSMs : 4 +# Adders/Subtractors : 2 + 12-bit adder : 1 + 2-bit adder : 1 # Counters : 3 - 13-bit up counter : 1 - 4-bit up counter : 2 -# Registers : 44 - Flip-Flops : 44 + 4-bit up counter : 3 +# Registers : 46 + Flip-Flops : 46 ========================================================================= @@ -434,12 +442,16 @@ Optimizing unit ... implementation constraint: INIT=r : IOS_FSM_FFd5 Optimizing unit ... - implementation constraint: INIT=r : Timer_3 - implementation constraint: INIT=r : Timer_0 implementation constraint: INIT=r : Timer_1 - implementation constraint: INIT=r : INITS_FSM_FFd2 + implementation constraint: INIT=r : IS_FSM_FFd2 + implementation constraint: INIT=r : IS_FSM_FFd1 implementation constraint: INIT=r : Timer_2 - implementation constraint: INIT=r : INITS_FSM_FFd1 + implementation constraint: INIT=r : Timer_0 + implementation constraint: INIT=r : WS_3 + implementation constraint: INIT=r : WS_0 + implementation constraint: INIT=r : WS_1 + implementation constraint: INIT=r : WS_2 + implementation constraint: INIT=r : Timer_3 ========================================================================= * Partition Report * @@ -471,42 +483,41 @@ Design Statistics # IOs : 75 Cell Usage : -# BELS : 559 -# AND2 : 172 -# AND3 : 25 -# AND4 : 9 +# BELS : 655 +# AND2 : 203 +# AND3 : 27 +# AND4 : 13 # AND5 : 2 -# AND6 : 1 -# AND7 : 1 -# AND8 : 1 +# AND7 : 2 +# AND8 : 4 # GND : 6 -# INV : 214 -# OR2 : 96 -# OR3 : 11 +# INV : 254 +# OR2 : 112 +# OR3 : 9 # OR4 : 2 # VCC : 1 -# XOR2 : 18 -# FlipFlops/Latches : 97 -# FD : 61 +# XOR2 : 20 +# FlipFlops/Latches : 100 +# FD : 67 # FDC : 2 -# FDCE : 33 +# FDCE : 30 # FDP : 1 -# IO Buffers : 71 +# IO Buffers : 70 # IBUF : 35 # IOBUFE : 1 -# OBUF : 31 +# OBUF : 30 # OBUFE : 4 ========================================================================= -Total REAL time to Xst completion: 5.00 secs -Total CPU time to Xst completion: 5.04 secs +Total REAL time to Xst completion: 6.00 secs +Total CPU time to Xst completion: 5.09 secs --> -Total memory usage is 261508 kilobytes +Total memory usage is 266948 kilobytes Number of errors : 0 ( 0 filtered) -Number of warnings : 5 ( 0 filtered) +Number of warnings : 4 ( 0 filtered) Number of infos : 0 ( 0 filtered) diff --git a/cpld/XC95144XL/WarpSE.tim b/cpld/XC95144XL/WarpSE.tim new file mode 100644 index 0000000..e69de29 diff --git a/cpld/XC95144XL/WarpSE.tspec b/cpld/XC95144XL/WarpSE.tspec new file mode 100644 index 0000000..b6ddb5a --- /dev/null +++ b/cpld/XC95144XL/WarpSE.tspec @@ -0,0 +1,1058 @@ +AUTO_TS_P2P:FROM:FCLK:TO:nRES:1 +AUTO_TS_F2P:FROM:nRESout.Q:TO:nRES:1 +AUTO_TS_P2P:FROM:C8M:TO:nVMA_IOB:1 +AUTO_TS_P2P:FROM:FCLK:TO:nVMA_IOB:1 +AUTO_TS_F2P:FROM:nVMA_IOBout.Q:TO:nVMA_IOB:1 +AUTO_TS_F2P:FROM:nAoutOE_OBUF.Q:TO:nVMA_IOB:1 +AUTO_TS_P2P:FROM:C16M:TO:nAS_IOB:1 +AUTO_TS_P2P:FROM:FCLK:TO:nAS_IOB:1 +AUTO_TS_F2P:FROM:nAS_IOBout.Q:TO:nAS_IOB:1 +AUTO_TS_F2P:FROM:nAoutOE_OBUF.Q:TO:nAS_IOB:1 +AUTO_TS_P2P:FROM:C16M:TO:nLDS_IOB:1 +AUTO_TS_P2P:FROM:FCLK:TO:nLDS_IOB:1 +AUTO_TS_F2P:FROM:nLDS_IOBout.Q:TO:nLDS_IOB:1 +AUTO_TS_F2P:FROM:nAoutOE_OBUF.Q:TO:nLDS_IOB:1 +AUTO_TS_P2P:FROM:C16M:TO:nUDS_IOB:1 +AUTO_TS_P2P:FROM:FCLK:TO:nUDS_IOB:1 +AUTO_TS_F2P:FROM:nUDS_IOBout.Q:TO:nUDS_IOB:1 +AUTO_TS_F2P:FROM:nAoutOE_OBUF.Q:TO:nUDS_IOB:1 +AUTO_TS_P2P:FROM:FCLK:TO:nBERR_FSB:1 +AUTO_TS_F2P:FROM:nBERR_FSB_OBUF.Q:TO:nBERR_FSB:1 +AUTO_TS_P2P:FROM:FCLK:TO:nVPA_FSB:1 +AUTO_TS_F2P:FROM:nVPA_FSB_OBUF.Q:TO:nVPA_FSB:1 +AUTO_TS_P2P:FROM:nAS_FSB:TO:nRAS:1 +AUTO_TS_P2P:FROM:FCLK:TO:nRAS:1 +AUTO_TS_P2P:FROM:A_FSB<23>:TO:nRAS:1 +AUTO_TS_P2P:FROM:A_FSB<22>:TO:nRAS:1 +AUTO_TS_F2P:FROM:ram/RAMEN.Q:TO:nRAS:1 +AUTO_TS_F2P:FROM:ram/RASrf.Q:TO:nRAS:1 +AUTO_TS_F2P:FROM:ram/RASrr.Q:TO:nRAS:1 +AUTO_TS_F2P:FROM:cs/nOverlay.Q:TO:nRAS:1 +AUTO_TS_P2P:FROM:FCLK:TO:nBR_IOB:1 +AUTO_TS_F2P:FROM:nBR_IOB_OBUF.Q:TO:nBR_IOB:1 +AUTO_TS_P2P:FROM:A_FSB<20>:TO:RA<3>:1 +AUTO_TS_P2P:FROM:FCLK:TO:RA<3>:1 +AUTO_TS_P2P:FROM:A_FSB<19>:TO:RA<3>:1 +AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<3>:1 +AUTO_TS_P2P:FROM:A_FSB<9>:TO:RA<0>:1 +AUTO_TS_P2P:FROM:FCLK:TO:RA<0>:1 +AUTO_TS_P2P:FROM:A_FSB<1>:TO:RA<0>:1 +AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<0>:1 +AUTO_TS_P2P:FROM:A_FSB<17>:TO:RA<10>:1 +AUTO_TS_P2P:FROM:FCLK:TO:RA<10>:1 +AUTO_TS_P2P:FROM:A_FSB<7>:TO:RA<10>:1 +AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<10>:1 +AUTO_TS_P2P:FROM:A_FSB<10>:TO:RA<1>:1 +AUTO_TS_P2P:FROM:FCLK:TO:RA<1>:1 +AUTO_TS_P2P:FROM:A_FSB<2>:TO:RA<1>:1 +AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<1>:1 +AUTO_TS_P2P:FROM:A_FSB<16>:TO:RA<2>:1 +AUTO_TS_P2P:FROM:FCLK:TO:RA<2>:1 +AUTO_TS_P2P:FROM:A_FSB<7>:TO:RA<2>:1 +AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<2>:1 +AUTO_TS_P2P:FROM:A_FSB<11>:TO:RA<4>:1 +AUTO_TS_P2P:FROM:FCLK:TO:RA<4>:1 +AUTO_TS_P2P:FROM:A_FSB<3>:TO:RA<4>:1 +AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<4>:1 +AUTO_TS_P2P:FROM:FCLK:TO:RA<5>:1 +AUTO_TS_P2P:FROM:A_FSB<12>:TO:RA<5>:1 +AUTO_TS_P2P:FROM:A_FSB<4>:TO:RA<5>:1 +AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<5>:1 +AUTO_TS_P2P:FROM:A_FSB<13>:TO:RA<6>:1 +AUTO_TS_P2P:FROM:FCLK:TO:RA<6>:1 +AUTO_TS_P2P:FROM:A_FSB<5>:TO:RA<6>:1 +AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<6>:1 +AUTO_TS_P2P:FROM:A_FSB<14>:TO:RA<7>:1 +AUTO_TS_P2P:FROM:FCLK:TO:RA<7>:1 +AUTO_TS_P2P:FROM:A_FSB<6>:TO:RA<7>:1 +AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<7>:1 +AUTO_TS_P2P:FROM:A_FSB<21>:TO:RA<8>:1 +AUTO_TS_P2P:FROM:FCLK:TO:RA<8>:1 +AUTO_TS_P2P:FROM:A_FSB<18>:TO:RA<8>:1 +AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<8>:1 +AUTO_TS_P2P:FROM:A_FSB<15>:TO:RA<9>:1 +AUTO_TS_P2P:FROM:FCLK:TO:RA<9>:1 +AUTO_TS_P2P:FROM:A_FSB<8>:TO:RA<9>:1 +AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<9>:1 +AUTO_TS_P2P:FROM:nWE_FSB:TO:nOE:1 +AUTO_TS_P2P:FROM:nAS_FSB:TO:nOE:1 +AUTO_TS_P2P:FROM:nWE_FSB:TO:nROMWE:1 +AUTO_TS_P2P:FROM:nAS_FSB:TO:nROMWE:1 +AUTO_TS_P2P:FROM:C16M:TO:nADoutLE0:1 +AUTO_TS_P2P:FROM:FCLK:TO:nADoutLE0:1 +AUTO_TS_F2P:FROM:ALE0M.Q:TO:nADoutLE0:1 +AUTO_TS_F2P:FROM:ALE0S.Q:TO:nADoutLE0:1 +AUTO_TS_P2P:FROM:FCLK:TO:nCAS:1 +AUTO_TS_F2P:FROM:nCAS_OBUF.Q:TO:nCAS:1 +AUTO_TS_P2P:FROM:FCLK:TO:nDTACK_FSB:1 +AUTO_TS_F2P:FROM:nDTACK_FSB_OBUF.Q:TO:nDTACK_FSB:1 +AUTO_TS_P2P:FROM:C16M:TO:nDinLE:1 +AUTO_TS_F2P:FROM:nDinLE_OBUF.Q:TO:nDinLE:1 +AUTO_TS_P2P:FROM:A_FSB<20>:TO:RA<11>:1 +AUTO_TS_P2P:FROM:FCLK:TO:RA<11>:1 +AUTO_TS_P2P:FROM:A_FSB<19>:TO:RA<11>:1 +AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<11>:1 +AUTO_TS_P2P:FROM:FCLK:TO:nADoutLE1:1 +AUTO_TS_F2P:FROM:nADoutLE1_OBUF.Q:TO:nADoutLE1:1 +AUTO_TS_P2P:FROM:FCLK:TO:nAoutOE:1 +AUTO_TS_F2P:FROM:nAoutOE_OBUF.Q:TO:nAoutOE:1 +AUTO_TS_P2P:FROM:A_FSB<23>:TO:nDinOE:1 +AUTO_TS_P2P:FROM:nWE_FSB:TO:nDinOE:1 +AUTO_TS_P2P:FROM:nAS_FSB:TO:nDinOE:1 +AUTO_TS_P2P:FROM:A_FSB<22>:TO:nDinOE:1 +AUTO_TS_P2P:FROM:A_FSB<21>:TO:nDinOE:1 +AUTO_TS_P2P:FROM:A_FSB<20>:TO:nDinOE:1 +AUTO_TS_P2P:FROM:FCLK:TO:nDoutOE:1 +AUTO_TS_P2P:FROM:C16M:TO:nDoutOE:1 +AUTO_TS_F2P:FROM:nAoutOE_OBUF.Q:TO:nDoutOE:1 +AUTO_TS_F2P:FROM:iobm/DoutOE.Q:TO:nDoutOE:1 +AUTO_TS_F2P:FROM:iobm/IORDREQr.Q:TO:nDoutOE:1 +AUTO_TS_F2P:FROM:iobm/IOWRREQr.Q:TO:nDoutOE:1 +AUTO_TS_F2P:FROM:iobm/IOS0.Q:TO:nDoutOE:1 +AUTO_TS_P2P:FROM:nWE_FSB:TO:nRAMLWE:1 +AUTO_TS_P2P:FROM:nAS_FSB:TO:nRAMLWE:1 +AUTO_TS_P2P:FROM:FCLK:TO:nRAMLWE:1 +AUTO_TS_P2P:FROM:nLDS_FSB:TO:nRAMLWE:1 +AUTO_TS_F2P:FROM:ram/RAMEN.Q:TO:nRAMLWE:1 +AUTO_TS_P2P:FROM:nWE_FSB:TO:nRAMUWE:1 +AUTO_TS_P2P:FROM:nAS_FSB:TO:nRAMUWE:1 +AUTO_TS_P2P:FROM:FCLK:TO:nRAMUWE:1 +AUTO_TS_P2P:FROM:nUDS_FSB:TO:nRAMUWE:1 +AUTO_TS_F2P:FROM:ram/RAMEN.Q:TO:nRAMUWE:1 +AUTO_TS_P2P:FROM:A_FSB<23>:TO:nROMCS:1 +AUTO_TS_P2P:FROM:A_FSB<22>:TO:nROMCS:1 +AUTO_TS_P2P:FROM:A_FSB<21>:TO:nROMCS:1 +AUTO_TS_P2P:FROM:A_FSB<20>:TO:nROMCS:1 +AUTO_TS_P2P:FROM:FCLK:TO:nROMCS:1 +AUTO_TS_F2P:FROM:cs/nOverlay.Q:TO:nROMCS:1 +AUTO_TS_F2F:FROM:cnt/Timer<0>.Q:TO:RefUrg.D:1 +AUTO_TS_F2F:FROM:cnt/Timer<1>.Q:TO:RefUrg.D:1 +AUTO_TS_F2F:FROM:cnt/Timer<2>.Q:TO:RefUrg.D:1 +AUTO_TS_F2F:FROM:cnt/Timer<3>.Q:TO:RefUrg.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:RefUrg.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:RefUrg.CE:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:RefUrg.CE:1 +AUTO_TS_P2F:FROM:FCLK:TO:RefUrg.CE:1 +AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<5>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimer<5>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<5>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<5>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<5>.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<5>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<5>.CE:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<5>.CE:1 +AUTO_TS_F2F:FROM:RefUrg.Q:TO:cnt/LTimer<5>.CE:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<5>.CE:1 +AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<6>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimer<6>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<6>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<6>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<6>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<5>.Q:TO:cnt/LTimer<6>.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<6>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<6>.CE:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<6>.CE:1 +AUTO_TS_F2F:FROM:RefUrg.Q:TO:cnt/LTimer<6>.CE:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<6>.CE:1 +AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<7>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimer<7>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<7>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<7>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<7>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<5>.Q:TO:cnt/LTimer<7>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<6>.Q:TO:cnt/LTimer<7>.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<7>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<7>.CE:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<7>.CE:1 +AUTO_TS_F2F:FROM:RefUrg.Q:TO:cnt/LTimer<7>.CE:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<7>.CE:1 +AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<8>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimer<8>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<8>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<8>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<8>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<5>.Q:TO:cnt/LTimer<8>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<6>.Q:TO:cnt/LTimer<8>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<7>.Q:TO:cnt/LTimer<8>.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<8>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<8>.CE:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<8>.CE:1 +AUTO_TS_F2F:FROM:RefUrg.Q:TO:cnt/LTimer<8>.CE:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<8>.CE:1 +AUTO_TS_F2F:FROM:cnt/IS_FSM_FFd1.Q:TO:cnt/LTimer<0>.D:1 +AUTO_TS_F2F:FROM:cnt/IS_FSM_FFd2.Q:TO:cnt/LTimer<0>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<0>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimer<0>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<0>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<0>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<0>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<5>.Q:TO:cnt/LTimer<0>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<6>.Q:TO:cnt/LTimer<0>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<7>.Q:TO:cnt/LTimer<0>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<8>.Q:TO:cnt/LTimer<0>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<9>.Q:TO:cnt/LTimer<0>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<10>.Q:TO:cnt/LTimer<0>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<11>.Q:TO:cnt/LTimer<0>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<12>.Q:TO:cnt/LTimer<0>.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:cnt/LTimer<0>.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<0>.D:1 +AUTO_TS_P2F:FROM:A_FSB<14>:TO:cnt/LTimer<0>.D:1 +AUTO_TS_P2F:FROM:A_FSB<12>:TO:cnt/LTimer<0>.D:1 +AUTO_TS_P2F:FROM:A_FSB<8>:TO:cnt/LTimer<0>.D:1 +AUTO_TS_P2F:FROM:A_FSB<9>:TO:cnt/LTimer<0>.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:cnt/LTimer<0>.D:1 +AUTO_TS_P2F:FROM:A_FSB<19>:TO:cnt/LTimer<0>.D:1 +AUTO_TS_P2F:FROM:A_FSB<18>:TO:cnt/LTimer<0>.D:1 +AUTO_TS_P2F:FROM:A_FSB<10>:TO:cnt/LTimer<0>.D:1 +AUTO_TS_P2F:FROM:A_FSB<11>:TO:cnt/LTimer<0>.D:1 +AUTO_TS_P2F:FROM:A_FSB<20>:TO:cnt/LTimer<0>.D:1 +AUTO_TS_P2F:FROM:A_FSB<17>:TO:cnt/LTimer<0>.D:1 +AUTO_TS_P2F:FROM:A_FSB<16>:TO:cnt/LTimer<0>.D:1 +AUTO_TS_P2F:FROM:A_FSB<15>:TO:cnt/LTimer<0>.D:1 +AUTO_TS_P2F:FROM:A_FSB<13>:TO:cnt/LTimer<0>.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:cnt/LTimer<0>.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:cnt/LTimer<0>.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:cnt/LTimer<0>.D:1 +AUTO_TS_P2F:FROM:nWE_FSB:TO:cnt/LTimer<0>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<0>.CE:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<0>.CE:1 +AUTO_TS_F2F:FROM:RefUrg.Q:TO:cnt/LTimer<0>.CE:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<0>.CE:1 +AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<4>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<4>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<4>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<4>.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<4>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<4>.CE:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<4>.CE:1 +AUTO_TS_F2F:FROM:RefUrg.Q:TO:cnt/LTimer<4>.CE:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<4>.CE:1 +AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<9>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimer<9>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<9>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<9>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<9>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<5>.Q:TO:cnt/LTimer<9>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<6>.Q:TO:cnt/LTimer<9>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<7>.Q:TO:cnt/LTimer<9>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<8>.Q:TO:cnt/LTimer<9>.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<9>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<9>.CE:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<9>.CE:1 +AUTO_TS_F2F:FROM:RefUrg.Q:TO:cnt/LTimer<9>.CE:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<9>.CE:1 +AUTO_TS_F2F:FROM:cnt/Timer<0>.Q:TO:cnt/Timer<0>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/Timer<0>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/Timer<0>.D:1 +AUTO_TS_F2F:FROM:RefUrg.Q:TO:cnt/Timer<0>.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/Timer<0>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/Timer<0>.CE:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/Timer<0>.CE:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/Timer<0>.CE:1 +AUTO_TS_F2F:FROM:iobs/Sent.Q:TO:iobs/Sent.D:1 +AUTO_TS_F2F:FROM:iobs/TS_FSM_FFd2.Q:TO:iobs/Sent.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay.Q:TO:iobs/Sent.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:iobs/Sent.D:1 +AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:iobs/Sent.D:1 +AUTO_TS_F2F:FROM:iobs/TS_FSM_FFd1.Q:TO:iobs/Sent.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:iobs/Sent.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:iobs/Sent.D:1 +AUTO_TS_P2F:FROM:A_FSB<15>:TO:iobs/Sent.D:1 +AUTO_TS_P2F:FROM:A_FSB<14>:TO:iobs/Sent.D:1 +AUTO_TS_P2F:FROM:A_FSB<12>:TO:iobs/Sent.D:1 +AUTO_TS_P2F:FROM:A_FSB<11>:TO:iobs/Sent.D:1 +AUTO_TS_P2F:FROM:A_FSB<10>:TO:iobs/Sent.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:iobs/Sent.D:1 +AUTO_TS_P2F:FROM:A_FSB<8>:TO:iobs/Sent.D:1 +AUTO_TS_P2F:FROM:A_FSB<9>:TO:iobs/Sent.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:iobs/Sent.D:1 +AUTO_TS_P2F:FROM:A_FSB<20>:TO:iobs/Sent.D:1 +AUTO_TS_P2F:FROM:A_FSB<17>:TO:iobs/Sent.D:1 +AUTO_TS_P2F:FROM:A_FSB<16>:TO:iobs/Sent.D:1 +AUTO_TS_P2F:FROM:A_FSB<13>:TO:iobs/Sent.D:1 +AUTO_TS_P2F:FROM:A_FSB<19>:TO:iobs/Sent.D:1 +AUTO_TS_P2F:FROM:A_FSB<18>:TO:iobs/Sent.D:1 +AUTO_TS_P2F:FROM:nWE_FSB:TO:iobs/Sent.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:iobs/Sent.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd7.Q:TO:ram/RAMEN.D:1 +AUTO_TS_F2F:FROM:RefUrg.Q:TO:ram/RAMEN.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/RAMEN.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd8.Q:TO:ram/RAMEN.D:1 +AUTO_TS_F2F:FROM:ram/RefDone.Q:TO:ram/RAMEN.D:1 +AUTO_TS_F2F:FROM:RefReq.Q:TO:ram/RAMEN.D:1 +AUTO_TS_F2F:FROM:ram/BACTr.Q:TO:ram/RAMEN.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay.Q:TO:ram/RAMEN.D:1 +AUTO_TS_F2F:FROM:ram/RAMEN.Q:TO:ram/RAMEN.D:1 +AUTO_TS_F2F:FROM:ram/Once.Q:TO:ram/RAMEN.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd4.Q:TO:ram/RAMEN.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:ram/RAMEN.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/RAMEN.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/RAMEN.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:ram/RAMEN.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<10>.Q:TO:cnt/LTimer<10>.D:1 +AUTO_TS_F2F:FROM:cnt/IS_FSM_FFd1.Q:TO:cnt/LTimer<10>.D:1 +AUTO_TS_F2F:FROM:cnt/IS_FSM_FFd2.Q:TO:cnt/LTimer<10>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<10>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimer<10>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<10>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<10>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<10>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<5>.Q:TO:cnt/LTimer<10>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<6>.Q:TO:cnt/LTimer<10>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<7>.Q:TO:cnt/LTimer<10>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<8>.Q:TO:cnt/LTimer<10>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<9>.Q:TO:cnt/LTimer<10>.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<10>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<10>.CE:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<10>.CE:1 +AUTO_TS_F2F:FROM:RefUrg.Q:TO:cnt/LTimer<10>.CE:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<10>.CE:1 +AUTO_TS_F2F:FROM:cnt/LTimer<11>.Q:TO:cnt/LTimer<11>.D:1 +AUTO_TS_F2F:FROM:cnt/IS_FSM_FFd1.Q:TO:cnt/LTimer<11>.D:1 +AUTO_TS_F2F:FROM:cnt/IS_FSM_FFd2.Q:TO:cnt/LTimer<11>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<11>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimer<11>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<11>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<11>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<11>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<5>.Q:TO:cnt/LTimer<11>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<6>.Q:TO:cnt/LTimer<11>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<7>.Q:TO:cnt/LTimer<11>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<8>.Q:TO:cnt/LTimer<11>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<9>.Q:TO:cnt/LTimer<11>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<10>.Q:TO:cnt/LTimer<11>.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<11>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<11>.CE:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<11>.CE:1 +AUTO_TS_F2F:FROM:RefUrg.Q:TO:cnt/LTimer<11>.CE:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<11>.CE:1 +AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<1>.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<1>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<1>.CE:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<1>.CE:1 +AUTO_TS_F2F:FROM:RefUrg.Q:TO:cnt/LTimer<1>.CE:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<1>.CE:1 +AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<2>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<2>.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<2>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<2>.CE:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<2>.CE:1 +AUTO_TS_F2F:FROM:RefUrg.Q:TO:cnt/LTimer<2>.CE:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<2>.CE:1 +AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<3>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<3>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<3>.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<3>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<3>.CE:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<3>.CE:1 +AUTO_TS_F2F:FROM:RefUrg.Q:TO:cnt/LTimer<3>.CE:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<3>.CE:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/Timer<1>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/Timer<1>.D:1 +AUTO_TS_F2F:FROM:RefUrg.Q:TO:cnt/Timer<1>.D:1 +AUTO_TS_F2F:FROM:cnt/Timer<0>.Q:TO:cnt/Timer<1>.D:1 +AUTO_TS_F2F:FROM:cnt/Timer<1>.Q:TO:cnt/Timer<1>.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/Timer<1>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/Timer<1>.CE:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/Timer<1>.CE:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/Timer<1>.CE:1 +AUTO_TS_F2F:FROM:cnt/Timer<0>.Q:TO:cnt/Timer<2>.D:1 +AUTO_TS_F2F:FROM:cnt/Timer<1>.Q:TO:cnt/Timer<2>.D:1 +AUTO_TS_F2F:FROM:cnt/Timer<2>.Q:TO:cnt/Timer<2>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/Timer<2>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/Timer<2>.D:1 +AUTO_TS_F2F:FROM:RefUrg.Q:TO:cnt/Timer<2>.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/Timer<2>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/Timer<2>.CE:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/Timer<2>.CE:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/Timer<2>.CE:1 +AUTO_TS_F2F:FROM:RefUrg.Q:TO:ram/RefDone.D:1 +AUTO_TS_F2F:FROM:RefReq.Q:TO:ram/RefDone.D:1 +AUTO_TS_F2F:FROM:ram/RefDone.Q:TO:ram/RefDone.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RefDone.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RefDone.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:ram/RefDone.D:1 +AUTO_TS_F2F:FROM:QoSReady.Q:TO:QoSReady.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:QoSReady.D:1 +AUTO_TS_F2F:FROM:cnt/WS<0>.Q:TO:QoSReady.D:1 +AUTO_TS_F2F:FROM:cnt/WS<1>.Q:TO:QoSReady.D:1 +AUTO_TS_F2F:FROM:cnt/WS<2>.Q:TO:QoSReady.D:1 +AUTO_TS_F2F:FROM:cnt/WS<3>.Q:TO:QoSReady.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:QoSReady.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<4>.Q:TO:QoSReady.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:QoSReady.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<2>.Q:TO:QoSReady.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<3>.Q:TO:QoSReady.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<5>.Q:TO:QoSReady.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<6>.Q:TO:QoSReady.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<7>.Q:TO:QoSReady.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<8>.Q:TO:QoSReady.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<9>.Q:TO:QoSReady.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<10>.Q:TO:QoSReady.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<11>.Q:TO:QoSReady.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<12>.Q:TO:QoSReady.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:QoSReady.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:QoSReady.D:1 +AUTO_TS_F2F:FROM:cnt/Timer<0>.Q:TO:RefReq.D:1 +AUTO_TS_F2F:FROM:cnt/Timer<1>.Q:TO:RefReq.D:1 +AUTO_TS_F2F:FROM:cnt/Timer<2>.Q:TO:RefReq.D:1 +AUTO_TS_F2F:FROM:cnt/Timer<3>.Q:TO:RefReq.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:RefReq.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:RefReq.CE:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:RefReq.CE:1 +AUTO_TS_P2F:FROM:FCLK:TO:RefReq.CE:1 +AUTO_TS_F2F:FROM:cnt/LTimer<12>.Q:TO:cnt/LTimer<12>.D:1 +AUTO_TS_F2F:FROM:cnt/IS_FSM_FFd1.Q:TO:cnt/LTimer<12>.D:1 +AUTO_TS_F2F:FROM:cnt/IS_FSM_FFd2.Q:TO:cnt/LTimer<12>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<12>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimer<12>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<12>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<12>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<12>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<5>.Q:TO:cnt/LTimer<12>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<6>.Q:TO:cnt/LTimer<12>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<7>.Q:TO:cnt/LTimer<12>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<8>.Q:TO:cnt/LTimer<12>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<9>.Q:TO:cnt/LTimer<12>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<10>.Q:TO:cnt/LTimer<12>.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<11>.Q:TO:cnt/LTimer<12>.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<12>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<12>.CE:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<12>.CE:1 +AUTO_TS_F2F:FROM:RefUrg.Q:TO:cnt/LTimer<12>.CE:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<12>.CE:1 +AUTO_TS_F2F:FROM:cnt/Timer<0>.Q:TO:cnt/Timer<3>.D:1 +AUTO_TS_F2F:FROM:cnt/Timer<1>.Q:TO:cnt/Timer<3>.D:1 +AUTO_TS_F2F:FROM:cnt/Timer<2>.Q:TO:cnt/Timer<3>.D:1 +AUTO_TS_F2F:FROM:RefUrg.Q:TO:cnt/Timer<3>.D:1 +AUTO_TS_F2F:FROM:cnt/Timer<3>.Q:TO:cnt/Timer<3>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/Timer<3>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/Timer<3>.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/Timer<3>.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/Timer<3>.CE:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/Timer<3>.CE:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/Timer<3>.CE:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:cs/nOverlay.D:1 +AUTO_TS_F2F:FROM:nRESout.Q:TO:cs/nOverlay.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay.Q:TO:cs/nOverlay.D:1 +AUTO_TS_F2F:FROM:cs/ODCSr.Q:TO:cs/nOverlay.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:cs/nOverlay.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cs/nOverlay.D:1 +AUTO_TS_P2F:FROM:nRES:TO:cs/nOverlay.D:1 +AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:iobs/IORW1.D:1 +AUTO_TS_F2F:FROM:iobs/IORW1.Q:TO:iobs/IORW1.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:iobs/IORW1.D:1 +AUTO_TS_F2F:FROM:iobs/TS_FSM_FFd1.Q:TO:iobs/IORW1.D:1 +AUTO_TS_F2F:FROM:iobs/TS_FSM_FFd2.Q:TO:iobs/IORW1.D:1 +AUTO_TS_F2F:FROM:iobs/Sent.Q:TO:iobs/IORW1.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:iobs/IORW1.D:1 +AUTO_TS_P2F:FROM:A_FSB<13>:TO:iobs/IORW1.D:1 +AUTO_TS_P2F:FROM:A_FSB<14>:TO:iobs/IORW1.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:iobs/IORW1.D:1 +AUTO_TS_P2F:FROM:A_FSB<12>:TO:iobs/IORW1.D:1 +AUTO_TS_P2F:FROM:A_FSB<11>:TO:iobs/IORW1.D:1 +AUTO_TS_P2F:FROM:A_FSB<8>:TO:iobs/IORW1.D:1 +AUTO_TS_P2F:FROM:A_FSB<9>:TO:iobs/IORW1.D:1 +AUTO_TS_P2F:FROM:A_FSB<15>:TO:iobs/IORW1.D:1 +AUTO_TS_P2F:FROM:A_FSB<10>:TO:iobs/IORW1.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:iobs/IORW1.D:1 +AUTO_TS_P2F:FROM:A_FSB<19>:TO:iobs/IORW1.D:1 +AUTO_TS_P2F:FROM:A_FSB<18>:TO:iobs/IORW1.D:1 +AUTO_TS_P2F:FROM:nWE_FSB:TO:iobs/IORW1.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:iobs/IORW1.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:iobs/IORW1.D:1 +AUTO_TS_P2F:FROM:A_FSB<20>:TO:iobs/IORW1.D:1 +AUTO_TS_P2F:FROM:A_FSB<17>:TO:iobs/IORW1.D:1 +AUTO_TS_P2F:FROM:A_FSB<16>:TO:iobs/IORW1.D:1 +AUTO_TS_F2F:FROM:IONPReady.Q:TO:IONPReady.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:IONPReady.D:1 +AUTO_TS_F2F:FROM:iobs/Sent.Q:TO:IONPReady.D:1 +AUTO_TS_F2F:FROM:iobs/IODONEr.Q:TO:IONPReady.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:IONPReady.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:IONPReady.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:IONPReady.D:1 +AUTO_TS_P2F:FROM:A_FSB<20>:TO:IONPReady.D:1 +AUTO_TS_P2F:FROM:A_FSB<19>:TO:IONPReady.D:1 +AUTO_TS_P2F:FROM:A_FSB<18>:TO:IONPReady.D:1 +AUTO_TS_P2F:FROM:A_FSB<17>:TO:IONPReady.D:1 +AUTO_TS_P2F:FROM:A_FSB<16>:TO:IONPReady.D:1 +AUTO_TS_P2F:FROM:A_FSB<13>:TO:IONPReady.D:1 +AUTO_TS_P2F:FROM:A_FSB<14>:TO:IONPReady.D:1 +AUTO_TS_P2F:FROM:nWE_FSB:TO:IONPReady.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:IONPReady.D:1 +AUTO_TS_P2F:FROM:A_FSB<12>:TO:IONPReady.D:1 +AUTO_TS_P2F:FROM:A_FSB<10>:TO:IONPReady.D:1 +AUTO_TS_P2F:FROM:A_FSB<8>:TO:IONPReady.D:1 +AUTO_TS_P2F:FROM:A_FSB<9>:TO:IONPReady.D:1 +AUTO_TS_P2F:FROM:A_FSB<11>:TO:IONPReady.D:1 +AUTO_TS_P2F:FROM:A_FSB<15>:TO:IONPReady.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:IONPReady.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimerTC.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimerTC.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimerTC.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimerTC.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimerTC.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<5>.Q:TO:cnt/LTimerTC.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<6>.Q:TO:cnt/LTimerTC.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<7>.Q:TO:cnt/LTimerTC.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<8>.Q:TO:cnt/LTimerTC.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<9>.Q:TO:cnt/LTimerTC.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<10>.Q:TO:cnt/LTimerTC.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<11>.Q:TO:cnt/LTimerTC.D:1 +AUTO_TS_F2F:FROM:cnt/LTimer<12>.Q:TO:cnt/LTimerTC.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimerTC.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimerTC.CE:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimerTC.CE:1 +AUTO_TS_F2F:FROM:RefUrg.Q:TO:cnt/LTimerTC.CE:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimerTC.CE:1 +AUTO_TS_F2F:FROM:iobs/Load1.Q:TO:iobs/IOL1.CE:1 +AUTO_TS_P2F:FROM:FCLK:TO:iobs/IOL1.CE:1 +AUTO_TS_F2F:FROM:iobs/Load1.Q:TO:iobs/IOU1.CE:1 +AUTO_TS_P2F:FROM:FCLK:TO:iobs/IOU1.CE:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/Once.D:1 +AUTO_TS_F2F:FROM:ram/Once.Q:TO:ram/Once.D:1 +AUTO_TS_F2F:FROM:ram/RAMEN.Q:TO:ram/Once.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd8.Q:TO:ram/Once.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay.Q:TO:ram/Once.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/Once.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:ram/Once.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/Once.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:ram/Once.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:nBERR_FSB_OBUF.D:1 +AUTO_TS_F2F:FROM:iobs/Sent.Q:TO:nBERR_FSB_OBUF.D:1 +AUTO_TS_F2F:FROM:nBERR_FSB_OBUF.Q:TO:nBERR_FSB_OBUF.D:1 +AUTO_TS_F2F:FROM:IOBERR.Q:TO:nBERR_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:nBERR_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:nBERR_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:C8M:TO:nBERR_FSB_OBUF.D:1 +AUTO_TS_F2F:FROM:QoSReady.Q:TO:nVPA_FSB_OBUF.D:1 +AUTO_TS_F2F:FROM:IONPReady.Q:TO:nVPA_FSB_OBUF.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:nVPA_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:nVPA_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:nVPA_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:nVPA_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<20>:TO:nVPA_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<19>:TO:nVPA_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<18>:TO:nVPA_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<17>:TO:nVPA_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<16>:TO:nVPA_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:nVPA_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:nVPA_FSB_OBUF.D:1 +AUTO_TS_F2F:FROM:cnt/IS_FSM_FFd1.Q:TO:nBR_IOB_OBUF.D:1 +AUTO_TS_F2F:FROM:cnt/IS_FSM_FFd2.Q:TO:nBR_IOB_OBUF.D:1 +AUTO_TS_F2F:FROM:nBR_IOB_OBUF.Q:TO:nBR_IOB_OBUF.D:1 +AUTO_TS_F2F:FROM:cnt/nIPL2r.Q:TO:nBR_IOB_OBUF.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:nBR_IOB_OBUF.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd7.Q:TO:ram/RASEL.D:1 +AUTO_TS_F2F:FROM:ram/RAMEN.Q:TO:ram/RASEL.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd8.Q:TO:ram/RASEL.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay.Q:TO:ram/RASEL.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/RASEL.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:ram/RASEL.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/RASEL.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:ram/RASEL.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/RASEL.D:1 +AUTO_TS_F2F:FROM:iobs/TS_FSM_FFd2.Q:TO:iobs/TS_FSM_FFd1.D:1 +AUTO_TS_F2F:FROM:iobs/TS_FSM_FFd1.Q:TO:iobs/TS_FSM_FFd1.D:1 +AUTO_TS_F2F:FROM:iobs/IOACTr.Q:TO:iobs/TS_FSM_FFd1.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:iobs/TS_FSM_FFd1.D:1 +AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:iobs/TS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:iobs/TS_FSM_FFd2.Q:TO:iobs/TS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay.Q:TO:iobs/TS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:iobs/TS_FSM_FFd1.Q:TO:iobs/TS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:iobs/IOACTr.Q:TO:iobs/TS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:iobs/Sent.Q:TO:iobs/TS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:iobs/TS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:iobs/TS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:iobs/TS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:A_FSB<20>:TO:iobs/TS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:iobs/TS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:A_FSB<13>:TO:iobs/TS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:A_FSB<14>:TO:iobs/TS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:iobs/TS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:A_FSB<12>:TO:iobs/TS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:A_FSB<11>:TO:iobs/TS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:A_FSB<10>:TO:iobs/TS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:A_FSB<8>:TO:iobs/TS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:A_FSB<9>:TO:iobs/TS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:A_FSB<15>:TO:iobs/TS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:A_FSB<19>:TO:iobs/TS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:A_FSB<18>:TO:iobs/TS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:A_FSB<17>:TO:iobs/TS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:A_FSB<16>:TO:iobs/TS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:iobs/TS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:nWE_FSB:TO:iobs/TS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:ram/RefDone.Q:TO:ram/RS_FSM_FFd8.D:1 +AUTO_TS_F2F:FROM:RefReq.Q:TO:ram/RS_FSM_FFd8.D:1 +AUTO_TS_F2F:FROM:ram/BACTr.Q:TO:ram/RS_FSM_FFd8.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd4.Q:TO:ram/RS_FSM_FFd8.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd8.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay.Q:TO:ram/RS_FSM_FFd8.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd8.Q:TO:ram/RS_FSM_FFd8.D:1 +AUTO_TS_F2F:FROM:RefUrg.Q:TO:ram/RS_FSM_FFd8.D:1 +AUTO_TS_F2F:FROM:ram/RAMEN.Q:TO:ram/RS_FSM_FFd8.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:ram/RS_FSM_FFd8.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/RS_FSM_FFd8.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:ram/RS_FSM_FFd8.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/RS_FSM_FFd8.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/IS_FSM_FFd1.D:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/IS_FSM_FFd1.D:1 +AUTO_TS_F2F:FROM:RefUrg.Q:TO:cnt/IS_FSM_FFd1.D:1 +AUTO_TS_F2F:FROM:cnt/IS_FSM_FFd1.Q:TO:cnt/IS_FSM_FFd1.D:1 +AUTO_TS_F2F:FROM:cnt/IS_FSM_FFd2.Q:TO:cnt/IS_FSM_FFd1.D:1 +AUTO_TS_F2F:FROM:cnt/LTimerTC.Q:TO:cnt/IS_FSM_FFd1.D:1 +AUTO_TS_F2F:FROM:cnt/nIPL2r.Q:TO:cnt/IS_FSM_FFd1.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/IS_FSM_FFd1.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/IS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/IS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:RefUrg.Q:TO:cnt/IS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:cnt/IS_FSM_FFd1.Q:TO:cnt/IS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:cnt/IS_FSM_FFd2.Q:TO:cnt/IS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:cnt/LTimerTC.Q:TO:cnt/IS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/IS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:ram/RAMEN.Q:TO:ram/RS_FSM_FFd7.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd8.Q:TO:ram/RS_FSM_FFd7.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay.Q:TO:ram/RS_FSM_FFd7.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd7.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/RS_FSM_FFd7.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:ram/RS_FSM_FFd7.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/RS_FSM_FFd7.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:ram/RS_FSM_FFd7.D:1 +AUTO_TS_F2F:FROM:iobs/Sent.Q:TO:iobs/Load1.D:1 +AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:iobs/Load1.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:iobs/Load1.D:1 +AUTO_TS_F2F:FROM:iobs/TS_FSM_FFd1.Q:TO:iobs/Load1.D:1 +AUTO_TS_F2F:FROM:iobs/TS_FSM_FFd2.Q:TO:iobs/Load1.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:iobs/Load1.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:iobs/Load1.D:1 +AUTO_TS_P2F:FROM:A_FSB<20>:TO:iobs/Load1.D:1 +AUTO_TS_P2F:FROM:A_FSB<17>:TO:iobs/Load1.D:1 +AUTO_TS_P2F:FROM:A_FSB<16>:TO:iobs/Load1.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:iobs/Load1.D:1 +AUTO_TS_P2F:FROM:A_FSB<19>:TO:iobs/Load1.D:1 +AUTO_TS_P2F:FROM:A_FSB<18>:TO:iobs/Load1.D:1 +AUTO_TS_P2F:FROM:nWE_FSB:TO:iobs/Load1.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:iobs/Load1.D:1 +AUTO_TS_P2F:FROM:A_FSB<15>:TO:iobs/Load1.D:1 +AUTO_TS_P2F:FROM:A_FSB<14>:TO:iobs/Load1.D:1 +AUTO_TS_P2F:FROM:A_FSB<12>:TO:iobs/Load1.D:1 +AUTO_TS_P2F:FROM:A_FSB<11>:TO:iobs/Load1.D:1 +AUTO_TS_P2F:FROM:A_FSB<10>:TO:iobs/Load1.D:1 +AUTO_TS_P2F:FROM:A_FSB<8>:TO:iobs/Load1.D:1 +AUTO_TS_P2F:FROM:A_FSB<9>:TO:iobs/Load1.D:1 +AUTO_TS_P2F:FROM:A_FSB<13>:TO:iobs/Load1.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:iobs/Load1.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:cnt/WS<0>.D:1 +AUTO_TS_F2F:FROM:cnt/WS<0>.Q:TO:cnt/WS<0>.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:cnt/WS<0>.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/WS<0>.D:1 +AUTO_TS_F2F:FROM:iobs/TS_FSM_FFd1.Q:TO:IOL0.D:1 +AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:IOL0.D:1 +AUTO_TS_F2F:FROM:iobs/TS_FSM_FFd2.Q:TO:IOL0.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay.Q:TO:IOL0.D:1 +AUTO_TS_F2F:FROM:IOL0.Q:TO:IOL0.D:1 +AUTO_TS_F2F:FROM:iobs/IOL1.Q:TO:IOL0.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:IOL0.D:1 +AUTO_TS_F2F:FROM:iobs/Sent.Q:TO:IOL0.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:IOL0.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:IOL0.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:IOL0.D:1 +AUTO_TS_P2F:FROM:A_FSB<15>:TO:IOL0.D:1 +AUTO_TS_P2F:FROM:A_FSB<14>:TO:IOL0.D:1 +AUTO_TS_P2F:FROM:A_FSB<12>:TO:IOL0.D:1 +AUTO_TS_P2F:FROM:A_FSB<11>:TO:IOL0.D:1 +AUTO_TS_P2F:FROM:A_FSB<10>:TO:IOL0.D:1 +AUTO_TS_P2F:FROM:A_FSB<9>:TO:IOL0.D:1 +AUTO_TS_P2F:FROM:A_FSB<13>:TO:IOL0.D:1 +AUTO_TS_P2F:FROM:A_FSB<8>:TO:IOL0.D:1 +AUTO_TS_P2F:FROM:A_FSB<20>:TO:IOL0.D:1 +AUTO_TS_P2F:FROM:A_FSB<19>:TO:IOL0.D:1 +AUTO_TS_P2F:FROM:A_FSB<18>:TO:IOL0.D:1 +AUTO_TS_P2F:FROM:A_FSB<17>:TO:IOL0.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:IOL0.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:IOL0.D:1 +AUTO_TS_P2F:FROM:A_FSB<16>:TO:IOL0.D:1 +AUTO_TS_P2F:FROM:nWE_FSB:TO:IOL0.D:1 +AUTO_TS_P2F:FROM:nLDS_FSB:TO:IOL0.D:1 +AUTO_TS_F2F:FROM:iobs/TS_FSM_FFd1.Q:TO:IOU0.D:1 +AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:IOU0.D:1 +AUTO_TS_F2F:FROM:iobs/TS_FSM_FFd2.Q:TO:IOU0.D:1 +AUTO_TS_F2F:FROM:IOU0.Q:TO:IOU0.D:1 +AUTO_TS_F2F:FROM:iobs/IOU1.Q:TO:IOU0.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:IOU0.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay.Q:TO:IOU0.D:1 +AUTO_TS_F2F:FROM:iobs/Sent.Q:TO:IOU0.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:IOU0.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:IOU0.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:IOU0.D:1 +AUTO_TS_P2F:FROM:A_FSB<13>:TO:IOU0.D:1 +AUTO_TS_P2F:FROM:A_FSB<14>:TO:IOU0.D:1 +AUTO_TS_P2F:FROM:A_FSB<12>:TO:IOU0.D:1 +AUTO_TS_P2F:FROM:A_FSB<11>:TO:IOU0.D:1 +AUTO_TS_P2F:FROM:A_FSB<8>:TO:IOU0.D:1 +AUTO_TS_P2F:FROM:A_FSB<9>:TO:IOU0.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:IOU0.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:IOU0.D:1 +AUTO_TS_P2F:FROM:A_FSB<16>:TO:IOU0.D:1 +AUTO_TS_P2F:FROM:nWE_FSB:TO:IOU0.D:1 +AUTO_TS_P2F:FROM:A_FSB<15>:TO:IOU0.D:1 +AUTO_TS_P2F:FROM:A_FSB<10>:TO:IOU0.D:1 +AUTO_TS_P2F:FROM:A_FSB<20>:TO:IOU0.D:1 +AUTO_TS_P2F:FROM:A_FSB<19>:TO:IOU0.D:1 +AUTO_TS_P2F:FROM:A_FSB<18>:TO:IOU0.D:1 +AUTO_TS_P2F:FROM:A_FSB<17>:TO:IOU0.D:1 +AUTO_TS_P2F:FROM:nUDS_FSB:TO:IOU0.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:cnt/WS<1>.D:1 +AUTO_TS_F2F:FROM:cnt/WS<0>.Q:TO:cnt/WS<1>.D:1 +AUTO_TS_F2F:FROM:cnt/WS<1>.Q:TO:cnt/WS<1>.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:cnt/WS<1>.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/WS<1>.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:cnt/WS<2>.D:1 +AUTO_TS_F2F:FROM:cnt/WS<2>.Q:TO:cnt/WS<2>.D:1 +AUTO_TS_F2F:FROM:cnt/WS<0>.Q:TO:cnt/WS<2>.D:1 +AUTO_TS_F2F:FROM:cnt/WS<1>.Q:TO:cnt/WS<2>.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:cnt/WS<2>.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/WS<2>.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd6.Q:TO:ram/RS_FSM_FFd3.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:ram/RS_FSM_FFd3.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd5.Q:TO:ram/RS_FSM_FFd4.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd4.D:1 +AUTO_TS_F2F:FROM:RefUrg.Q:TO:ram/RS_FSM_FFd4.D:1 +AUTO_TS_F2F:FROM:ram/RefDone.Q:TO:ram/RS_FSM_FFd4.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:ram/RS_FSM_FFd4.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd7.Q:TO:ram/RS_FSM_FFd5.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:ram/RS_FSM_FFd5.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd8.Q:TO:ram/RS_FSM_FFd6.D:1 +AUTO_TS_F2F:FROM:ram/RefDone.Q:TO:ram/RS_FSM_FFd6.D:1 +AUTO_TS_F2F:FROM:RefReq.Q:TO:ram/RS_FSM_FFd6.D:1 +AUTO_TS_F2F:FROM:ram/BACTr.Q:TO:ram/RS_FSM_FFd6.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd6.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay.Q:TO:ram/RS_FSM_FFd6.D:1 +AUTO_TS_F2F:FROM:RefUrg.Q:TO:ram/RS_FSM_FFd6.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd5.Q:TO:ram/RS_FSM_FFd6.D:1 +AUTO_TS_F2F:FROM:ram/RAMEN.Q:TO:ram/RS_FSM_FFd6.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/RS_FSM_FFd6.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/RS_FSM_FFd6.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:ram/RS_FSM_FFd6.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:ram/RS_FSM_FFd6.D:1 +AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:IORDREQ.D:1 +AUTO_TS_F2F:FROM:iobs/TS_FSM_FFd2.Q:TO:IORDREQ.D:1 +AUTO_TS_F2F:FROM:iobs/IORW1.Q:TO:IORDREQ.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:IORDREQ.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay.Q:TO:IORDREQ.D:1 +AUTO_TS_F2F:FROM:iobs/TS_FSM_FFd1.Q:TO:IORDREQ.D:1 +AUTO_TS_F2F:FROM:iobs/IOACTr.Q:TO:IORDREQ.D:1 +AUTO_TS_F2F:FROM:IORDREQ.Q:TO:IORDREQ.D:1 +AUTO_TS_F2F:FROM:iobs/Sent.Q:TO:IORDREQ.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:IORDREQ.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:IORDREQ.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:IORDREQ.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:IORDREQ.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:IORDREQ.D:1 +AUTO_TS_P2F:FROM:A_FSB<20>:TO:IORDREQ.D:1 +AUTO_TS_P2F:FROM:nWE_FSB:TO:IORDREQ.D:1 +AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:IOWRREQ.D:1 +AUTO_TS_F2F:FROM:iobs/TS_FSM_FFd2.Q:TO:IOWRREQ.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay.Q:TO:IOWRREQ.D:1 +AUTO_TS_F2F:FROM:iobs/Sent.Q:TO:IOWRREQ.D:1 +AUTO_TS_F2F:FROM:iobs/IORW1.Q:TO:IOWRREQ.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:IOWRREQ.D:1 +AUTO_TS_F2F:FROM:iobs/TS_FSM_FFd1.Q:TO:IOWRREQ.D:1 +AUTO_TS_F2F:FROM:iobs/IOACTr.Q:TO:IOWRREQ.D:1 +AUTO_TS_F2F:FROM:IOWRREQ.Q:TO:IOWRREQ.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:IOWRREQ.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:IOWRREQ.D:1 +AUTO_TS_P2F:FROM:A_FSB<15>:TO:IOWRREQ.D:1 +AUTO_TS_P2F:FROM:A_FSB<13>:TO:IOWRREQ.D:1 +AUTO_TS_P2F:FROM:A_FSB<14>:TO:IOWRREQ.D:1 +AUTO_TS_P2F:FROM:A_FSB<12>:TO:IOWRREQ.D:1 +AUTO_TS_P2F:FROM:A_FSB<11>:TO:IOWRREQ.D:1 +AUTO_TS_P2F:FROM:A_FSB<10>:TO:IOWRREQ.D:1 +AUTO_TS_P2F:FROM:A_FSB<8>:TO:IOWRREQ.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:IOWRREQ.D:1 +AUTO_TS_P2F:FROM:A_FSB<9>:TO:IOWRREQ.D:1 +AUTO_TS_P2F:FROM:A_FSB<20>:TO:IOWRREQ.D:1 +AUTO_TS_P2F:FROM:A_FSB<19>:TO:IOWRREQ.D:1 +AUTO_TS_P2F:FROM:A_FSB<18>:TO:IOWRREQ.D:1 +AUTO_TS_P2F:FROM:A_FSB<17>:TO:IOWRREQ.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:IOWRREQ.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:IOWRREQ.D:1 +AUTO_TS_P2F:FROM:A_FSB<16>:TO:IOWRREQ.D:1 +AUTO_TS_P2F:FROM:nWE_FSB:TO:IOWRREQ.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:cnt/WS<3>.D:1 +AUTO_TS_F2F:FROM:cnt/WS<3>.Q:TO:cnt/WS<3>.D:1 +AUTO_TS_F2F:FROM:cnt/WS<0>.Q:TO:cnt/WS<3>.D:1 +AUTO_TS_F2F:FROM:cnt/WS<1>.Q:TO:cnt/WS<3>.D:1 +AUTO_TS_F2F:FROM:cnt/WS<2>.Q:TO:cnt/WS<3>.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:cnt/WS<3>.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/WS<3>.D:1 +AUTO_TS_F2F:FROM:iobs/TS_FSM_FFd1.Q:TO:iobs/Clear1.D:1 +AUTO_TS_F2F:FROM:iobs/TS_FSM_FFd2.Q:TO:iobs/Clear1.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:iobs/Clear1.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:ram/RS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:iobs/TS_FSM_FFd2.Q:TO:ALE0S.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:ALE0S.D:1 +AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/Er<1>.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cnt/Er<1>.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:cs/ODCSr.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:cs/ODCSr.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:cs/ODCSr.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:cs/ODCSr.D:1 +AUTO_TS_P2F:FROM:A_FSB<20>:TO:cs/ODCSr.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:cs/ODCSr.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:cs/ODCSr.D:1 +AUTO_TS_F2F:FROM:cnt/IS_FSM_FFd1.Q:TO:nRESout.D:1 +AUTO_TS_F2F:FROM:cnt/IS_FSM_FFd2.Q:TO:nRESout.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:nRESout.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/BACTr.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/BACTr.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:ram/BACTr.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd7.Q:TO:ram/CAS.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd6.Q:TO:ram/CAS.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd8.Q:TO:ram/CAS.D:1 +AUTO_TS_F2F:FROM:ram/RefDone.Q:TO:ram/CAS.D:1 +AUTO_TS_F2F:FROM:RefReq.Q:TO:ram/CAS.D:1 +AUTO_TS_F2F:FROM:ram/BACTr.Q:TO:ram/CAS.D:1 +AUTO_TS_F2F:FROM:ram/RAMEN.Q:TO:ram/CAS.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay.Q:TO:ram/CAS.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/CAS.D:1 +AUTO_TS_F2F:FROM:RefUrg.Q:TO:ram/CAS.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd5.Q:TO:ram/CAS.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:ram/CAS.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/CAS.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/CAS.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:ram/CAS.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd7.Q:TO:ram/RASrf.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:ram/RASrf.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RASrr.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd6.Q:TO:ram/RASrr.D:1 +AUTO_TS_F2F:FROM:ram/RAMEN.Q:TO:ram/RASrr.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd8.Q:TO:ram/RASrr.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay.Q:TO:ram/RASrr.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/RASrr.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:ram/RASrr.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/RASrr.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:ram/RASrr.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/RASrr.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd1.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:ram/RS_FSM_FFd1.D:1 +AUTO_TS_F2F:FROM:ram/CAS.Q:TO:nCAS_OBUF.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:nCAS_OBUF.D:1 +AUTO_TS_F2F:FROM:QoSReady.Q:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_F2F:FROM:IONPReady.Q:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<20>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<19>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<18>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<17>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<16>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_F2F:FROM:iobs/Load1.Q:TO:nADoutLE1_OBUF.D:1 +AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:nADoutLE1_OBUF.D:1 +AUTO_TS_F2F:FROM:iobs/Clear1.Q:TO:nADoutLE1_OBUF.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:nADoutLE1_OBUF.D:1 +AUTO_TS_F2F:FROM:nAoutOE_OBUF.Q:TO:nAoutOE_OBUF.D:1 +AUTO_TS_F2F:FROM:cnt/IS_FSM_FFd1.Q:TO:nAoutOE_OBUF.D:1 +AUTO_TS_F2F:FROM:cnt/IS_FSM_FFd2.Q:TO:nAoutOE_OBUF.D:1 +AUTO_TS_F2F:FROM:nBR_IOB_OBUF.Q:TO:nAoutOE_OBUF.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:nAoutOE_OBUF.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:nAS_IOBout.D:1 +AUTO_TS_F2F:FROM:iobm/C8Mr.Q:TO:nAS_IOBout.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd4.Q:TO:nAS_IOBout.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd5.Q:TO:nAS_IOBout.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd6.Q:TO:nAS_IOBout.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd7.Q:TO:nAS_IOBout.D:1 +AUTO_TS_F2F:FROM:iobm/IORDREQr.Q:TO:nAS_IOBout.D:1 +AUTO_TS_F2F:FROM:iobm/IOWRREQr.Q:TO:nAS_IOBout.D:1 +AUTO_TS_P2F:FROM:C16M:TO:nAS_IOBout.D:1 +AUTO_TS_F2F:FROM:iobm/C8Mr.Q:TO:nLDS_IOBout.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd7.Q:TO:nLDS_IOBout.D:1 +AUTO_TS_F2F:FROM:iobm/IORDREQr.Q:TO:nLDS_IOBout.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:nLDS_IOBout.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd4.Q:TO:nLDS_IOBout.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd5.Q:TO:nLDS_IOBout.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd6.Q:TO:nLDS_IOBout.D:1 +AUTO_TS_F2F:FROM:nLDS_IOBout.Q:TO:nLDS_IOBout.D:1 +AUTO_TS_F2F:FROM:IOL0.Q:TO:nLDS_IOBout.D:1 +AUTO_TS_P2F:FROM:C16M:TO:nLDS_IOBout.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:nLDS_IOBout.D:1 +AUTO_TS_F2F:FROM:iobm/C8Mr.Q:TO:nUDS_IOBout.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd7.Q:TO:nUDS_IOBout.D:1 +AUTO_TS_F2F:FROM:iobm/IORDREQr.Q:TO:nUDS_IOBout.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:nUDS_IOBout.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd4.Q:TO:nUDS_IOBout.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd5.Q:TO:nUDS_IOBout.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd6.Q:TO:nUDS_IOBout.D:1 +AUTO_TS_F2F:FROM:nUDS_IOBout.Q:TO:nUDS_IOBout.D:1 +AUTO_TS_F2F:FROM:IOU0.Q:TO:nUDS_IOBout.D:1 +AUTO_TS_P2F:FROM:C16M:TO:nUDS_IOBout.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:nUDS_IOBout.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd7.Q:TO:iobm/IOS_FSM_FFd7.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/IOS_FSM_FFd7.D:1 +AUTO_TS_F2F:FROM:iobm/C8Mr.Q:TO:iobm/IOS_FSM_FFd7.D:1 +AUTO_TS_F2F:FROM:iobm/IORDREQr.Q:TO:iobm/IOS_FSM_FFd7.D:1 +AUTO_TS_F2F:FROM:iobm/IOWRREQr.Q:TO:iobm/IOS_FSM_FFd7.D:1 +AUTO_TS_F2F:FROM:nAoutOE_OBUF.Q:TO:iobm/IOS_FSM_FFd7.D:1 +AUTO_TS_P2F:FROM:C16M:TO:iobm/IOS_FSM_FFd7.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:iobm/IOS_FSM_FFd7.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd4.Q:TO:iobm/IOS_FSM_FFd3.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd3.D:1 +AUTO_TS_F2F:FROM:iobm/C8Mr.Q:TO:iobm/IOS_FSM_FFd3.D:1 +AUTO_TS_F2F:FROM:IOBERR.Q:TO:iobm/IOS_FSM_FFd3.D:1 +AUTO_TS_F2F:FROM:IODONE.Q:TO:iobm/IOS_FSM_FFd3.D:1 +AUTO_TS_P2F:FROM:C16M:TO:iobm/IOS_FSM_FFd3.D:1 +AUTO_TS_P2F:FROM:C8M:TO:iobm/IOS_FSM_FFd3.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd5.Q:TO:iobm/IOS_FSM_FFd4.D:1 +AUTO_TS_P2F:FROM:C16M:TO:iobm/IOS_FSM_FFd4.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd6.Q:TO:iobm/IOS_FSM_FFd5.D:1 +AUTO_TS_P2F:FROM:C16M:TO:iobm/IOS_FSM_FFd5.D:1 +AUTO_TS_F2F:FROM:iobm/C8Mr.Q:TO:iobm/IOS_FSM_FFd6.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd7.Q:TO:iobm/IOS_FSM_FFd6.D:1 +AUTO_TS_F2F:FROM:iobm/IORDREQr.Q:TO:iobm/IOS_FSM_FFd6.D:1 +AUTO_TS_F2F:FROM:iobm/IOWRREQr.Q:TO:iobm/IOS_FSM_FFd6.D:1 +AUTO_TS_F2F:FROM:nAoutOE_OBUF.Q:TO:iobm/IOS_FSM_FFd6.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:iobm/IOS_FSM_FFd6.D:1 +AUTO_TS_P2F:FROM:C16M:TO:iobm/IOS_FSM_FFd6.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd4.Q:TO:IOACT.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd5.Q:TO:IOACT.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd6.Q:TO:IOACT.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:IOACT.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd7.Q:TO:IOACT.D:1 +AUTO_TS_F2F:FROM:iobm/IOWRREQr.Q:TO:IOACT.D:1 +AUTO_TS_F2F:FROM:IOACT.Q:TO:IOACT.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:IOACT.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:IOACT.D:1 +AUTO_TS_F2F:FROM:iobm/C8Mr.Q:TO:IOACT.D:1 +AUTO_TS_F2F:FROM:iobm/IORDREQr.Q:TO:IOACT.D:1 +AUTO_TS_F2F:FROM:nAoutOE_OBUF.Q:TO:IOACT.D:1 +AUTO_TS_F2F:FROM:IOBERR.Q:TO:IOACT.D:1 +AUTO_TS_F2F:FROM:IODONE.Q:TO:IOACT.D:1 +AUTO_TS_P2F:FROM:C16M:TO:IOACT.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:IOACT.D:1 +AUTO_TS_P2F:FROM:C8M:TO:IOACT.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/IOS_FSM_FFd1.D:1 +AUTO_TS_P2F:FROM:C16M:TO:iobm/IOS_FSM_FFd1.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:iobm/C8Mr.Q:TO:iobm/IOS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:IOBERR.Q:TO:iobm/IOS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:IODONE.Q:TO:iobm/IOS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:C16M:TO:iobm/IOS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:C8M:TO:iobm/IOS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:ALE0M.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd4.Q:TO:ALE0M.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd5.Q:TO:ALE0M.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd6.Q:TO:ALE0M.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd7.Q:TO:ALE0M.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:ALE0M.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:ALE0M.D:1 +AUTO_TS_F2F:FROM:ALE0M.Q:TO:ALE0M.D:1 +AUTO_TS_F2F:FROM:iobm/IORDREQr.Q:TO:ALE0M.D:1 +AUTO_TS_F2F:FROM:iobm/IOWRREQr.Q:TO:ALE0M.D:1 +AUTO_TS_F2F:FROM:nAoutOE_OBUF.Q:TO:ALE0M.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:ALE0M.D:1 +AUTO_TS_P2F:FROM:C16M:TO:ALE0M.D:1 +AUTO_TS_F2F:FROM:iobm/C8Mr.Q:TO:iobm/DoutOE.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd7.Q:TO:iobm/DoutOE.D:1 +AUTO_TS_F2F:FROM:iobm/IOWRREQr.Q:TO:iobm/DoutOE.D:1 +AUTO_TS_F2F:FROM:iobm/DoutOE.Q:TO:iobm/DoutOE.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/DoutOE.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd4.Q:TO:iobm/DoutOE.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd5.Q:TO:iobm/DoutOE.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd6.Q:TO:iobm/DoutOE.D:1 +AUTO_TS_P2F:FROM:C16M:TO:iobm/DoutOE.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/IOS0.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd7.Q:TO:iobm/IOS0.D:1 +AUTO_TS_F2F:FROM:iobm/C8Mr.Q:TO:iobm/IOS0.D:1 +AUTO_TS_F2F:FROM:iobm/IORDREQr.Q:TO:iobm/IOS0.D:1 +AUTO_TS_F2F:FROM:iobm/IOWRREQr.Q:TO:iobm/IOS0.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS0.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd4.Q:TO:iobm/IOS0.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd5.Q:TO:iobm/IOS0.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd6.Q:TO:iobm/IOS0.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/IOS0.D:1 +AUTO_TS_F2F:FROM:iobm/IOS0.Q:TO:iobm/IOS0.D:1 +AUTO_TS_F2F:FROM:nAoutOE_OBUF.Q:TO:iobm/IOS0.D:1 +AUTO_TS_P2F:FROM:C16M:TO:iobm/IOS0.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:iobm/IOS0.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:nDinLE_OBUF.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd4.Q:TO:nDinLE_OBUF.D:1 +AUTO_TS_P2F:FROM:C16M:TO:nDinLE_OBUF.D:1 +AUTO_TS_F2F:FROM:nVMA_IOBout.Q:TO:nVMA_IOBout.D:1 +AUTO_TS_F2F:FROM:iobm/ES<0>.Q:TO:nVMA_IOBout.D:1 +AUTO_TS_F2F:FROM:iobm/ES<2>.Q:TO:nVMA_IOBout.D:1 +AUTO_TS_F2F:FROM:iobm/ES<1>.Q:TO:nVMA_IOBout.D:1 +AUTO_TS_F2F:FROM:iobm/ES<3>.Q:TO:nVMA_IOBout.D:1 +AUTO_TS_F2F:FROM:iobm/VPAr.Q:TO:nVMA_IOBout.D:1 +AUTO_TS_F2F:FROM:IOACT.Q:TO:nVMA_IOBout.D:1 +AUTO_TS_P2F:FROM:C8M:TO:nVMA_IOBout.D:1 +AUTO_TS_P2F:FROM:C16M:TO:nVMA_IOBout.D:1 +AUTO_TS_F2F:FROM:nVMA_IOBout.Q:TO:IODONE.D:1 +AUTO_TS_F2F:FROM:iobm/ES<0>.Q:TO:IODONE.D:1 +AUTO_TS_F2F:FROM:iobm/ES<2>.Q:TO:IODONE.D:1 +AUTO_TS_F2F:FROM:iobm/ES<1>.Q:TO:IODONE.D:1 +AUTO_TS_F2F:FROM:iobm/ES<3>.Q:TO:IODONE.D:1 +AUTO_TS_F2F:FROM:nRESout.Q:TO:IODONE.D:1 +AUTO_TS_P2F:FROM:nRES:TO:IODONE.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:IODONE.D:1 +AUTO_TS_P2F:FROM:nDTACK_IOB:TO:IODONE.D:1 +AUTO_TS_P2F:FROM:C8M:TO:IODONE.D:1 +AUTO_TS_F2F:FROM:iobm/ES<0>.Q:TO:iobm/ES<0>.D:1 +AUTO_TS_F2F:FROM:iobm/Er.Q:TO:iobm/ES<0>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<2>.Q:TO:iobm/ES<0>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<1>.Q:TO:iobm/ES<0>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<3>.Q:TO:iobm/ES<0>.D:1 +AUTO_TS_P2F:FROM:C8M:TO:iobm/ES<0>.D:1 +AUTO_TS_P2F:FROM:E:TO:iobm/ES<0>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<0>.Q:TO:iobm/ES<2>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<1>.Q:TO:iobm/ES<2>.D:1 +AUTO_TS_F2F:FROM:iobm/Er.Q:TO:iobm/ES<2>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<2>.Q:TO:iobm/ES<2>.D:1 +AUTO_TS_P2F:FROM:C8M:TO:iobm/ES<2>.D:1 +AUTO_TS_P2F:FROM:E:TO:iobm/ES<2>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<0>.Q:TO:iobm/ES<1>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<1>.Q:TO:iobm/ES<1>.D:1 +AUTO_TS_F2F:FROM:iobm/Er.Q:TO:iobm/ES<1>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<2>.Q:TO:iobm/ES<1>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<3>.Q:TO:iobm/ES<1>.D:1 +AUTO_TS_P2F:FROM:C8M:TO:iobm/ES<1>.D:1 +AUTO_TS_P2F:FROM:E:TO:iobm/ES<1>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<3>.Q:TO:iobm/ES<3>.D:1 +AUTO_TS_F2F:FROM:iobm/Er.Q:TO:iobm/ES<3>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<0>.Q:TO:iobm/ES<3>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<2>.Q:TO:iobm/ES<3>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<1>.Q:TO:iobm/ES<3>.D:1 +AUTO_TS_P2F:FROM:C8M:TO:iobm/ES<3>.D:1 +AUTO_TS_P2F:FROM:E:TO:iobm/ES<3>.D:1 +AUTO_TS_F2F:FROM:nAS_IOBout.Q:TO:IOBERR.RSTF:1 +AUTO_TS_P2F:FROM:C16M:TO:IOBERR.RSTF:1 +AUTO_TS_F2F:FROM:nAS_IOBout.Q:TO:IODONE.RSTF:1 +AUTO_TS_P2F:FROM:C16M:TO:IODONE.RSTF:1 +AUTO_TS_F2F:FROM:IOACT.Q:TO:iobs/IOACTr.D:1 +AUTO_TS_P2F:FROM:C16M:TO:iobs/IOACTr.D:1 +AUTO_TS_F2F:FROM:IORDREQ.Q:TO:iobm/IORDREQr.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:iobm/IORDREQr.D:1 +AUTO_TS_F2F:FROM:IOWRREQ.Q:TO:iobm/IOWRREQr.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:iobm/IOWRREQr.D:1 +AUTO_TS_F2F:FROM:IODONE.Q:TO:iobs/IODONEr.D:1 +AUTO_TS_P2F:FROM:C8M:TO:iobs/IODONEr.D:1 +AUTO_TS_P2F:FROM:C8M:TO:C8M_IBUF/FCLK:1 +AUTO_TS_P2F:FROM:C16M:TO:C16M_IBUF/FCLK-:1 +AUTO_TS_P2F:FROM:FCLK:TO:FCLK_IBUF/FCLK:1 +AUTO_TS_P2F:FROM:nBERR_IOB:TO:IOBERR.D:1 +AUTO_TS_P2F:FROM:C8M:TO:C8M_IBUF/FCLK-:1 +AUTO_TS_P2F:FROM:nLDS_FSB:TO:iobs/IOL1.D:1 +AUTO_TS_P2F:FROM:nUDS_FSB:TO:iobs/IOU1.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:nVPA_FSB_OBUF.SETF:1 +AUTO_TS_P2F:FROM:C16M:TO:C16M_IBUF/FCLK:1 +AUTO_TS_P2F:FROM:C8M:TO:iobm/C8Mr.D:1 +AUTO_TS_P2F:FROM:E:TO:cnt/Er<0>.D:1 +AUTO_TS_P2F:FROM:nIPL2:TO:cnt/nIPL2r.D:1 +AUTO_TS_P2F:FROM:E:TO:iobm/Er.D:1 +AUTO_TS_P2F:FROM:nVPA_IOB:TO:iobm/VPAr.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:fsb/ASrf.D:1 +AUTO_TS_P2F:FROM:FCLK:TO:FCLK_IBUF/FCLK-:1 diff --git a/cpld/XC95144XL/WarpSE.vm6 b/cpld/XC95144XL/WarpSE.vm6 index 22734c5..1b2cb85 100644 --- a/cpld/XC95144XL/WarpSE.vm6 +++ b/cpld/XC95144XL/WarpSE.vm6 @@ -8,3561 +8,3799 @@ NETWORK | WarpSE | 0 | 0 | 16391 INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_23_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<23> | 9451 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<23> | 10753 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_22_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<22> | 9452 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<22> | 10754 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_21_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<21> | 9453 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<21> | 10755 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_20_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<20> | 9454 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<20> | 10756 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_19_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<19> | 9455 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<19> | 10757 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_18_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<18> | 9456 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<18> | 10758 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_18_IBUF | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_17_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<17> | 10759 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_16_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<16> | 10760 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_15_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<15> | 10761 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 10548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_13_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<13> | 10762 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_12_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<12> | 10763 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 10550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | C8M_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 3 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | C8M | 9457 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | C8M | 10764 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | C8M_IBUF | 9247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 10551 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 3 | 5 | II_FCLK -NODE | C8M_IBUF/FCLK | 9248 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 3 | 5 | II_FCLK +NODE | C8M_IBUF/FCLK | 10552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV -NODE | C8M_IBUF/FCLK- | 9249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10553 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV MACROCELL_INSTANCE | PrldLow+Tff+PinTrst+OptxMapped | nVMA_IOBout | WarpSE_COPY_0_COPY_0 | 2155893760 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOBout | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM +NODE | nVMA_IOBout | 10555 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 10622 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 10625 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9326 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 10630 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9327 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 10631 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +NODE | IOACT | 10634 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/VPAr | 9356 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPAr.Q | iobm/VPAr | 1 | 0 | MC_UIM +NODE | iobm/VPAr | 10663 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPAr.Q | iobm/VPAr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9407 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK | 9248 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 3 | 5 | II_FCLK +NODE | C8M_IBUF/FCLK | 10552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nVMA_IOBout$Q | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 0 | 0 | MC_Q +NODE | nVMA_IOBout$Q | 10554 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nVMA_IOBout | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM +NODE | nVMA_IOBout | 10555 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | nVMA_IOBout$OE | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.BUFOE.OUT | nVMA_IOBout | 2 | 0 | MC_OE +NODE | nVMA_IOBout$OE | 10556 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.BUFOE.OUT | nVMA_IOBout | 2 | 0 | MC_OE SIGNAL_INSTANCE | nVMA_IOBout.SI | nVMA_IOBout | 0 | 8 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOBout | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM +NODE | nVMA_IOBout | 10555 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 10622 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 10625 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9326 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 10630 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9327 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 10631 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +NODE | IOACT | 10634 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/VPAr | 9356 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPAr.Q | iobm/VPAr | 1 | 0 | MC_UIM +NODE | iobm/VPAr | 10663 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPAr.Q | iobm/VPAr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9407 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nVMA_IOBout.D1 | 9487 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nVMA_IOBout.D1 | 10789 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nVMA_IOBout.D2 | 9488 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nVMA_IOBout.D2 | 10790 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 2 | 9 | MC_SI_D2 SPPTERM | 5 | IV_FALSE | nVMA_IOBout | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<3> SPPTERM | 7 | IV_TRUE | nVMA_IOBout | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<2> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<3> | IV_TRUE | IOACT | IV_TRUE | iobm/VPAr OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | nVMA_IOBout.TRST | 9490 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nVMA_IOBout.TRST | 10792 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE SRFF_INSTANCE | nVMA_IOBout.REG | nVMA_IOBout | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nVMA_IOBout.D | 9486 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.XOR | 0 | 7 | ALU_F +NODE | nVMA_IOBout.D | 10788 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK | 9248 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 3 | 5 | II_FCLK +NODE | C8M_IBUF/FCLK | 10552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nVMA_IOBout.Q | 9491 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.REG | 0 | 8 | SRFF_Q +NODE | nVMA_IOBout.Q | 10793 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | nVMA_IOBout.BUFOE | nVMA_IOBout | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | nVMA_IOBout.TRST | 9490 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nVMA_IOBout.TRST | 10792 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | nVMA_IOBout.BUFOE.OUT | 9489 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.BUFOE | 0 | 10 | BUF_OUT +NODE | nVMA_IOBout.BUFOE.OUT | 10791 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.BUFOE | 0 | 10 | BUF_OUT INPUT_INSTANCE | 0 | 0 | NULL | C16M_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 2 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | C16M | 9458 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | C16M | 10765 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 3 | 5 | II_FCLK -NODE | C16M_IBUF/FCLK | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV -NODE | C16M_IBUF/FCLK- | 9254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 10558 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV MACROCELL_INSTANCE | PrldLow+PinTrst+OptxMapped+Ce | nAS_IOBout | WarpSE_COPY_0_COPY_0 | 2424325120 | 10 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9318 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10620 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 9319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10621 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10623 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10626 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9325 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10627 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10619 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 9338 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10643 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 9354 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10661 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9407 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 9254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 10558 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nAS_IOBout$Q | 9255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 0 | 0 | MC_Q +NODE | nAS_IOBout$Q | 10559 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nAS_IOBout | 9256 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM +NODE | nAS_IOBout | 10560 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | nAS_IOBout$OE | 9257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.BUFOE.OUT | nAS_IOBout | 2 | 0 | MC_OE +NODE | nAS_IOBout$OE | 10561 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.BUFOE.OUT | nAS_IOBout | 2 | 0 | MC_OE SIGNAL_INSTANCE | nAS_IOBout.SI | nAS_IOBout | 0 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9318 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10620 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 9319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10621 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10623 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10626 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9325 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10627 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10619 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 9338 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10643 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 9354 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10661 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9407 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nAS_IOBout.D1 | 9493 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nAS_IOBout.D1 | 10795 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nAS_IOBout.D2 | 9494 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nAS_IOBout.D2 | 10796 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 2 | 9 | MC_SI_D2 SPPTERM | 5 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 SPPTERM | 5 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/C8Mr | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_FALSE | iobm/IORDREQr | IV_FALSE | iobm/IOWRREQr OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | nAS_IOBout.TRST | 9496 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nAS_IOBout.TRST | 10798 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE SRFF_INSTANCE | nAS_IOBout.REG | nAS_IOBout | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nAS_IOBout.D | 9492 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.XOR | 0 | 7 | ALU_F +NODE | nAS_IOBout.D | 10794 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 9254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 10558 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nAS_IOBout.Q | 9497 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.REG | 0 | 8 | SRFF_Q +NODE | nAS_IOBout.Q | 10799 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | nAS_IOBout.BUFOE | nAS_IOBout | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | nAS_IOBout.TRST | 9496 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nAS_IOBout.TRST | 10798 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | nAS_IOBout.BUFOE.OUT | 9495 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.BUFOE | 0 | 10 | BUF_OUT +NODE | nAS_IOBout.BUFOE.OUT | 10797 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.BUFOE | 0 | 10 | BUF_OUT MACROCELL_INSTANCE | Inv+PrldLow+PinTrst+OptxMapped+Ce | nLDS_IOBout | WarpSE_COPY_0_COPY_0 | 2424325376 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9318 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10620 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 9336 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 10639 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10626 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10623 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_IOBout | 9259 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 1 | 0 | MC_UIM +NODE | nLDS_IOBout | 10563 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9325 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10627 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DoutOE.EXP | 10046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.EXP | iobm/DoutOE | 4 | 0 | MC_EXPORT +NODE | iobm/DoutOE.EXP | 11354 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.EXP | iobm/DoutOE | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9407 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 9254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 10558 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nLDS_IOBout$Q | 9258 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 0 | 0 | MC_Q +NODE | nLDS_IOBout$Q | 10562 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nLDS_IOBout | 9259 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 1 | 0 | MC_UIM +NODE | nLDS_IOBout | 10563 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | nLDS_IOBout$OE | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.BUFOE.OUT | nLDS_IOBout | 2 | 0 | MC_OE +NODE | nLDS_IOBout$OE | 10564 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.BUFOE.OUT | nLDS_IOBout | 2 | 0 | MC_OE SIGNAL_INSTANCE | nLDS_IOBout.SI | nLDS_IOBout | 0 | 8 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9318 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10620 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 9336 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 10639 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10626 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10623 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_IOBout | 9259 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 1 | 0 | MC_UIM +NODE | nLDS_IOBout | 10563 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9325 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10627 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DoutOE.EXP | 10046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.EXP | iobm/DoutOE | 4 | 0 | MC_EXPORT +NODE | iobm/DoutOE.EXP | 11354 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.EXP | iobm/DoutOE | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9407 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nLDS_IOBout.D1 | 9499 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nLDS_IOBout.D1 | 10801 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nLDS_IOBout.D2 | 9500 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nLDS_IOBout.D2 | 10802 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/DoutOE.EXP SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | IOL0 SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd4 | IV_TRUE | IOL0 SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd5 | IV_TRUE | IOL0 SPPTERM | 3 | IV_FALSE | nLDS_IOBout | IV_TRUE | iobm/IOS_FSM_FFd6 | IV_TRUE | IOL0 OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | nLDS_IOBout.TRST | 9502 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nLDS_IOBout.TRST | 10804 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE SRFF_INSTANCE | nLDS_IOBout.REG | nLDS_IOBout | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nLDS_IOBout.D | 9498 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.XOR | 0 | 7 | ALU_F +NODE | nLDS_IOBout.D | 10800 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 9254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 10558 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nLDS_IOBout.Q | 9503 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.REG | 0 | 8 | SRFF_Q +NODE | nLDS_IOBout.Q | 10805 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | nLDS_IOBout.BUFOE | nLDS_IOBout | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | nLDS_IOBout.TRST | 9502 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nLDS_IOBout.TRST | 10804 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | nLDS_IOBout.BUFOE.OUT | 9501 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.BUFOE | 0 | 10 | BUF_OUT +NODE | nLDS_IOBout.BUFOE.OUT | 10803 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.BUFOE | 0 | 10 | BUF_OUT MACROCELL_INSTANCE | Inv+PrldLow+PinTrst+OptxMapped+Ce | nUDS_IOBout | WarpSE_COPY_0_COPY_0 | 2424325376 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10620 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 9337 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | IOU0 | 10640 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10626 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9318 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10623 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_IOBout | 9262 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 1 | 0 | MC_UIM +NODE | nUDS_IOBout | 10566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9325 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10627 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE.EXP | 10047 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.EXP | IODONE | 4 | 0 | MC_EXPORT +NODE | IODONE.EXP | 11355 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.EXP | IODONE | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9407 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 9254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 10558 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nUDS_IOBout$Q | 9261 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 0 | 0 | MC_Q +NODE | nUDS_IOBout$Q | 10565 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nUDS_IOBout | 9262 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 1 | 0 | MC_UIM +NODE | nUDS_IOBout | 10566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | nUDS_IOBout$OE | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.BUFOE.OUT | nUDS_IOBout | 2 | 0 | MC_OE +NODE | nUDS_IOBout$OE | 10567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.BUFOE.OUT | nUDS_IOBout | 2 | 0 | MC_OE SIGNAL_INSTANCE | nUDS_IOBout.SI | nUDS_IOBout | 0 | 8 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10620 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 9337 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | IOU0 | 10640 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10626 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9318 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10623 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_IOBout | 9262 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 1 | 0 | MC_UIM +NODE | nUDS_IOBout | 10566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9325 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10627 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE.EXP | 10047 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.EXP | IODONE | 4 | 0 | MC_EXPORT +NODE | IODONE.EXP | 11355 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.EXP | IODONE | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9407 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nUDS_IOBout.D1 | 9505 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nUDS_IOBout.D1 | 10807 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nUDS_IOBout.D2 | 9506 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nUDS_IOBout.D2 | 10808 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | IODONE.EXP SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | IOU0 SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd4 | IV_TRUE | IOU0 SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd5 | IV_TRUE | IOU0 SPPTERM | 3 | IV_FALSE | nUDS_IOBout | IV_TRUE | iobm/IOS_FSM_FFd6 | IV_TRUE | IOU0 OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | nUDS_IOBout.TRST | 9508 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nUDS_IOBout.TRST | 10810 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE SRFF_INSTANCE | nUDS_IOBout.REG | nUDS_IOBout | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nUDS_IOBout.D | 9504 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.XOR | 0 | 7 | ALU_F +NODE | nUDS_IOBout.D | 10806 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 9254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 10558 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nUDS_IOBout.Q | 9509 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.REG | 0 | 8 | SRFF_Q +NODE | nUDS_IOBout.Q | 10811 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | nUDS_IOBout.BUFOE | nUDS_IOBout | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | nUDS_IOBout.TRST | 9508 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nUDS_IOBout.TRST | 10810 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | nUDS_IOBout.BUFOE.OUT | 9507 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.BUFOE | 0 | 10 | BUF_OUT +NODE | nUDS_IOBout.BUFOE.OUT | 10809 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.BUFOE | 0 | 10 | BUF_OUT -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_17_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_14_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<17> | 9459 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<14> | 10766 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_17_IBUF | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_16_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_11_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<16> | 9460 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<11> | 10767 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_16_IBUF | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 10569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_10_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<10> | 10768 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 10570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | FCLK_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 2 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | FCLK | 9461 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | FCLK | 10769 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 3 | 5 | II_FCLK -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV -NODE | FCLK_IBUF/FCLK- | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK- | 10572 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | RefUrg | WarpSE_COPY_0_COPY_0 | 2155877376 | 8 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | RefUrg | WarpSE_COPY_0_COPY_0 | 2155873280 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9277 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 10576 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9278 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 10592 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 9279 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<3> | 10598 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 9268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | RefUrg | 9268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | RefUrg.SI | RefUrg | 0 | 7 | 3 +SIGNAL_INSTANCE | RefUrg.SI | RefUrg | 0 | 6 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9277 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 10576 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9278 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 10592 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 9279 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<3> | 10598 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 9268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RefUrg.D1 | 9511 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RefUrg.D1 | 10813 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RefUrg.D2 | 9512 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_TRUE | RefUrg | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -SPPTERM | 4 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> | IV_TRUE | cnt/Timer<2> | IV_FALSE | cnt/TimerTC -SPPTERM | 4 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> | IV_TRUE | cnt/Timer<2> | IV_TRUE | cnt/Er<0> -SPPTERM | 4 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> | IV_TRUE | cnt/Timer<2> | IV_FALSE | cnt/Er<1> +SIGNAL | NODE | RefUrg.D2 | 10814 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | cnt/Timer<0> | IV_FALSE | cnt/Timer<1> | IV_FALSE | cnt/Timer<2> | IV_TRUE | cnt/Timer<3> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | RefUrg.CE | 9513 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | RefUrg.CE | 10815 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | RefUrg.REG | RefUrg | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RefUrg.D | 9510 | ? | 0 | 0 | RefUrg | NULL | NULL | RefUrg.XOR | 0 | 7 | ALU_F +NODE | RefUrg.D | 10812 | ? | 0 | 0 | RefUrg | NULL | NULL | RefUrg.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | RefUrg.CE | 9513 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | RefUrg.CE | 10815 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RefUrg.Q | 9514 | ? | 0 | 0 | RefUrg | NULL | NULL | RefUrg.REG | 0 | 8 | SRFF_Q - -INPUT_INSTANCE | 0 | 0 | NULL | nAS_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nAS_FSB | 9467 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | RefUrg.Q | 10816 | ? | 0 | 0 | RefUrg | NULL | NULL | RefUrg.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | nWE_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nWE_FSB | 9464 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nWE_FSB | 10772 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_14_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_INSTANCE | 0 | 0 | NULL | nAS_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<14> | 9471 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nAS_FSB | 10775 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_14_IBUF | 9359 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_13_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_8_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<13> | 9472 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<8> | 10780 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_13_IBUF | 9360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 10667 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/Sent | WarpSE_COPY_0_COPY_0 | 2155877376 | 13 | 1 +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_9_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<9> | 10779 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 10666 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/LTimer<0> | WarpSE_COPY_0_COPY_0 | 2155873280 | 9 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | EXP13_.EXP | 11347 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | EXP14_.EXP | 11350 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7.EXP | 10027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.EXP | ram/RS_FSM_FFd7 | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP11_.EXP | 10028 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | iobs/Sent.SI | iobs/Sent | 0 | 12 | 2 +SIGNAL_INSTANCE | cnt/LTimer<0>.SI | cnt/LTimer<0> | 0 | 8 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | EXP13_.EXP | 11347 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7.EXP | 10027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.EXP | ram/RS_FSM_FFd7 | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP11_.EXP | 10028 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT +NODE | EXP14_.EXP | 11350 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/Sent.D1 | 9516 | ? | 0 | 4096 | iobs/Sent | NULL | NULL | iobs/Sent.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<0>.D1 | 10818 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/Sent.D2 | 9517 | ? | 0 | 4096 | iobs/Sent | NULL | NULL | iobs/Sent.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd7.EXP -SPPTERM | 1 | IV_TRUE | EXP11_.EXP -SPPTERM | 3 | IV_TRUE | iobs/Sent | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SIGNAL | NODE | cnt/LTimer<0>.D2 | 10819 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP13_.EXP +SPPTERM | 1 | IV_TRUE | EXP14_.EXP +SPPTERM | 2 | IV_TRUE | cnt/LTimer<0> | IV_FALSE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM +SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM +SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM +SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_FALSE | cnt/IS_FSM_FFd1 | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM +SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/IS_FSM_FFd2 | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -SRFF_INSTANCE | iobs/Sent.REG | iobs/Sent | 0 | 2 | 1 +SRFF_INSTANCE | cnt/LTimer<0>.REG | cnt/LTimer<0> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/Sent.D | 9515 | ? | 0 | 0 | iobs/Sent | NULL | NULL | iobs/Sent.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<0>.D | 10817 | ? | 0 | 0 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/Sent.Q | 9518 | ? | 0 | 0 | iobs/Sent | NULL | NULL | iobs/Sent.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<0>.Q | 10820 | ? | 0 | 0 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/RAMEN | WarpSE_COPY_0_COPY_0 | 2155873536 | 12 | 1 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | cnt/LTimer<1> | WarpSE_COPY_0_COPY_0 | 2155873536 | 25 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 9330 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9305 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 9340 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 9268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nROMCS_OBUF.EXP | 10037 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT +NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASrr.EXP | 10038 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrr.EXP | ram/RASrr | 4 | 0 | MC_EXPORT +NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | A_FSB_15_IBUF | 10548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 10550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 10569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 10570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 10667 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IONPReady.EXP | 11339 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.EXP | IONPReady | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/WS<3>.EXP | 11340 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.EXP | cnt/WS<3> | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RAMEN | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | ram/RAMEN.SI | ram/RAMEN | 0 | 11 | 2 +SIGNAL_INSTANCE | cnt/LTimer<1>.SI | cnt/LTimer<1> | 0 | 24 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 9330 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9305 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 9340 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 9268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nROMCS_OBUF.EXP | 10037 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT +NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASrr.EXP | 10038 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrr.EXP | ram/RASrr | 4 | 0 | MC_EXPORT +NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 10548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 10550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 10569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 10570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 10667 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IONPReady.EXP | 11339 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.EXP | IONPReady | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/WS<3>.EXP | 11340 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.EXP | cnt/WS<3> | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RAMEN.D1 | 9520 | ? | 0 | 4096 | ram/RAMEN | NULL | NULL | ram/RAMEN.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<1>.D1 | 10822 | ? | 0 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RAMEN.D2 | 9521 | ? | 0 | 4096 | ram/RAMEN | NULL | NULL | ram/RAMEN.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd7 -SPPTERM | 1 | IV_TRUE | nROMCS_OBUF.EXP -SPPTERM | 1 | IV_TRUE | ram/RASrr.EXP -SPPTERM | 3 | IV_FALSE | ram/RAMEN | IV_TRUE | ram/Once | IV_FALSE | nAS_FSB_IBUF -SPPTERM | 3 | IV_FALSE | ram/RAMEN | IV_TRUE | ram/Once | IV_TRUE | fsb/ASrf -SPPTERM | 3 | IV_FALSE | ram/RAMEN | IV_FALSE | ram/RS_FSM_FFd8 | IV_FALSE | ram/RS_FSM_FFd4 -SPPTERM | 4 | IV_TRUE | RefUrg | IV_FALSE | ram/RAMEN | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd8 +SIGNAL | NODE | cnt/LTimer<1>.D2 | 10823 | ? | 0 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | IONPReady.EXP +SPPTERM | 1 | IV_TRUE | cnt/WS<3>.EXP +SPPTERM | 2 | IV_FALSE | cnt/LTimer<0> | IV_FALSE | cnt/LTimer<1> +SPPTERM | 2 | IV_FALSE | cnt/LTimer<1> | IV_FALSE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM +SPPTERM | 3 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM +SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM +SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -SRFF_INSTANCE | ram/RAMEN.REG | ram/RAMEN | 0 | 2 | 1 +SRFF_INSTANCE | cnt/LTimer<1>.REG | cnt/LTimer<1> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RAMEN.D | 9519 | ? | 0 | 0 | ram/RAMEN | NULL | NULL | ram/RAMEN.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<1>.D | 10821 | ? | 0 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RAMEN.Q | 9522 | ? | 0 | 0 | ram/RAMEN | NULL | NULL | ram/RAMEN.REG | 0 | 8 | SRFF_Q - -INPUT_INSTANCE | 0 | 0 | NULL | nBERR_IOB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nBERR_IOB | 9462 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nBERR_IOB_IBUF | 9271 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | IOBERR | WarpSE_COPY_0_COPY_0 | 2424308736 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9271 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_IOBout | 9256 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 9249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOBERR | 9272 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | IOBERR.SI | IOBERR | 0 | 2 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9271 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_IOBout | 9256 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOBERR.D1 | 9524 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOBERR.D2 | 9525 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nBERR_IOB_IBUF -OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | IOBERR.RSTF | 9526 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 6 | 9 | MC_SI_RSTF -SPPTERM | 1 | IV_TRUE | nAS_IOBout - -SRFF_INSTANCE | IOBERR.REG | IOBERR | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOBERR.D | 9523 | ? | 0 | 0 | IOBERR | NULL | NULL | IOBERR.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 9249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV -INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | IOBERR.RSTF | 9526 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 6 | 9 | MC_SI_RSTF -SPPTERM | 1 | IV_TRUE | nAS_IOBout -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOBERR.Q | 9527 | ? | 0 | 0 | IOBERR | NULL | NULL | IOBERR.REG | 0 | 8 | SRFF_Q - -INPUT_INSTANCE | 0 | 0 | NULL | N0 | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nRES | 9440 | PIPO | 0 | 64 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES | 0 | 6 | OI_OUT -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | N0 | 9273 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N0 | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | nDTACK_IOB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nDTACK_IOB | 9463 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nDTACK_IOB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | IODONE | WarpSE_COPY_0_COPY_0 | 2424308736 | 13 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N0 | 9273 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N0 | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_IOB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOBout | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9326 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9327 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_IOBout | 9256 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 9249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 9319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 9337 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 9338 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IODONE | 9275 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | IODONE.EXP | 10047 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.EXP | IODONE | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | IODONE.SI | IODONE | 0 | 12 | 4 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N0 | 9273 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N0 | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_IOB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOBout | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9326 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9327 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_IOBout | 9256 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 9319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 9337 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 9338 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IODONE.D1 | 9529 | ? | 0 | 4096 | IODONE | NULL | NULL | IODONE.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IODONE.D2 | 9530 | ? | 0 | 4096 | IODONE | NULL | NULL | IODONE.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | N0 -SPPTERM | 1 | IV_FALSE | nDTACK_IOB_IBUF -SPPTERM | 5 | IV_FALSE | nVMA_IOBout | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<1> | IV_TRUE | iobm/ES<3> -OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | IODONE.RSTF | 9531 | ? | 0 | 4096 | IODONE | NULL | NULL | IODONE.SI | 6 | 9 | MC_SI_RSTF -SPPTERM | 1 | IV_TRUE | nAS_IOBout -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | IODONE.EXP | 10044 | ? | 0 | 0 | IODONE | NULL | NULL | IODONE.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/C8Mr | IV_TRUE | IOU0 | IV_TRUE | iobm/IORDREQr - -SRFF_INSTANCE | IODONE.REG | IODONE | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IODONE.D | 9528 | ? | 0 | 0 | IODONE | NULL | NULL | IODONE.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 9249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV -INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | IODONE.RSTF | 9531 | ? | 0 | 4096 | IODONE | NULL | NULL | IODONE.SI | 6 | 9 | MC_SI_RSTF -SPPTERM | 1 | IV_TRUE | nAS_IOBout -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IODONE.Q | 9532 | ? | 0 | 0 | IODONE | NULL | NULL | IODONE.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<0> | WarpSE_COPY_0_COPY_0 | 2155877376 | 4 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<0> | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/LTimer<0>.SI | cnt/LTimer<0> | 0 | 3 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<0>.D1 | 9534 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<0>.D2 | 9535 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 0 | IV_DC -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<0>.CE | 9536 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> - -SRFF_INSTANCE | cnt/LTimer<0>.REG | cnt/LTimer<0> | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<0>.D | 9533 | ? | 0 | 0 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<0>.CE | 9536 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<0>.Q | 9537 | ? | 0 | 0 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<1>.Q | 10824 | ? | 0 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | cnt/Timer<0> | WarpSE_COPY_0_COPY_0 | 2155877632 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9277 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 10576 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/Timer<0> | 9277 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 10576 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/Timer<0>.SI | cnt/Timer<0> | 0 | 4 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9277 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 10576 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/Timer<0>.D1 | 9539 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Timer<0>.D1 | 10826 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/Timer<0>.D2 | 9540 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_FALSE | cnt/Timer<0> | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +SIGNAL | NODE | cnt/Timer<0>.D2 | 10827 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | RefUrg | IV_FALSE | cnt/Timer<0> | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/Timer<0>.CE | 9541 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<0>.CE | 10828 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/Timer<0>.REG | cnt/Timer<0> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/Timer<0>.D | 9538 | ? | 0 | 0 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.XOR | 0 | 7 | ALU_F +NODE | cnt/Timer<0>.D | 10825 | ? | 0 | 0 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/Timer<0>.CE | 9541 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<0>.CE | 10828 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/Timer<0>.Q | 9542 | ? | 0 | 0 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.REG | 0 | 8 | SRFF_Q +NODE | cnt/Timer<0>.Q | 10829 | ? | 0 | 0 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/Sent | WarpSE_COPY_0_COPY_0 | 2155877376 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone.EXP | 11318 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.EXP | ram/RefDone | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP11_.EXP | 11326 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobs/Sent.SI | iobs/Sent | 0 | 12 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone.EXP | 11318 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.EXP | ram/RefDone | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP11_.EXP | 11326 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/Sent.D1 | 10831 | ? | 0 | 4096 | iobs/Sent | NULL | NULL | iobs/Sent.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/Sent.D2 | 10832 | ? | 0 | 4096 | iobs/Sent | NULL | NULL | iobs/Sent.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/RefDone.EXP +SPPTERM | 1 | IV_TRUE | EXP11_.EXP +SPPTERM | 3 | IV_TRUE | iobs/Sent | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 + +SRFF_INSTANCE | iobs/Sent.REG | iobs/Sent | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/Sent.D | 10830 | ? | 0 | 0 | iobs/Sent | NULL | NULL | iobs/Sent.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/Sent.Q | 10833 | ? | 0 | 0 | iobs/Sent | NULL | NULL | iobs/Sent.REG | 0 | 8 | SRFF_Q + +INPUT_INSTANCE | 0 | 0 | NULL | nBERR_IOB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nBERR_IOB | 10770 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 10578 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | IOBERR | WarpSE_COPY_0_COPY_0 | 2424308736 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 10578 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_IOBout | 10560 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C8M_IBUF/FCLK- | 10553 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | IOBERR | 10579 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | IOBERR.SI | IOBERR | 0 | 2 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 10578 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_IOBout | 10560 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOBERR.D1 | 10835 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOBERR.D2 | 10836 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nBERR_IOB_IBUF +OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | IOBERR.RSTF | 10837 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_TRUE | nAS_IOBout + +SRFF_INSTANCE | IOBERR.REG | IOBERR | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | IOBERR.D | 10834 | ? | 0 | 0 | IOBERR | NULL | NULL | IOBERR.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | C8M_IBUF/FCLK- | 10553 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +INPUT_NODE_TYPE | 3 | 8 | SRFF_R +SIGNAL | NODE | IOBERR.RSTF | 10837 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_TRUE | nAS_IOBout +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | IOBERR.Q | 10838 | ? | 0 | 0 | IOBERR | NULL | NULL | IOBERR.REG | 0 | 8 | SRFF_Q + +INPUT_INSTANCE | 0 | 0 | NULL | N01 | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nRES | 10741 | PIPO | 0 | 64 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES | 0 | 6 | OI_OUT +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | N01 | 10580 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | nDTACK_IOB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nDTACK_IOB | 10771 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nDTACK_IOB_IBUF | 10581 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | IODONE | WarpSE_COPY_0_COPY_0 | 2424308736 | 13 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N01 | 10580 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_IOB_IBUF | 10581 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVMA_IOBout | 10555 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 10622 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 10625 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 10630 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 10631 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_IOBout | 10560 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C8M_IBUF/FCLK- | 10553 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd7 | 10619 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/C8Mr | 10621 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOU0 | 10640 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IORDREQr | 10643 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | IODONE | 10582 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | IODONE.EXP | 11355 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.EXP | IODONE | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | IODONE.SI | IODONE | 0 | 12 | 4 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N01 | 10580 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_IOB_IBUF | 10581 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVMA_IOBout | 10555 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 10622 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 10625 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 10630 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 10631 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_IOBout | 10560 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd7 | 10619 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/C8Mr | 10621 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOU0 | 10640 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IORDREQr | 10643 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IODONE.D1 | 10840 | ? | 0 | 4096 | IODONE | NULL | NULL | IODONE.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IODONE.D2 | 10841 | ? | 0 | 4096 | IODONE | NULL | NULL | IODONE.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | N01 +SPPTERM | 1 | IV_FALSE | nDTACK_IOB_IBUF +SPPTERM | 5 | IV_FALSE | nVMA_IOBout | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<1> | IV_TRUE | iobm/ES<3> +OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | IODONE.RSTF | 10842 | ? | 0 | 4096 | IODONE | NULL | NULL | IODONE.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_TRUE | nAS_IOBout +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | IODONE.EXP | 11352 | ? | 0 | 0 | IODONE | NULL | NULL | IODONE.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/C8Mr | IV_TRUE | IOU0 | IV_TRUE | iobm/IORDREQr + +SRFF_INSTANCE | IODONE.REG | IODONE | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | IODONE.D | 10839 | ? | 0 | 0 | IODONE | NULL | NULL | IODONE.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | C8M_IBUF/FCLK- | 10553 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +INPUT_NODE_TYPE | 3 | 8 | SRFF_R +SIGNAL | NODE | IODONE.RSTF | 10842 | ? | 0 | 4096 | IODONE | NULL | NULL | IODONE.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_TRUE | nAS_IOBout +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | IODONE.Q | 10843 | ? | 0 | 0 | IODONE | NULL | NULL | IODONE.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<10> | WarpSE_COPY_0_COPY_0 | 2155877376 | 36 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<10> | 10583 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 10585 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 10586 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 10587 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 10588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 10589 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<8> | 10590 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<9> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 10548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 10550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 10569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 10570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 10667 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 10666 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 10583 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | cnt/LTimer<10>.EXP | 11362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.EXP | cnt/LTimer<10> | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | cnt/LTimer<10>.SI | cnt/LTimer<10> | 0 | 35 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<10> | 10583 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 10585 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 10586 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 10587 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 10588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 10589 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<8> | 10590 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<9> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 10548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 10550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 10569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 10570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 10667 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 10666 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<10>.D1 | 10845 | ? | 0 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<10>.D2 | 10846 | ? | 0 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 +SPPTERM | 14 | IV_TRUE | RefUrg | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +SPPTERM | 14 | IV_TRUE | RefUrg | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | cnt/LTimer<10>.EXP | 11359 | ? | 0 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 19 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | fsb/ASrf +SPPTERM | 19 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF + +SRFF_INSTANCE | cnt/LTimer<10>.REG | cnt/LTimer<10> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<10>.D | 10844 | ? | 0 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<10>.Q | 10847 | ? | 0 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<2> | WarpSE_COPY_0_COPY_0 | 2155877376 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/LTimer<2>.SI | cnt/LTimer<2> | 0 | 8 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<2>.D1 | 10849 | ? | 0 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<2>.D2 | 10850 | ? | 0 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 +SPPTERM | 6 | IV_TRUE | RefUrg | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +SPPTERM | 6 | IV_TRUE | RefUrg | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/LTimer<2>.REG | cnt/LTimer<2> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<2>.D | 10848 | ? | 0 | 0 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<2>.Q | 10851 | ? | 0 | 0 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<3> | WarpSE_COPY_0_COPY_0 | 2155877376 | 10 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 10585 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10585 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/LTimer<3>.SI | cnt/LTimer<3> | 0 | 9 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 10585 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<3>.D1 | 10853 | ? | 0 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<3>.D2 | 10854 | ? | 0 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 +SPPTERM | 7 | IV_TRUE | RefUrg | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +SPPTERM | 7 | IV_TRUE | RefUrg | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/LTimer<3>.REG | cnt/LTimer<3> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<3>.D | 10852 | ? | 0 | 0 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<3>.Q | 10855 | ? | 0 | 0 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<4> | WarpSE_COPY_0_COPY_0 | 2155877376 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 10586 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 10585 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10586 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/LTimer<4>.SI | cnt/LTimer<4> | 0 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 10586 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 10585 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<4>.D1 | 10857 | ? | 0 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<4>.D2 | 10858 | ? | 0 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 +SPPTERM | 8 | IV_TRUE | RefUrg | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +SPPTERM | 8 | IV_TRUE | RefUrg | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/LTimer<4>.REG | cnt/LTimer<4> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<4>.D | 10856 | ? | 0 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<4>.Q | 10859 | ? | 0 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<5> | WarpSE_COPY_0_COPY_0 | 2155877376 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 10587 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 10585 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 10586 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10587 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/LTimer<5>.SI | cnt/LTimer<5> | 0 | 11 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 10587 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 10585 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 10586 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<5>.D1 | 10861 | ? | 0 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<5>.D2 | 10862 | ? | 0 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 +SPPTERM | 9 | IV_TRUE | RefUrg | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +SPPTERM | 9 | IV_TRUE | RefUrg | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/LTimer<5>.REG | cnt/LTimer<5> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<5>.D | 10860 | ? | 0 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<5>.Q | 10863 | ? | 0 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<6> | WarpSE_COPY_0_COPY_0 | 2155877376 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 10588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 10585 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 10586 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 10587 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 10588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/LTimer<6>.SI | cnt/LTimer<6> | 0 | 12 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 10588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 10585 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 10586 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 10587 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<6>.D1 | 10865 | ? | 0 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<6>.D2 | 10866 | ? | 0 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 +SPPTERM | 10 | IV_TRUE | RefUrg | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +SPPTERM | 10 | IV_TRUE | RefUrg | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/LTimer<6>.REG | cnt/LTimer<6> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<6>.D | 10864 | ? | 0 | 0 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<6>.Q | 10867 | ? | 0 | 0 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<7> | WarpSE_COPY_0_COPY_0 | 2155877376 | 14 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 10589 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 10585 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 10586 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 10587 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 10588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 10589 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/LTimer<7>.SI | cnt/LTimer<7> | 0 | 13 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 10589 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 10585 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 10586 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 10587 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 10588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<7>.D1 | 10869 | ? | 0 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<7>.D2 | 10870 | ? | 0 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 +SPPTERM | 11 | IV_TRUE | RefUrg | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +SPPTERM | 11 | IV_TRUE | RefUrg | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/LTimer<7>.REG | cnt/LTimer<7> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<7>.D | 10868 | ? | 0 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<7>.Q | 10871 | ? | 0 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<8> | WarpSE_COPY_0_COPY_0 | 2155877376 | 15 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<8> | 10590 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 10585 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 10586 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 10587 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 10588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 10589 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 10590 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/LTimer<8>.SI | cnt/LTimer<8> | 0 | 14 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<8> | 10590 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 10585 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 10586 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 10587 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 10588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 10589 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<8>.D1 | 10873 | ? | 0 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<8>.D2 | 10874 | ? | 0 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 +SPPTERM | 12 | IV_TRUE | RefUrg | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +SPPTERM | 12 | IV_TRUE | RefUrg | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/LTimer<8>.REG | cnt/LTimer<8> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<8>.D | 10872 | ? | 0 | 0 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<8>.Q | 10875 | ? | 0 | 0 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<9> | WarpSE_COPY_0_COPY_0 | 2155877376 | 35 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<9> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 10585 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 10586 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 10587 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 10588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 10589 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<8> | 10590 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 10548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 10550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 10569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 10570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 10666 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 10667 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_1_OBUF.EXP | 11361 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.EXP | RA_1_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | cnt/LTimer<9>.EXP | 11360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.EXP | cnt/LTimer<9> | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | cnt/LTimer<9>.SI | cnt/LTimer<9> | 0 | 34 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<9> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 10585 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 10586 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 10587 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 10588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 10589 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<8> | 10590 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 10548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 10550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 10569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 10570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 10666 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 10667 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_1_OBUF.EXP | 11361 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.EXP | RA_1_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<9>.D1 | 10877 | ? | 0 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<9>.D2 | 10878 | ? | 0 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | RA_1_OBUF.EXP +SPPTERM | 3 | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 +SPPTERM | 13 | IV_TRUE | RefUrg | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | cnt/LTimer<9>.EXP | 11357 | ? | 0 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 19 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | fsb/ASrf +SPPTERM | 19 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | fsb/ASrf +SPPTERM | 19 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | cnt/LTimer<9>.REG | cnt/LTimer<9> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<9>.D | 10876 | ? | 0 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<9>.Q | 10879 | ? | 0 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | cnt/Timer<1> | WarpSE_COPY_0_COPY_0 | 2155873536 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9277 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 10576 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9278 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 10592 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/Timer<1> | 9278 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 10592 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/Timer<1>.SI | cnt/Timer<1> | 0 | 5 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9277 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 10576 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9278 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 10592 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/Timer<1>.D1 | 9544 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Timer<1>.D1 | 10881 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/Timer<1>.D2 | 9545 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Timer<1>.D2 | 10882 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> SPPTERM | 2 | IV_FALSE | cnt/Timer<0> | IV_FALSE | cnt/Timer<1> -SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +SPPTERM | 3 | IV_TRUE | RefUrg | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/Timer<1>.CE | 9546 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<1>.CE | 10883 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/Timer<1>.REG | cnt/Timer<1> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/Timer<1>.D | 9543 | ? | 0 | 0 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.XOR | 0 | 7 | ALU_F +NODE | cnt/Timer<1>.D | 10880 | ? | 0 | 0 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/Timer<1>.CE | 9546 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<1>.CE | 10883 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/Timer<1>.Q | 9547 | ? | 0 | 0 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.REG | 0 | 8 | SRFF_Q +NODE | cnt/Timer<1>.Q | 10884 | ? | 0 | 0 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | cnt/Timer<2> | WarpSE_COPY_0_COPY_0 | 2155873536 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9277 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 10576 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 9279 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9278 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 10592 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/Timer<2> | 9279 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/Timer<2>.SI | cnt/Timer<2> | 0 | 6 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9277 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 10576 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 9279 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9278 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 10592 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/Timer<2>.D1 | 9549 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Timer<2>.D1 | 10886 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/Timer<2>.D2 | 9550 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Timer<2>.D2 | 10887 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | cnt/Timer<0> | IV_FALSE | cnt/Timer<2> SPPTERM | 2 | IV_FALSE | cnt/Timer<1> | IV_FALSE | cnt/Timer<2> +SPPTERM | 3 | IV_TRUE | RefUrg | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SPPTERM | 3 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> | IV_TRUE | cnt/Timer<2> -SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/Timer<2>.CE | 9551 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<2>.CE | 10888 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/Timer<2>.REG | cnt/Timer<2> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/Timer<2>.D | 9548 | ? | 0 | 0 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.XOR | 0 | 7 | ALU_F +NODE | cnt/Timer<2>.D | 10885 | ? | 0 | 0 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/Timer<2>.CE | 9551 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<2>.CE | 10888 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/Timer<2>.Q | 9552 | ? | 0 | 0 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.REG | 0 | 8 | SRFF_Q +NODE | cnt/Timer<2>.Q | 10889 | ? | 0 | 0 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/RefDone | WarpSE_COPY_0_COPY_0 | 2155873536 | 14 | 2 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/RAMEN | WarpSE_COPY_0_COPY_0 | 2155873536 | 11 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd7 | 10632 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9339 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 10594 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9358 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/Once | 10607 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 9268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | ram/RS_FSM_FFd8 | 10624 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd4 | 10645 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | ram/RefDone | 10595 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | RefReq | 10596 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8.EXP | 11322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.EXP | ram/RS_FSM_FFd8 | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RefDone | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | ram/RefDone.EXP | 10042 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.EXP | ram/RefDone | 4 | 0 | MC_EXPORT +NODE | ram/RAMEN | 10594 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | ram/RefDone.SI | ram/RefDone | 0 | 13 | 3 +SIGNAL_INSTANCE | ram/RAMEN.SI | ram/RAMEN | 0 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd7 | 10632 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9339 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 10594 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9358 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/Once | 10607 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 9268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd8 | 10624 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd4 | 10645 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10595 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | RefReq | 10596 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8.EXP | 11322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.EXP | ram/RS_FSM_FFd8 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RefDone.D1 | 9554 | ? | 0 | 4096 | ram/RefDone | NULL | NULL | ram/RefDone.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RAMEN.D1 | 10891 | ? | 0 | 4096 | ram/RAMEN | NULL | NULL | ram/RAMEN.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RefDone.D2 | 9555 | ? | 0 | 4096 | ram/RefDone | NULL | NULL | ram/RefDone.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RAMEN.D2 | 10892 | ? | 0 | 4096 | ram/RAMEN | NULL | NULL | ram/RAMEN.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd7 +SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd8.EXP +SPPTERM | 3 | IV_FALSE | ram/RAMEN | IV_TRUE | ram/Once | IV_FALSE | nAS_FSB_IBUF +SPPTERM | 3 | IV_FALSE | ram/RAMEN | IV_TRUE | ram/Once | IV_TRUE | fsb/ASrf +SPPTERM | 3 | IV_FALSE | ram/RAMEN | IV_FALSE | ram/RS_FSM_FFd8 | IV_FALSE | ram/RS_FSM_FFd4 +SPPTERM | 5 | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | fsb/ASrf + +SRFF_INSTANCE | ram/RAMEN.REG | ram/RAMEN | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RAMEN.D | 10890 | ? | 0 | 0 | ram/RAMEN | NULL | NULL | ram/RAMEN.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RAMEN.Q | 10893 | ? | 0 | 0 | ram/RAMEN | NULL | NULL | ram/RAMEN.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/RefDone | WarpSE_COPY_0_COPY_0 | 2155873536 | 21 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 10595 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 10644 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 10665 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefReq | 10596 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10595 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | ram/RefDone.EXP | 11318 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.EXP | ram/RefDone | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | ram/RefDone.SI | ram/RefDone | 0 | 20 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 10595 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 10644 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 10665 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefReq | 10596 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RefDone.D1 | 10895 | ? | 0 | 4096 | ram/RefDone | NULL | NULL | ram/RefDone.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RefDone.D2 | 10896 | ? | 0 | 4096 | ram/RefDone | NULL | NULL | ram/RefDone.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | RefUrg | IV_FALSE | RefReq SPPTERM | 3 | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RS_FSM_FFd2 OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | ram/RefDone.EXP | 10035 | ? | 0 | 0 | ram/RefDone | NULL | NULL | ram/RefDone.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | ram/RAMEN | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | ram/RAMEN | IV_TRUE | cs/nOverlay | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | fsb/ASrf -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | ram/BACTr +SIGNAL | NODE | ram/RefDone.EXP | 11309 | ? | 0 | 0 | ram/RefDone | NULL | NULL | ram/RefDone.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ALE1 +SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 SRFF_INSTANCE | ram/RefDone.REG | ram/RefDone | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RefDone.D | 9553 | ? | 0 | 0 | ram/RefDone | NULL | NULL | ram/RefDone.XOR | 0 | 7 | ALU_F +NODE | ram/RefDone.D | 10894 | ? | 0 | 0 | ram/RefDone | NULL | NULL | ram/RefDone.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RefDone.Q | 9556 | ? | 0 | 0 | ram/RefDone | NULL | NULL | ram/RefDone.REG | 0 | 8 | SRFF_Q +NODE | ram/RefDone.Q | 10897 | ? | 0 | 0 | ram/RefDone | NULL | NULL | ram/RefDone.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | RefReq | WarpSE_COPY_0_COPY_0 | 2155873536 | 6 | 1 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | RefReq | WarpSE_COPY_0_COPY_0 | 2155873536 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 9268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 10576 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9278 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 10592 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 9279 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<3> | 10598 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | RefReq | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | RefReq | 10596 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | RefReq.SI | RefReq | 0 | 5 | 3 +SIGNAL_INSTANCE | RefReq.SI | RefReq | 0 | 6 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 9268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 10576 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9278 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 10592 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 9279 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<3> | 10598 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RefReq.D1 | 9558 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RefReq.D1 | 10899 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RefReq.D2 | 9559 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_FALSE | RefUrg | IV_FALSE | cnt/Timer<1> | IV_FALSE | cnt/Timer<2> +SIGNAL | NODE | RefReq.D2 | 10900 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_FALSE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> | IV_FALSE | cnt/Timer<2> | IV_TRUE | cnt/Timer<3> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | RefReq.CE | 9560 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | RefReq.CE | 10901 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | RefReq.REG | RefReq | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RefReq.D | 9557 | ? | 0 | 0 | RefReq | NULL | NULL | RefReq.XOR | 0 | 7 | ALU_F +NODE | RefReq.D | 10898 | ? | 0 | 0 | RefReq | NULL | NULL | RefReq.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | RefReq.CE | 9560 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | RefReq.CE | 10901 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RefReq.Q | 9561 | ? | 0 | 0 | RefReq | NULL | NULL | RefReq.REG | 0 | 8 | SRFF_Q +NODE | RefReq.Q | 10902 | ? | 0 | 0 | RefReq | NULL | NULL | RefReq.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<10> | WarpSE_COPY_0_COPY_0 | 2155877376 | 14 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<11> | WarpSE_COPY_0_COPY_0 | 2155877376 | 18 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<11> | 10597 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9284 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9285 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9287 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 10583 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10585 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10586 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10587 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 10588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 10589 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | cnt/LTimer<8> | 10590 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<9> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<10> | 9282 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<11> | 10597 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | cnt/LTimer<10>.SI | cnt/LTimer<10> | 0 | 13 | 3 +SIGNAL_INSTANCE | cnt/LTimer<11>.SI | cnt/LTimer<11> | 0 | 17 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<11> | 10597 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9284 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9285 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9287 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 10583 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10585 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10586 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10587 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 10588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 10589 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<8> | 10590 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<9> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<10>.D1 | 9563 | ? | 0 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<11>.D1 | 10904 | ? | 0 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<10>.D2 | 9564 | ? | 0 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 10 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<10>.CE | 9565 | ? | 0 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +SIGNAL | NODE | cnt/LTimer<11>.D2 | 10905 | ? | 0 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | cnt/LTimer<11> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 +SPPTERM | 15 | IV_TRUE | RefUrg | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +SPPTERM | 15 | IV_TRUE | RefUrg | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -SRFF_INSTANCE | cnt/LTimer<10>.REG | cnt/LTimer<10> | 0 | 3 | 1 +SRFF_INSTANCE | cnt/LTimer<11>.REG | cnt/LTimer<11> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<10>.D | 9562 | ? | 0 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<11>.D | 10903 | ? | 0 | 0 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<10>.CE | 9565 | ? | 0 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<10>.Q | 9566 | ? | 0 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<11>.Q | 10906 | ? | 0 | 0 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<11> | WarpSE_COPY_0_COPY_0 | 2155877376 | 15 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/Timer<3> | WarpSE_COPY_0_COPY_0 | 2155877376 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 10576 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 9282 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 10592 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9284 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9285 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9287 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/Timer<3> | 10598 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<11> | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +NODE | cnt/Timer<3> | 10598 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | cnt/LTimer<11>.SI | cnt/LTimer<11> | 0 | 14 | 3 +SIGNAL_INSTANCE | cnt/Timer<3>.SI | cnt/Timer<3> | 0 | 7 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 10576 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 9282 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 10592 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9284 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9285 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9287 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<3> | 10598 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<11>.D1 | 9568 | ? | 0 | 0 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Timer<3>.D1 | 10908 | ? | 0 | 4096 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<11>.D2 | 9569 | ? | 0 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 11 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> +SIGNAL | NODE | cnt/Timer<3>.D2 | 10909 | ? | 0 | 4096 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | RefUrg | IV_TRUE | cnt/Timer<3> | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +SPPTERM | 4 | IV_FALSE | RefUrg | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> | IV_TRUE | cnt/Timer<2> +SPPTERM | 4 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> | IV_TRUE | cnt/Timer<2> | IV_TRUE | cnt/Er<0> +SPPTERM | 4 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> | IV_TRUE | cnt/Timer<2> | IV_FALSE | cnt/Er<1> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<11>.CE | 9570 | ? | 0 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +SIGNAL | NODE | cnt/Timer<3>.CE | 10910 | ? | 0 | 4096 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -SRFF_INSTANCE | cnt/LTimer<11>.REG | cnt/LTimer<11> | 0 | 3 | 1 +SRFF_INSTANCE | cnt/Timer<3>.REG | cnt/Timer<3> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<11>.D | 9567 | ? | 0 | 0 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.XOR | 0 | 7 | ALU_F +NODE | cnt/Timer<3>.D | 10907 | ? | 0 | 0 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<11>.CE | 9570 | ? | 0 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +SIGNAL | NODE | cnt/Timer<3>.CE | 10910 | ? | 0 | 4096 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<11>.Q | 9571 | ? | 0 | 0 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<1> | WarpSE_COPY_0_COPY_0 | 2155877376 | 5 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<1> | 9284 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/LTimer<1>.SI | cnt/LTimer<1> | 0 | 4 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<1>.D1 | 9573 | ? | 0 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<1>.D2 | 9574 | ? | 0 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | cnt/LTimer<0> -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<1>.CE | 9575 | ? | 0 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> - -SRFF_INSTANCE | cnt/LTimer<1>.REG | cnt/LTimer<1> | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<1>.D | 9572 | ? | 0 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<1>.CE | 9575 | ? | 0 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<1>.Q | 9576 | ? | 0 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<2> | WarpSE_COPY_0_COPY_0 | 2155877376 | 6 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9284 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<2> | 9285 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/LTimer<2>.SI | cnt/LTimer<2> | 0 | 5 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9284 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<2>.D1 | 9578 | ? | 0 | 0 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<2>.D2 | 9579 | ? | 0 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<2>.CE | 9580 | ? | 0 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> - -SRFF_INSTANCE | cnt/LTimer<2>.REG | cnt/LTimer<2> | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<2>.D | 9577 | ? | 0 | 0 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<2>.CE | 9580 | ? | 0 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<2>.Q | 9581 | ? | 0 | 0 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<3> | WarpSE_COPY_0_COPY_0 | 2155877376 | 7 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9284 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9285 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<3> | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/LTimer<3>.SI | cnt/LTimer<3> | 0 | 6 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9284 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9285 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<3>.D1 | 9583 | ? | 0 | 0 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<3>.D2 | 9584 | ? | 0 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<3>.CE | 9585 | ? | 0 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> - -SRFF_INSTANCE | cnt/LTimer<3>.REG | cnt/LTimer<3> | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<3>.D | 9582 | ? | 0 | 0 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<3>.CE | 9585 | ? | 0 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<3>.Q | 9586 | ? | 0 | 0 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<4> | WarpSE_COPY_0_COPY_0 | 2155877376 | 19 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9284 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9285 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 9298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOPWReady | 9299 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOPWReady.Q | IOPWReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<4> | 9287 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/LTimer<4>.EXP | 10008 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.EXP | cnt/LTimer<4> | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | cnt/LTimer<4>.SI | cnt/LTimer<4> | 0 | 18 | 4 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9284 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9285 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 9298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOPWReady | 9299 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOPWReady.Q | IOPWReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<4>.D1 | 9588 | ? | 0 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<4>.D2 | 9589 | ? | 0 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/LTimer<4>.EXP | 10006 | ? | 0 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 11 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | IONPReady | IV_FALSE | IOPWReady | IV_TRUE | A_FSB_13_IBUF -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<4>.CE | 9590 | ? | 0 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> - -SRFF_INSTANCE | cnt/LTimer<4>.REG | cnt/LTimer<4> | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<4>.D | 9587 | ? | 0 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<4>.CE | 9590 | ? | 0 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<4>.Q | 9591 | ? | 0 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<5> | WarpSE_COPY_0_COPY_0 | 2155877376 | 22 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9284 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9285 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9287 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 9298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOPWReady | 9299 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOPWReady.Q | IOPWReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9359 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<5> | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/LTimer<5>.EXP | 10007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.EXP | cnt/LTimer<5> | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | cnt/LTimer<5>.SI | cnt/LTimer<5> | 0 | 21 | 4 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9284 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9285 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9287 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 9298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOPWReady | 9299 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOPWReady.Q | IOPWReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9359 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<5>.D1 | 9593 | ? | 0 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<5>.D2 | 9594 | ? | 0 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 5 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/LTimer<5>.EXP | 10005 | ? | 0 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF -SPPTERM | 11 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | IONPReady | IV_FALSE | IOPWReady | IV_TRUE | A_FSB_14_IBUF -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<5>.CE | 9595 | ? | 0 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> - -SRFF_INSTANCE | cnt/LTimer<5>.REG | cnt/LTimer<5> | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<5>.D | 9592 | ? | 0 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<5>.CE | 9595 | ? | 0 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<5>.Q | 9596 | ? | 0 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<6> | WarpSE_COPY_0_COPY_0 | 2155877376 | 10 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9284 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9285 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9287 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<6> | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/LTimer<6>.SI | cnt/LTimer<6> | 0 | 9 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9284 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9285 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9287 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<6>.D1 | 9598 | ? | 0 | 0 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<6>.D2 | 9599 | ? | 0 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 6 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<6>.CE | 9600 | ? | 0 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> - -SRFF_INSTANCE | cnt/LTimer<6>.REG | cnt/LTimer<6> | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<6>.D | 9597 | ? | 0 | 0 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<6>.CE | 9600 | ? | 0 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<6>.Q | 9601 | ? | 0 | 0 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<7> | WarpSE_COPY_0_COPY_0 | 2155877376 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9284 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9285 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9287 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<7> | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/LTimer<7>.SI | cnt/LTimer<7> | 0 | 10 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9284 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9285 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9287 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<7>.D1 | 9603 | ? | 0 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<7>.D2 | 9604 | ? | 0 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 7 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<7>.CE | 9605 | ? | 0 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> - -SRFF_INSTANCE | cnt/LTimer<7>.REG | cnt/LTimer<7> | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<7>.D | 9602 | ? | 0 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<7>.CE | 9605 | ? | 0 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<7>.Q | 9606 | ? | 0 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<8> | WarpSE_COPY_0_COPY_0 | 2155877376 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9284 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9285 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9287 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<8> | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/LTimer<8>.SI | cnt/LTimer<8> | 0 | 11 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9284 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9285 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9287 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<8>.D1 | 9608 | ? | 0 | 0 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<8>.D2 | 9609 | ? | 0 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 8 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<8>.CE | 9610 | ? | 0 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> - -SRFF_INSTANCE | cnt/LTimer<8>.REG | cnt/LTimer<8> | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<8>.D | 9607 | ? | 0 | 0 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<8>.CE | 9610 | ? | 0 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<8>.Q | 9611 | ? | 0 | 0 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<9> | WarpSE_COPY_0_COPY_0 | 2155877376 | 13 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9284 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9285 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9287 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<9> | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/LTimer<9>.SI | cnt/LTimer<9> | 0 | 12 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9284 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9285 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9287 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<9>.D1 | 9613 | ? | 0 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<9>.D2 | 9614 | ? | 0 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 9 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<9>.CE | 9615 | ? | 0 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> - -SRFF_INSTANCE | cnt/LTimer<9>.REG | cnt/LTimer<9> | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<9>.D | 9612 | ? | 0 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<9>.CE | 9615 | ? | 0 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<9>.Q | 9616 | ? | 0 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.REG | 0 | 8 | SRFF_Q +NODE | cnt/Timer<3>.Q | 10911 | ? | 0 | 0 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cs/nOverlay | WarpSE_COPY_0_COPY_0 | 2155877376 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N0 | 9273 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N0 | 0 | 5 | II_IMUX +NODE | N01 | 10580 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/ODCSr | 9363 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/ODCSr.Q | cs/ODCSr | 1 | 0 | MC_UIM +NODE | cs/ODCSr | 10672 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/ODCSr.Q | cs/ODCSr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cs/nOverlay.SI | cs/nOverlay | 0 | 5 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N0 | 9273 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N0 | 0 | 5 | II_IMUX +NODE | N01 | 10580 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/ODCSr | 9363 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/ODCSr.Q | cs/ODCSr | 1 | 0 | MC_UIM +NODE | cs/ODCSr | 10672 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/ODCSr.Q | cs/ODCSr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cs/nOverlay.D1 | 9618 | ? | 0 | 4096 | cs/nOverlay | NULL | NULL | cs/nOverlay.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cs/nOverlay.D1 | 10913 | ? | 0 | 4096 | cs/nOverlay | NULL | NULL | cs/nOverlay.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cs/nOverlay.D2 | 9619 | ? | 0 | 4096 | cs/nOverlay | NULL | NULL | cs/nOverlay.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_FALSE | N0 | IV_TRUE | cs/nOverlay | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 5 | IV_TRUE | N0 | IV_FALSE | cs/nOverlay | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | cs/ODCSr | IV_FALSE | fsb/ASrf +SIGNAL | NODE | cs/nOverlay.D2 | 10914 | ? | 0 | 4096 | cs/nOverlay | NULL | NULL | cs/nOverlay.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_FALSE | N01 | IV_TRUE | cs/nOverlay | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 5 | IV_TRUE | N01 | IV_FALSE | cs/nOverlay | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | cs/ODCSr | IV_FALSE | fsb/ASrf SRFF_INSTANCE | cs/nOverlay.REG | cs/nOverlay | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cs/nOverlay.D | 9617 | ? | 0 | 0 | cs/nOverlay | NULL | NULL | cs/nOverlay.XOR | 0 | 7 | ALU_F +NODE | cs/nOverlay.D | 10912 | ? | 0 | 0 | cs/nOverlay | NULL | NULL | cs/nOverlay.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cs/nOverlay.Q | 9620 | ? | 0 | 0 | cs/nOverlay | NULL | NULL | cs/nOverlay.REG | 0 | 8 | SRFF_Q +NODE | cs/nOverlay.Q | 10915 | ? | 0 | 0 | cs/nOverlay | NULL | NULL | cs/nOverlay.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<12> | WarpSE_COPY_0_COPY_0 | 2155877376 | 16 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/IORW1 | WarpSE_COPY_0_COPY_0 | 2155877376 | 19 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 9282 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9284 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9285 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9287 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 10601 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd1.EXP | 11390 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.EXP | cnt/IS_FSM_FFd1 | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<12> | 9294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<12>.Q | cnt/LTimer<12> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/LTimer<12>.SI | cnt/LTimer<12> | 0 | 15 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 9282 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9284 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9285 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9287 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<12>.D1 | 9622 | ? | 0 | 0 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<12>.D2 | 9623 | ? | 0 | 4096 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 12 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer<11> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<12>.CE | 9624 | ? | 0 | 4096 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> - -SRFF_INSTANCE | cnt/LTimer<12>.REG | cnt/LTimer<12> | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<12>.D | 9621 | ? | 0 | 0 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<12>.CE | 9624 | ? | 0 | 4096 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<12>.Q | 9625 | ? | 0 | 0 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/TimerTC | WarpSE_COPY_0_COPY_0 | 2155873280 | 7 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 9268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9277 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9278 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 9279 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/TimerTC.SI | cnt/TimerTC | 0 | 6 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 9268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9277 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9278 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 9279 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/TimerTC.D1 | 9627 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/TimerTC.D2 | 9628 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_TRUE | RefUrg | IV_TRUE | cnt/Timer<0> | IV_FALSE | cnt/Timer<1> | IV_FALSE | cnt/Timer<2> -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/TimerTC.CE | 9629 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> - -SRFF_INSTANCE | cnt/TimerTC.REG | cnt/TimerTC | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/TimerTC.D | 9626 | ? | 0 | 0 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/TimerTC.CE | 9629 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/TimerTC.Q | 9630 | ? | 0 | 0 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/IORW1 | WarpSE_COPY_0_COPY_0 | 2155877376 | 25 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9359 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 9340 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDoutOE_OBUF.EXP | 10022 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.EXP | nDoutOE_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IORW1 | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 10601 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobs/IORW1.EXP | 10021 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.EXP | iobs/IORW1 | 4 | 0 | MC_EXPORT +NODE | iobs/IORW1.EXP | 11391 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.EXP | iobs/IORW1 | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | iobs/IORW1.SI | iobs/IORW1 | 0 | 24 | 3 +SIGNAL_INSTANCE | iobs/IORW1.SI | iobs/IORW1 | 0 | 18 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 10601 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9359 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | iobs/TS_FSM_FFd1 | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 9340 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDoutOE_OBUF.EXP | 10022 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.EXP | nDoutOE_OBUF | 4 | 0 | MC_EXPORT +NODE | cnt/IS_FSM_FFd1.EXP | 11390 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.EXP | cnt/IS_FSM_FFd1 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IORW1.D1 | 9632 | ? | 0 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IORW1.D1 | 10917 | ? | 0 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IORW1.D2 | 9633 | ? | 0 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nDoutOE_OBUF.EXP -SPPTERM | 16 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | ALE1 -SPPTERM | 16 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | ALE1 -SPPTERM | 16 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | ALE1 -SPPTERM | 16 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | ALE1 +SIGNAL | NODE | iobs/IORW1.D2 | 10918 | ? | 0 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cnt/IS_FSM_FFd1.EXP +SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobs/IORW1.EXP | 10011 | ? | 0 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_FALSE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd4 | IV_TRUE | fsb/ASrf | IV_FALSE | ram/BACTr +SIGNAL | NODE | iobs/IORW1.EXP | 11376 | ? | 0 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SRFF_INSTANCE | iobs/IORW1.REG | iobs/IORW1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IORW1.D | 9631 | ? | 0 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.XOR | 0 | 7 | ALU_F +NODE | iobs/IORW1.D | 10916 | ? | 0 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IORW1.Q | 9634 | ? | 0 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.REG | 0 | 8 | SRFF_Q +NODE | iobs/IORW1.Q | 10919 | ? | 0 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | IONPReady | WarpSE_COPY_0_COPY_0 | 2155873536 | 20 | 2 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | IONPReady | WarpSE_COPY_0_COPY_0 | 2155873536 | 25 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 9298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +NODE | IONPReady | 10602 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9359 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 10548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOL1 | 9302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 9336 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | A_FSB_12_IBUF | 10550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_11_IBUF | 10569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 10570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Clear1.EXP | 10075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT +NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 10666 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 10667 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/WS<1>.EXP | 11338 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.EXP | cnt/WS<1> | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IONPReady | 9298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +NODE | IONPReady | 10602 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | IONPReady.EXP | 10076 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.EXP | IONPReady | 4 | 0 | MC_EXPORT +NODE | IONPReady.EXP | 11339 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.EXP | IONPReady | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | IONPReady.SI | IONPReady | 0 | 19 | 3 +SIGNAL_INSTANCE | IONPReady.SI | IONPReady | 0 | 24 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 9298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +NODE | IONPReady | 10602 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9359 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 10548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOL1 | 9302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 9336 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | A_FSB_12_IBUF | 10550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_11_IBUF | 10569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 10570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Clear1.EXP | 10075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT +NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 10666 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 10667 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/WS<1>.EXP | 11338 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.EXP | cnt/WS<1> | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IONPReady.D1 | 9636 | ? | 0 | 4096 | IONPReady | NULL | NULL | IONPReady.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IONPReady.D1 | 10921 | ? | 0 | 4096 | IONPReady | NULL | NULL | IONPReady.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IONPReady.D2 | 9637 | ? | 0 | 4096 | IONPReady | NULL | NULL | IONPReady.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobs/Clear1.EXP -SPPTERM | 12 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | IONPReady | IV_TRUE | A_FSB_14_IBUF +SIGNAL | NODE | IONPReady.D2 | 10922 | ? | 0 | 4096 | IONPReady | NULL | NULL | IONPReady.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cnt/WS<1>.EXP +SPPTERM | 11 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | IONPReady OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | IONPReady.EXP | 10062 | ? | 0 | 0 | IONPReady | NULL | NULL | IONPReady.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_FALSE | iobs/IOL1 | IV_FALSE | IOL0 | IV_FALSE | ALE1 -SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SIGNAL | NODE | IONPReady.EXP | 11331 | ? | 0 | 0 | IONPReady | NULL | NULL | IONPReady.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM +SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM +SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM +SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM SRFF_INSTANCE | IONPReady.REG | IONPReady | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IONPReady.D | 9635 | ? | 0 | 0 | IONPReady | NULL | NULL | IONPReady.XOR | 0 | 7 | ALU_F +NODE | IONPReady.D | 10920 | ? | 0 | 0 | IONPReady | NULL | NULL | IONPReady.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IONPReady.Q | 9638 | ? | 0 | 0 | IONPReady | NULL | NULL | IONPReady.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | IOPWReady | WarpSE_COPY_0_COPY_0 | 2155873536 | 6 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOPWReady | 9299 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOPWReady.Q | IOPWReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Clear1 | 9357 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOPWReady | 9299 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOPWReady.Q | IOPWReady | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | IOPWReady.SI | IOPWReady | 0 | 5 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOPWReady | 9299 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOPWReady.Q | IOPWReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Clear1 | 9357 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOPWReady.D1 | 9640 | ? | 0 | 4096 | IOPWReady | NULL | NULL | IOPWReady.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOPWReady.D2 | 9641 | ? | 0 | 4096 | IOPWReady | NULL | NULL | IOPWReady.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 3 | IV_FALSE | IOPWReady | IV_FALSE | iobs/Clear1 | IV_FALSE | ALE1 - -SRFF_INSTANCE | IOPWReady.REG | IOPWReady | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOPWReady.D | 9639 | ? | 0 | 0 | IOPWReady | NULL | NULL | IOPWReady.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOPWReady.Q | 9642 | ? | 0 | 0 | IOPWReady | NULL | NULL | IOPWReady.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/LTimerTC | WarpSE_COPY_0_COPY_0 | 2155873280 | 17 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 9282 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9284 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9285 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9287 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<12> | 9294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<12>.Q | cnt/LTimer<12> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimerTC | 9300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/LTimerTC.SI | cnt/LTimerTC | 0 | 16 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 9282 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9284 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9285 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9287 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<12> | 9294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<12>.Q | cnt/LTimer<12> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimerTC.D1 | 9644 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimerTC.D2 | 9645 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 13 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer<11> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/LTimer<12> -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimerTC.CE | 9646 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 10 | 9 | MC_SI_CE -SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> - -SRFF_INSTANCE | cnt/LTimerTC.REG | cnt/LTimerTC | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimerTC.D | 9643 | ? | 0 | 0 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimerTC.CE | 9646 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 10 | 9 | MC_SI_CE -SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimerTC.Q | 9647 | ? | 0 | 0 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.REG | 0 | 8 | SRFF_Q +NODE | IONPReady.Q | 10923 | ? | 0 | 0 | IONPReady | NULL | NULL | IONPReady.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | nLDS_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nLDS_FSB | 9465 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nLDS_FSB | 10773 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nLDS_FSB_IBUF | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 10603 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOL1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 10603 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9335 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 10633 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOL1 | 9302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +NODE | iobs/IOL1 | 10604 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/IOL1.SI | iobs/IOL1 | 0 | 2 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 10603 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9335 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 10633 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOL1.D1 | 9649 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOL1.D1 | 10925 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOL1.D2 | 9650 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOL1.D2 | 10926 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nLDS_FSB_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | iobs/IOL1.CE | 9651 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOL1.CE | 10927 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | iobs/Load1 SRFF_INSTANCE | iobs/IOL1.REG | iobs/IOL1 | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOL1.D | 9648 | ? | 0 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.XOR | 0 | 7 | ALU_F +NODE | iobs/IOL1.D | 10924 | ? | 0 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | iobs/IOL1.CE | 9651 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOL1.CE | 10927 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | iobs/Load1 OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOL1.Q | 9652 | ? | 0 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.REG | 0 | 8 | SRFF_Q +NODE | iobs/IOL1.Q | 10928 | ? | 0 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | nUDS_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nUDS_FSB | 9466 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nUDS_FSB | 10774 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nUDS_FSB_IBUF | 9303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 10605 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOU1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 10605 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9335 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 10633 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOU1 | 9304 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +NODE | iobs/IOU1 | 10606 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/IOU1.SI | iobs/IOU1 | 0 | 2 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 10605 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9335 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 10633 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOU1.D1 | 9654 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOU1.D1 | 10930 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOU1.D2 | 9655 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOU1.D2 | 10931 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nUDS_FSB_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | iobs/IOU1.CE | 9656 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOU1.CE | 10932 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | iobs/Load1 SRFF_INSTANCE | iobs/IOU1.REG | iobs/IOU1 | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOU1.D | 9653 | ? | 0 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.XOR | 0 | 7 | ALU_F +NODE | iobs/IOU1.D | 10929 | ? | 0 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | iobs/IOU1.CE | 9656 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOU1.CE | 10932 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | iobs/Load1 OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOU1.Q | 9657 | ? | 0 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.REG | 0 | 8 | SRFF_Q +NODE | iobs/IOU1.Q | 10933 | ? | 0 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | ram/Once | WarpSE_COPY_0_COPY_0 | 2155877376 | 12 | 2 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | ram/Once | WarpSE_COPY_0_COPY_0 | 2155877376 | 19 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9305 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +NODE | ram/Once | 10607 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | IONPReady | 10602 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | RAMReady | 10652 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.Q | RAMReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOPWReady | 10669 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOPWReady.Q | IOPWReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL.EXP | 11319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.EXP | ram/RASEL | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/Once | 9305 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +NODE | ram/Once | 10607 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | ram/Once.EXP | 10041 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.EXP | ram/Once | 4 | 0 | MC_EXPORT +NODE | ram/Once.EXP | 11320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.EXP | ram/Once | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | ram/Once.SI | ram/Once | 0 | 11 | 3 +SIGNAL_INSTANCE | ram/Once.SI | ram/Once | 0 | 18 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9305 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +NODE | ram/Once | 10607 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | IONPReady | 10602 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | RAMReady | 10652 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.Q | RAMReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOPWReady | 10669 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOPWReady.Q | IOPWReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL.EXP | 11319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.EXP | ram/RASEL | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/Once.D1 | 9659 | ? | 0 | 4096 | ram/Once | NULL | NULL | ram/Once.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/Once.D1 | 10935 | ? | 0 | 4096 | ram/Once | NULL | NULL | ram/Once.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/Once.D2 | 9660 | ? | 0 | 4096 | ram/Once | NULL | NULL | ram/Once.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/Once.D2 | 10936 | ? | 0 | 4096 | ram/Once | NULL | NULL | ram/Once.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/RASEL.EXP SPPTERM | 3 | IV_TRUE | ram/Once | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | ram/RAMEN | IV_TRUE | cs/nOverlay | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | ram/RAMEN | IV_TRUE | cs/nOverlay | IV_FALSE | ram/Once | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | fsb/ASrf OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | ram/Once.EXP | 10034 | ? | 0 | 0 | ram/Once | NULL | NULL | ram/Once.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_FALSE | cs/nOverlay | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | fsb/ASrf | IV_FALSE | ram/BACTr +SIGNAL | NODE | ram/Once.EXP | 11311 | ? | 0 | 0 | ram/Once | NULL | NULL | ram/Once.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | IONPReady | IV_FALSE | RAMReady +SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF +SPPTERM | 10 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | IONPReady | IV_FALSE | IOPWReady +SPPTERM | 10 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | IONPReady | IV_FALSE | IOPWReady SRFF_INSTANCE | ram/Once.REG | ram/Once | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/Once.D | 9658 | ? | 0 | 0 | ram/Once | NULL | NULL | ram/Once.XOR | 0 | 7 | ALU_F +NODE | ram/Once.D | 10934 | ? | 0 | 0 | ram/Once | NULL | NULL | ram/Once.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/Once.Q | 9661 | ? | 0 | 0 | ram/Once | NULL | NULL | ram/Once.REG | 0 | 8 | SRFF_Q +NODE | ram/Once.Q | 10937 | ? | 0 | 0 | ram/Once | NULL | NULL | ram/Once.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | nBERR_FSB_OBUF | WarpSE_COPY_0_COPY_0 | 2155873280 | 12 | 3 +MACROCELL_INSTANCE | PrldLow+OptxMapped | nBERR_FSB_OBUF | WarpSE_COPY_0_COPY_0 | 2155873280 | 16 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOU1 | 9304 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 9337 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | nBERR_FSB_OBUF.UIM | 10610 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP17_.EXP | 10071 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT +NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP18_.EXP | 11386 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nBERR_FSB_OBUF | 9307 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q +NODE | nBERR_FSB_OBUF | 10609 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nBERR_FSB_OBUF.UIM | 9308 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM +NODE | nBERR_FSB_OBUF.UIM | 10610 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nBERR_FSB_OBUF.EXP | 10072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT +NODE | nBERR_FSB_OBUF.EXP | 11387 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | nBERR_FSB_OBUF.SI | nBERR_FSB_OBUF | 0 | 11 | 3 +SIGNAL_INSTANCE | nBERR_FSB_OBUF.SI | nBERR_FSB_OBUF | 0 | 15 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOU1 | 9304 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 9337 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | nBERR_FSB_OBUF.UIM | 10610 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP17_.EXP | 10071 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT +NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP18_.EXP | 11386 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nBERR_FSB_OBUF.D1 | 9663 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nBERR_FSB_OBUF.D1 | 10939 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nBERR_FSB_OBUF.D2 | 9664 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP17_.EXP +SIGNAL | NODE | nBERR_FSB_OBUF.D2 | 10940 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP18_.EXP +SPPTERM | 2 | IV_FALSE | iobs/Sent | IV_TRUE | nBERR_FSB_OBUF.UIM SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nBERR_FSB_OBUF.EXP | 10058 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_FALSE | iobs/IOU1 | IV_FALSE | IOU0 | IV_FALSE | ALE1 -SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SIGNAL | NODE | nBERR_FSB_OBUF.EXP | 11372 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SRFF_INSTANCE | nBERR_FSB_OBUF.REG | nBERR_FSB_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nBERR_FSB_OBUF.D | 9662 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.XOR | 0 | 7 | ALU_F +NODE | nBERR_FSB_OBUF.D | 10938 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nBERR_FSB_OBUF.Q | 9665 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nBERR_FSB_OBUF.Q | 10941 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | nVPA_FSB_OBUF | WarpSE_COPY_0_COPY_0 | 2155873536 | 10 | 1 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | nVPA_FSB_OBUF | WarpSE_COPY_0_COPY_0 | 2155873536 | 13 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 9298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | IONPReady | 10602 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | QoSReady | 10651 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | QoSReady.Q | QoSReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nVPA_FSB_OBUF | 9309 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q +NODE | nVPA_FSB_OBUF | 10611 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q -SIGNAL_INSTANCE | nVPA_FSB_OBUF.SI | nVPA_FSB_OBUF | 0 | 9 | 3 +SIGNAL_INSTANCE | nVPA_FSB_OBUF.SI | nVPA_FSB_OBUF | 0 | 12 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 9298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | IONPReady | 10602 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | QoSReady | 10651 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | QoSReady.Q | QoSReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nVPA_FSB_OBUF.D1 | 9667 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nVPA_FSB_OBUF.D1 | 10943 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nVPA_FSB_OBUF.D2 | 9668 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | IONPReady | IV_FALSE | nAS_FSB_IBUF -SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | IONPReady | IV_TRUE | fsb/ASrf +SIGNAL | NODE | nVPA_FSB_OBUF.D2 | 10944 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 11 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | IONPReady | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | QoSReady +SPPTERM | 11 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | IONPReady | IV_TRUE | QoSReady | IV_TRUE | fsb/ASrf OUTPUT_NODE_TYPE | 5 | 9 | MC_SI_SETF -SIGNAL | NODE | nVPA_FSB_OBUF.SETF | 9669 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 5 | 9 | MC_SI_SETF +SIGNAL | NODE | nVPA_FSB_OBUF.SETF | 10945 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 5 | 9 | MC_SI_SETF SPPTERM | 1 | IV_TRUE | nAS_FSB_IBUF SRFF_INSTANCE | nVPA_FSB_OBUF.REG | nVPA_FSB_OBUF | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nVPA_FSB_OBUF.D | 9666 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.XOR | 0 | 7 | ALU_F +NODE | nVPA_FSB_OBUF.D | 10942 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 2 | 8 | SRFF_S -SIGNAL | NODE | nVPA_FSB_OBUF.SETF | 9669 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 5 | 9 | MC_SI_SETF +SIGNAL | NODE | nVPA_FSB_OBUF.SETF | 10945 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 5 | 9 | MC_SI_SETF SPPTERM | 1 | IV_TRUE | nAS_FSB_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nVPA_FSB_OBUF.Q | 9670 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nVPA_FSB_OBUF.Q | 10946 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+OptxMapped | nRAS_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 12 | 2 +MACROCELL_INSTANCE | Inv+OptxMapped | nRAS_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 13 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASrf | 9369 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrf.Q | ram/RASrf | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9359 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAMLWE_OBUF.EXP | 10067 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT +NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRAMLWE_OBUF.EXP | 11382 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nRAS_OBUF | 9310 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q +NODE | nRAS_OBUF | 10612 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nRAS_OBUF.EXP | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT +NODE | nRAS_OBUF.EXP | 11381 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | nRAS_OBUF.SI | nRAS_OBUF | 0 | 12 | 3 +SIGNAL_INSTANCE | nRAS_OBUF.SI | nRAS_OBUF | 0 | 13 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASrf | 9369 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrf.Q | ram/RASrf | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9359 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAMLWE_OBUF.EXP | 10067 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT +NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRAMLWE_OBUF.EXP | 11382 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRAS_OBUF.D1 | 9672 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRAS_OBUF.D1 | 10948 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRAS_OBUF.D2 | 9673 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | ram/RASrf +SIGNAL | NODE | nRAS_OBUF.D2 | 10949 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | nRAMLWE_OBUF.EXP OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nRAS_OBUF.EXP | 10052 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SIGNAL | NODE | nRAS_OBUF.EXP | 11366 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_TRUE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SRFF_INSTANCE | nRAS_OBUF.REG | nRAS_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRAS_OBUF.D | 9671 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.XOR | 0 | 7 | ALU_F +NODE | nRAS_OBUF.D | 10947 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRAS_OBUF.Q | 9674 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nRAS_OBUF.Q | 10950 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | nBR_IOB_OBUF | WarpSE_COPY_0_COPY_0 | 2155877376 | 14 | 3 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | nBR_IOB_OBUF | WarpSE_COPY_0_COPY_0 | 2155877376 | 12 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBR_IOB_OBUF | 9312 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM +NODE | nBR_IOB_OBUF | 10614 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd1 | 9328 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd2 | 9329 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/nIPL2r | 9350 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM +NODE | cnt/nIPL2r | 10657 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9359 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nBR_IOB_OBUF$Q | 9311 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 0 | 0 | MC_Q +NODE | nBR_IOB_OBUF$Q | 10613 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nBR_IOB_OBUF | 9312 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM +NODE | nBR_IOB_OBUF | 10614 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nBR_IOB_OBUF.EXP | 10074 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.EXP | nBR_IOB_OBUF | 4 | 0 | MC_EXPORT +NODE | nBR_IOB_OBUF.EXP | 11389 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.EXP | nBR_IOB_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | nBR_IOB_OBUF.SI | nBR_IOB_OBUF | 0 | 13 | 3 +SIGNAL_INSTANCE | nBR_IOB_OBUF.SI | nBR_IOB_OBUF | 0 | 11 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBR_IOB_OBUF | 9312 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM +NODE | nBR_IOB_OBUF | 10614 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd1 | 9328 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd2 | 9329 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/nIPL2r | 9350 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM +NODE | cnt/nIPL2r | 10657 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9359 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nBR_IOB_OBUF.D1 | 9676 | ? | 0 | 4096 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nBR_IOB_OBUF.D1 | 10952 | ? | 0 | 4096 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nBR_IOB_OBUF.D2 | 9677 | ? | 0 | 4096 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | nBR_IOB_OBUF | IV_FALSE | cnt/INITS_FSM_FFd1 | IV_FALSE | cnt/INITS_FSM_FFd2 -SPPTERM | 4 | IV_FALSE | nBR_IOB_OBUF | IV_FALSE | cnt/INITS_FSM_FFd1 | IV_TRUE | cnt/INITS_FSM_FFd2 | IV_FALSE | cnt/nIPL2r +SIGNAL | NODE | nBR_IOB_OBUF.D2 | 10953 | ? | 0 | 4096 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | nBR_IOB_OBUF | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 +SPPTERM | 4 | IV_FALSE | nBR_IOB_OBUF | IV_FALSE | cnt/IS_FSM_FFd1 | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/nIPL2r OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nBR_IOB_OBUF.EXP | 10060 | ? | 0 | 0 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_TRUE | ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SIGNAL | NODE | nBR_IOB_OBUF.EXP | 11374 | ? | 0 | 0 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SRFF_INSTANCE | nBR_IOB_OBUF.REG | nBR_IOB_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nBR_IOB_OBUF.D | 9675 | ? | 0 | 0 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.XOR | 0 | 7 | ALU_F +NODE | nBR_IOB_OBUF.D | 10951 | ? | 0 | 0 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nBR_IOB_OBUF.Q | 9678 | ? | 0 | 0 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nBR_IOB_OBUF.Q | 10954 | ? | 0 | 0 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_11_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10616 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_11_OBUF$Q | 9313 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF.Q | RA_11_OBUF | 0 | 0 | MC_Q +NODE | RA_11_OBUF$Q | 10615 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF.Q | RA_11_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | RA_11_OBUF.SI | RA_11_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10616 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_11_OBUF.D1 | 9680 | ? | 0 | 4096 | RA_11_OBUF | NULL | NULL | RA_11_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_11_OBUF.D1 | 10956 | ? | 0 | 4096 | RA_11_OBUF | NULL | NULL | RA_11_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_11_OBUF.D2 | 9681 | ? | 0 | 4096 | RA_11_OBUF | NULL | NULL | RA_11_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_11_OBUF.D2 | 10957 | ? | 0 | 4096 | RA_11_OBUF | NULL | NULL | RA_11_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | ram/RASEL SPPTERM | 2 | IV_TRUE | A_FSB_19_IBUF | IV_FALSE | ram/RASEL SRFF_INSTANCE | RA_11_OBUF.REG | RA_11_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_11_OBUF.D | 9679 | ? | 0 | 0 | RA_11_OBUF | NULL | NULL | RA_11_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_11_OBUF.D | 10955 | ? | 0 | 0 | RA_11_OBUF | NULL | NULL | RA_11_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_11_OBUF.Q | 9682 | ? | 0 | 0 | RA_11_OBUF | NULL | NULL | RA_11_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_11_OBUF.Q | 10958 | ? | 0 | 0 | RA_11_OBUF | NULL | NULL | RA_11_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RASEL | WarpSE_COPY_0_COPY_0 | 2155873280 | 9 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RASEL | WarpSE_COPY_0_COPY_0 | 2155873280 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 9330 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd7 | 10632 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 10594 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 10624 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 10607 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RASEL | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10616 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | ram/RASEL.EXP | 11319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.EXP | ram/RASEL | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | ram/RASEL.SI | ram/RASEL | 0 | 8 | 2 +SIGNAL_INSTANCE | ram/RASEL.SI | ram/RASEL | 0 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 9330 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd7 | 10632 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 10594 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 10624 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 10607 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RASEL.D1 | 9684 | ? | 0 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RASEL.D1 | 10960 | ? | 0 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RASEL.D2 | 9685 | ? | 0 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RASEL.D2 | 10961 | ? | 0 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd7 SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | ram/RAMEN | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | ram/RAMEN | IV_TRUE | cs/nOverlay | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | fsb/ASrf +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | ram/RASEL.EXP | 11310 | ? | 0 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | ram/RAMEN | IV_TRUE | cs/nOverlay | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | ram/RAMEN | IV_TRUE | cs/nOverlay | IV_FALSE | ram/Once | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | fsb/ASrf SRFF_INSTANCE | ram/RASEL.REG | ram/RASEL | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RASEL.D | 9683 | ? | 0 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.XOR | 0 | 7 | ALU_F +NODE | ram/RASEL.D | 10959 | ? | 0 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RASEL.Q | 9686 | ? | 0 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.REG | 0 | 8 | SRFF_Q +NODE | ram/RASEL.Q | 10962 | ? | 0 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/TS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 19 | 2 +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/TS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9334 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 10638 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9359 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/TS_FSM_FFd1 | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobs/TS_FSM_FFd1.EXP | 10025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.EXP | iobs/TS_FSM_FFd1 | 4 | 0 | MC_EXPORT +NODE | iobs/TS_FSM_FFd1 | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | iobs/TS_FSM_FFd1.SI | iobs/TS_FSM_FFd1 | 0 | 18 | 3 +SIGNAL_INSTANCE | iobs/TS_FSM_FFd1.SI | iobs/TS_FSM_FFd1 | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9334 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9359 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | iobs/IOACTr | 10638 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/TS_FSM_FFd1.D1 | 9688 | ? | 0 | 4096 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/TS_FSM_FFd1.D1 | 10964 | ? | 0 | 4096 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/TS_FSM_FFd1.D2 | 9689 | ? | 0 | 4096 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/TS_FSM_FFd1.D2 | 10965 | ? | 0 | 4096 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobs/TS_FSM_FFd2 SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | iobs/IOACTr -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobs/TS_FSM_FFd1.EXP | 10015 | ? | 0 | 0 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 SRFF_INSTANCE | iobs/TS_FSM_FFd1.REG | iobs/TS_FSM_FFd1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/TS_FSM_FFd1.D | 9687 | ? | 0 | 0 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.XOR | 0 | 7 | ALU_F +NODE | iobs/TS_FSM_FFd1.D | 10963 | ? | 0 | 0 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/TS_FSM_FFd1.Q | 9690 | ? | 0 | 0 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.REG | 0 | 8 | SRFF_Q +NODE | iobs/TS_FSM_FFd1.Q | 10966 | ? | 0 | 0 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/TS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155873536 | 13 | 1 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/TS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155873536 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9334 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 10638 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10616 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | EXP15_.EXP | 11380 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP14_.EXP | 10065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAS_OBUF.EXP | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | iobs/TS_FSM_FFd2.EXP | 11379 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.EXP | iobs/TS_FSM_FFd2 | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | iobs/TS_FSM_FFd2.SI | iobs/TS_FSM_FFd2 | 0 | 12 | 2 +SIGNAL_INSTANCE | iobs/TS_FSM_FFd2.SI | iobs/TS_FSM_FFd2 | 0 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9334 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 10638 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10616 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP14_.EXP | 10065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAS_OBUF.EXP | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT +NODE | EXP15_.EXP | 11380 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/TS_FSM_FFd2.D1 | 9692 | ? | 0 | 4096 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/TS_FSM_FFd2.D1 | 10968 | ? | 0 | 4096 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/TS_FSM_FFd2.D2 | 9693 | ? | 0 | 4096 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP14_.EXP -SPPTERM | 1 | IV_TRUE | nRAS_OBUF.EXP +SIGNAL | NODE | iobs/TS_FSM_FFd2.D2 | 10969 | ? | 0 | 4096 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP15_.EXP SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | iobs/IOACTr SPPTERM | 3 | IV_TRUE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | iobs/TS_FSM_FFd2.EXP | 11364 | ? | 0 | 0 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | ram/RASEL +SPPTERM | 2 | IV_TRUE | A_FSB_19_IBUF | IV_FALSE | ram/RASEL SRFF_INSTANCE | iobs/TS_FSM_FFd2.REG | iobs/TS_FSM_FFd2 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/TS_FSM_FFd2.D | 9691 | ? | 0 | 0 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.XOR | 0 | 7 | ALU_F +NODE | iobs/TS_FSM_FFd2.D | 10967 | ? | 0 | 0 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/TS_FSM_FFd2.Q | 9694 | ? | 0 | 0 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.REG | 0 | 8 | SRFF_Q +NODE | iobs/TS_FSM_FFd2.Q | 10970 | ? | 0 | 0 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | iobm/IOS_FSM_FFd7 | WarpSE_COPY_0_COPY_0 | 2155873024 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10619 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 10636 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 9319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10621 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 9338 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10643 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9407 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 9354 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10661 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd7 | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10619 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd7.SI | iobm/IOS_FSM_FFd7 | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10619 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 10636 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 9319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10621 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 9338 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10643 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9407 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 9354 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10661 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd7.D1 | 9696 | ? | 0 | 4096 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd7.D1 | 10972 | ? | 0 | 4096 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd7.D2 | 9697 | ? | 0 | 4096 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd7.D2 | 10973 | ? | 0 | 4096 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd1 SPPTERM | 4 | IV_FALSE | iobm/C8Mr | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IORDREQr | IV_FALSE | AoutOE SPPTERM | 4 | IV_FALSE | iobm/C8Mr | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOWRREQr | IV_FALSE | AoutOE SRFF_INSTANCE | iobm/IOS_FSM_FFd7.REG | iobm/IOS_FSM_FFd7 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd7.D | 9695 | ? | 0 | 0 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd7.D | 10971 | ? | 0 | 0 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd7.Q | 9698 | ? | 0 | 0 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd7.Q | 10974 | ? | 0 | 0 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd3 | WarpSE_COPY_0_COPY_0 | 2155873280 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10623 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9318 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10620 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 9319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10621 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9272 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 10579 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE | 9275 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +NODE | IODONE | 10582 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd3 | 9318 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10620 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd3.SI | iobm/IOS_FSM_FFd3 | 0 | 5 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10623 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9318 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10620 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 9319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10621 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9272 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 10579 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE | 9275 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +NODE | IODONE | 10582 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd3.D1 | 9700 | ? | 0 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd3.D1 | 10976 | ? | 0 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd3.D2 | 9701 | ? | 0 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd3.D2 | 10977 | ? | 0 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd4 SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/C8Mr SPPTERM | 3 | IV_FALSE | IOBERR | IV_FALSE | IODONE | IV_TRUE | iobm/IOS_FSM_FFd3 SRFF_INSTANCE | iobm/IOS_FSM_FFd3.REG | iobm/IOS_FSM_FFd3 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd3.D | 9699 | ? | 0 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd3.D | 10975 | ? | 0 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd3.Q | 9702 | ? | 0 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd3.Q | 10978 | ? | 0 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/C8Mr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 9247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 10551 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/C8Mr | 9319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10621 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/C8Mr.SI | iobm/C8Mr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 9247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 10551 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/C8Mr.D1 | 9704 | ? | 0 | 4096 | iobm/C8Mr | NULL | NULL | iobm/C8Mr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/C8Mr.D1 | 10980 | ? | 0 | 4096 | iobm/C8Mr | NULL | NULL | iobm/C8Mr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/C8Mr.D2 | 9705 | ? | 0 | 4096 | iobm/C8Mr | NULL | NULL | iobm/C8Mr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/C8Mr.D2 | 10981 | ? | 0 | 4096 | iobm/C8Mr | NULL | NULL | iobm/C8Mr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | C8M_IBUF SRFF_INSTANCE | iobm/C8Mr.REG | iobm/C8Mr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/C8Mr.D | 9703 | ? | 0 | 0 | iobm/C8Mr | NULL | NULL | iobm/C8Mr.XOR | 0 | 7 | ALU_F +NODE | iobm/C8Mr.D | 10979 | ? | 0 | 0 | iobm/C8Mr | NULL | NULL | iobm/C8Mr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/C8Mr.Q | 9706 | ? | 0 | 0 | iobm/C8Mr | NULL | NULL | iobm/C8Mr.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | ram/RS_FSM_FFd8 | WarpSE_COPY_0_COPY_0 | 2155873024 | 13 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 9340 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 9268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAoutOE_OBUF.EXP | 10020 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.EXP | nAoutOE_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1.EXP | 10021 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.EXP | iobs/IORW1 | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd8 | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ram/RS_FSM_FFd8.SI | ram/RS_FSM_FFd8 | 0 | 12 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 9340 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 9268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAoutOE_OBUF.EXP | 10020 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.EXP | nAoutOE_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1.EXP | 10021 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.EXP | iobs/IORW1 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd8.D1 | 9708 | ? | 0 | 4096 | ram/RS_FSM_FFd8 | NULL | NULL | ram/RS_FSM_FFd8.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd8.D2 | 9709 | ? | 0 | 4096 | ram/RS_FSM_FFd8 | NULL | NULL | ram/RS_FSM_FFd8.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nAoutOE_OBUF.EXP -SPPTERM | 1 | IV_TRUE | iobs/IORW1.EXP -SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd8 | IV_FALSE | ram/RS_FSM_FFd4 -SPPTERM | 4 | IV_TRUE | RefUrg | IV_FALSE | ram/RAMEN | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd4 -SPPTERM | 5 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | fsb/ASrf -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | ram/RAMEN | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd4 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | ram/RAMEN | IV_TRUE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd4 | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | ram/RS_FSM_FFd8.REG | ram/RS_FSM_FFd8 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd8.D | 9707 | ? | 0 | 0 | ram/RS_FSM_FFd8 | NULL | NULL | ram/RS_FSM_FFd8.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd8.Q | 9710 | ? | 0 | 0 | ram/RS_FSM_FFd8 | NULL | NULL | ram/RS_FSM_FFd8.REG | 0 | 8 | SRFF_Q +NODE | iobm/C8Mr.Q | 10982 | ? | 0 | 0 | iobm/C8Mr | NULL | NULL | iobm/C8Mr.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | E_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | E | 9468 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | E | 10776 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | E_IBUF | 9347 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10653 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped+Ce | iobm/ES<0> | WarpSE_COPY_0_COPY_0 | 2424313088 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 10622 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 9347 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10653 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9352 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 10659 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 10625 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9326 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 10630 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9327 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 10631 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 9249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10553 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<0> | 9321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 10622 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ES<0>.SI | iobm/ES<0> | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 10622 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 9347 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10653 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9352 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 10659 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 10625 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9326 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 10630 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9327 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 10631 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<0>.D1 | 9712 | ? | 0 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<0>.D1 | 10984 | ? | 0 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<0>.D2 | 9713 | ? | 0 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<0>.D2 | 10985 | ? | 0 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | iobm/ES<0> | IV_FALSE | E_IBUF | IV_TRUE | iobm/Er SPPTERM | 5 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<3> | IV_TRUE | E_IBUF SPPTERM | 5 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/Er SRFF_INSTANCE | iobm/ES<0>.REG | iobm/ES<0> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<0>.D | 9711 | ? | 0 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.XOR | 0 | 7 | ALU_F +NODE | iobm/ES<0>.D | 10983 | ? | 0 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 9249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10553 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<0>.Q | 9714 | ? | 0 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.REG | 0 | 8 | SRFF_Q +NODE | iobm/ES<0>.Q | 10986 | ? | 0 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd4 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10626 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd4 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10623 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd4.SI | iobm/IOS_FSM_FFd4 | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10626 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd4.D1 | 9716 | ? | 0 | 4096 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd4.D1 | 10988 | ? | 0 | 4096 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd4.D2 | 9717 | ? | 0 | 4096 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd4.D2 | 10989 | ? | 0 | 4096 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd5 SRFF_INSTANCE | iobm/IOS_FSM_FFd4.REG | iobm/IOS_FSM_FFd4 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd4.D | 9715 | ? | 0 | 0 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd4.D | 10987 | ? | 0 | 0 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd4.Q | 9718 | ? | 0 | 0 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd4.Q | 10990 | ? | 0 | 0 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | ram/RS_FSM_FFd8 | WarpSE_COPY_0_COPY_0 | 2155873024 | 13 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 10624 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd4 | 10645 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 10595 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefReq | 10596 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMEN | 10594 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RAMReady.EXP | 11321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.EXP | RAMReady | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 10624 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | ram/RS_FSM_FFd8.EXP | 11322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.EXP | ram/RS_FSM_FFd8 | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | ram/RS_FSM_FFd8.SI | ram/RS_FSM_FFd8 | 0 | 12 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 10624 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd4 | 10645 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 10595 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefReq | 10596 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMEN | 10594 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RAMReady.EXP | 11321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.EXP | RAMReady | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd8.D1 | 10992 | ? | 0 | 4096 | ram/RS_FSM_FFd8 | NULL | NULL | ram/RS_FSM_FFd8.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd8.D2 | 10993 | ? | 0 | 4096 | ram/RS_FSM_FFd8 | NULL | NULL | ram/RS_FSM_FFd8.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | RAMReady.EXP +SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd8 | IV_FALSE | ram/RS_FSM_FFd4 +SPPTERM | 5 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | fsb/ASrf +SPPTERM | 5 | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | fsb/ASrf +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | ram/RAMEN | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd4 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | ram/RS_FSM_FFd8.EXP | 11313 | ? | 0 | 0 | ram/RS_FSM_FFd8 | NULL | NULL | ram/RS_FSM_FFd8.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | fsb/ASrf + +SRFF_INSTANCE | ram/RS_FSM_FFd8.REG | ram/RS_FSM_FFd8 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RS_FSM_FFd8.D | 10991 | ? | 0 | 0 | ram/RS_FSM_FFd8 | NULL | NULL | ram/RS_FSM_FFd8.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd8.Q | 10994 | ? | 0 | 0 | ram/RS_FSM_FFd8 | NULL | NULL | ram/RS_FSM_FFd8.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | iobm/ES<2> | WarpSE_COPY_0_COPY_0 | 2424312832 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 10622 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9326 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 10630 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9352 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 10659 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 9347 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10653 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 10625 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 9249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10553 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<2> | 9323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 10625 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ES<2>.SI | iobm/ES<2> | 0 | 5 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 10622 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9326 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 10630 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9352 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 10659 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 9347 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10653 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 10625 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<2>.D1 | 9720 | ? | 0 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<2>.D1 | 10996 | ? | 0 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<2>.D2 | 9721 | ? | 0 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<2>.D2 | 10997 | ? | 0 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | E_IBUF SPPTERM | 3 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_FALSE | iobm/Er SPPTERM | 3 | IV_TRUE | iobm/ES<2> | IV_FALSE | E_IBUF | IV_TRUE | iobm/Er SRFF_INSTANCE | iobm/ES<2>.REG | iobm/ES<2> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<2>.D | 9719 | ? | 0 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.XOR | 0 | 7 | ALU_F +NODE | iobm/ES<2>.D | 10995 | ? | 0 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 9249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10553 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<2>.Q | 9722 | ? | 0 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.REG | 0 | 8 | SRFF_Q +NODE | iobm/ES<2>.Q | 10998 | ? | 0 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd5 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9325 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10627 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd5 | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10626 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd5.SI | iobm/IOS_FSM_FFd5 | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9325 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10627 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd5.D1 | 9724 | ? | 0 | 4096 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd5.D1 | 11000 | ? | 0 | 4096 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd5.D2 | 9725 | ? | 0 | 4096 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd5.D2 | 11001 | ? | 0 | 4096 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd6 SRFF_INSTANCE | iobm/IOS_FSM_FFd5.REG | iobm/IOS_FSM_FFd5 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd5.D | 9723 | ? | 0 | 0 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd5.D | 10999 | ? | 0 | 0 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd5.Q | 9726 | ? | 0 | 0 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd5.Q | 11002 | ? | 0 | 0 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd6 | WarpSE_COPY_0_COPY_0 | 2155873280 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10619 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 9319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10621 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 9338 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10643 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9407 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 9354 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10661 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd6 | 9325 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10627 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd6.SI | iobm/IOS_FSM_FFd6 | 0 | 5 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10619 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 9319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10621 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 9338 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10643 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9407 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 9354 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10661 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd6.D1 | 9728 | ? | 0 | 4096 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd6.D1 | 11004 | ? | 0 | 4096 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd6.D2 | 9729 | ? | 0 | 4096 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd6.D2 | 11005 | ? | 0 | 4096 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.SI | 2 | 9 | MC_SI_D2 SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/C8Mr | IV_TRUE | iobm/IORDREQr | IV_FALSE | AoutOE SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/C8Mr | IV_TRUE | iobm/IOWRREQr | IV_FALSE | AoutOE SRFF_INSTANCE | iobm/IOS_FSM_FFd6.REG | iobm/IOS_FSM_FFd6 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd6.D | 9727 | ? | 0 | 0 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd6.D | 11003 | ? | 0 | 0 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd6.Q | 9730 | ? | 0 | 0 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd6.Q | 11006 | ? | 0 | 0 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/IS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155877376 | 25 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/nIPL2r | 10657 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimerTC | 10671 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 10601 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | cnt/IS_FSM_FFd1.EXP | 11390 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.EXP | cnt/IS_FSM_FFd1 | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | cnt/IS_FSM_FFd1.SI | cnt/IS_FSM_FFd1 | 0 | 24 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/nIPL2r | 10657 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimerTC | 10671 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 10601 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/IS_FSM_FFd1.D1 | 11008 | ? | 0 | 4096 | cnt/IS_FSM_FFd1 | NULL | NULL | cnt/IS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/IS_FSM_FFd1.D2 | 11009 | ? | 0 | 4096 | cnt/IS_FSM_FFd1 | NULL | NULL | cnt/IS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 7 | IV_TRUE | RefUrg | IV_FALSE | cnt/IS_FSM_FFd1 | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/nIPL2r | IV_TRUE | cnt/Er<1> | IV_TRUE | cnt/LTimerTC +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | cnt/IS_FSM_FFd1.EXP | 11375 | ? | 0 | 0 | cnt/IS_FSM_FFd1 | NULL | NULL | cnt/IS_FSM_FFd1.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 + +SRFF_INSTANCE | cnt/IS_FSM_FFd1.REG | cnt/IS_FSM_FFd1 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/IS_FSM_FFd1.D | 11007 | ? | 0 | 0 | cnt/IS_FSM_FFd1 | NULL | NULL | cnt/IS_FSM_FFd1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/IS_FSM_FFd1.Q | 11010 | ? | 0 | 0 | cnt/IS_FSM_FFd1 | NULL | NULL | cnt/IS_FSM_FFd1.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/IS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155877376 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimerTC | 10671 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/IS_FSM_FFd2.SI | cnt/IS_FSM_FFd2 | 0 | 6 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimerTC | 10671 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/IS_FSM_FFd2.D1 | 11012 | ? | 0 | 4096 | cnt/IS_FSM_FFd2 | NULL | NULL | cnt/IS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/IS_FSM_FFd2.D2 | 11013 | ? | 0 | 4096 | cnt/IS_FSM_FFd2 | NULL | NULL | cnt/IS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 6 | IV_TRUE | RefUrg | IV_TRUE | cnt/IS_FSM_FFd1 | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> | IV_TRUE | cnt/LTimerTC +SPPTERM | 6 | IV_TRUE | RefUrg | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> | IV_TRUE | cnt/LTimerTC + +SRFF_INSTANCE | cnt/IS_FSM_FFd2.REG | cnt/IS_FSM_FFd2 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/IS_FSM_FFd2.D | 11011 | ? | 0 | 0 | cnt/IS_FSM_FFd2 | NULL | NULL | cnt/IS_FSM_FFd2.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/IS_FSM_FFd2.Q | 11014 | ? | 0 | 0 | cnt/IS_FSM_FFd2 | NULL | NULL | cnt/IS_FSM_FFd2.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped+Ce | iobm/ES<1> | WarpSE_COPY_0_COPY_0 | 2424308992 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 10622 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9326 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 10630 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 9347 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10653 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9352 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 10659 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 10625 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9327 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 10631 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 9249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10553 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<1> | 9326 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 10630 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ES<1>.SI | iobm/ES<1> | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 10622 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9326 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 10630 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 9347 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10653 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9352 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 10659 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 10625 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9327 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 10631 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<1>.D1 | 9732 | ? | 0 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<1>.D1 | 11016 | ? | 0 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<1>.D2 | 9733 | ? | 0 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<1>.D2 | 11017 | ? | 0 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> SPPTERM | 2 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> SPPTERM | 2 | IV_FALSE | E_IBUF | IV_TRUE | iobm/Er @@ -3570,48 +3808,48 @@ SPPTERM | 3 | IV_TRUE | iobm/ES<0> | IV_FALSE | iobm/ES<2> | IV_TRUE | iobm/ES<3 SRFF_INSTANCE | iobm/ES<1>.REG | iobm/ES<1> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<1>.D | 9731 | ? | 0 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.XOR | 0 | 7 | ALU_F +NODE | iobm/ES<1>.D | 11015 | ? | 0 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 9249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10553 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<1>.Q | 9734 | ? | 0 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.REG | 0 | 8 | SRFF_Q +NODE | iobm/ES<1>.Q | 11018 | ? | 0 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | iobm/ES<3> | WarpSE_COPY_0_COPY_0 | 2424312832 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9327 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 10631 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 9347 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10653 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9352 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 10659 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 10622 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 10625 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9326 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 10630 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 9249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10553 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<3> | 9327 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 10631 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ES<3>.SI | iobm/ES<3> | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9327 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 10631 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 9347 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10653 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9352 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 10659 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 10622 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 10625 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9326 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 10630 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<3>.D1 | 9736 | ? | 0 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<3>.D1 | 11020 | ? | 0 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<3>.D2 | 9737 | ? | 0 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<3>.D2 | 11021 | ? | 0 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | iobm/ES<3> | IV_FALSE | E_IBUF | IV_TRUE | iobm/Er SPPTERM | 4 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/ES<1> | IV_TRUE | E_IBUF SPPTERM | 4 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/ES<1> | IV_FALSE | iobm/Er @@ -3619,239 +3857,210 @@ SPPTERM | 4 | IV_TRUE | iobm/ES<0> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES< SRFF_INSTANCE | iobm/ES<3>.REG | iobm/ES<3> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<3>.D | 9735 | ? | 0 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.XOR | 0 | 7 | ALU_F +NODE | iobm/ES<3>.D | 11019 | ? | 0 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 9249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10553 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<3>.Q | 9738 | ? | 0 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.REG | 0 | 8 | SRFF_Q +NODE | iobm/ES<3>.Q | 11022 | ? | 0 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/INITS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155877376 | 8 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd7 | WarpSE_COPY_0_COPY_0 | 2155873280 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimerTC | 9300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd1 | 9328 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 10594 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd2 | 9329 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/nIPL2r | 9350 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 10624 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/INITS_FSM_FFd1 | 9328 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd7 | 10632 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | cnt/INITS_FSM_FFd1.SI | cnt/INITS_FSM_FFd1 | 0 | 7 | 2 +SIGNAL_INSTANCE | ram/RS_FSM_FFd7.SI | ram/RS_FSM_FFd7 | 0 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimerTC | 9300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd1 | 9328 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 10594 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd2 | 9329 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/nIPL2r | 9350 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 10624 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/INITS_FSM_FFd1.D1 | 9740 | ? | 0 | 4096 | cnt/INITS_FSM_FFd1 | NULL | NULL | cnt/INITS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd7.D1 | 11024 | ? | 0 | 4096 | ram/RS_FSM_FFd7 | NULL | NULL | ram/RS_FSM_FFd7.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/INITS_FSM_FFd1.D2 | 9741 | ? | 0 | 4096 | cnt/INITS_FSM_FFd1 | NULL | NULL | cnt/INITS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 7 | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/LTimerTC | IV_FALSE | cnt/INITS_FSM_FFd1 | IV_TRUE | cnt/INITS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/nIPL2r | IV_TRUE | cnt/Er<1> - -SRFF_INSTANCE | cnt/INITS_FSM_FFd1.REG | cnt/INITS_FSM_FFd1 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/INITS_FSM_FFd1.D | 9739 | ? | 0 | 0 | cnt/INITS_FSM_FFd1 | NULL | NULL | cnt/INITS_FSM_FFd1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/INITS_FSM_FFd1.Q | 9742 | ? | 0 | 0 | cnt/INITS_FSM_FFd1 | NULL | NULL | cnt/INITS_FSM_FFd1.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/INITS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155877376 | 7 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimerTC | 9300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd1 | 9328 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd2 | 9329 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/INITS_FSM_FFd2 | 9329 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/INITS_FSM_FFd2.SI | cnt/INITS_FSM_FFd2 | 0 | 6 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimerTC | 9300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd1 | 9328 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd2 | 9329 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/INITS_FSM_FFd2.D1 | 9744 | ? | 0 | 4096 | cnt/INITS_FSM_FFd2 | NULL | NULL | cnt/INITS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/INITS_FSM_FFd2.D2 | 9745 | ? | 0 | 4096 | cnt/INITS_FSM_FFd2 | NULL | NULL | cnt/INITS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 6 | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/LTimerTC | IV_TRUE | cnt/INITS_FSM_FFd1 | IV_TRUE | cnt/INITS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -SPPTERM | 6 | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/LTimerTC | IV_FALSE | cnt/INITS_FSM_FFd1 | IV_FALSE | cnt/INITS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> - -SRFF_INSTANCE | cnt/INITS_FSM_FFd2.REG | cnt/INITS_FSM_FFd2 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/INITS_FSM_FFd2.D | 9743 | ? | 0 | 0 | cnt/INITS_FSM_FFd2 | NULL | NULL | cnt/INITS_FSM_FFd2.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/INITS_FSM_FFd2.Q | 9746 | ? | 0 | 0 | cnt/INITS_FSM_FFd2 | NULL | NULL | cnt/INITS_FSM_FFd2.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd7 | WarpSE_COPY_0_COPY_0 | 2155873280 | 14 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd7 | 9330 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | ram/RS_FSM_FFd7.EXP | 10027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.EXP | ram/RS_FSM_FFd7 | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | ram/RS_FSM_FFd7.SI | ram/RS_FSM_FFd7 | 0 | 13 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd7.D1 | 9748 | ? | 0 | 4096 | ram/RS_FSM_FFd7 | NULL | NULL | ram/RS_FSM_FFd7.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd7.D2 | 9749 | ? | 0 | 4096 | ram/RS_FSM_FFd7 | NULL | NULL | ram/RS_FSM_FFd7.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd7.D2 | 11025 | ? | 0 | 4096 | ram/RS_FSM_FFd7 | NULL | NULL | ram/RS_FSM_FFd7.SI | 2 | 9 | MC_SI_D2 SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | ram/RAMEN | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | ram/RAMEN | IV_TRUE | cs/nOverlay | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | fsb/ASrf -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | ram/RS_FSM_FFd7.EXP | 10017 | ? | 0 | 0 | ram/RS_FSM_FFd7 | NULL | NULL | ram/RS_FSM_FFd7.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 SRFF_INSTANCE | ram/RS_FSM_FFd7.REG | ram/RS_FSM_FFd7 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd7.D | 9747 | ? | 0 | 0 | ram/RS_FSM_FFd7 | NULL | NULL | ram/RS_FSM_FFd7.XOR | 0 | 7 | ALU_F +NODE | ram/RS_FSM_FFd7.D | 11023 | ? | 0 | 0 | ram/RS_FSM_FFd7 | NULL | NULL | ram/RS_FSM_FFd7.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd7.Q | 9750 | ? | 0 | 0 | ram/RS_FSM_FFd7 | NULL | NULL | ram/RS_FSM_FFd7.REG | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd7.Q | 11026 | ? | 0 | 0 | ram/RS_FSM_FFd7 | NULL | NULL | ram/RS_FSM_FFd7.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/Load1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 19 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/CAS.EXP | 11324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/CAS.EXP | ram/CAS | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 10633 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobs/Load1.SI | iobs/Load1 | 0 | 18 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/CAS.EXP | 11324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/CAS.EXP | ram/CAS | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/Load1.D1 | 11028 | ? | 0 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/Load1.D2 | 11029 | ? | 0 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/CAS.EXP +SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 + +SRFF_INSTANCE | iobs/Load1.REG | iobs/Load1 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/Load1.D | 11027 | ? | 0 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/Load1.Q | 11030 | ? | 0 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | IOACT | WarpSE_COPY_0_COPY_0 | 2155873280 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10626 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9325 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10627 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10623 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9318 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10620 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 9319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10621 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10619 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 9338 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10643 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9407 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDinLE_OBUF.EXP | 10048 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.EXP | nDinLE_OBUF | 4 | 0 | MC_EXPORT +NODE | nDinLE_OBUF.EXP | 11356 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.EXP | nDinLE_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOACT | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +NODE | IOACT | 10634 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM SIGNAL_INSTANCE | IOACT.SI | IOACT | 0 | 9 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10626 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9325 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10627 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10623 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9318 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10620 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 9319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10621 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10619 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 9338 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10643 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9407 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDinLE_OBUF.EXP | 10048 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.EXP | nDinLE_OBUF | 4 | 0 | MC_EXPORT +NODE | nDinLE_OBUF.EXP | 11356 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.EXP | nDinLE_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOACT.D1 | 9752 | ? | 0 | 4096 | IOACT | NULL | NULL | IOACT.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOACT.D1 | 11032 | ? | 0 | 4096 | IOACT | NULL | NULL | IOACT.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOACT.D2 | 9753 | ? | 0 | 4096 | IOACT | NULL | NULL | IOACT.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOACT.D2 | 11033 | ? | 0 | 4096 | IOACT | NULL | NULL | IOACT.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd4 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd5 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd6 @@ -3861,257 +4070,188 @@ SPPTERM | 3 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_TRUE | iobm/IORDREQr | IV_FALSE | SRFF_INSTANCE | IOACT.REG | IOACT | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOACT.D | 9751 | ? | 0 | 0 | IOACT | NULL | NULL | IOACT.XOR | 0 | 7 | ALU_F +NODE | IOACT.D | 11031 | ? | 0 | 0 | IOACT | NULL | NULL | IOACT.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOACT.Q | 9754 | ? | 0 | 0 | IOACT | NULL | NULL | IOACT.REG | 0 | 8 | SRFF_Q +NODE | IOACT.Q | 11034 | ? | 0 | 0 | IOACT | NULL | NULL | IOACT.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | cnt/WS<0> | WarpSE_COPY_0_COPY_0 | 2155877632 | 4 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/WS<0> | 10635 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/WS<0> | 10635 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/WS<0>.SI | cnt/WS<0> | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/WS<0> | 10635 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/WS<0>.D1 | 11036 | ? | 0 | 4096 | cnt/WS<0> | NULL | NULL | cnt/WS<0>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/WS<0>.D2 | 11037 | ? | 0 | 4096 | cnt/WS<0> | NULL | NULL | cnt/WS<0>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | cnt/WS<0> | IV_FALSE | fsb/ASrf + +SRFF_INSTANCE | cnt/WS<0>.REG | cnt/WS<0> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/WS<0>.D | 11035 | ? | 0 | 0 | cnt/WS<0> | NULL | NULL | cnt/WS<0>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/WS<0>.Q | 11038 | ? | 0 | 0 | cnt/WS<0> | NULL | NULL | cnt/WS<0>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9333 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 10637 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd1 | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 10636 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd1.SI | iobm/IOS_FSM_FFd1 | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9333 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 10637 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd1.D1 | 9756 | ? | 0 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd1.D1 | 11040 | ? | 0 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd1.D2 | 9757 | ? | 0 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd1.D2 | 11041 | ? | 0 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd2 SRFF_INSTANCE | iobm/IOS_FSM_FFd1.REG | iobm/IOS_FSM_FFd1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd1.D | 9755 | ? | 0 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd1.D | 11039 | ? | 0 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd1.Q | 9758 | ? | 0 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd1.Q | 11042 | ? | 0 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155873280 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE | 9275 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +NODE | IODONE | 10582 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9318 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10620 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 9319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10621 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9272 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 10579 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd2 | 9333 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 10637 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd2.SI | iobm/IOS_FSM_FFd2 | 0 | 4 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE | 9275 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +NODE | IODONE | 10582 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9318 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10620 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 9319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10621 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9272 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 10579 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd2.D1 | 9760 | ? | 0 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd2.D1 | 11044 | ? | 0 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd2.D2 | 9761 | ? | 0 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd2.D2 | 11045 | ? | 0 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/C8Mr SPPTERM | 3 | IV_TRUE | IODONE | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/C8Mr SRFF_INSTANCE | iobm/IOS_FSM_FFd2.REG | iobm/IOS_FSM_FFd2 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd2.D | 9759 | ? | 0 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd2.D | 11043 | ? | 0 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd2.Q | 9762 | ? | 0 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd2.Q | 11046 | ? | 0 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOACTr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +NODE | IOACT | 10634 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOACTr | 9334 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 10638 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/IOACTr.SI | iobs/IOACTr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +NODE | IOACT | 10634 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOACTr.D1 | 9764 | ? | 0 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOACTr.D1 | 11048 | ? | 0 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOACTr.D2 | 9765 | ? | 0 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOACTr.D2 | 11049 | ? | 0 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | IOACT SRFF_INSTANCE | iobs/IOACTr.REG | iobs/IOACTr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOACTr.D | 9763 | ? | 0 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.XOR | 0 | 7 | ALU_F +NODE | iobs/IOACTr.D | 11047 | ? | 0 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOACTr.Q | 9766 | ? | 0 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/Load1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 20 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9359 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1.EXP | 10025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.EXP | iobs/TS_FSM_FFd1 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/Load1 | 9335 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobs/Load1.SI | iobs/Load1 | 0 | 19 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9359 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1.EXP | 10025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.EXP | iobs/TS_FSM_FFd1 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/Load1.D1 | 9768 | ? | 0 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/Load1.D2 | 9769 | ? | 0 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobs/TS_FSM_FFd1.EXP -SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | ALE1 -SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | ALE1 -SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | ALE1 -SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | ALE1 -SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 - -SRFF_INSTANCE | iobs/Load1.REG | iobs/Load1 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/Load1.D | 9767 | ? | 0 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/Load1.Q | 9770 | ? | 0 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.REG | 0 | 8 | SRFF_Q +NODE | iobs/IOACTr.Q | 11050 | ? | 0 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | IOL0 | WarpSE_COPY_0_COPY_0 | 2155877632 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 10603 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 9336 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 10639 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOL1 | 9302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +NODE | iobs/IOL1 | 10604 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP13_.EXP | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT +NODE | RA_11_OBUF$BUF0.EXP | 11378 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.EXP | RA_11_OBUF$BUF0 | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady.EXP | 10076 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.EXP | IONPReady | 4 | 0 | MC_EXPORT +NODE | EXP20_.EXP | 11392 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOL0 | 9336 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 10639 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | IOL0.SI | IOL0 | 0 | 9 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 10603 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 9336 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 10639 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOL1 | 9302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +NODE | iobs/IOL1 | 10604 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP13_.EXP | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT +NODE | RA_11_OBUF$BUF0.EXP | 11378 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.EXP | RA_11_OBUF$BUF0 | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady.EXP | 10076 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.EXP | IONPReady | 4 | 0 | MC_EXPORT +NODE | EXP20_.EXP | 11392 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOL0.D1 | 9772 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOL0.D1 | 11052 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOL0.D2 | 9773 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOL0.D2 | 11053 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobs/TS_FSM_FFd1 -SPPTERM | 1 | IV_TRUE | EXP13_.EXP -SPPTERM | 1 | IV_TRUE | IONPReady.EXP +SPPTERM | 1 | IV_TRUE | RA_11_OBUF$BUF0.EXP +SPPTERM | 1 | IV_TRUE | EXP20_.EXP SPPTERM | 3 | IV_TRUE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 3 | IV_TRUE | nLDS_FSB_IBUF | IV_FALSE | IOL0 | IV_TRUE | ALE1 SPPTERM | 3 | IV_FALSE | nLDS_FSB_IBUF | IV_TRUE | IOL0 | IV_TRUE | ALE1 @@ -4119,63 +4259,63 @@ SPPTERM | 3 | IV_TRUE | iobs/IOL1 | IV_TRUE | IOL0 | IV_FALSE | ALE1 SRFF_INSTANCE | IOL0.REG | IOL0 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOL0.D | 9771 | ? | 0 | 0 | IOL0 | NULL | NULL | IOL0.XOR | 0 | 7 | ALU_F +NODE | IOL0.D | 11051 | ? | 0 | 0 | IOL0 | NULL | NULL | IOL0.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOL0.Q | 9774 | ? | 0 | 0 | IOL0 | NULL | NULL | IOL0.REG | 0 | 8 | SRFF_Q +NODE | IOL0.Q | 11054 | ? | 0 | 0 | IOL0 | NULL | NULL | IOL0.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | IOU0 | WarpSE_COPY_0_COPY_0 | 2155877632 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 10605 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 9337 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | IOU0 | 10640 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOU1 | 9304 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +NODE | iobs/IOU1 | 10606 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_FSB_OBUF.EXP | 10072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT +NODE | EXP19_.EXP | 11388 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP18_.EXP | 10073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT +NODE | nBR_IOB_OBUF.EXP | 11389 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.EXP | nBR_IOB_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOU0 | 9337 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | IOU0 | 10640 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | IOU0.SI | IOU0 | 0 | 9 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 10605 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 9337 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | IOU0 | 10640 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOU1 | 9304 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +NODE | iobs/IOU1 | 10606 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_FSB_OBUF.EXP | 10072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT +NODE | EXP19_.EXP | 11388 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP18_.EXP | 10073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT +NODE | nBR_IOB_OBUF.EXP | 11389 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.EXP | nBR_IOB_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOU0.D1 | 9776 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOU0.D1 | 11056 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOU0.D2 | 9777 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOU0.D2 | 11057 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobs/TS_FSM_FFd1 -SPPTERM | 1 | IV_TRUE | nBERR_FSB_OBUF.EXP -SPPTERM | 1 | IV_TRUE | EXP18_.EXP +SPPTERM | 1 | IV_TRUE | EXP19_.EXP +SPPTERM | 1 | IV_TRUE | nBR_IOB_OBUF.EXP SPPTERM | 3 | IV_TRUE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 3 | IV_TRUE | nUDS_FSB_IBUF | IV_FALSE | IOU0 | IV_TRUE | ALE1 SPPTERM | 3 | IV_FALSE | nUDS_FSB_IBUF | IV_TRUE | IOU0 | IV_TRUE | ALE1 @@ -4183,265 +4323,390 @@ SPPTERM | 3 | IV_TRUE | iobs/IOU1 | IV_TRUE | IOU0 | IV_FALSE | ALE1 SRFF_INSTANCE | IOU0.REG | IOU0 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOU0.D | 9775 | ? | 0 | 0 | IOU0 | NULL | NULL | IOU0.XOR | 0 | 7 | ALU_F +NODE | IOU0.D | 11055 | ? | 0 | 0 | IOU0 | NULL | NULL | IOU0.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOU0.Q | 9778 | ? | 0 | 0 | IOU0 | NULL | NULL | IOU0.REG | 0 | 8 | SRFF_Q +NODE | IOU0.Q | 11058 | ? | 0 | 0 | IOU0 | NULL | NULL | IOU0.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | cnt/WS<1> | WarpSE_COPY_0_COPY_0 | 2155873536 | 19 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/WS<0> | 10635 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/WS<1> | 10641 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IONPReady | 10602 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IODONEr | 10674 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODONEr.Q | iobs/IODONEr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/WS<2>.EXP | 11337 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.EXP | cnt/WS<2> | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/WS<1> | 10641 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | cnt/WS<1>.EXP | 11338 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.EXP | cnt/WS<1> | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | cnt/WS<1>.SI | cnt/WS<1> | 0 | 18 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/WS<0> | 10635 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/WS<1> | 10641 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IONPReady | 10602 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IODONEr | 10674 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODONEr.Q | iobs/IODONEr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/WS<2>.EXP | 11337 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.EXP | cnt/WS<2> | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/WS<1>.D1 | 11060 | ? | 0 | 4096 | cnt/WS<1> | NULL | NULL | cnt/WS<1>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/WS<1>.D2 | 11061 | ? | 0 | 4096 | cnt/WS<1> | NULL | NULL | cnt/WS<1>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cnt/WS<2>.EXP +SPPTERM | 2 | IV_TRUE | cnt/WS<0> | IV_TRUE | cnt/WS<1> +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | cnt/WS<1>.EXP | 11330 | ? | 0 | 0 | cnt/WS<1> | NULL | NULL | cnt/WS<1>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_FALSE | iobs/Sent | IV_FALSE | IONPReady +SPPTERM | 2 | IV_FALSE | IONPReady | IV_FALSE | iobs/IODONEr +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 11 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | IONPReady + +SRFF_INSTANCE | cnt/WS<1>.REG | cnt/WS<1> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/WS<1>.D | 11059 | ? | 0 | 0 | cnt/WS<1> | NULL | NULL | cnt/WS<1>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/WS<1>.Q | 11062 | ? | 0 | 0 | cnt/WS<1> | NULL | NULL | cnt/WS<1>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/WS<2> | WarpSE_COPY_0_COPY_0 | 2155877376 | 6 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/WS<0> | 10635 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/WS<1> | 10641 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/WS<2> | 10642 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.Q | cnt/WS<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/WS<2> | 10642 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.Q | cnt/WS<2> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | cnt/WS<2>.EXP | 11337 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.EXP | cnt/WS<2> | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | cnt/WS<2>.SI | cnt/WS<2> | 0 | 5 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/WS<0> | 10635 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/WS<1> | 10641 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/WS<2> | 10642 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.Q | cnt/WS<2> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/WS<2>.D1 | 11064 | ? | 0 | 4096 | cnt/WS<2> | NULL | NULL | cnt/WS<2>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/WS<2>.D2 | 11065 | ? | 0 | 4096 | cnt/WS<2> | NULL | NULL | cnt/WS<2>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | cnt/WS<2> | IV_FALSE | fsb/ASrf +SPPTERM | 3 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/WS<0> | IV_TRUE | cnt/WS<1> +SPPTERM | 3 | IV_TRUE | cnt/WS<0> | IV_TRUE | cnt/WS<1> | IV_TRUE | fsb/ASrf +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | cnt/WS<2>.EXP | 11329 | ? | 0 | 0 | cnt/WS<2> | NULL | NULL | cnt/WS<2>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 2 | IV_FALSE | cnt/WS<0> | IV_FALSE | cnt/WS<1> + +SRFF_INSTANCE | cnt/WS<2>.REG | cnt/WS<2> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/WS<2>.D | 11063 | ? | 0 | 0 | cnt/WS<2> | NULL | NULL | cnt/WS<2>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/WS<2>.Q | 11066 | ? | 0 | 0 | cnt/WS<2> | NULL | NULL | cnt/WS<2>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IORDREQr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORDREQ | 9344 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM +NODE | IORDREQ | 10649 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IORDREQr | 9338 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10643 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IORDREQr.SI | iobm/IORDREQr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORDREQ | 9344 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM +NODE | IORDREQ | 10649 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IORDREQr.D1 | 9780 | ? | 0 | 4096 | iobm/IORDREQr | NULL | NULL | iobm/IORDREQr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IORDREQr.D1 | 11068 | ? | 0 | 4096 | iobm/IORDREQr | NULL | NULL | iobm/IORDREQr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IORDREQr.D2 | 9781 | ? | 0 | 4096 | iobm/IORDREQr | NULL | NULL | iobm/IORDREQr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IORDREQr.D2 | 11069 | ? | 0 | 4096 | iobm/IORDREQr | NULL | NULL | iobm/IORDREQr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | IORDREQ SRFF_INSTANCE | iobm/IORDREQr.REG | iobm/IORDREQr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IORDREQr.D | 9779 | ? | 0 | 0 | iobm/IORDREQr | NULL | NULL | iobm/IORDREQr.XOR | 0 | 7 | ALU_F +NODE | iobm/IORDREQr.D | 11067 | ? | 0 | 0 | iobm/IORDREQr | NULL | NULL | iobm/IORDREQr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IORDREQr.Q | 9782 | ? | 0 | 0 | iobm/IORDREQr | NULL | NULL | iobm/IORDREQr.REG | 0 | 8 | SRFF_Q +NODE | iobm/IORDREQr.Q | 11070 | ? | 0 | 0 | iobm/IORDREQr | NULL | NULL | iobm/IORDREQr.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd3 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 9342 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd6 | 10647 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd3 | 9339 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 10644 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ram/RS_FSM_FFd3.SI | ram/RS_FSM_FFd3 | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 9342 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd6 | 10647 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd3.D1 | 9784 | ? | 0 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd3.D1 | 11072 | ? | 0 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd3.D2 | 9785 | ? | 0 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd3.D2 | 11073 | ? | 0 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd6 SRFF_INSTANCE | ram/RS_FSM_FFd3.REG | ram/RS_FSM_FFd3 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd3.D | 9783 | ? | 0 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.XOR | 0 | 7 | ALU_F +NODE | ram/RS_FSM_FFd3.D | 11071 | ? | 0 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd3.Q | 9786 | ? | 0 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.REG | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd3.Q | 11074 | ? | 0 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/RS_FSM_FFd4 | WarpSE_COPY_0_COPY_0 | 2155873536 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 9341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd5 | 10646 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9384 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 10686 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 9268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10595 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd4 | 9340 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd4 | 10645 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ram/RS_FSM_FFd4.SI | ram/RS_FSM_FFd4 | 0 | 4 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 9341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd5 | 10646 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9384 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 10686 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 9268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10595 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd4.D1 | 9788 | ? | 0 | 4096 | ram/RS_FSM_FFd4 | NULL | NULL | ram/RS_FSM_FFd4.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd4.D1 | 11076 | ? | 0 | 4096 | ram/RS_FSM_FFd4 | NULL | NULL | ram/RS_FSM_FFd4.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd4.D2 | 9789 | ? | 0 | 4096 | ram/RS_FSM_FFd4 | NULL | NULL | ram/RS_FSM_FFd4.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd4.D2 | 11077 | ? | 0 | 4096 | ram/RS_FSM_FFd4 | NULL | NULL | ram/RS_FSM_FFd4.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RS_FSM_FFd1 SPPTERM | 3 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd1 SRFF_INSTANCE | ram/RS_FSM_FFd4.REG | ram/RS_FSM_FFd4 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd4.D | 9787 | ? | 0 | 0 | ram/RS_FSM_FFd4 | NULL | NULL | ram/RS_FSM_FFd4.XOR | 0 | 7 | ALU_F +NODE | ram/RS_FSM_FFd4.D | 11075 | ? | 0 | 0 | ram/RS_FSM_FFd4 | NULL | NULL | ram/RS_FSM_FFd4.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd4.Q | 9790 | ? | 0 | 0 | ram/RS_FSM_FFd4 | NULL | NULL | ram/RS_FSM_FFd4.REG | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd4.Q | 11078 | ? | 0 | 0 | ram/RS_FSM_FFd4 | NULL | NULL | ram/RS_FSM_FFd4.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd5 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 9330 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd7 | 10632 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd5 | 9341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd5 | 10646 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ram/RS_FSM_FFd5.SI | ram/RS_FSM_FFd5 | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 9330 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd7 | 10632 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd5.D1 | 9792 | ? | 0 | 4096 | ram/RS_FSM_FFd5 | NULL | NULL | ram/RS_FSM_FFd5.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd5.D1 | 11080 | ? | 0 | 4096 | ram/RS_FSM_FFd5 | NULL | NULL | ram/RS_FSM_FFd5.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd5.D2 | 9793 | ? | 0 | 4096 | ram/RS_FSM_FFd5 | NULL | NULL | ram/RS_FSM_FFd5.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd5.D2 | 11081 | ? | 0 | 4096 | ram/RS_FSM_FFd5 | NULL | NULL | ram/RS_FSM_FFd5.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd7 SRFF_INSTANCE | ram/RS_FSM_FFd5.REG | ram/RS_FSM_FFd5 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd5.D | 9791 | ? | 0 | 0 | ram/RS_FSM_FFd5 | NULL | NULL | ram/RS_FSM_FFd5.XOR | 0 | 7 | ALU_F +NODE | ram/RS_FSM_FFd5.D | 11079 | ? | 0 | 0 | ram/RS_FSM_FFd5 | NULL | NULL | ram/RS_FSM_FFd5.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd5.Q | 9794 | ? | 0 | 0 | ram/RS_FSM_FFd5 | NULL | NULL | ram/RS_FSM_FFd5.REG | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd5.Q | 11082 | ? | 0 | 0 | ram/RS_FSM_FFd5 | NULL | NULL | ram/RS_FSM_FFd5.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd6 | WarpSE_COPY_0_COPY_0 | 2155873280 | 14 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd6 | WarpSE_COPY_0_COPY_0 | 2155873280 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 9268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10595 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 9341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd5 | 10646 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | RefReq | 10596 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd8 | 10624 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nOE_OBUF.EXP | 10040 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once.EXP | 10041 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.EXP | ram/Once | 4 | 0 | MC_EXPORT +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd6 | 9342 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd6 | 10647 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | ram/RS_FSM_FFd6.SI | ram/RS_FSM_FFd6 | 0 | 13 | 2 +SIGNAL_INSTANCE | ram/RS_FSM_FFd6.SI | ram/RS_FSM_FFd6 | 0 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 9268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10595 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 9341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd5 | 10646 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | RefReq | 10596 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd8 | 10624 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nOE_OBUF.EXP | 10040 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once.EXP | 10041 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.EXP | ram/Once | 4 | 0 | MC_EXPORT +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd6.D1 | 9796 | ? | 0 | 4096 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd6.D1 | 11084 | ? | 0 | 4096 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd6.D2 | 9797 | ? | 0 | 4096 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nOE_OBUF.EXP -SPPTERM | 1 | IV_TRUE | ram/Once.EXP +SIGNAL | NODE | ram/RS_FSM_FFd6.D2 | 11085 | ? | 0 | 4096 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd5 -SPPTERM | 4 | IV_TRUE | RefUrg | IV_FALSE | ram/RAMEN | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd8 SPPTERM | 5 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | fsb/ASrf -SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | ram/BACTr -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | ram/BACTr +SPPTERM | 5 | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | fsb/ASrf SRFF_INSTANCE | ram/RS_FSM_FFd6.REG | ram/RS_FSM_FFd6 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd6.D | 9795 | ? | 0 | 0 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.XOR | 0 | 7 | ALU_F +NODE | ram/RS_FSM_FFd6.D | 11083 | ? | 0 | 0 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd6.Q | 9798 | ? | 0 | 0 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.REG | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd6.Q | 11086 | ? | 0 | 0 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ALE0M | WarpSE_COPY_0_COPY_0 | 2155873536 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10619 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9318 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10620 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10623 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10626 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9325 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10627 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 10636 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9333 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 10637 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0M | 9343 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM +NODE | ALE0M | 10648 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9407 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 9338 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10643 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 9354 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10661 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ALE0M | 9343 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM +NODE | ALE0M | 10648 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ALE0M.SI | ALE0M | 0 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10619 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9318 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10620 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10623 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10626 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9325 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10627 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 10636 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9333 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 10637 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0M | 9343 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM +NODE | ALE0M | 10648 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9407 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 9338 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10643 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 9354 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10661 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ALE0M.D1 | 9800 | ? | 0 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ALE0M.D1 | 11088 | ? | 0 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ALE0M.D2 | 9801 | ? | 0 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ALE0M.D2 | 11089 | ? | 0 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 2 | 9 | MC_SI_D2 SPPTERM | 6 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | AoutOE SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | iobm/IOS_FSM_FFd1 SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | iobm/IOS_FSM_FFd2 @@ -4450,57 +4715,57 @@ SPPTERM | 7 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FA SRFF_INSTANCE | ALE0M.REG | ALE0M | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ALE0M.D | 9799 | ? | 0 | 0 | ALE0M | NULL | NULL | ALE0M.XOR | 0 | 7 | ALU_F +NODE | ALE0M.D | 11087 | ? | 0 | 0 | ALE0M | NULL | NULL | ALE0M.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ALE0M.Q | 9802 | ? | 0 | 0 | ALE0M | NULL | NULL | ALE0M.REG | 0 | 8 | SRFF_Q +NODE | ALE0M.Q | 11090 | ? | 0 | 0 | ALE0M | NULL | NULL | ALE0M.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | IORDREQ | WarpSE_COPY_0_COPY_0 | 2155873536 | 9 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9334 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 10638 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORDREQ | 9344 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM +NODE | IORDREQ | 10649 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C20MEN_OBUF.EXP | 10024 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C20MEN_OBUF.EXP | C20MEN_OBUF | 4 | 0 | MC_EXPORT +NODE | nROMWE_OBUF.EXP | 11325 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IORDREQ | 9344 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM +NODE | IORDREQ | 10649 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM SIGNAL_INSTANCE | IORDREQ.SI | IORDREQ | 0 | 8 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9334 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 10638 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORDREQ | 9344 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM +NODE | IORDREQ | 10649 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C20MEN_OBUF.EXP | 10024 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C20MEN_OBUF.EXP | C20MEN_OBUF | 4 | 0 | MC_EXPORT +NODE | nROMWE_OBUF.EXP | 11325 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IORDREQ.D1 | 9804 | ? | 0 | 4096 | IORDREQ | NULL | NULL | IORDREQ.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IORDREQ.D1 | 11092 | ? | 0 | 4096 | IORDREQ | NULL | NULL | IORDREQ.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IORDREQ.D2 | 9805 | ? | 0 | 4096 | IORDREQ | NULL | NULL | IORDREQ.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | C20MEN_OBUF.EXP +SIGNAL | NODE | IORDREQ.D2 | 11093 | ? | 0 | 4096 | IORDREQ | NULL | NULL | IORDREQ.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nROMWE_OBUF.EXP SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | iobs/IOACTr SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd2 | IV_FALSE | IORDREQ @@ -4509,78 +4774,78 @@ SPPTERM | 3 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | SRFF_INSTANCE | IORDREQ.REG | IORDREQ | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IORDREQ.D | 9803 | ? | 0 | 0 | IORDREQ | NULL | NULL | IORDREQ.XOR | 0 | 7 | ALU_F +NODE | IORDREQ.D | 11091 | ? | 0 | 0 | IORDREQ | NULL | NULL | IORDREQ.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IORDREQ.Q | 9806 | ? | 0 | 0 | IORDREQ | NULL | NULL | IORDREQ.REG | 0 | 8 | SRFF_Q +NODE | IORDREQ.Q | 11094 | ? | 0 | 0 | IORDREQ | NULL | NULL | IORDREQ.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | IOWRREQ | WarpSE_COPY_0_COPY_0 | 2155873280 | 14 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOWRREQ | 9345 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM +NODE | IOWRREQ | 10650 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9334 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 10638 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 10601 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAMUWE_OBUF.EXP | 10069 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT +NODE | nRAMUWE_OBUF.EXP | 11384 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP16_.EXP | 10070 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT +NODE | EXP17_.EXP | 11385 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOWRREQ | 9345 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM +NODE | IOWRREQ | 10650 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM SIGNAL_INSTANCE | IOWRREQ.SI | IOWRREQ | 0 | 13 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOWRREQ | 9345 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM +NODE | IOWRREQ | 10650 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9334 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 10638 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 10601 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAMUWE_OBUF.EXP | 10069 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT +NODE | nRAMUWE_OBUF.EXP | 11384 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP16_.EXP | 10070 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT +NODE | EXP17_.EXP | 11385 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOWRREQ.D1 | 9808 | ? | 0 | 4096 | IOWRREQ | NULL | NULL | IOWRREQ.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOWRREQ.D1 | 11096 | ? | 0 | 4096 | IOWRREQ | NULL | NULL | IOWRREQ.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOWRREQ.D2 | 9809 | ? | 0 | 4096 | IOWRREQ | NULL | NULL | IOWRREQ.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOWRREQ.D2 | 11097 | ? | 0 | 4096 | IOWRREQ | NULL | NULL | IOWRREQ.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | nRAMUWE_OBUF.EXP -SPPTERM | 1 | IV_TRUE | EXP16_.EXP +SPPTERM | 1 | IV_TRUE | EXP17_.EXP SPPTERM | 3 | IV_FALSE | iobs/TS_FSM_FFd1 | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | IOWRREQ SPPTERM | 3 | IV_TRUE | iobs/TS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | IOWRREQ SPPTERM | 4 | IV_FALSE | iobs/IORW1 | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | ALE1 @@ -4589,301 +4854,502 @@ SPPTERM | 7 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FS SRFF_INSTANCE | IOWRREQ.REG | IOWRREQ | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOWRREQ.D | 9807 | ? | 0 | 0 | IOWRREQ | NULL | NULL | IOWRREQ.XOR | 0 | 7 | ALU_F +NODE | IOWRREQ.D | 11095 | ? | 0 | 0 | IOWRREQ | NULL | NULL | IOWRREQ.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOWRREQ.Q | 9810 | ? | 0 | 0 | IOWRREQ | NULL | NULL | IOWRREQ.REG | 0 | 8 | SRFF_Q +NODE | IOWRREQ.Q | 11098 | ? | 0 | 0 | IOWRREQ | NULL | NULL | IOWRREQ.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | RAMReady | WarpSE_COPY_0_COPY_0 | 2155873536 | 13 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | QoSReady | WarpSE_COPY_0_COPY_0 | 2155873280 | 11 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 9340 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | $OpTx$$OpTx$FX_DC$354_INV$541.UIM | 10752 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$354_INV$541.Q | $OpTx$$OpTx$FX_DC$354_INV$541 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RAMReady | 9346 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.Q | RAMReady | 1 | 0 | MC_UIM +NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | QoSReady | 10651 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | QoSReady.Q | QoSReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 9268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | nAoutOE_OBUF.EXP | 11335 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.EXP | nAoutOE_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | EXP12_.EXP | 11342 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP10_.EXP | 10026 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | RAMReady | 9346 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.Q | RAMReady | 1 | 0 | MC_UIM +NODE | QoSReady | 10651 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | QoSReady.Q | QoSReady | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | RAMReady.SI | RAMReady | 0 | 12 | 2 +SIGNAL_INSTANCE | QoSReady.SI | QoSReady | 0 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 9340 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | $OpTx$$OpTx$FX_DC$354_INV$541.UIM | 10752 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$354_INV$541.Q | $OpTx$$OpTx$FX_DC$354_INV$541 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RAMReady | 9346 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.Q | RAMReady | 1 | 0 | MC_UIM +NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | QoSReady | 10651 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | QoSReady.Q | QoSReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 9268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | nAoutOE_OBUF.EXP | 11335 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.EXP | nAoutOE_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP10_.EXP | 10026 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT +NODE | EXP12_.EXP | 11342 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RAMReady.D1 | 9812 | ? | 0 | 4096 | RAMReady | NULL | NULL | RAMReady.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | QoSReady.D1 | 11100 | ? | 0 | 4096 | QoSReady | NULL | NULL | QoSReady.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RAMReady.D2 | 9813 | ? | 0 | 4096 | RAMReady | NULL | NULL | RAMReady.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP10_.EXP +SIGNAL | NODE | QoSReady.D2 | 11101 | ? | 0 | 4096 | QoSReady | NULL | NULL | QoSReady.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nAoutOE_OBUF.EXP +SPPTERM | 1 | IV_TRUE | EXP12_.EXP +SPPTERM | 2 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$354_INV$541.UIM +SPPTERM | 2 | IV_FALSE | cnt/LTimer<0> | IV_FALSE | cnt/LTimer<1> +SPPTERM | 2 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$354_INV$541.UIM +SPPTERM | 2 | IV_TRUE | QoSReady | IV_FALSE | $OpTx$$OpTx$FX_DC$354_INV$541.UIM +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$354_INV$541.UIM + +SRFF_INSTANCE | QoSReady.REG | QoSReady | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | QoSReady.D | 11099 | ? | 0 | 0 | QoSReady | NULL | NULL | QoSReady.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | QoSReady.Q | 11102 | ? | 0 | 0 | QoSReady | NULL | NULL | QoSReady.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | RAMReady | WarpSE_COPY_0_COPY_0 | 2155873536 | 13 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 10624 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd4 | 10645 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RAMReady | 10652 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.Q | RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 10595 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefReq | 10596 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMEN | 10594 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | RAMReady | 10652 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.Q | RAMReady | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | RAMReady.EXP | 11321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.EXP | RAMReady | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | RAMReady.SI | RAMReady | 0 | 12 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 10624 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd4 | 10645 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RAMReady | 10652 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.Q | RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 10595 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefReq | 10596 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMEN | 10594 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RAMReady.D1 | 11104 | ? | 0 | 4096 | RAMReady | NULL | NULL | RAMReady.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RAMReady.D2 | 11105 | ? | 0 | 4096 | RAMReady | NULL | NULL | RAMReady.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_FALSE | ram/RS_FSM_FFd8 | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | RAMReady SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd8 | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | fsb/ASrf -SPPTERM | 5 | IV_TRUE | RefUrg | IV_FALSE | ram/RAMEN | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | RAMReady SPPTERM | 5 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | fsb/ASrf -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | RAMReady | IV_FALSE | ram/BACTr +SPPTERM | 5 | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | fsb/ASrf +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RAMReady.EXP | 11312 | ? | 0 | 0 | RAMReady | NULL | NULL | RAMReady.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | ram/RAMEN | IV_TRUE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd4 | IV_TRUE | fsb/ASrf SRFF_INSTANCE | RAMReady.REG | RAMReady | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RAMReady.D | 9811 | ? | 0 | 0 | RAMReady | NULL | NULL | RAMReady.XOR | 0 | 7 | ALU_F +NODE | RAMReady.D | 11103 | ? | 0 | 0 | RAMReady | NULL | NULL | RAMReady.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RAMReady.Q | 9814 | ? | 0 | 0 | RAMReady | NULL | NULL | RAMReady.REG | 0 | 8 | SRFF_Q +NODE | RAMReady.Q | 11106 | ? | 0 | 0 | RAMReady | NULL | NULL | RAMReady.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/Er<0> | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 9347 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10653 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/Er<0>.SI | cnt/Er<0> | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 9347 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10653 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/Er<0>.D1 | 9816 | ? | 0 | 4096 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Er<0>.D1 | 11108 | ? | 0 | 4096 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/Er<0>.D2 | 9817 | ? | 0 | 4096 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Er<0>.D2 | 11109 | ? | 0 | 4096 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | E_IBUF SRFF_INSTANCE | cnt/Er<0>.REG | cnt/Er<0> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/Er<0>.D | 9815 | ? | 0 | 0 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.XOR | 0 | 7 | ALU_F +NODE | cnt/Er<0>.D | 11107 | ? | 0 | 0 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/Er<0>.Q | 9818 | ? | 0 | 0 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.REG | 0 | 8 | SRFF_Q +NODE | cnt/Er<0>.Q | 11110 | ? | 0 | 0 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/WS<3> | WarpSE_COPY_0_COPY_0 | 2155877376 | 26 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/WS<3> | 10655 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.Q | cnt/WS<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/WS<0> | 10635 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/WS<1> | 10641 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/WS<2> | 10642 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.Q | cnt/WS<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 10548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 10550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 10569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 10570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 10666 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/WS<3> | 10655 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.Q | cnt/WS<3> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | cnt/WS<3>.EXP | 11340 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.EXP | cnt/WS<3> | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | cnt/WS<3>.SI | cnt/WS<3> | 0 | 25 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/WS<3> | 10655 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.Q | cnt/WS<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/WS<0> | 10635 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/WS<1> | 10641 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/WS<2> | 10642 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.Q | cnt/WS<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 10548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 10550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 10569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 10570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 10666 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/WS<3>.D1 | 11112 | ? | 0 | 4096 | cnt/WS<3> | NULL | NULL | cnt/WS<3>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/WS<3>.D2 | 11113 | ? | 0 | 4096 | cnt/WS<3> | NULL | NULL | cnt/WS<3>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | cnt/WS<3> | IV_FALSE | fsb/ASrf +SPPTERM | 4 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/WS<0> | IV_TRUE | cnt/WS<1> | IV_TRUE | cnt/WS<2> +SPPTERM | 4 | IV_TRUE | cnt/WS<0> | IV_TRUE | cnt/WS<1> | IV_TRUE | cnt/WS<2> | IV_TRUE | fsb/ASrf +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | cnt/WS<3>.EXP | 11332 | ? | 0 | 0 | cnt/WS<3> | NULL | NULL | cnt/WS<3>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM +SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM + +SRFF_INSTANCE | cnt/WS<3>.REG | cnt/WS<3> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/WS<3>.D | 11111 | ? | 0 | 0 | cnt/WS<3> | NULL | NULL | cnt/WS<3>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/WS<3>.Q | 11114 | ? | 0 | 0 | cnt/WS<3> | NULL | NULL | cnt/WS<3>.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | nIPL2_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nIPL2 | 9469 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nIPL2 | 10777 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nIPL2_IBUF | 9349 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX +NODE | nIPL2_IBUF | 10656 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/nIPL2r | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nIPL2_IBUF | 9349 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX +NODE | nIPL2_IBUF | 10656 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/nIPL2r | 9350 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM +NODE | cnt/nIPL2r | 10657 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/nIPL2r.SI | cnt/nIPL2r | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nIPL2_IBUF | 9349 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX +NODE | nIPL2_IBUF | 10656 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/nIPL2r.D1 | 9820 | ? | 0 | 4096 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/nIPL2r.D1 | 11116 | ? | 0 | 4096 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/nIPL2r.D2 | 9821 | ? | 0 | 4096 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/nIPL2r.D2 | 11117 | ? | 0 | 4096 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | nIPL2_IBUF SRFF_INSTANCE | cnt/nIPL2r.REG | cnt/nIPL2r | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/nIPL2r.D | 9819 | ? | 0 | 0 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.XOR | 0 | 7 | ALU_F +NODE | cnt/nIPL2r.D | 11115 | ? | 0 | 0 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/nIPL2r.Q | 9822 | ? | 0 | 0 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.REG | 0 | 8 | SRFF_Q +NODE | cnt/nIPL2r.Q | 11118 | ? | 0 | 0 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobm/DoutOE | WarpSE_COPY_0_COPY_0 | 2155877376 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10619 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 9319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10621 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DoutOE | 9351 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM +NODE | iobm/DoutOE | 10658 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 9354 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10661 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9318 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10620 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10623 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10626 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9325 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10627 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 9336 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 10639 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 9338 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10643 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/DoutOE | 9351 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM +NODE | iobm/DoutOE | 10658 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobm/DoutOE.EXP | 10046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.EXP | iobm/DoutOE | 4 | 0 | MC_EXPORT +NODE | iobm/DoutOE.EXP | 11354 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.EXP | iobm/DoutOE | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | iobm/DoutOE.SI | iobm/DoutOE | 0 | 10 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10619 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 9319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10621 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DoutOE | 9351 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM +NODE | iobm/DoutOE | 10658 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 9354 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10661 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9318 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10620 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10623 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10626 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9325 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10627 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 9336 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 10639 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 9338 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10643 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/DoutOE.D1 | 9824 | ? | 0 | 4096 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/DoutOE.D1 | 11120 | ? | 0 | 4096 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/DoutOE.D2 | 9825 | ? | 0 | 4096 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/DoutOE.D2 | 11121 | ? | 0 | 4096 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.SI | 2 | 9 | MC_SI_D2 SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/C8Mr | IV_FALSE | iobm/DoutOE | IV_TRUE | iobm/IOWRREQr SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | iobm/DoutOE SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/C8Mr | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | iobm/DoutOE SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | iobm/DoutOE | IV_FALSE | iobm/IOWRREQr OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobm/DoutOE.EXP | 10043 | ? | 0 | 0 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | iobm/DoutOE.EXP | 11351 | ? | 0 | 0 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/C8Mr | IV_TRUE | IOL0 | IV_TRUE | iobm/IORDREQr SRFF_INSTANCE | iobm/DoutOE.REG | iobm/DoutOE | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/DoutOE.D | 9823 | ? | 0 | 0 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.XOR | 0 | 7 | ALU_F +NODE | iobm/DoutOE.D | 11119 | ? | 0 | 0 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/DoutOE.Q | 9826 | ? | 0 | 0 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.REG | 0 | 8 | SRFF_Q +NODE | iobm/DoutOE.Q | 11122 | ? | 0 | 0 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/Er | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 9347 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10653 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 9249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10553 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/Er | 9352 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 10659 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/Er.SI | iobm/Er | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 9347 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10653 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/Er.D1 | 9828 | ? | 0 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/Er.D1 | 11124 | ? | 0 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/Er.D2 | 9829 | ? | 0 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/Er.D2 | 11125 | ? | 0 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | E_IBUF SRFF_INSTANCE | iobm/Er.REG | iobm/Er | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/Er.D | 9827 | ? | 0 | 0 | iobm/Er | NULL | NULL | iobm/Er.XOR | 0 | 7 | ALU_F +NODE | iobm/Er.D | 11123 | ? | 0 | 0 | iobm/Er | NULL | NULL | iobm/Er.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 9249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10553 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/Er.Q | 9830 | ? | 0 | 0 | iobm/Er | NULL | NULL | iobm/Er.REG | 0 | 8 | SRFF_Q +NODE | iobm/Er.Q | 11126 | ? | 0 | 0 | iobm/Er | NULL | NULL | iobm/Er.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS0 | WarpSE_COPY_0_COPY_0 | 2155873280 | 13 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 10636 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10619 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 9319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10621 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9407 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 9338 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10643 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 9354 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10661 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9318 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10620 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10623 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10626 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9325 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10627 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9333 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 10637 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS0 | 9353 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM +NODE | iobm/IOS0 | 10660 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS0 | 9353 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM +NODE | iobm/IOS0 | 10660 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS0.SI | iobm/IOS0 | 0 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 10636 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10619 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 9319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10621 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9407 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 9338 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10643 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 9354 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10661 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9318 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10620 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10623 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10626 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9325 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10627 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9333 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 10637 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS0 | 9353 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM +NODE | iobm/IOS0 | 10660 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS0.D1 | 9832 | ? | 0 | 4096 | iobm/IOS0 | NULL | NULL | iobm/IOS0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS0.D1 | 11128 | ? | 0 | 4096 | iobm/IOS0 | NULL | NULL | iobm/IOS0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS0.D2 | 9833 | ? | 0 | 4096 | iobm/IOS0 | NULL | NULL | iobm/IOS0.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS0.D2 | 11129 | ? | 0 | 4096 | iobm/IOS0 | NULL | NULL | iobm/IOS0.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd1 SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_TRUE | iobm/C8Mr SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_TRUE | AoutOE @@ -4892,2796 +5358,3206 @@ SPPTERM | 7 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_F SRFF_INSTANCE | iobm/IOS0.REG | iobm/IOS0 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS0.D | 9831 | ? | 0 | 0 | iobm/IOS0 | NULL | NULL | iobm/IOS0.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS0.D | 11127 | ? | 0 | 0 | iobm/IOS0 | NULL | NULL | iobm/IOS0.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS0.Q | 9834 | ? | 0 | 0 | iobm/IOS0 | NULL | NULL | iobm/IOS0.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS0.Q | 11130 | ? | 0 | 0 | iobm/IOS0 | NULL | NULL | iobm/IOS0.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOWRREQr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOWRREQ | 9345 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM +NODE | IOWRREQ | 10650 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOWRREQr | 9354 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10661 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOWRREQr.SI | iobm/IOWRREQr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOWRREQ | 9345 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM +NODE | IOWRREQ | 10650 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOWRREQr.D1 | 9836 | ? | 0 | 4096 | iobm/IOWRREQr | NULL | NULL | iobm/IOWRREQr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOWRREQr.D1 | 11132 | ? | 0 | 4096 | iobm/IOWRREQr | NULL | NULL | iobm/IOWRREQr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOWRREQr.D2 | 9837 | ? | 0 | 4096 | iobm/IOWRREQr | NULL | NULL | iobm/IOWRREQr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOWRREQr.D2 | 11133 | ? | 0 | 4096 | iobm/IOWRREQr | NULL | NULL | iobm/IOWRREQr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | IOWRREQ SRFF_INSTANCE | iobm/IOWRREQr.REG | iobm/IOWRREQr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOWRREQr.D | 9835 | ? | 0 | 0 | iobm/IOWRREQr | NULL | NULL | iobm/IOWRREQr.XOR | 0 | 7 | ALU_F +NODE | iobm/IOWRREQr.D | 11131 | ? | 0 | 0 | iobm/IOWRREQr | NULL | NULL | iobm/IOWRREQr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOWRREQr.Q | 9838 | ? | 0 | 0 | iobm/IOWRREQr | NULL | NULL | iobm/IOWRREQr.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOWRREQr.Q | 11134 | ? | 0 | 0 | iobm/IOWRREQr | NULL | NULL | iobm/IOWRREQr.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | nVPA_IOB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nVPA_IOB | 9470 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nVPA_IOB | 10778 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nVPA_IOB_IBUF | 9355 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nVPA_IOB_IBUF | 10662 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/VPAr | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_IOB_IBUF | 9355 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nVPA_IOB_IBUF | 10662 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 9249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10553 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/VPAr | 9356 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPAr.Q | iobm/VPAr | 1 | 0 | MC_UIM +NODE | iobm/VPAr | 10663 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPAr.Q | iobm/VPAr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/VPAr.SI | iobm/VPAr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_IOB_IBUF | 9355 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nVPA_IOB_IBUF | 10662 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/VPAr.D1 | 9840 | ? | 0 | 4096 | iobm/VPAr | NULL | NULL | iobm/VPAr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/VPAr.D1 | 11136 | ? | 0 | 4096 | iobm/VPAr | NULL | NULL | iobm/VPAr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/VPAr.D2 | 9841 | ? | 0 | 4096 | iobm/VPAr | NULL | NULL | iobm/VPAr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/VPAr.D2 | 11137 | ? | 0 | 4096 | iobm/VPAr | NULL | NULL | iobm/VPAr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nVPA_IOB_IBUF SRFF_INSTANCE | iobm/VPAr.REG | iobm/VPAr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/VPAr.D | 9839 | ? | 0 | 0 | iobm/VPAr | NULL | NULL | iobm/VPAr.XOR | 0 | 7 | ALU_F +NODE | iobm/VPAr.D | 11135 | ? | 0 | 0 | iobm/VPAr | NULL | NULL | iobm/VPAr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 9249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10553 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/VPAr.Q | 9842 | ? | 0 | 0 | iobm/VPAr | NULL | NULL | iobm/VPAr.REG | 0 | 8 | SRFF_Q +NODE | iobm/VPAr.Q | 11138 | ? | 0 | 0 | iobm/VPAr | NULL | NULL | iobm/VPAr.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/Clear1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 19 | 2 +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/Clear1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 9298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IODONEr | 9365 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODONEr.Q | iobs/IODONEr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/Clear1 | 9357 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobs/Clear1.EXP | 10075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT +NODE | iobs/Clear1 | 10664 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | iobs/Clear1.SI | iobs/Clear1 | 0 | 18 | 3 +SIGNAL_INSTANCE | iobs/Clear1.SI | iobs/Clear1 | 0 | 2 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 9298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IODONEr | 9365 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODONEr.Q | iobs/IODONEr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/Clear1.D1 | 9844 | ? | 0 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/Clear1.D1 | 11140 | ? | 0 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/Clear1.D2 | 9845 | ? | 0 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/Clear1.D2 | 11141 | ? | 0 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | iobs/TS_FSM_FFd1 | IV_TRUE | iobs/TS_FSM_FFd2 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobs/Clear1.EXP | 10061 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_FALSE | iobs/Sent | IV_FALSE | IONPReady -SPPTERM | 2 | IV_FALSE | IONPReady | IV_FALSE | iobs/IODONEr -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 12 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | IONPReady | IV_TRUE | A_FSB_13_IBUF SRFF_INSTANCE | iobs/Clear1.REG | iobs/Clear1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/Clear1.D | 9843 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.XOR | 0 | 7 | ALU_F +NODE | iobs/Clear1.D | 11139 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/Clear1.Q | 9846 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.REG | 0 | 8 | SRFF_Q +NODE | iobs/Clear1.Q | 11142 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9339 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 10644 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd2 | 9358 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 10665 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ram/RS_FSM_FFd2.SI | ram/RS_FSM_FFd2 | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9339 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 10644 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd2.D1 | 9848 | ? | 0 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd2.D1 | 11144 | ? | 0 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd2.D2 | 9849 | ? | 0 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd2.D2 | 11145 | ? | 0 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd3 SRFF_INSTANCE | ram/RS_FSM_FFd2.REG | ram/RS_FSM_FFd2 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd2.D | 9847 | ? | 0 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.XOR | 0 | 7 | ALU_F +NODE | ram/RS_FSM_FFd2.D | 11143 | ? | 0 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd2.Q | 9850 | ? | 0 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.REG | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd2.Q | 11146 | ? | 0 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | ALE0S | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | ALE0S | WarpSE_COPY_0_COPY_0 | 2155873280 | 24 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 10548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 10550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 10569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 10570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 10666 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 10667 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ALE0S | 9361 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM +NODE | ALE0S | 10668 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | ALE0S.EXP | 11349 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.EXP | ALE0S | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | ALE0S.SI | ALE0S | 0 | 1 | 2 +SIGNAL_INSTANCE | ALE0S.SI | ALE0S | 0 | 23 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 10548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 10550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 10569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 10570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 10666 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 10667 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ALE0S.D1 | 9852 | ? | 0 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ALE0S.D1 | 11148 | ? | 0 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ALE0S.D2 | 9853 | ? | 0 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ALE0S.D2 | 11149 | ? | 0 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobs/TS_FSM_FFd2 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | ALE0S.EXP | 11345 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM +SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM +SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM +SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM SRFF_INSTANCE | ALE0S.REG | ALE0S | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ALE0S.D | 9851 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.XOR | 0 | 7 | ALU_F +NODE | ALE0S.D | 11147 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ALE0S.Q | 9854 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.REG | 0 | 8 | SRFF_Q +NODE | ALE0S.Q | 11150 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | IOPWReady | WarpSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Clear1 | 10664 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Load1 | 10633 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | IOPWReady | 10669 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOPWReady.Q | IOPWReady | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | IOPWReady.SI | IOPWReady | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Clear1 | 10664 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Load1 | 10633 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOPWReady.D1 | 11152 | ? | 0 | 4096 | IOPWReady | NULL | NULL | IOPWReady.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOPWReady.D2 | 11153 | ? | 0 | 4096 | IOPWReady | NULL | NULL | IOPWReady.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/Clear1 +SPPTERM | 2 | IV_FALSE | iobs/Load1 | IV_TRUE | ALE1 + +SRFF_INSTANCE | IOPWReady.REG | IOPWReady | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | IOPWReady.D | 11151 | ? | 0 | 0 | IOPWReady | NULL | NULL | IOPWReady.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | IOPWReady.Q | 11154 | ? | 0 | 0 | IOPWReady | NULL | NULL | IOPWReady.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/Er<1> | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/Er<1>.SI | cnt/Er<1> | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/Er<1>.D1 | 9856 | ? | 0 | 4096 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Er<1>.D1 | 11156 | ? | 0 | 4096 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/Er<1>.D2 | 9857 | ? | 0 | 4096 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Er<1>.D2 | 11157 | ? | 0 | 4096 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | cnt/Er<0> SRFF_INSTANCE | cnt/Er<1>.REG | cnt/Er<1> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/Er<1>.D | 9855 | ? | 0 | 0 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.XOR | 0 | 7 | ALU_F +NODE | cnt/Er<1>.D | 11155 | ? | 0 | 0 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/Er<1>.Q | 9858 | ? | 0 | 0 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.REG | 0 | 8 | SRFF_Q +NODE | cnt/Er<1>.Q | 11158 | ? | 0 | 0 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/LTimerTC | WarpSE_COPY_0_COPY_0 | 2155873280 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<10> | 10583 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 10585 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 10586 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 10587 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 10588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 10589 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<8> | 10590 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<9> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<11> | 10597 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimerTC | 10671 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/LTimerTC.SI | cnt/LTimerTC | 0 | 12 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<10> | 10583 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 10585 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 10586 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 10587 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 10588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 10589 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<8> | 10590 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<9> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<11> | 10597 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimerTC.D1 | 11160 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimerTC.D2 | 11161 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 12 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/LTimer<11> + +SRFF_INSTANCE | cnt/LTimerTC.REG | cnt/LTimerTC | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimerTC.D | 11159 | ? | 0 | 0 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimerTC.Q | 11162 | ? | 0 | 0 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | cs/ODCSr | WarpSE_COPY_0_COPY_0 | 2155873280 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cs/ODCSr | 9363 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/ODCSr.Q | cs/ODCSr | 1 | 0 | MC_UIM +NODE | cs/ODCSr | 10672 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/ODCSr.Q | cs/ODCSr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cs/ODCSr.SI | cs/ODCSr | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cs/ODCSr.D1 | 9860 | ? | 0 | 4096 | cs/ODCSr | NULL | NULL | cs/ODCSr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cs/ODCSr.D1 | 11164 | ? | 0 | 4096 | cs/ODCSr | NULL | NULL | cs/ODCSr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cs/ODCSr.D2 | 9861 | ? | 0 | 4096 | cs/ODCSr | NULL | NULL | cs/ODCSr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cs/ODCSr.D2 | 11165 | ? | 0 | 4096 | cs/ODCSr | NULL | NULL | cs/ODCSr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | nAS_FSB_IBUF SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | fsb/ASrf SRFF_INSTANCE | cs/ODCSr.REG | cs/ODCSr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cs/ODCSr.D | 9859 | ? | 0 | 0 | cs/ODCSr | NULL | NULL | cs/ODCSr.XOR | 0 | 7 | ALU_F +NODE | cs/ODCSr.D | 11163 | ? | 0 | 0 | cs/ODCSr | NULL | NULL | cs/ODCSr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cs/ODCSr.Q | 9862 | ? | 0 | 0 | cs/ODCSr | NULL | NULL | cs/ODCSr.REG | 0 | 8 | SRFF_Q +NODE | cs/ODCSr.Q | 11166 | ? | 0 | 0 | cs/ODCSr | NULL | NULL | cs/ODCSr.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | fsb/ASrf | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | fsb/ASrf | WarpSE_COPY_0_COPY_0 | 2424308736 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK- | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK- | 10572 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBR_IOB_OBUF | 10614 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | AoutOE | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | fsb/ASrf.EXP | 11336 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.EXP | fsb/ASrf | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | fsb/ASrf.SI | fsb/ASrf | 0 | 1 | 2 +SIGNAL_INSTANCE | fsb/ASrf.SI | fsb/ASrf | 0 | 5 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBR_IOB_OBUF | 10614 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | AoutOE | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/ASrf.D1 | 9864 | ? | 0 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/ASrf.D1 | 11168 | ? | 0 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/ASrf.D2 | 9865 | ? | 0 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/ASrf.D2 | 11169 | ? | 0 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nAS_FSB_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | fsb/ASrf.EXP | 11328 | ? | 0 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | nBR_IOB_OBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_TRUE | cnt/IS_FSM_FFd2 +SPPTERM | 3 | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_FALSE | AoutOE SRFF_INSTANCE | fsb/ASrf.REG | fsb/ASrf | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/ASrf.D | 9863 | ? | 0 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.XOR | 0 | 7 | ALU_F +NODE | fsb/ASrf.D | 11167 | ? | 0 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK- | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK- | 10572 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/ASrf.Q | 9866 | ? | 0 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.REG | 0 | 8 | SRFF_Q +NODE | fsb/ASrf.Q | 11170 | ? | 0 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IODONEr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE | 9275 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +NODE | IODONE | 10582 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IODONEr | 9365 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODONEr.Q | iobs/IODONEr | 1 | 0 | MC_UIM +NODE | iobs/IODONEr | 10674 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODONEr.Q | iobs/IODONEr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/IODONEr.SI | iobs/IODONEr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE | 9275 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +NODE | IODONE | 10582 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IODONEr.D1 | 9868 | ? | 0 | 4096 | iobs/IODONEr | NULL | NULL | iobs/IODONEr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IODONEr.D1 | 11172 | ? | 0 | 4096 | iobs/IODONEr | NULL | NULL | iobs/IODONEr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IODONEr.D2 | 9869 | ? | 0 | 4096 | iobs/IODONEr | NULL | NULL | iobs/IODONEr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IODONEr.D2 | 11173 | ? | 0 | 4096 | iobs/IODONEr | NULL | NULL | iobs/IODONEr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | IODONE SRFF_INSTANCE | iobs/IODONEr.REG | iobs/IODONEr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IODONEr.D | 9867 | ? | 0 | 0 | iobs/IODONEr | NULL | NULL | iobs/IODONEr.XOR | 0 | 7 | ALU_F +NODE | iobs/IODONEr.D | 11171 | ? | 0 | 0 | iobs/IODONEr | NULL | NULL | iobs/IODONEr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IODONEr.Q | 9870 | ? | 0 | 0 | iobs/IODONEr | NULL | NULL | iobs/IODONEr.REG | 0 | 8 | SRFF_Q +NODE | iobs/IODONEr.Q | 11174 | ? | 0 | 0 | iobs/IODONEr | NULL | NULL | iobs/IODONEr.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | nRESout | WarpSE_COPY_0_COPY_0 | 2155873280 | 5 | 2 +MACROCELL_INSTANCE | PrldLow+OptxMapped | nRESout | WarpSE_COPY_0_COPY_0 | 2155873280 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd1 | 9328 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd2 | 9329 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBR_IOB_OBUF | 9312 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9407 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_11_IBUF | 10569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$$OpTx$FX_DC$354_INV$541.UIM | 10752 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$354_INV$541.Q | $OpTx$$OpTx$FX_DC$354_INV$541 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 10666 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 10667 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/WS<0> | 10635 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/WS<1> | 10641 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/WS<2> | 10642 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.Q | cnt/WS<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/WS<3> | 10655 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.Q | cnt/WS<3> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nRESout | 9366 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.Q | nRESout | 1 | 0 | MC_UIM +NODE | nRESout | 10675 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.Q | nRESout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nRESout.EXP | 10019 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.EXP | nRESout | 4 | 0 | MC_EXPORT +NODE | nRESout.EXP | 11341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.EXP | nRESout | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | nRESout.SI | nRESout | 0 | 4 | 3 +SIGNAL_INSTANCE | nRESout.SI | nRESout | 0 | 11 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd1 | 9328 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd2 | 9329 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBR_IOB_OBUF | 9312 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9407 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_11_IBUF | 10569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$$OpTx$FX_DC$354_INV$541.UIM | 10752 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$354_INV$541.Q | $OpTx$$OpTx$FX_DC$354_INV$541 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 10666 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 10667 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/WS<0> | 10635 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/WS<1> | 10641 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/WS<2> | 10642 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.Q | cnt/WS<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/WS<3> | 10655 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.Q | cnt/WS<3> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRESout.D1 | 9872 | ? | 0 | 4096 | nRESout | NULL | NULL | nRESout.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRESout.D1 | 11176 | ? | 0 | 4096 | nRESout | NULL | NULL | nRESout.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRESout.D2 | 9873 | ? | 0 | 4096 | nRESout | NULL | NULL | nRESout.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | cnt/INITS_FSM_FFd1 | IV_FALSE | cnt/INITS_FSM_FFd2 +SIGNAL | NODE | nRESout.D2 | 11177 | ? | 0 | 4096 | nRESout | NULL | NULL | nRESout.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nRESout.EXP | 10009 | ? | 0 | 0 | nRESout | NULL | NULL | nRESout.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_FALSE | nBR_IOB_OBUF | IV_TRUE | cnt/INITS_FSM_FFd1 | IV_TRUE | cnt/INITS_FSM_FFd2 -SPPTERM | 3 | IV_TRUE | cnt/INITS_FSM_FFd1 | IV_FALSE | cnt/INITS_FSM_FFd2 | IV_FALSE | AoutOE +SIGNAL | NODE | nRESout.EXP | 11333 | ? | 0 | 0 | nRESout | NULL | NULL | nRESout.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$354_INV$541.UIM +SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_9_IBUF | IV_FALSE | A_FSB_8_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$354_INV$541.UIM +SPPTERM | 5 | IV_TRUE | cnt/WS<0> | IV_TRUE | cnt/WS<1> | IV_TRUE | cnt/WS<2> | IV_TRUE | cnt/WS<3> | IV_FALSE | $OpTx$$OpTx$FX_DC$354_INV$541.UIM SRFF_INSTANCE | nRESout.REG | nRESout | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRESout.D | 9871 | ? | 0 | 0 | nRESout | NULL | NULL | nRESout.XOR | 0 | 7 | ALU_F +NODE | nRESout.D | 11175 | ? | 0 | 0 | nRESout | NULL | NULL | nRESout.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRESout.Q | 9874 | ? | 0 | 0 | nRESout | NULL | NULL | nRESout.REG | 0 | 8 | SRFF_Q +NODE | nRESout.Q | 11178 | ? | 0 | 0 | nRESout | NULL | NULL | nRESout.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/BACTr | WarpSE_COPY_0_COPY_0 | 2155873536 | 3 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/CAS | WarpSE_COPY_0_COPY_0 | 2155873280 | 20 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd6 | 10647 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd7 | 10632 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP10_.EXP | 11323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/BACTr | 9367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | ram/CAS | 10676 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/CAS.Q | ram/CAS | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | ram/CAS.EXP | 11324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/CAS.EXP | ram/CAS | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | ram/BACTr.SI | ram/BACTr | 0 | 2 | 2 +SIGNAL_INSTANCE | ram/CAS.SI | ram/CAS | 0 | 19 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd6 | 10647 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd7 | 10632 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP10_.EXP | 11323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/BACTr.D1 | 9876 | ? | 0 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/CAS.D1 | 11180 | ? | 0 | 4096 | ram/CAS | NULL | NULL | ram/CAS.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/BACTr.D2 | 9877 | ? | 0 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf - -SRFF_INSTANCE | ram/BACTr.REG | ram/BACTr | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/BACTr.D | 9875 | ? | 0 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/BACTr.Q | 9878 | ? | 0 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/CAS | WarpSE_COPY_0_COPY_0 | 2155873280 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 9342 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 9330 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 9268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 9341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP12_.EXP | 10036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone.EXP | 10042 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.EXP | ram/RefDone | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/CAS | 9368 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/CAS.Q | ram/CAS | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ram/CAS.SI | ram/CAS | 0 | 11 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 9342 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 9330 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 9268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 9341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP12_.EXP | 10036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone.EXP | 10042 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.EXP | ram/RefDone | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/CAS.D1 | 9880 | ? | 0 | 4096 | ram/CAS | NULL | NULL | ram/CAS.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/CAS.D2 | 9881 | ? | 0 | 4096 | ram/CAS | NULL | NULL | ram/CAS.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/CAS.D2 | 11181 | ? | 0 | 4096 | ram/CAS | NULL | NULL | ram/CAS.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd7 SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd6 -SPPTERM | 1 | IV_TRUE | EXP12_.EXP -SPPTERM | 1 | IV_TRUE | ram/RefDone.EXP -SPPTERM | 3 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd5 -SPPTERM | 4 | IV_TRUE | RefUrg | IV_FALSE | ram/RAMEN | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd8 -SPPTERM | 5 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | fsb/ASrf +SPPTERM | 1 | IV_TRUE | EXP10_.EXP +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | ram/CAS.EXP | 11315 | ? | 0 | 0 | ram/CAS | NULL | NULL | ram/CAS.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 SRFF_INSTANCE | ram/CAS.REG | ram/CAS | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/CAS.D | 9879 | ? | 0 | 0 | ram/CAS | NULL | NULL | ram/CAS.XOR | 0 | 7 | ALU_F +NODE | ram/CAS.D | 11179 | ? | 0 | 0 | ram/CAS | NULL | NULL | ram/CAS.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/CAS.Q | 9882 | ? | 0 | 0 | ram/CAS | NULL | NULL | ram/CAS.REG | 0 | 8 | SRFF_Q +NODE | ram/CAS.Q | 11182 | ? | 0 | 0 | ram/CAS | NULL | NULL | ram/CAS.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | ram/RASrf | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 9330 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd7 | 10632 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK- | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK- | 10572 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RASrf | 9369 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrf.Q | ram/RASrf | 1 | 0 | MC_UIM +NODE | ram/RASrf | 10677 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrf.Q | ram/RASrf | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ram/RASrf.SI | ram/RASrf | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 9330 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd7 | 10632 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RASrf.D1 | 9884 | ? | 0 | 4096 | ram/RASrf | NULL | NULL | ram/RASrf.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RASrf.D1 | 11184 | ? | 0 | 4096 | ram/RASrf | NULL | NULL | ram/RASrf.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RASrf.D2 | 9885 | ? | 0 | 4096 | ram/RASrf | NULL | NULL | ram/RASrf.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RASrf.D2 | 11185 | ? | 0 | 4096 | ram/RASrf | NULL | NULL | ram/RASrf.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd7 SRFF_INSTANCE | ram/RASrf.REG | ram/RASrf | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RASrf.D | 9883 | ? | 0 | 0 | ram/RASrf | NULL | NULL | ram/RASrf.XOR | 0 | 7 | ALU_F +NODE | ram/RASrf.D | 11183 | ? | 0 | 0 | ram/RASrf | NULL | NULL | ram/RASrf.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK- | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK- | 10572 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RASrf.Q | 9886 | ? | 0 | 0 | ram/RASrf | NULL | NULL | ram/RASrf.REG | 0 | 8 | SRFF_Q +NODE | ram/RASrf.Q | 11186 | ? | 0 | 0 | ram/RASrf | NULL | NULL | ram/RASrf.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RASrr | WarpSE_COPY_0_COPY_0 | 2155873280 | 12 | 2 +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RASrr | WarpSE_COPY_0_COPY_0 | 2155873280 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9339 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 10644 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd6 | 10647 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 10594 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd8 | 10624 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nCAS_OBUF.EXP | 10039 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.EXP | nCAS_OBUF | 4 | 0 | MC_EXPORT +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RASrr | 9370 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrr.Q | ram/RASrr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | ram/RASrr.EXP | 10038 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrr.EXP | ram/RASrr | 4 | 0 | MC_EXPORT +NODE | ram/RASrr | 10678 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrr.Q | ram/RASrr | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | ram/RASrr.SI | ram/RASrr | 0 | 11 | 3 +SIGNAL_INSTANCE | ram/RASrr.SI | ram/RASrr | 0 | 9 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9339 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 10644 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd6 | 10647 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 10594 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd8 | 10624 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nCAS_OBUF.EXP | 10039 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.EXP | nCAS_OBUF | 4 | 0 | MC_EXPORT +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RASrr.D1 | 9888 | ? | 0 | 4096 | ram/RASrr | NULL | NULL | ram/RASrr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RASrr.D1 | 11188 | ? | 0 | 4096 | ram/RASrr | NULL | NULL | ram/RASrr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RASrr.D2 | 9889 | ? | 0 | 4096 | ram/RASrr | NULL | NULL | ram/RASrr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RASrr.D2 | 11189 | ? | 0 | 4096 | ram/RASrr | NULL | NULL | ram/RASrr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd3 -SPPTERM | 1 | IV_TRUE | nCAS_OBUF.EXP -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | ram/RASrr.EXP | 10031 | ? | 0 | 0 | ram/RASrr | NULL | NULL | ram/RASrr.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | fsb/ASrf | IV_FALSE | ram/BACTr -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | fsb/ASrf | IV_FALSE | ram/BACTr -SPPTERM | 6 | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_FALSE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | ram/BACTr -SPPTERM | 6 | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_FALSE | cs/nOverlay | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | fsb/ASrf | IV_FALSE | ram/BACTr +SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd6 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | ram/RAMEN | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | ram/RAMEN | IV_TRUE | cs/nOverlay | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | fsb/ASrf SRFF_INSTANCE | ram/RASrr.REG | ram/RASrr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RASrr.D | 9887 | ? | 0 | 0 | ram/RASrr | NULL | NULL | ram/RASrr.XOR | 0 | 7 | ALU_F +NODE | ram/RASrr.D | 11187 | ? | 0 | 0 | ram/RASrr | NULL | NULL | ram/RASrr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RASrr.Q | 9890 | ? | 0 | 0 | ram/RASrr | NULL | NULL | ram/RASrr.REG | 0 | 8 | SRFF_Q +NODE | ram/RASrr.Q | 11190 | ? | 0 | 0 | ram/RASrr | NULL | NULL | ram/RASrr.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_1_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<1> | 9473 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<1> | 10781 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_1_IBUF | 9371 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_9_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<9> | 9474 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_9_IBUF | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_1_IBUF | 10679 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_7_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<7> | 9475 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<7> | 10782 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_7_IBUF | 9373 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_7_IBUF | 10680 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_2_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<2> | 9476 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<2> | 10783 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_2_IBUF | 9374 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_10_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<10> | 9477 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_10_IBUF | 9375 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_2_IBUF | 10681 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_3_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<3> | 9478 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<3> | 10784 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_3_IBUF | 9376 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_11_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<11> | 9479 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_11_IBUF | 9377 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_3_IBUF | 10682 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_4_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<4> | 9480 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<4> | 10785 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_4_IBUF | 9378 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_12_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<12> | 9481 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_12_IBUF | 9379 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_4_IBUF | 10683 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_5_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<5> | 9482 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<5> | 10786 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_5_IBUF | 9380 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_5_IBUF | 10684 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_6_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<6> | 9483 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<6> | 10787 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_6_IBUF | 9381 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_8_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<8> | 9484 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_8_IBUF | 9382 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_15_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<15> | 9485 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_15_IBUF | 9383 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_6_IBUF | 10685 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9358 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 10665 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd1 | 9384 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 10686 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ram/RS_FSM_FFd1.SI | ram/RS_FSM_FFd1 | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9358 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 10665 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd1.D1 | 9892 | ? | 0 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd1.D1 | 11192 | ? | 0 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd1.D2 | 9893 | ? | 0 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd1.D2 | 11193 | ? | 0 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd2 SRFF_INSTANCE | ram/RS_FSM_FFd1.REG | ram/RS_FSM_FFd1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd1.D | 9891 | ? | 0 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.XOR | 0 | 7 | ALU_F +NODE | ram/RS_FSM_FFd1.D | 11191 | ? | 0 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd1.Q | 9894 | ? | 0 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.REG | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd1.Q | 11194 | ? | 0 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_0_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10616 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_1_IBUF | 9371 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_1_IBUF | 10679 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 10666 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_0_OBUF | 9385 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q +NODE | RA_0_OBUF | 10687 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | RA_0_OBUF.SI | RA_0_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10616 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_1_IBUF | 9371 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_1_IBUF | 10679 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 10666 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_0_OBUF.D1 | 9896 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_0_OBUF.D1 | 11196 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_0_OBUF.D2 | 9897 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_0_OBUF.D2 | 11197 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_1_IBUF SPPTERM | 2 | IV_FALSE | ram/RASEL | IV_TRUE | A_FSB_9_IBUF SRFF_INSTANCE | RA_0_OBUF.REG | RA_0_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_0_OBUF.D | 9895 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_0_OBUF.D | 11195 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_0_OBUF.Q | 9898 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_0_OBUF.Q | 11198 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_10_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10616 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_7_IBUF | 9373 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_7_IBUF | 10680 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_10_OBUF | 9386 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_10_OBUF.Q | RA_10_OBUF | 0 | 0 | MC_Q +NODE | RA_10_OBUF | 10688 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_10_OBUF.Q | RA_10_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | RA_10_OBUF.SI | RA_10_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10616 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_7_IBUF | 9373 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_7_IBUF | 10680 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_10_OBUF.D1 | 9900 | ? | 0 | 4096 | RA_10_OBUF | NULL | NULL | RA_10_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_10_OBUF.D1 | 11200 | ? | 0 | 4096 | RA_10_OBUF | NULL | NULL | RA_10_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_10_OBUF.D2 | 9901 | ? | 0 | 4096 | RA_10_OBUF | NULL | NULL | RA_10_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_10_OBUF.D2 | 11201 | ? | 0 | 4096 | RA_10_OBUF | NULL | NULL | RA_10_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_17_IBUF | IV_FALSE | ram/RASEL SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_7_IBUF SRFF_INSTANCE | RA_10_OBUF.REG | RA_10_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_10_OBUF.D | 9899 | ? | 0 | 0 | RA_10_OBUF | NULL | NULL | RA_10_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_10_OBUF.D | 11199 | ? | 0 | 0 | RA_10_OBUF | NULL | NULL | RA_10_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_10_OBUF.Q | 9902 | ? | 0 | 0 | RA_10_OBUF | NULL | NULL | RA_10_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_10_OBUF.Q | 11202 | ? | 0 | 0 | RA_10_OBUF | NULL | NULL | RA_10_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | OptxMapped | RA_1_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 +MACROCELL_INSTANCE | OptxMapped | RA_1_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 16 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | A_FSB_10_IBUF | 10570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9375 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | ram/RASEL | 10616 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_2_IBUF | 9374 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_2_IBUF | 10681 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 10585 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 10586 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 10587 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 10588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 10589 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<8> | 10590 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_1_OBUF | 9387 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q +NODE | RA_1_OBUF | 10689 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | RA_1_OBUF.EXP | 11361 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.EXP | RA_1_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | RA_1_OBUF.SI | RA_1_OBUF | 0 | 3 | 2 +SIGNAL_INSTANCE | RA_1_OBUF.SI | RA_1_OBUF | 0 | 16 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | A_FSB_10_IBUF | 10570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9375 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | ram/RASEL | 10616 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_2_IBUF | 9374 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_2_IBUF | 10681 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 10585 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 10586 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 10587 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 10588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 10589 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<8> | 10590 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_1_OBUF.D1 | 9904 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_1_OBUF.D1 | 11204 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_1_OBUF.D2 | 9905 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_1_OBUF.D2 | 11205 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | ram/RASEL SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_2_IBUF -SPPTERM | 2 | IV_FALSE | ram/RASEL | IV_TRUE | A_FSB_10_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_1_OBUF.EXP | 11358 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 13 | IV_TRUE | RefUrg | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | RA_1_OBUF.REG | RA_1_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_1_OBUF.D | 9903 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_1_OBUF.D | 11203 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_1_OBUF.Q | 9906 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_1_OBUF.Q | 11206 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_2_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10616 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_7_IBUF | 9373 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_7_IBUF | 10680 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_2_OBUF | 9388 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q +NODE | RA_2_OBUF | 10690 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | RA_2_OBUF.SI | RA_2_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10616 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_7_IBUF | 9373 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_7_IBUF | 10680 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_2_OBUF.D1 | 9908 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_2_OBUF.D1 | 11208 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_2_OBUF.D2 | 9909 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_2_OBUF.D2 | 11209 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | ram/RASEL SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_7_IBUF SRFF_INSTANCE | RA_2_OBUF.REG | RA_2_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_2_OBUF.D | 9907 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_2_OBUF.D | 11207 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_2_OBUF.Q | 9910 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_2_OBUF.Q | 11210 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_4_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | A_FSB_11_IBUF | 10569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9377 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | ram/RASEL | 10616 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_3_IBUF | 9376 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_3_IBUF | 10682 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_4_OBUF | 9389 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q +NODE | RA_4_OBUF | 10691 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | RA_4_OBUF.SI | RA_4_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | A_FSB_11_IBUF | 10569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9377 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | ram/RASEL | 10616 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_3_IBUF | 9376 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_3_IBUF | 10682 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_4_OBUF.D1 | 9912 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_4_OBUF.D1 | 11212 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_4_OBUF.D2 | 9913 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_4_OBUF.D2 | 11213 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_11_IBUF | IV_FALSE | ram/RASEL SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_3_IBUF -SPPTERM | 2 | IV_FALSE | ram/RASEL | IV_TRUE | A_FSB_11_IBUF SRFF_INSTANCE | RA_4_OBUF.REG | RA_4_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_4_OBUF.D | 9911 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_4_OBUF.D | 11211 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_4_OBUF.Q | 9914 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_4_OBUF.Q | 11214 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_5_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | A_FSB_12_IBUF | 10550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9379 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | ram/RASEL | 10616 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_4_IBUF | 9378 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_4_IBUF | 10683 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_5_OBUF | 9390 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q +NODE | RA_5_OBUF | 10692 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | RA_5_OBUF.SI | RA_5_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | A_FSB_12_IBUF | 10550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9379 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | ram/RASEL | 10616 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_4_IBUF | 9378 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_4_IBUF | 10683 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_5_OBUF.D1 | 9916 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_5_OBUF.D1 | 11216 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_5_OBUF.D2 | 9917 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_5_OBUF.D2 | 11217 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_12_IBUF | IV_FALSE | ram/RASEL SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_4_IBUF -SPPTERM | 2 | IV_FALSE | ram/RASEL | IV_TRUE | A_FSB_12_IBUF SRFF_INSTANCE | RA_5_OBUF.REG | RA_5_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_5_OBUF.D | 9915 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_5_OBUF.D | 11215 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_5_OBUF.Q | 9918 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_5_OBUF.Q | 11218 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_6_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | ram/RASEL | 10616 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_5_IBUF | 9380 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_5_IBUF | 10684 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_6_OBUF | 9391 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q +NODE | RA_6_OBUF | 10693 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | RA_6_OBUF.SI | RA_6_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | ram/RASEL | 10616 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_5_IBUF | 9380 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_5_IBUF | 10684 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_6_OBUF.D1 | 9920 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_6_OBUF.D1 | 11220 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_6_OBUF.D2 | 9921 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_6_OBUF.D2 | 11221 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | ram/RASEL SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_5_IBUF -SPPTERM | 2 | IV_FALSE | ram/RASEL | IV_TRUE | A_FSB_13_IBUF SRFF_INSTANCE | RA_6_OBUF.REG | RA_6_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_6_OBUF.D | 9919 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_6_OBUF.D | 11219 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_6_OBUF.Q | 9922 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_6_OBUF.Q | 11222 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_7_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9359 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | ram/RASEL | 10616 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_6_IBUF | 9381 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_6_IBUF | 10685 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_7_OBUF | 9392 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q +NODE | RA_7_OBUF | 10694 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | RA_7_OBUF.SI | RA_7_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9359 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | ram/RASEL | 10616 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_6_IBUF | 9381 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_6_IBUF | 10685 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_7_OBUF.D1 | 9924 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_7_OBUF.D1 | 11224 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_7_OBUF.D2 | 9925 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_7_OBUF.D2 | 11225 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | ram/RASEL SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_6_IBUF -SPPTERM | 2 | IV_FALSE | ram/RASEL | IV_TRUE | A_FSB_14_IBUF SRFF_INSTANCE | RA_7_OBUF.REG | RA_7_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_7_OBUF.D | 9923 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_7_OBUF.D | 11223 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_7_OBUF.Q | 9926 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_7_OBUF.Q | 11226 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_8_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10616 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_8_OBUF | 9393 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q +NODE | RA_8_OBUF | 10695 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | RA_8_OBUF.SI | RA_8_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10616 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_8_OBUF.D1 | 9928 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_8_OBUF.D1 | 11228 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_8_OBUF.D2 | 9929 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_8_OBUF.D2 | 11229 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | ram/RASEL SPPTERM | 2 | IV_TRUE | A_FSB_18_IBUF | IV_FALSE | ram/RASEL SRFF_INSTANCE | RA_8_OBUF.REG | RA_8_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_8_OBUF.D | 9927 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_8_OBUF.D | 11227 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_8_OBUF.Q | 9930 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_8_OBUF.Q | 11230 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_9_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | A_FSB_15_IBUF | 10548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9383 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | ram/RASEL | 10616 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9382 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 10667 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_9_OBUF | 9394 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q +NODE | RA_9_OBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | RA_9_OBUF.SI | RA_9_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | A_FSB_15_IBUF | 10548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9383 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | ram/RASEL | 10616 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9382 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 10667 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_9_OBUF.D1 | 9932 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_9_OBUF.D1 | 11232 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_9_OBUF.D2 | 9933 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_9_OBUF.D2 | 11233 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | ram/RASEL SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_8_IBUF -SPPTERM | 2 | IV_FALSE | ram/RASEL | IV_TRUE | A_FSB_15_IBUF SRFF_INSTANCE | RA_9_OBUF.REG | RA_9_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_9_OBUF.D | 9931 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_9_OBUF.D | 11231 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_9_OBUF.Q | 9934 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_9_OBUF.Q | 11234 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+OptxMapped | nOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 10 | 2 +MACROCELL_INSTANCE | Inv+OptxMapped | nOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nOE_OBUF | 9395 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nOE_OBUF.EXP | 10040 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT +NODE | nOE_OBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q -SIGNAL_INSTANCE | nOE_OBUF.SI | nOE_OBUF | 0 | 10 | 3 +SIGNAL_INSTANCE | nOE_OBUF.SI | nOE_OBUF | 0 | 2 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nOE_OBUF.D1 | 9936 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nOE_OBUF.D1 | 11236 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nOE_OBUF.D2 | 9937 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nOE_OBUF.D2 | 11237 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nOE_OBUF.EXP | 10033 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | fsb/ASrf | IV_FALSE | ram/BACTr -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | fsb/ASrf | IV_FALSE | ram/BACTr -SPPTERM | 6 | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_FALSE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | ram/BACTr SRFF_INSTANCE | nOE_OBUF.REG | nOE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nOE_OBUF.D | 9935 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nOE_OBUF.D | 11235 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nOE_OBUF.Q | 9938 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nOE_OBUF.Q | 11238 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+OptxMapped | nROMWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 2 | 1 +MACROCELL_INSTANCE | Inv+OptxMapped | nROMWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 10601 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nROMWE_OBUF | 9396 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q +NODE | nROMWE_OBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nROMWE_OBUF.EXP | 11325 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | nROMWE_OBUF.SI | nROMWE_OBUF | 0 | 2 | 2 +SIGNAL_INSTANCE | nROMWE_OBUF.SI | nROMWE_OBUF | 0 | 11 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 10601 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nROMWE_OBUF.D1 | 9940 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nROMWE_OBUF.D1 | 11240 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nROMWE_OBUF.D2 | 9941 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nROMWE_OBUF.D2 | 11241 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nROMWE_OBUF.EXP | 11316 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | iobs/IORW1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | ALE1 +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SRFF_INSTANCE | nROMWE_OBUF.REG | nROMWE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nROMWE_OBUF.D | 9939 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nROMWE_OBUF.D | 11239 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nROMWE_OBUF.Q | 9942 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nROMWE_OBUF.Q | 11242 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | nADoutLE0_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0M | 9343 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM +NODE | ALE0M | 10648 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0S | 9361 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM +NODE | ALE0S | 10668 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nADoutLE0_OBUF | 9397 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q +NODE | nADoutLE0_OBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | nADoutLE0_OBUF.SI | nADoutLE0_OBUF | 0 | 2 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0M | 9343 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM +NODE | ALE0M | 10648 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0S | 9361 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM +NODE | ALE0S | 10668 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nADoutLE0_OBUF.D1 | 9944 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nADoutLE0_OBUF.D1 | 11244 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nADoutLE0_OBUF.D2 | 9945 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nADoutLE0_OBUF.D2 | 11245 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | ALE0M | IV_FALSE | ALE0S SRFF_INSTANCE | nADoutLE0_OBUF.REG | nADoutLE0_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nADoutLE0_OBUF.D | 9943 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.XOR | 0 | 7 | ALU_F +NODE | nADoutLE0_OBUF.D | 11243 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nADoutLE0_OBUF.Q | 9946 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nADoutLE0_OBUF.Q | 11246 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nCAS_OBUF | WarpSE_COPY_0_COPY_0 | 2424308736 | 10 | 2 +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nCAS_OBUF | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/CAS | 9368 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/CAS.Q | ram/CAS | 1 | 0 | MC_UIM +NODE | ram/CAS | 10676 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/CAS.Q | ram/CAS | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK- | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 9342 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | FCLK_IBUF/FCLK- | 10572 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nCAS_OBUF | 9398 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nCAS_OBUF.EXP | 10039 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.EXP | nCAS_OBUF | 4 | 0 | MC_EXPORT +NODE | nCAS_OBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q -SIGNAL_INSTANCE | nCAS_OBUF.SI | nCAS_OBUF | 0 | 9 | 3 +SIGNAL_INSTANCE | nCAS_OBUF.SI | nCAS_OBUF | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/CAS | 9368 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/CAS.Q | ram/CAS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 9342 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | ram/CAS | 10676 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/CAS.Q | ram/CAS | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nCAS_OBUF.D1 | 9948 | ? | 0 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nCAS_OBUF.D1 | 11248 | ? | 0 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nCAS_OBUF.D2 | 9949 | ? | 0 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nCAS_OBUF.D2 | 11249 | ? | 0 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | ram/CAS -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nCAS_OBUF.EXP | 10032 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd6 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | ram/RAMEN | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | ram/RAMEN | IV_TRUE | cs/nOverlay | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | fsb/ASrf SRFF_INSTANCE | nCAS_OBUF.REG | nCAS_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nCAS_OBUF.D | 9947 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.XOR | 0 | 7 | ALU_F +NODE | nCAS_OBUF.D | 11247 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK- | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK- | 10572 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nCAS_OBUF.Q | 9950 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nCAS_OBUF.Q | 11250 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | nDTACK_FSB_OBUF | WarpSE_COPY_0_COPY_0 | 2155873280 | 11 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | nDTACK_FSB_OBUF | WarpSE_COPY_0_COPY_0 | 2155873280 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | QoSReady | 10651 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | QoSReady.Q | QoSReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 9298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | IONPReady | 10602 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RAMReady | 9346 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.Q | RAMReady | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5>.EXP | 10007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.EXP | cnt/LTimer<5> | 4 | 0 | MC_EXPORT +NODE | ram/Once.EXP | 11320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.EXP | ram/Once | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4>.EXP | 10008 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.EXP | cnt/LTimer<4> | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDTACK_FSB_OBUF | 9399 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q +NODE | nDTACK_FSB_OBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q -SIGNAL_INSTANCE | nDTACK_FSB_OBUF.SI | nDTACK_FSB_OBUF | 0 | 10 | 2 +SIGNAL_INSTANCE | nDTACK_FSB_OBUF.SI | nDTACK_FSB_OBUF | 0 | 9 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | QoSReady | 10651 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | QoSReady.Q | QoSReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 9298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | IONPReady | 10602 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RAMReady | 9346 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.Q | RAMReady | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5>.EXP | 10007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.EXP | cnt/LTimer<5> | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4>.EXP | 10008 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.EXP | cnt/LTimer<4> | 4 | 0 | MC_EXPORT +NODE | ram/Once.EXP | 11320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.EXP | ram/Once | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDTACK_FSB_OBUF.D1 | 9952 | ? | 0 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDTACK_FSB_OBUF.D1 | 11252 | ? | 0 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDTACK_FSB_OBUF.D2 | 9953 | ? | 0 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | cnt/LTimer<5>.EXP -SPPTERM | 1 | IV_TRUE | cnt/LTimer<4>.EXP +SIGNAL | NODE | nDTACK_FSB_OBUF.D2 | 11253 | ? | 0 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | QoSReady +SPPTERM | 1 | IV_TRUE | ram/Once.EXP SPPTERM | 2 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | IONPReady SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | IONPReady SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | IONPReady -SPPTERM | 3 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | IONPReady | IV_FALSE | RAMReady SRFF_INSTANCE | nDTACK_FSB_OBUF.REG | nDTACK_FSB_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDTACK_FSB_OBUF.D | 9951 | ? | 0 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.XOR | 0 | 7 | ALU_F +NODE | nDTACK_FSB_OBUF.D | 11251 | ? | 0 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDTACK_FSB_OBUF.Q | 9954 | ? | 0 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nDTACK_FSB_OBUF.Q | 11254 | ? | 0 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped+Ce | nDinLE_OBUF | WarpSE_COPY_0_COPY_0 | 2424308992 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9318 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10620 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10623 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 9254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 10558 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9272 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 10579 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE | 9275 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +NODE | IODONE | 10582 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10619 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 9354 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10661 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9407 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +NODE | IOACT | 10634 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 10636 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9333 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 10637 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDinLE_OBUF | 9400 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q +NODE | nDinLE_OBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nDinLE_OBUF.EXP | 10048 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.EXP | nDinLE_OBUF | 4 | 0 | MC_EXPORT +NODE | nDinLE_OBUF.EXP | 11356 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.EXP | nDinLE_OBUF | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | nDinLE_OBUF.SI | nDinLE_OBUF | 0 | 10 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9318 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10620 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10623 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9272 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 10579 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE | 9275 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +NODE | IODONE | 10582 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10619 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 9354 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10661 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9407 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +NODE | IOACT | 10634 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 10636 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9333 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 10637 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDinLE_OBUF.D1 | 9956 | ? | 0 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDinLE_OBUF.D1 | 11256 | ? | 0 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDinLE_OBUF.D2 | 9957 | ? | 0 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nDinLE_OBUF.D2 | 11257 | ? | 0 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nDinLE_OBUF.EXP | 10045 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nDinLE_OBUF.EXP | 11353 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 3 | IV_FALSE | IOBERR | IV_FALSE | IODONE | IV_TRUE | iobm/IOS_FSM_FFd3 SPPTERM | 3 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_TRUE | iobm/IOWRREQr | IV_FALSE | AoutOE SPPTERM | 5 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | IOACT | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 SRFF_INSTANCE | nDinLE_OBUF.REG | nDinLE_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDinLE_OBUF.D | 9955 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nDinLE_OBUF.D | 11255 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 9254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 10558 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDinLE_OBUF.Q | 9958 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nDinLE_OBUF.Q | 11258 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | FbkInv+PinTrst+Merge+OptxMapped | C20MEN_OBUF | WarpSE_COPY_0_COPY_0 | 2155923456 | 11 | 3 +MACROCELL_INSTANCE | FbkInv+PinTrst+Merge+OptxMapped | N0 | WarpSE_COPY_0_COPY_0 | 2155923456 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRESout | 9366 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.Q | nRESout | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | nRESout | 10675 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.Q | nRESout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | C20MEN_OBUF$Q | 9401 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C20MEN_OBUF.Q | C20MEN_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | C20MEN_OBUF.EXP | 10024 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C20MEN_OBUF.EXP | C20MEN_OBUF | 4 | 0 | MC_EXPORT +NODE | N0 | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.Q | N0 | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | C20MEN_OBUF$OE | 9402 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C20MEN_OBUF.BUFOE.OUT | C20MEN_OBUF | 2 | 0 | MC_OE +NODE | N0$OE | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.BUFOE.OUT | N0 | 2 | 0 | MC_OE -SIGNAL_INSTANCE | C20MEN_OBUF.SI | C20MEN_OBUF | 0 | 11 | 4 +SIGNAL_INSTANCE | N0.SI | N0 | 0 | 1 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRESout | 9366 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.Q | nRESout | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | nRESout | 10675 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.Q | nRESout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | C20MEN_OBUF.D1 | 9960 | ? | 0 | 4096 | C20MEN_OBUF | NULL | NULL | C20MEN_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | N0.D1 | 11260 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | C20MEN_OBUF.D2 | 9961 | ? | 0 | 4096 | C20MEN_OBUF | NULL | NULL | C20MEN_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | N0.D2 | 11261 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 2 | 9 | MC_SI_D2 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | C20MEN_OBUF.TRST | 9963 | ? | 0 | 4096 | C20MEN_OBUF | NULL | NULL | C20MEN_OBUF.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | N0.TRST | 11263 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | nRESout -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | C20MEN_OBUF.EXP | 10014 | ? | 0 | 0 | C20MEN_OBUF | NULL | NULL | C20MEN_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_FALSE | iobs/IORW1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | ALE1 -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SRFF_INSTANCE | C20MEN_OBUF.REG | C20MEN_OBUF | 0 | 1 | 1 +SRFF_INSTANCE | N0.REG | N0 | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | C20MEN_OBUF.D | 9959 | ? | 0 | 0 | C20MEN_OBUF | NULL | NULL | C20MEN_OBUF.XOR | 0 | 7 | ALU_F +NODE | N0.D | 11259 | ? | 0 | 0 | N0 | NULL | NULL | N0.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | C20MEN_OBUF.Q | 9964 | ? | 0 | 0 | C20MEN_OBUF | NULL | NULL | C20MEN_OBUF.REG | 0 | 8 | SRFF_Q +NODE | N0.Q | 11264 | ? | 0 | 0 | N0 | NULL | NULL | N0.REG | 0 | 8 | SRFF_Q -BUF_INSTANCE | C20MEN_OBUF.BUFOE | C20MEN_OBUF | 0 | 1 | 1 +BUF_INSTANCE | N0.BUFOE | N0 | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | C20MEN_OBUF.TRST | 9963 | ? | 0 | 4096 | C20MEN_OBUF | NULL | NULL | C20MEN_OBUF.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | N0.TRST | 11263 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | nRESout OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | C20MEN_OBUF.BUFOE.OUT | 9962 | ? | 0 | 0 | C20MEN_OBUF | NULL | NULL | C20MEN_OBUF.BUFOE | 0 | 10 | BUF_OUT +NODE | N0.BUFOE.OUT | 11262 | ? | 0 | 0 | N0 | NULL | NULL | N0.BUFOE | 0 | 10 | BUF_OUT -MACROCELL_INSTANCE | OptxMapped | RA_11_OBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 11 | 2 +MACROCELL_INSTANCE | OptxMapped | RA_11_OBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9359 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2.EXP | 11379 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.EXP | iobs/TS_FSM_FFd2 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_11_OBUF$BUF0 | 9403 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.Q | RA_11_OBUF$BUF0 | 0 | 0 | MC_Q +NODE | RA_11_OBUF$BUF0 | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.Q | RA_11_OBUF$BUF0 | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_11_OBUF$BUF0.EXP | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.EXP | RA_11_OBUF$BUF0 | 4 | 0 | MC_EXPORT +NODE | RA_11_OBUF$BUF0.EXP | 11378 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.EXP | RA_11_OBUF$BUF0 | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | RA_11_OBUF$BUF0.SI | RA_11_OBUF$BUF0 | 0 | 11 | 3 +SIGNAL_INSTANCE | RA_11_OBUF$BUF0.SI | RA_11_OBUF$BUF0 | 0 | 12 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9359 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2.EXP | 11379 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.EXP | iobs/TS_FSM_FFd2 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_11_OBUF$BUF0.D1 | 9966 | ? | 0 | 4096 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_11_OBUF$BUF0.D1 | 11266 | ? | 0 | 4096 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_11_OBUF$BUF0.D2 | 9967 | ? | 0 | 4096 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | ram/RASEL -SPPTERM | 2 | IV_TRUE | A_FSB_19_IBUF | IV_FALSE | ram/RASEL +SIGNAL | NODE | RA_11_OBUF$BUF0.D2 | 11267 | ? | 0 | 4096 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/TS_FSM_FFd2.EXP OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_11_OBUF$BUF0.EXP | 10050 | ? | 0 | 0 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_TRUE | ALE1 +SIGNAL | NODE | RA_11_OBUF$BUF0.EXP | 11363 | ? | 0 | 0 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SRFF_INSTANCE | RA_11_OBUF$BUF0.REG | RA_11_OBUF$BUF0 | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_11_OBUF$BUF0.D | 9965 | ? | 0 | 0 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.XOR | 0 | 7 | ALU_F +NODE | RA_11_OBUF$BUF0.D | 11265 | ? | 0 | 0 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_11_OBUF$BUF0.Q | 9968 | ? | 0 | 0 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.REG | 0 | 8 | SRFF_Q +NODE | RA_11_OBUF$BUF0.Q | 11268 | ? | 0 | 0 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | nADoutLE1_OBUF | WarpSE_COPY_0_COPY_0 | 2155873024 | 4 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Clear1 | 9357 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM +NODE | iobs/Clear1 | 10664 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9335 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 10633 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nADoutLE1_OBUF | 9404 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q +NODE | nADoutLE1_OBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM SIGNAL_INSTANCE | nADoutLE1_OBUF.SI | nADoutLE1_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Clear1 | 9357 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM +NODE | iobs/Clear1 | 10664 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9335 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 10633 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nADoutLE1_OBUF.D1 | 9970 | ? | 0 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nADoutLE1_OBUF.D1 | 11270 | ? | 0 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nADoutLE1_OBUF.D2 | 9971 | ? | 0 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nADoutLE1_OBUF.D2 | 11271 | ? | 0 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobs/Load1 SPPTERM | 2 | IV_FALSE | iobs/Clear1 | IV_FALSE | ALE1 SRFF_INSTANCE | nADoutLE1_OBUF.REG | nADoutLE1_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nADoutLE1_OBUF.D | 9969 | ? | 0 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.XOR | 0 | 7 | ALU_F +NODE | nADoutLE1_OBUF.D | 11269 | ? | 0 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nADoutLE1_OBUF.Q | 9972 | ? | 0 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nADoutLE1_OBUF.Q | 11272 | ? | 0 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | nAoutOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155873024 | 11 | 3 +MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | nAoutOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155873024 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | $OpTx$$OpTx$FX_DC$354_INV$541.UIM | 10752 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$354_INV$541.Q | $OpTx$$OpTx$FX_DC$354_INV$541 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 9340 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_10_IBUF | 10570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRESout.EXP | 10019 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.EXP | nRESout | 4 | 0 | MC_EXPORT +NODE | fsb/ASrf.EXP | 11336 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.EXP | fsb/ASrf | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nAoutOE_OBUF | 9406 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q +NODE | nAoutOE_OBUF | 10708 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | AoutOE | 9407 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nAoutOE_OBUF.EXP | 10020 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.EXP | nAoutOE_OBUF | 4 | 0 | MC_EXPORT +NODE | nAoutOE_OBUF.EXP | 11335 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.EXP | nAoutOE_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | nAoutOE_OBUF.SI | nAoutOE_OBUF | 0 | 10 | 3 +SIGNAL_INSTANCE | nAoutOE_OBUF.SI | nAoutOE_OBUF | 0 | 8 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | $OpTx$$OpTx$FX_DC$354_INV$541.UIM | 10752 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$354_INV$541.Q | $OpTx$$OpTx$FX_DC$354_INV$541 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 9340 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_10_IBUF | 10570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRESout.EXP | 10019 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.EXP | nRESout | 4 | 0 | MC_EXPORT +NODE | fsb/ASrf.EXP | 11336 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.EXP | fsb/ASrf | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nAoutOE_OBUF.D1 | 9974 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nAoutOE_OBUF.D1 | 11274 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nAoutOE_OBUF.D2 | 9975 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nRESout.EXP +SIGNAL | NODE | nAoutOE_OBUF.D2 | 11275 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | fsb/ASrf.EXP OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nAoutOE_OBUF.EXP | 10010 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/BACTr -SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_FALSE | ram/RS_FSM_FFd4 | IV_TRUE | fsb/ASrf | IV_FALSE | ram/BACTr -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/BACTr -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_FALSE | ram/RS_FSM_FFd4 | IV_TRUE | fsb/ASrf | IV_FALSE | ram/BACTr -SPPTERM | 6 | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_FALSE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/BACTr +SIGNAL | NODE | nAoutOE_OBUF.EXP | 11327 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$354_INV$541.UIM +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$354_INV$541.UIM +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$354_INV$541.UIM +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$354_INV$541.UIM +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$354_INV$541.UIM SRFF_INSTANCE | nAoutOE_OBUF.REG | nAoutOE_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nAoutOE_OBUF.D | 9973 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nAoutOE_OBUF.D | 11273 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nAoutOE_OBUF.Q | 9976 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nAoutOE_OBUF.Q | 11276 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+OptxMapped | nDinOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 10 | 2 +MACROCELL_INSTANCE | Inv+OptxMapped | nDinOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 9338 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS0 | 9353 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 9354 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9407 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDinOE_OBUF | 9408 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nDinOE_OBUF.EXP | 10023 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.EXP | nDinOE_OBUF | 4 | 0 | MC_EXPORT +NODE | nDinOE_OBUF | 10710 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q -SIGNAL_INSTANCE | nDinOE_OBUF.SI | nDinOE_OBUF | 0 | 10 | 3 +SIGNAL_INSTANCE | nDinOE_OBUF.SI | nDinOE_OBUF | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 9338 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS0 | 9353 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 9354 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9407 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDinOE_OBUF.D1 | 9978 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDinOE_OBUF.D1 | 11278 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDinOE_OBUF.D2 | 9979 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nDinOE_OBUF.D2 | 11279 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nDinOE_OBUF.EXP | 10013 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 4 | IV_FALSE | iobm/IORDREQr | IV_TRUE | iobm/IOS0 | IV_FALSE | iobm/IOWRREQr | IV_FALSE | AoutOE SRFF_INSTANCE | nDinOE_OBUF.REG | nDinOE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDinOE_OBUF.D | 9977 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nDinOE_OBUF.D | 11277 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDinOE_OBUF.Q | 9980 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nDinOE_OBUF.Q | 11280 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+OptxMapped | nDoutOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 21 | 2 +MACROCELL_INSTANCE | Inv+OptxMapped | nDoutOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DoutOE | 9351 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM +NODE | iobm/DoutOE | 10658 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9407 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | iobm/IORDREQr | 10643 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | iobm/IOS0 | 10660 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9359 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDinOE_OBUF.EXP | 10023 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.EXP | nDinOE_OBUF | 4 | 0 | MC_EXPORT +NODE | iobm/IOWRREQr | 10661 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDoutOE_OBUF | 9409 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nDoutOE_OBUF.EXP | 10022 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.EXP | nDoutOE_OBUF | 4 | 0 | MC_EXPORT +NODE | nDoutOE_OBUF | 10711 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q -SIGNAL_INSTANCE | nDoutOE_OBUF.SI | nDoutOE_OBUF | 0 | 21 | 3 +SIGNAL_INSTANCE | nDoutOE_OBUF.SI | nDoutOE_OBUF | 0 | 5 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DoutOE | 9351 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM +NODE | iobm/DoutOE | 10658 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 9407 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | iobm/IORDREQr | 10643 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | iobm/IOS0 | 10660 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9359 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDinOE_OBUF.EXP | 10023 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.EXP | nDinOE_OBUF | 4 | 0 | MC_EXPORT +NODE | iobm/IOWRREQr | 10661 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDoutOE_OBUF.D1 | 9982 | ? | 0 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDoutOE_OBUF.D1 | 11282 | ? | 0 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDoutOE_OBUF.D2 | 9983 | ? | 0 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nDinOE_OBUF.EXP +SIGNAL | NODE | nDoutOE_OBUF.D2 | 11283 | ? | 0 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | iobm/DoutOE | IV_FALSE | AoutOE -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nDoutOE_OBUF.EXP | 10012 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 16 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 16 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 16 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 16 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 4 | IV_FALSE | iobm/IORDREQr | IV_TRUE | iobm/IOS0 | IV_FALSE | iobm/IOWRREQr | IV_FALSE | AoutOE SRFF_INSTANCE | nDoutOE_OBUF.REG | nDoutOE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDoutOE_OBUF.D | 9981 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nDoutOE_OBUF.D | 11281 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDoutOE_OBUF.Q | 9984 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nDoutOE_OBUF.Q | 11284 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+OptxMapped | nRAMLWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 8 | 2 +MACROCELL_INSTANCE | Inv+OptxMapped | nRAMLWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 9 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 10594 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 10603 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASrr | 9370 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrr.Q | ram/RASrr | 1 | 0 | MC_UIM +NODE | ram/RASrf | 10677 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrf.Q | ram/RASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | ram/RASrr | 10678 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrr.Q | ram/RASrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nRAMLWE_OBUF | 9410 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q +NODE | nRAMLWE_OBUF | 10712 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nRAMLWE_OBUF.EXP | 10067 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT +NODE | nRAMLWE_OBUF.EXP | 11382 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | nRAMLWE_OBUF.SI | nRAMLWE_OBUF | 0 | 8 | 3 +SIGNAL_INSTANCE | nRAMLWE_OBUF.SI | nRAMLWE_OBUF | 0 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 10594 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 10603 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASrr | 9370 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrr.Q | ram/RASrr | 1 | 0 | MC_UIM +NODE | ram/RASrf | 10677 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrf.Q | ram/RASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | ram/RASrr | 10678 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrr.Q | ram/RASrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRAMLWE_OBUF.D1 | 9986 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRAMLWE_OBUF.D1 | 11286 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRAMLWE_OBUF.D2 | 9987 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nRAMLWE_OBUF.D2 | 11287 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 4 | IV_TRUE | ram/RAMEN | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nLDS_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nRAMLWE_OBUF.EXP | 10053 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nRAMLWE_OBUF.EXP | 11367 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | ram/RASrf SPPTERM | 1 | IV_TRUE | ram/RASrr SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | ram/RAMEN | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF SRFF_INSTANCE | nRAMLWE_OBUF.REG | nRAMLWE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRAMLWE_OBUF.D | 9985 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nRAMLWE_OBUF.D | 11285 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRAMLWE_OBUF.Q | 9988 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nRAMLWE_OBUF.Q | 11288 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+OptxMapped | nRAMUWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP15_.EXP | 10068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT +NODE | EXP16_.EXP | 11383 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nRAMUWE_OBUF | 9411 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q +NODE | nRAMUWE_OBUF | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nRAMUWE_OBUF.EXP | 10069 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT +NODE | nRAMUWE_OBUF.EXP | 11384 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | nRAMUWE_OBUF.SI | nRAMUWE_OBUF | 0 | 12 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP15_.EXP | 10068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT +NODE | EXP16_.EXP | 11383 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRAMUWE_OBUF.D1 | 9990 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRAMUWE_OBUF.D1 | 11290 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRAMUWE_OBUF.D2 | 9991 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP15_.EXP +SIGNAL | NODE | nRAMUWE_OBUF.D2 | 11291 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP16_.EXP OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nRAMUWE_OBUF.EXP | 10055 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nRAMUWE_OBUF.EXP | 11369 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 SRFF_INSTANCE | nRAMUWE_OBUF.REG | nRAMUWE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRAMUWE_OBUF.D | 9989 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nRAMUWE_OBUF.D | 11289 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRAMUWE_OBUF.Q | 9992 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nRAMUWE_OBUF.Q | 11292 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+OptxMapped | nROMCS_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 12 | 2 +MACROCELL_INSTANCE | Inv+OptxMapped | nROMCS_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 22 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 9268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_15_IBUF | 10548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | A_FSB_12_IBUF | 10550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 10569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 10570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 10666 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 10667 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nROMCS_OBUF | 9412 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q +NODE | nROMCS_OBUF | 10714 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nROMCS_OBUF.EXP | 10037 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT +NODE | nROMCS_OBUF.EXP | 11348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | nROMCS_OBUF.SI | nROMCS_OBUF | 0 | 12 | 3 +SIGNAL_INSTANCE | nROMCS_OBUF.SI | nROMCS_OBUF | 0 | 22 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 9268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_15_IBUF | 10548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | A_FSB_12_IBUF | 10550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 10569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 10570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 10666 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 10667 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nROMCS_OBUF.D1 | 9994 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nROMCS_OBUF.D1 | 11294 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nROMCS_OBUF.D2 | 9995 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nROMCS_OBUF.D2 | 11295 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nROMCS_OBUF.EXP | 10030 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | fsb/ASrf -SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | ram/BACTr -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | ram/BACTr +SIGNAL | NODE | nROMCS_OBUF.EXP | 11344 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM +SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM +SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM SRFF_INSTANCE | nROMCS_OBUF.REG | nROMCS_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nROMCS_OBUF.D | 9993 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.XOR | 0 | 7 | ALU_F +NODE | nROMCS_OBUF.D | 11293 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nROMCS_OBUF.Q | 9996 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | C20MEN_OBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 0 | 1 -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | C20MEN_OBUF$BUF0 | 9413 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C20MEN_OBUF$BUF0.Q | C20MEN_OBUF$BUF0 | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | C20MEN_OBUF$BUF0.SI | C20MEN_OBUF$BUF0 | 0 | 0 | 2 -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | C20MEN_OBUF$BUF0.D1 | 9998 | ? | 0 | 4096 | C20MEN_OBUF$BUF0 | NULL | NULL | C20MEN_OBUF$BUF0.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | C20MEN_OBUF$BUF0.D2 | 9999 | ? | 0 | 6144 | C20MEN_OBUF$BUF0 | NULL | NULL | C20MEN_OBUF$BUF0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 0 | IV_ZERO - -SRFF_INSTANCE | C20MEN_OBUF$BUF0.REG | C20MEN_OBUF$BUF0 | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | C20MEN_OBUF$BUF0.D | 9997 | ? | 0 | 0 | C20MEN_OBUF$BUF0 | NULL | NULL | C20MEN_OBUF$BUF0.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | C20MEN_OBUF$BUF0.Q | 10000 | ? | 0 | 0 | C20MEN_OBUF$BUF0 | NULL | NULL | C20MEN_OBUF$BUF0.REG | 0 | 8 | SRFF_Q +NODE | nROMCS_OBUF.Q | 11296 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | FbkInv+Merge+OptxMapped | C25MEN_OBUF | WarpSE_COPY_0_COPY_0 | 2155907072 | 0 | 1 OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | C25MEN_OBUF | 9414 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C25MEN_OBUF.Q | C25MEN_OBUF | 0 | 0 | MC_Q +NODE | C25MEN_OBUF | 10715 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C25MEN_OBUF.Q | C25MEN_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | C25MEN_OBUF.SI | C25MEN_OBUF | 0 | 0 | 2 OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | C25MEN_OBUF.D1 | 10002 | ? | 0 | 4096 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | C25MEN_OBUF.D1 | 11298 | ? | 0 | 4096 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | C25MEN_OBUF.D2 | 10003 | ? | 0 | 4096 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | C25MEN_OBUF.D2 | 11299 | ? | 0 | 4096 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 0 | IV_DC SRFF_INSTANCE | C25MEN_OBUF.REG | C25MEN_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | C25MEN_OBUF.D | 10001 | ? | 0 | 0 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.XOR | 0 | 7 | ALU_F +NODE | C25MEN_OBUF.D | 11297 | ? | 0 | 0 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | C25MEN_OBUF.Q | 10004 | ? | 0 | 0 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.REG | 0 | 8 | SRFF_Q +NODE | C25MEN_OBUF.Q | 11300 | ? | 0 | 0 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.REG | 0 | 8 | SRFF_Q OUTPUT_INSTANCE | 0 | nVMA_IOB | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nVMA_IOBout$Q | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 0 | 0 | MC_Q +NODE | nVMA_IOBout$Q | 10554 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | nVMA_IOBout$OE | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.BUFOE.OUT | nVMA_IOBout | 2 | 0 | MC_OE +NODE | nVMA_IOBout$OE | 10556 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.BUFOE.OUT | nVMA_IOBout | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nVMA_IOB | 9415 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVMA_IOB | 0 | 6 | OI_OUT +NODE | nVMA_IOB | 10716 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVMA_IOB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nAS_IOB | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nAS_IOBout$Q | 9255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 0 | 0 | MC_Q +NODE | nAS_IOBout$Q | 10559 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | nAS_IOBout$OE | 9257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.BUFOE.OUT | nAS_IOBout | 2 | 0 | MC_OE +NODE | nAS_IOBout$OE | 10561 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.BUFOE.OUT | nAS_IOBout | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nAS_IOB | 9416 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_IOB | 0 | 6 | OI_OUT +NODE | nAS_IOB | 10717 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_IOB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nLDS_IOB | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nLDS_IOBout$Q | 9258 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 0 | 0 | MC_Q +NODE | nLDS_IOBout$Q | 10562 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | nLDS_IOBout$OE | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.BUFOE.OUT | nLDS_IOBout | 2 | 0 | MC_OE +NODE | nLDS_IOBout$OE | 10564 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.BUFOE.OUT | nLDS_IOBout | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nLDS_IOB | 9417 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_IOB | 0 | 6 | OI_OUT +NODE | nLDS_IOB | 10718 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_IOB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nUDS_IOB | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nUDS_IOBout$Q | 9261 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 0 | 0 | MC_Q +NODE | nUDS_IOBout$Q | 10565 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | nUDS_IOBout$OE | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.BUFOE.OUT | nUDS_IOBout | 2 | 0 | MC_OE +NODE | nUDS_IOBout$OE | 10567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.BUFOE.OUT | nUDS_IOBout | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nUDS_IOB | 9418 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_IOB | 0 | 6 | OI_OUT +NODE | nUDS_IOB | 10719 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_IOB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nBERR_FSB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nBERR_FSB_OBUF | 9307 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q +NODE | nBERR_FSB_OBUF | 10609 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nBERR_FSB | 9419 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_FSB | 0 | 6 | OI_OUT +NODE | nBERR_FSB | 10720 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_FSB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nVPA_FSB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nVPA_FSB_OBUF | 9309 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q +NODE | nVPA_FSB_OBUF | 10611 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nVPA_FSB | 9420 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_FSB | 0 | 6 | OI_OUT +NODE | nVPA_FSB | 10721 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_FSB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nRAS | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nRAS_OBUF | 9310 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q +NODE | nRAS_OBUF | 10612 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRAS | 9421 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAS | 0 | 6 | OI_OUT +NODE | nRAS | 10722 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAS | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nBR_IOB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nBR_IOB_OBUF$Q | 9311 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 0 | 0 | MC_Q +NODE | nBR_IOB_OBUF$Q | 10613 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nBR_IOB | 9422 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBR_IOB | 0 | 6 | OI_OUT +NODE | nBR_IOB | 10723 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBR_IOB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<3> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_11_OBUF$Q | 9313 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF.Q | RA_11_OBUF | 0 | 0 | MC_Q +NODE | RA_11_OBUF$Q | 10615 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF.Q | RA_11_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<3> | 9423 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<3> | 0 | 6 | OI_OUT +NODE | RA<3> | 10724 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<3> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<0> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_0_OBUF | 9385 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q +NODE | RA_0_OBUF | 10687 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<0> | 9424 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<0> | 0 | 6 | OI_OUT +NODE | RA<0> | 10725 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<0> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<10> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_10_OBUF | 9386 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_10_OBUF.Q | RA_10_OBUF | 0 | 0 | MC_Q +NODE | RA_10_OBUF | 10688 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_10_OBUF.Q | RA_10_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<10> | 9425 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<10> | 0 | 6 | OI_OUT +NODE | RA<10> | 10726 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<10> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<1> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_1_OBUF | 9387 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q +NODE | RA_1_OBUF | 10689 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<1> | 9426 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<1> | 0 | 6 | OI_OUT +NODE | RA<1> | 10727 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<1> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<2> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_2_OBUF | 9388 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q +NODE | RA_2_OBUF | 10690 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<2> | 9427 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<2> | 0 | 6 | OI_OUT +NODE | RA<2> | 10728 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<2> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<4> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_4_OBUF | 9389 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q +NODE | RA_4_OBUF | 10691 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<4> | 9428 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<4> | 0 | 6 | OI_OUT +NODE | RA<4> | 10729 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<4> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<5> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_5_OBUF | 9390 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q +NODE | RA_5_OBUF | 10692 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<5> | 9429 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<5> | 0 | 6 | OI_OUT +NODE | RA<5> | 10730 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<5> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<6> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_6_OBUF | 9391 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q +NODE | RA_6_OBUF | 10693 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<6> | 9430 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<6> | 0 | 6 | OI_OUT +NODE | RA<6> | 10731 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<6> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<7> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_7_OBUF | 9392 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q +NODE | RA_7_OBUF | 10694 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<7> | 9431 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<7> | 0 | 6 | OI_OUT +NODE | RA<7> | 10732 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<7> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<8> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_8_OBUF | 9393 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q +NODE | RA_8_OBUF | 10695 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<8> | 9432 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<8> | 0 | 6 | OI_OUT +NODE | RA<8> | 10733 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<8> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<9> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_9_OBUF | 9394 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q +NODE | RA_9_OBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<9> | 9433 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<9> | 0 | 6 | OI_OUT +NODE | RA<9> | 10734 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<9> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nOE_OBUF | 9395 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q +NODE | nOE_OBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nOE | 9434 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nOE | 0 | 6 | OI_OUT +NODE | nOE | 10735 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nOE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nROMWE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nROMWE_OBUF | 9396 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q +NODE | nROMWE_OBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nROMWE | 9435 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nROMWE | 0 | 6 | OI_OUT +NODE | nROMWE | 10736 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nROMWE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nADoutLE0 | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nADoutLE0_OBUF | 9397 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q +NODE | nADoutLE0_OBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nADoutLE0 | 9436 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE0 | 0 | 6 | OI_OUT +NODE | nADoutLE0 | 10737 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE0 | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nCAS | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nCAS_OBUF | 9398 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q +NODE | nCAS_OBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nCAS | 9437 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nCAS | 0 | 6 | OI_OUT +NODE | nCAS | 10738 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nCAS | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nDTACK_FSB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDTACK_FSB_OBUF | 9399 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q +NODE | nDTACK_FSB_OBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDTACK_FSB | 9438 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_FSB | 0 | 6 | OI_OUT +NODE | nDTACK_FSB | 10739 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_FSB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nDinLE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDinLE_OBUF | 9400 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q +NODE | nDinLE_OBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDinLE | 9439 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDinLE | 0 | 6 | OI_OUT +NODE | nDinLE | 10740 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDinLE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nRES | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | C20MEN_OBUF$Q | 9401 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C20MEN_OBUF.Q | C20MEN_OBUF | 0 | 0 | MC_Q +NODE | N0 | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.Q | N0 | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | C20MEN_OBUF$OE | 9402 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C20MEN_OBUF.BUFOE.OUT | C20MEN_OBUF | 2 | 0 | MC_OE +NODE | N0$OE | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.BUFOE.OUT | N0 | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRES | 9440 | PIPO | 0 | 64 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES | 0 | 6 | OI_OUT +NODE | nRES | 10741 | PIPO | 0 | 64 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<11> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_11_OBUF$BUF0 | 9403 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.Q | RA_11_OBUF$BUF0 | 0 | 0 | MC_Q +NODE | RA_11_OBUF$BUF0 | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.Q | RA_11_OBUF$BUF0 | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<11> | 9441 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<11> | 0 | 6 | OI_OUT +NODE | RA<11> | 10742 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<11> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nADoutLE1 | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nADoutLE1_OBUF | 9404 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q +NODE | nADoutLE1_OBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nADoutLE1 | 9442 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE1 | 0 | 6 | OI_OUT +NODE | nADoutLE1 | 10743 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE1 | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nAoutOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nAoutOE_OBUF | 9406 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q +NODE | nAoutOE_OBUF | 10708 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nAoutOE | 9443 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAoutOE | 0 | 6 | OI_OUT +NODE | nAoutOE | 10744 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAoutOE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nDinOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDinOE_OBUF | 9408 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q +NODE | nDinOE_OBUF | 10710 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDinOE | 9444 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDinOE | 0 | 6 | OI_OUT +NODE | nDinOE | 10745 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDinOE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nDoutOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDoutOE_OBUF | 9409 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q +NODE | nDoutOE_OBUF | 10711 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDoutOE | 9445 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDoutOE | 0 | 6 | OI_OUT +NODE | nDoutOE | 10746 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDoutOE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nRAMLWE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nRAMLWE_OBUF | 9410 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q +NODE | nRAMLWE_OBUF | 10712 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRAMLWE | 9446 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAMLWE | 0 | 6 | OI_OUT +NODE | nRAMLWE | 10747 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAMLWE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nRAMUWE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nRAMUWE_OBUF | 9411 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q +NODE | nRAMUWE_OBUF | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRAMUWE | 9447 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAMUWE | 0 | 6 | OI_OUT +NODE | nRAMUWE | 10748 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAMUWE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nROMCS | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nROMCS_OBUF | 9412 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q +NODE | nROMCS_OBUF | 10714 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nROMCS | 9448 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nROMCS | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | C20MEN | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | C20MEN_OBUF$BUF0 | 9413 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C20MEN_OBUF$BUF0.Q | C20MEN_OBUF$BUF0 | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | C20MEN | 9449 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C20MEN | 0 | 6 | OI_OUT +NODE | nROMCS | 10749 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nROMCS | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | C25MEN | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | C25MEN_OBUF | 9414 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C25MEN_OBUF.Q | C25MEN_OBUF | 0 | 0 | MC_Q +NODE | C25MEN_OBUF | 10715 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C25MEN_OBUF.Q | C25MEN_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | C25MEN | 9450 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C25MEN | 0 | 6 | OI_OUT +NODE | C25MEN | 10750 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C25MEN | 0 | 6 | OI_OUT -MACROCELL_INSTANCE | NULL | EXP10_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 10 | 1 +MACROCELL_INSTANCE | SoftPfbk | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | WarpSE_COPY_0_COPY_0 | 2181038080 | 37 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 9340 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RAMReady | 9346 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.Q | RAMReady | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 10583 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10585 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | cnt/LTimer<4> | 10586 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10587 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 10588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 10589 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<8> | 10590 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<9> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<11> | 10597 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 10548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 10550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 10569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 10570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 10667 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 10666 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<9>.EXP | 11360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.EXP | cnt/LTimer<9> | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<10>.EXP | 11362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.EXP | cnt/LTimer<10> | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.SI | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 0 | 37 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<10> | 10583 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 10585 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 10586 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 10587 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 10588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 10589 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<8> | 10590 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<9> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<11> | 10597 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 10548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 10550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 10569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 10570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 10667 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 10666 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<9>.EXP | 11360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.EXP | cnt/LTimer<9> | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<10>.EXP | 11362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.EXP | cnt/LTimer<10> | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.D1 | 11302 | ? | 0 | 4096 | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | NULL | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.D2 | 11303 | ? | 0 | 4096 | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | NULL | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cnt/LTimer<9>.EXP +SPPTERM | 1 | IV_TRUE | cnt/LTimer<10>.EXP +SPPTERM | 3 | IV_TRUE | RefUrg | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +SPPTERM | 14 | IV_FALSE | cnt/LTimer<0> | IV_FALSE | cnt/LTimer<1> | IV_FALSE | cnt/LTimer<10> | IV_FALSE | cnt/LTimer<2> | IV_FALSE | cnt/LTimer<3> | IV_FALSE | cnt/LTimer<4> | IV_FALSE | cnt/LTimer<5> | IV_FALSE | cnt/LTimer<6> | IV_FALSE | cnt/LTimer<7> | IV_FALSE | cnt/LTimer<8> | IV_FALSE | cnt/LTimer<9> | IV_FALSE | cnt/LTimer<11> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 +SPPTERM | 19 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF +SPPTERM | 19 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF +SPPTERM | 19 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF + +SRFF_INSTANCE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.REG | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.D | 11301 | ? | 0 | 0 | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | NULL | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | 11304 | ? | 0 | 0 | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | NULL | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | SoftPfbk | $OpTx$$OpTx$FX_DC$354_INV$541 | WarpSE_COPY_0_COPY_0 | 2181038080 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | $OpTx$$OpTx$FX_DC$354_INV$541.UIM | 10752 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$354_INV$541.Q | $OpTx$$OpTx$FX_DC$354_INV$541 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | $OpTx$$OpTx$FX_DC$354_INV$541.SI | $OpTx$$OpTx$FX_DC$354_INV$541 | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | $OpTx$$OpTx$FX_DC$354_INV$541.D1 | 11306 | ? | 0 | 4096 | $OpTx$$OpTx$FX_DC$354_INV$541 | NULL | NULL | $OpTx$$OpTx$FX_DC$354_INV$541.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | $OpTx$$OpTx$FX_DC$354_INV$541.D2 | 11307 | ? | 0 | 4096 | $OpTx$$OpTx$FX_DC$354_INV$541 | NULL | NULL | $OpTx$$OpTx$FX_DC$354_INV$541.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf + +SRFF_INSTANCE | $OpTx$$OpTx$FX_DC$354_INV$541.REG | $OpTx$$OpTx$FX_DC$354_INV$541 | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | $OpTx$$OpTx$FX_DC$354_INV$541.D | 11305 | ? | 0 | 0 | $OpTx$$OpTx$FX_DC$354_INV$541 | NULL | NULL | $OpTx$$OpTx$FX_DC$354_INV$541.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | $OpTx$$OpTx$FX_DC$354_INV$541.Q | 11308 | ? | 0 | 0 | $OpTx$$OpTx$FX_DC$354_INV$541 | NULL | NULL | $OpTx$$OpTx$FX_DC$354_INV$541.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | NULL | EXP10_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 10595 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd5 | 10646 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 10624 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefReq | 10596 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMEN | 10594 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP10_.EXP | 10026 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT +NODE | EXP10_.EXP | 11323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP10_.SI | EXP10_ | 0 | 10 | 1 +SIGNAL_INSTANCE | EXP10_.SI | EXP10_ | 0 | 11 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10595 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd5 | 10646 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 9340 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 10624 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RAMReady | 9346 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.Q | RAMReady | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | RefReq | 10596 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 10594 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP10_.EXP | 10016 | ? | 0 | 0 | EXP10_ | NULL | NULL | EXP10_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 7 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | RAMReady | IV_FALSE | ram/BACTr -SPPTERM | 7 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | RAMReady | IV_TRUE | fsb/ASrf | IV_FALSE | ram/BACTr -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | RAMReady | IV_TRUE | fsb/ASrf | IV_FALSE | ram/BACTr -SPPTERM | 7 | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_FALSE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | RAMReady | IV_FALSE | ram/BACTr -SPPTERM | 7 | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_FALSE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | RAMReady | IV_TRUE | fsb/ASrf | IV_FALSE | ram/BACTr +SIGNAL | NODE | EXP10_.EXP | 11314 | ? | 0 | 0 | EXP10_ | NULL | NULL | EXP10_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd5 +SPPTERM | 5 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | fsb/ASrf +SPPTERM | 5 | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | fsb/ASrf +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | ram/RAMEN | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | ram/RAMEN | IV_TRUE | cs/nOverlay | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | fsb/ASrf -MACROCELL_INSTANCE | NULL | EXP11_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 18 | 1 +MACROCELL_INSTANCE | NULL | EXP11_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 17 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9359 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP11_.EXP | 10028 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT +NODE | EXP11_.EXP | 11326 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP11_.SI | EXP11_ | 0 | 18 | 1 +SIGNAL_INSTANCE | EXP11_.SI | EXP11_ | 0 | 17 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9359 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP11_.EXP | 10018 | ? | 0 | 0 | EXP11_ | NULL | NULL | EXP11_.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP11_.EXP | 11317 | ? | 0 | 0 | EXP11_ | NULL | NULL | EXP11_.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | ALE1 -SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | ALE1 -SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ALE1 -MACROCELL_INSTANCE | NULL | EXP12_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 +MACROCELL_INSTANCE | NULL | EXP12_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | $OpTx$$OpTx$FX_DC$354_INV$541.UIM | 10752 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$354_INV$541.Q | $OpTx$$OpTx$FX_DC$354_INV$541 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | A_FSB_15_IBUF | 10548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_12_IBUF | 10550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | nRESout.EXP | 11341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.EXP | nRESout | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP12_.EXP | 10036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT +NODE | EXP12_.EXP | 11342 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP12_.SI | EXP12_ | 0 | 9 | 1 +SIGNAL_INSTANCE | EXP12_.SI | EXP12_ | 0 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | $OpTx$$OpTx$FX_DC$354_INV$541.UIM | 10752 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$354_INV$541.Q | $OpTx$$OpTx$FX_DC$354_INV$541 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | A_FSB_15_IBUF | 10548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_12_IBUF | 10550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | nRESout.EXP | 11341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.EXP | nRESout | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP12_.EXP | 10029 | ? | 0 | 0 | EXP12_ | NULL | NULL | EXP12_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | ram/BACTr -SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | fsb/ASrf | IV_FALSE | ram/BACTr -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | fsb/ASrf | IV_FALSE | ram/BACTr -SPPTERM | 6 | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_FALSE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | ram/BACTr -SPPTERM | 6 | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_FALSE | cs/nOverlay | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | fsb/ASrf | IV_FALSE | ram/BACTr +SIGNAL | NODE | EXP12_.EXP | 11334 | ? | 0 | 0 | EXP12_ | NULL | NULL | EXP12_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | nRESout.EXP +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$354_INV$541.UIM +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$354_INV$541.UIM +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$354_INV$541.UIM +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$354_INV$541.UIM +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$354_INV$541.UIM -MACROCELL_INSTANCE | NULL | EXP13_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 10 | 1 +MACROCELL_INSTANCE | NULL | EXP13_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 26 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | cnt/LTimer<10> | 10583 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 10590 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | cnt/LTimer<11> | 10597 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_11_OBUF$BUF0.EXP | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.EXP | RA_11_OBUF$BUF0 | 4 | 0 | MC_EXPORT +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 10548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 10550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 10569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 10570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 10667 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nROMCS_OBUF.EXP | 11348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP13_.EXP | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT +NODE | EXP13_.EXP | 11347 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP13_.SI | EXP13_ | 0 | 10 | 1 +SIGNAL_INSTANCE | EXP13_.SI | EXP13_ | 0 | 26 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | cnt/LTimer<10> | 10583 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 10590 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | cnt/LTimer<11> | 10597 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_11_OBUF$BUF0.EXP | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.EXP | RA_11_OBUF$BUF0 | 4 | 0 | MC_EXPORT +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 10548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 10550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 10569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 10570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 10667 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nROMCS_OBUF.EXP | 11348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP13_.EXP | 10049 | ? | 0 | 0 | EXP13_ | NULL | NULL | EXP13_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | RA_11_OBUF$BUF0.EXP -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SIGNAL | NODE | EXP13_.EXP | 11343 | ? | 0 | 0 | EXP13_ | NULL | NULL | EXP13_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | nROMCS_OBUF.EXP +SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM +SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM +SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM +SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<11> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM +SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -MACROCELL_INSTANCE | NULL | EXP14_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 +MACROCELL_INSTANCE | NULL | EXP14_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | cnt/LTimer<3> | 10585 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10586 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10587 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | cnt/LTimer<6> | 10588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | cnt/LTimer<7> | 10589 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ALE0S.EXP | 11349 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.EXP | ALE0S | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP14_.EXP | 10065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT +NODE | EXP14_.EXP | 11350 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP14_.SI | EXP14_ | 0 | 9 | 1 +SIGNAL_INSTANCE | EXP14_.SI | EXP14_ | 0 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | cnt/LTimer<3> | 10585 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10586 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10587 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | cnt/LTimer<6> | 10588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | cnt/LTimer<7> | 10589 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ALE0S.EXP | 11349 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.EXP | ALE0S | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP14_.EXP | 10051 | ? | 0 | 0 | EXP14_ | NULL | NULL | EXP14_.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP14_.EXP | 11346 | ? | 0 | 0 | EXP14_ | NULL | NULL | EXP14_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | ALE0S.EXP +SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM +SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM +SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM +SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM +SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM + +MACROCELL_INSTANCE | NULL | EXP15_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRAS_OBUF.EXP | 11381 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP15_.EXP | 11380 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP15_.SI | EXP15_ | 0 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRAS_OBUF.EXP | 11381 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP15_.EXP | 11365 | ? | 0 | 0 | EXP15_ | NULL | NULL | EXP15_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | nRAS_OBUF.EXP +SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -MACROCELL_INSTANCE | NULL | EXP15_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 4 | 1 +MACROCELL_INSTANCE | NULL | EXP16_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 4 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 10594 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 10605 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP15_.EXP | 10068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT +NODE | EXP16_.EXP | 11383 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP15_.SI | EXP15_ | 0 | 4 | 1 +SIGNAL_INSTANCE | EXP16_.SI | EXP16_ | 0 | 4 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 10594 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 10605 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP15_.EXP | 10054 | ? | 0 | 0 | EXP15_ | NULL | NULL | EXP15_.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP16_.EXP | 11368 | ? | 0 | 0 | EXP16_ | NULL | NULL | EXP16_.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 4 | IV_TRUE | ram/RAMEN | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nUDS_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF -MACROCELL_INSTANCE | NULL | EXP16_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 17 | 1 +MACROCELL_INSTANCE | NULL | EXP17_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 17 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9359 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP16_.EXP | 10070 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT +NODE | EXP17_.EXP | 11385 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP16_.SI | EXP16_ | 0 | 17 | 1 +SIGNAL_INSTANCE | EXP17_.SI | EXP17_ | 0 | 17 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9359 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP16_.EXP | 10056 | ? | 0 | 0 | EXP16_ | NULL | NULL | EXP16_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 14 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | ALE1 -SPPTERM | 14 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | ALE1 -SPPTERM | 14 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 14 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SIGNAL | NODE | EXP17_.EXP | 11370 | ? | 0 | 0 | EXP17_ | NULL | NULL | EXP17_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 13 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 13 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 13 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 13 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -MACROCELL_INSTANCE | NULL | EXP17_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 3 | 1 +MACROCELL_INSTANCE | NULL | EXP18_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | IOBERR | 10579 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_FSB_OBUF.UIM | 9308 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9272 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | nBERR_FSB_OBUF.UIM | 10610 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP17_.EXP | 10071 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT +NODE | EXP18_.EXP | 11386 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP17_.SI | EXP17_ | 0 | 3 | 1 +SIGNAL_INSTANCE | EXP18_.SI | EXP18_ | 0 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | IOBERR | 10579 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_FSB_OBUF.UIM | 9308 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9272 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | nBERR_FSB_OBUF.UIM | 10610 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP17_.EXP | 10057 | ? | 0 | 0 | EXP17_ | NULL | NULL | EXP17_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_FALSE | iobs/Sent | IV_TRUE | nBERR_FSB_OBUF.UIM +SIGNAL | NODE | EXP18_.EXP | 11371 | ? | 0 | 0 | EXP18_ | NULL | NULL | EXP18_.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 2 | IV_FALSE | IOBERR | IV_TRUE | nBERR_FSB_OBUF.UIM -MACROCELL_INSTANCE | NULL | EXP18_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 10 | 1 +MACROCELL_INSTANCE | NULL | EXP19_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | iobs/IOU1 | 10606 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | IOU0 | 10640 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBR_IOB_OBUF.EXP | 10074 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.EXP | nBR_IOB_OBUF | 4 | 0 | MC_EXPORT +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_FSB_OBUF.EXP | 11387 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP18_.EXP | 10073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT +NODE | EXP19_.EXP | 11388 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP18_.SI | EXP18_ | 0 | 10 | 1 +SIGNAL_INSTANCE | EXP19_.SI | EXP19_ | 0 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | iobs/IOU1 | 10606 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | IOU0 | 10640 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBR_IOB_OBUF.EXP | 10074 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.EXP | nBR_IOB_OBUF | 4 | 0 | MC_EXPORT +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_FSB_OBUF.EXP | 11387 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP18_.EXP | 10059 | ? | 0 | 0 | EXP18_ | NULL | NULL | EXP18_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | nBR_IOB_OBUF.EXP -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SIGNAL | NODE | EXP19_.EXP | 11373 | ? | 0 | 0 | EXP19_ | NULL | NULL | EXP19_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | nBERR_FSB_OBUF.EXP +SPPTERM | 3 | IV_FALSE | iobs/IOU1 | IV_FALSE | IOU0 | IV_FALSE | ALE1 +SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 + +MACROCELL_INSTANCE | NULL | EXP20_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOL1 | 10604 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOL0 | 10639 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1.EXP | 11391 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.EXP | iobs/IORW1 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP20_.EXP | 11392 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP20_.SI | EXP20_ | 0 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOL1 | 10604 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOL0 | 10639 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1.EXP | 11391 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.EXP | iobs/IORW1 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP20_.EXP | 11377 | ? | 0 | 0 | EXP20_ | NULL | NULL | EXP20_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | iobs/IORW1.EXP +SPPTERM | 3 | IV_FALSE | iobs/IOL1 | IV_FALSE | IOL0 | IV_FALSE | ALE1 +SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 FB_INSTANCE | FOOBAR1_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | iobs/IODONEr | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | iobs/IOACTr | 1 | A_FSB_13_IBUF | 1 | NULL | 0 | 11 | 49152 -FBPIN | 3 | iobm/VPAr | 1 | A_FSB_14_IBUF | 1 | NULL | 0 | 12 | 49152 -FBPIN | 4 | iobm/IOWRREQr | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | iobm/IOS_FSM_FFd5 | 1 | A_FSB_15_IBUF | 1 | NULL | 0 | 13 | 49152 -FBPIN | 6 | iobm/IOS_FSM_FFd4 | 1 | A_FSB_16_IBUF | 1 | NULL | 0 | 14 | 49152 -FBPIN | 7 | iobm/IOS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | iobm/IORDREQr | 1 | A_FSB_17_IBUF | 1 | NULL | 0 | 15 | 49152 -FBPIN | 9 | iobm/Er | 1 | A_FSB_18_IBUF | 1 | NULL | 0 | 16 | 49152 -FBPIN | 10 | iobm/C8Mr | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | cnt/nIPL2r | 1 | A_FSB_19_IBUF | 1 | NULL | 0 | 17 | 49152 -FBPIN | 12 | cnt/Er<0> | 1 | A_FSB_20_IBUF | 1 | NULL | 0 | 18 | 49152 -FBPIN | 13 | ALE0S | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | iobs/IOU1 | 1 | A_FSB_21_IBUF | 1 | NULL | 0 | 19 | 49152 -FBPIN | 15 | iobs/IOL1 | 1 | A_FSB_22_IBUF | 1 | NULL | 0 | 20 | 49152 -FBPIN | 16 | iobm/IOS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | IOBERR | 1 | C16M_IBUF | 0 | NULL | 0 | 22 | 57344 -FBPIN | 18 | iobm/ES<2> | 1 | NULL | 0 | NULL | 0 +FBPIN | 1 | iobs/Clear1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | iobm/Er | 1 | A_FSB_13_IBUF | 1 | NULL | 0 | 11 | 49152 +FBPIN | 3 | cnt/nIPL2r | 1 | A_FSB_14_IBUF | 1 | NULL | 0 | 12 | 49152 +FBPIN | 4 | cnt/Er<1> | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | cnt/Er<0> | 1 | A_FSB_15_IBUF | 1 | NULL | 0 | 13 | 49152 +FBPIN | 6 | ram/RS_FSM_FFd4 | 1 | A_FSB_16_IBUF | 1 | NULL | 0 | 14 | 49152 +FBPIN | 7 | iobs/TS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | iobs/IOU1 | 1 | A_FSB_17_IBUF | 1 | NULL | 0 | 15 | 49152 +FBPIN | 9 | cnt/Timer<0> | 1 | A_FSB_18_IBUF | 1 | NULL | 0 | 16 | 49152 +FBPIN | 10 | cnt/IS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | RefUrg | 1 | A_FSB_19_IBUF | 1 | NULL | 0 | 17 | 49152 +FBPIN | 12 | RefReq | 1 | A_FSB_20_IBUF | 1 | NULL | 0 | 18 | 49152 +FBPIN | 13 | IOPWReady | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | IOBERR | 1 | A_FSB_21_IBUF | 1 | NULL | 0 | 19 | 49152 +FBPIN | 15 | iobm/ES<2> | 1 | A_FSB_22_IBUF | 1 | NULL | 0 | 20 | 49152 +FBPIN | 16 | cnt/Timer<1> | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | cnt/Timer<3> | 1 | C16M_IBUF | 0 | NULL | 0 | 22 | 57344 +FBPIN | 18 | cnt/Timer<2> | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR2_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 6 | NULL | 0 | A_FSB_5_IBUF | 1 | NULL | 0 | 2 | 53248 -FBPIN | 8 | NULL | 0 | A_FSB_6_IBUF | 1 | NULL | 0 | 3 | 53248 -FBPIN | 9 | NULL | 0 | A_FSB_7_IBUF | 1 | NULL | 0 | 4 | 53248 -FBPIN | 11 | NULL | 0 | A_FSB_8_IBUF | 1 | NULL | 0 | 6 | 49152 -FBPIN | 12 | NULL | 0 | A_FSB_9_IBUF | 1 | NULL | 0 | 7 | 49152 -FBPIN | 14 | ram/RS_FSM_FFd5 | 1 | A_FSB_10_IBUF | 1 | NULL | 0 | 8 | 49152 -FBPIN | 15 | ram/RS_FSM_FFd3 | 1 | A_FSB_11_IBUF | 1 | NULL | 0 | 9 | 49152 -FBPIN | 16 | ram/RS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | ram/RS_FSM_FFd1 | 1 | A_FSB_12_IBUF | 1 | NULL | 0 | 10 | 49152 -FBPIN | 18 | ram/RASrf | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | ram/RS_FSM_FFd5 | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | ram/RS_FSM_FFd3 | 1 | NULL | 0 | NULL | 0 | 1 | 53248 +FBPIN | 6 | ram/RS_FSM_FFd2 | 1 | A_FSB_5_IBUF | 1 | NULL | 0 | 2 | 53248 +FBPIN | 7 | ram/RS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | ram/RASrf | 1 | A_FSB_6_IBUF | 1 | NULL | 0 | 3 | 53248 +FBPIN | 9 | iobs/IODONEr | 1 | A_FSB_7_IBUF | 1 | NULL | 0 | 4 | 53248 +FBPIN | 10 | iobs/IOACTr | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | iobm/VPAr | 1 | A_FSB_8_IBUF | 1 | NULL | 0 | 6 | 49152 +FBPIN | 12 | iobm/IOWRREQr | 1 | A_FSB_9_IBUF | 1 | NULL | 0 | 7 | 49152 +FBPIN | 13 | iobm/IOS_FSM_FFd5 | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | iobm/IOS_FSM_FFd4 | 1 | A_FSB_10_IBUF | 1 | NULL | 0 | 8 | 49152 +FBPIN | 15 | iobm/IOS_FSM_FFd1 | 1 | A_FSB_11_IBUF | 1 | NULL | 0 | 9 | 49152 +FBPIN | 16 | iobm/IORDREQr | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | iobm/C8Mr | 1 | A_FSB_12_IBUF | 1 | NULL | 0 | 10 | 49152 +FBPIN | 18 | iobm/IOS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR3_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | ram/BACTr | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | fsb/ASrf | 1 | C8M_IBUF | 1 | NULL | 0 | 23 | 57344 -FBPIN | 3 | cnt/LTimerTC | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | cnt/LTimer<9> | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | cnt/LTimer<8> | 1 | A_FSB_23_IBUF | 1 | NULL | 0 | 24 | 49152 -FBPIN | 6 | cnt/LTimer<7> | 1 | E_IBUF | 1 | NULL | 0 | 25 | 49152 -FBPIN | 7 | cnt/LTimer<6> | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | cnt/LTimer<5> | 1 | FCLK_IBUF | 0 | NULL | 0 | 27 | 57344 +FBPIN | 1 | iobs/Sent | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | ram/RefDone | 1 | C8M_IBUF | 1 | NULL | 0 | 23 | 57344 +FBPIN | 3 | ram/RS_FSM_FFd7 | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | cs/nOverlay | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | ram/RS_FSM_FFd6 | 1 | A_FSB_23_IBUF | 1 | NULL | 0 | 24 | 49152 +FBPIN | 6 | ram/RASrr | 1 | E_IBUF | 1 | NULL | 0 | 25 | 49152 +FBPIN | 7 | ram/RASEL | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | ram/Once | 1 | FCLK_IBUF | 0 | NULL | 0 | 27 | 57344 FBPIN | 9 | nDTACK_FSB_OBUF | 1 | NULL | 0 | nDTACK_FSB | 1 | 28 | 49152 -FBPIN | 10 | cnt/LTimer<4> | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | cnt/LTimer<3> | 1 | nWE_FSB_IBUF | 1 | NULL | 0 | 29 | 49152 -FBPIN | 12 | cnt/LTimer<2> | 1 | nLDS_FSB_IBUF | 1 | NULL | 0 | 30 | 49152 -FBPIN | 13 | cnt/LTimer<1> | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | cnt/LTimer<12> | 1 | nAS_FSB_IBUF | 1 | NULL | 0 | 32 | 49152 -FBPIN | 15 | cnt/LTimer<11> | 1 | nUDS_FSB_IBUF | 1 | NULL | 0 | 33 | 49152 -FBPIN | 16 | cnt/LTimer<10> | 1 | NULL | 0 | NULL | 0 +FBPIN | 10 | RAMReady | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | ram/RS_FSM_FFd8 | 1 | nWE_FSB_IBUF | 1 | NULL | 0 | 29 | 49152 +FBPIN | 12 | ram/RAMEN | 1 | nLDS_FSB_IBUF | 1 | NULL | 0 | 30 | 49152 +FBPIN | 13 | EXP10_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | ram/CAS | 1 | nAS_FSB_IBUF | 1 | NULL | 0 | 32 | 49152 +FBPIN | 15 | iobs/Load1 | 1 | nUDS_FSB_IBUF | 1 | NULL | 0 | 33 | 49152 +FBPIN | 16 | IORDREQ | 1 | NULL | 0 | NULL | 0 FBPIN | 17 | nROMWE_OBUF | 1 | NULL | 0 | nROMWE | 1 | 34 | 49152 -FBPIN | 18 | IOPWReady | 1 | NULL | 0 | NULL | 0 - -FB_INSTANCE | FOOBAR4_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | nRESout | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | nAoutOE_OBUF | 1 | NULL | 0 | nAoutOE | 1 | 87 | 49152 -FBPIN | 3 | ram/RS_FSM_FFd8 | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | iobs/IORW1 | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | nDoutOE_OBUF | 1 | NULL | 0 | nDoutOE | 1 | 89 | 49152 -FBPIN | 6 | nDinOE_OBUF | 1 | NULL | 0 | nDinOE | 1 | 90 | 49152 -FBPIN | 8 | C20MEN_OBUF | 1 | N0 | 1 | nRES | 1 | 91 | 49152 -FBPIN | 9 | IORDREQ | 1 | nIPL2_IBUF | 1 | NULL | 0 | 92 | 49152 -FBPIN | 10 | cs/ODCSr | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | nVPA_FSB_OBUF | 1 | NULL | 0 | nVPA_FSB | 1 | 93 | 49152 -FBPIN | 12 | iobs/Load1 | 1 | A_FSB_1_IBUF | 1 | NULL | 0 | 94 | 49152 -FBPIN | 13 | iobs/TS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | EXP10_ | 1 | A_FSB_2_IBUF | 1 | NULL | 0 | 95 | 49152 -FBPIN | 15 | RAMReady | 1 | A_FSB_3_IBUF | 1 | NULL | 0 | 96 | 49152 -FBPIN | 16 | ram/RS_FSM_FFd7 | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | iobs/Sent | 1 | A_FSB_4_IBUF | 1 | NULL | 0 | 97 | 49152 FBPIN | 18 | EXP11_ | 1 | NULL | 0 | NULL | 0 +FB_INSTANCE | FOOBAR4_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | QoSReady | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | nAoutOE_OBUF | 1 | NULL | 0 | nAoutOE | 1 | 87 | 49152 +FBPIN | 3 | fsb/ASrf | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | cnt/WS<0> | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | nDoutOE_OBUF | 1 | NULL | 0 | nDoutOE | 1 | 89 | 49152 +FBPIN | 6 | nDinOE_OBUF | 1 | NULL | 0 | nDinOE | 1 | 90 | 49152 +FBPIN | 7 | $OpTx$$OpTx$FX_DC$354_INV$541 | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | N0 | 1 | N01 | 1 | nRES | 1 | 91 | 49152 +FBPIN | 9 | iobs/IOL1 | 1 | nIPL2_IBUF | 1 | NULL | 0 | 92 | 49152 +FBPIN | 10 | cs/ODCSr | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | nVPA_FSB_OBUF | 1 | NULL | 0 | nVPA_FSB | 1 | 93 | 49152 +FBPIN | 12 | cnt/WS<2> | 1 | A_FSB_1_IBUF | 1 | NULL | 0 | 94 | 49152 +FBPIN | 13 | cnt/WS<1> | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | IONPReady | 1 | A_FSB_2_IBUF | 1 | NULL | 0 | 95 | 49152 +FBPIN | 15 | cnt/LTimer<1> | 1 | A_FSB_3_IBUF | 1 | NULL | 0 | 96 | 49152 +FBPIN | 16 | cnt/WS<3> | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | nRESout | 1 | A_FSB_4_IBUF | 1 | NULL | 0 | 97 | 49152 +FBPIN | 18 | EXP12_ | 1 | NULL | 0 | NULL | 0 + FB_INSTANCE | FOOBAR5_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | EXP12_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 1 | EXP13_ | 1 | NULL | 0 | NULL | 0 FBPIN | 2 | nROMCS_OBUF | 1 | NULL | 0 | nROMCS | 1 | 35 | 49152 -FBPIN | 3 | ram/RAMEN | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | ram/RASrr | 1 | NULL | 0 | NULL | 0 FBPIN | 5 | nCAS_OBUF | 1 | NULL | 0 | nCAS | 1 | 36 | 49152 FBPIN | 6 | nOE_OBUF | 1 | NULL | 0 | nOE | 1 | 37 | 49152 -FBPIN | 7 | ram/RS_FSM_FFd6 | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | ram/Once | 1 | NULL | 0 | NULL | 0 | 39 | 49152 FBPIN | 9 | RA_4_OBUF | 1 | NULL | 0 | RA<4> | 1 | 40 | 49152 -FBPIN | 10 | ram/RASEL | 1 | NULL | 0 | NULL | 0 FBPIN | 11 | RA_11_OBUF | 1 | NULL | 0 | RA<3> | 1 | 41 | 49152 FBPIN | 12 | RA_5_OBUF | 1 | NULL | 0 | RA<5> | 1 | 42 | 49152 -FBPIN | 13 | cs/nOverlay | 1 | NULL | 0 | NULL | 0 +FBPIN | 13 | cnt/LTimerTC | 1 | NULL | 0 | NULL | 0 FBPIN | 14 | RA_2_OBUF | 1 | NULL | 0 | RA<2> | 1 | 43 | 49152 FBPIN | 15 | RA_6_OBUF | 1 | NULL | 0 | RA<6> | 1 | 46 | 49152 -FBPIN | 16 | ram/RS_FSM_FFd4 | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | ram/RefDone | 1 | NULL | 0 | NULL | 0 | 49 | 49152 -FBPIN | 18 | ram/CAS | 1 | NULL | 0 | NULL | 0 +FBPIN | 16 | ALE0S | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | EXP14_ | 1 | NULL | 0 | NULL | 0 | 49 | 49152 +FBPIN | 18 | cnt/LTimer<0> | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR6_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 FBPIN | 1 | iobm/IOS_FSM_FFd6 | 1 | NULL | 0 | NULL | 0 @@ -7704,44 +8580,44 @@ FBPIN | 17 | nDinLE_OBUF | 1 | NULL | 0 | nDinLE | 1 | 86 | 49152 FBPIN | 18 | IOACT | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR7_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | cnt/LTimer<0> | 1 | NULL | 0 | NULL | 0 +FBPIN | 1 | cnt/LTimer<9> | 1 | NULL | 0 | NULL | 0 FBPIN | 2 | RA_1_OBUF | 1 | NULL | 0 | RA<1> | 1 | 50 | 49152 -FBPIN | 3 | cnt/INITS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | cnt/Er<1> | 1 | NULL | 0 | NULL | 0 +FBPIN | 3 | cnt/LTimer<8> | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | cnt/LTimer<7> | 1 | NULL | 0 | NULL | 0 FBPIN | 5 | RA_7_OBUF | 1 | NULL | 0 | RA<7> | 1 | 52 | 49152 FBPIN | 6 | RA_0_OBUF | 1 | NULL | 0 | RA<0> | 1 | 53 | 49152 -FBPIN | 7 | cnt/TimerTC | 1 | NULL | 0 | NULL | 0 +FBPIN | 7 | cnt/LTimer<6> | 1 | NULL | 0 | NULL | 0 FBPIN | 8 | RA_8_OBUF | 1 | NULL | 0 | RA<8> | 1 | 54 | 49152 FBPIN | 9 | RA_10_OBUF | 1 | NULL | 0 | RA<10> | 1 | 55 | 49152 -FBPIN | 10 | cnt/Timer<0> | 1 | NULL | 0 | NULL | 0 +FBPIN | 10 | cnt/LTimer<5> | 1 | NULL | 0 | NULL | 0 FBPIN | 11 | RA_9_OBUF | 1 | NULL | 0 | RA<9> | 1 | 56 | 49152 FBPIN | 12 | C25MEN_OBUF | 1 | NULL | 0 | C25MEN | 1 | 58 | 49152 -FBPIN | 13 | cnt/INITS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | C20MEN_OBUF$BUF0 | 1 | NULL | 0 | C20MEN | 1 | 59 | 49152 -FBPIN | 15 | RefReq | 1 | NULL | 0 | NULL | 0 | 60 | 49152 -FBPIN | 16 | cnt/Timer<1> | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | cnt/Timer<2> | 1 | NULL | 0 | NULL | 0 | 61 | 49152 -FBPIN | 18 | RefUrg | 1 | NULL | 0 | NULL | 0 +FBPIN | 13 | cnt/LTimer<4> | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | cnt/LTimer<3> | 1 | NULL | 0 | NULL | 0 | 59 | 49152 +FBPIN | 15 | cnt/LTimer<2> | 1 | NULL | 0 | NULL | 0 | 60 | 49152 +FBPIN | 16 | cnt/LTimer<11> | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | cnt/LTimer<10> | 1 | NULL | 0 | NULL | 0 | 61 | 49152 +FBPIN | 18 | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR8_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | EXP13_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 1 | IOL0 | 1 | NULL | 0 | NULL | 0 FBPIN | 2 | RA_11_OBUF$BUF0 | 1 | NULL | 0 | RA<11> | 1 | 63 | 49152 -FBPIN | 3 | EXP14_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | iobs/TS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 +FBPIN | 3 | iobs/TS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | EXP15_ | 1 | NULL | 0 | NULL | 0 FBPIN | 5 | nRAS_OBUF | 1 | NULL | 0 | nRAS | 1 | 64 | 49152 FBPIN | 6 | nRAMLWE_OBUF | 1 | NULL | 0 | nRAMLWE | 1 | 65 | 49152 -FBPIN | 7 | EXP15_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 7 | EXP16_ | 1 | NULL | 0 | NULL | 0 FBPIN | 8 | nRAMUWE_OBUF | 1 | NULL | 0 | nRAMUWE | 1 | 66 | 49152 FBPIN | 9 | IOWRREQ | 1 | NULL | 0 | NULL | 0 | 67 | 49152 -FBPIN | 10 | EXP16_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | EXP17_ | 1 | NULL | 0 | NULL | 0 | 68 | 49152 +FBPIN | 10 | EXP17_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | EXP18_ | 1 | NULL | 0 | NULL | 0 | 68 | 49152 FBPIN | 12 | nBERR_FSB_OBUF | 1 | NULL | 0 | nBERR_FSB | 1 | 70 | 49152 -FBPIN | 13 | IOU0 | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | EXP18_ | 1 | NULL | 0 | NULL | 0 | 71 | 49152 +FBPIN | 13 | EXP19_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | IOU0 | 1 | NULL | 0 | NULL | 0 | 71 | 49152 FBPIN | 15 | nBR_IOB_OBUF | 1 | NULL | 0 | nBR_IOB | 1 | 72 | 49152 -FBPIN | 16 | iobs/Clear1 | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | IONPReady | 1 | NULL | 0 | NULL | 0 | 73 | 49152 -FBPIN | 18 | IOL0 | 1 | NULL | 0 | NULL | 0 +FBPIN | 16 | cnt/IS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | iobs/IORW1 | 1 | NULL | 0 | NULL | 0 | 73 | 49152 +FBPIN | 18 | EXP20_ | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | INPUTPINS_FOOBAR9_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 @@ -7749,85 +8625,98 @@ BUSINFO | A_FSB<23:1> | 23 | 0 | 0 | A_FSB<10> | 13 | A_FSB<11> | 12 | A_FSB<12> BUSINFO | RA<11:0> | 12 | 0 | 1 | RA<0> | 11 | RA<10> | 1 | RA<11> | 0 | RA<1> | 10 | RA<2> | 9 | RA<3> | 8 | RA<4> | 7 | RA<5> | 6 | RA<6> | 5 | RA<7> | 4 | RA<8> | 3 | RA<9> | 2 BUSINFO | SW<3:1> | 3 | 0 | 0 | SW<1> | 2 | SW<2> | 1 | SW<3> | 0 -FB_ORDER_OF_INPUTS | FOOBAR1_ | 0 | nLDS_FSB | 30 | 3 | iobs/TS_FSM_FFd2 | NULL | 4 | iobm/IOS_FSM_FFd5 | NULL | 6 | iobm/ES<1> | NULL | 8 | IOWRREQ | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 9 | IODONE | NULL | 11 | nAS_IOBout | NULL | 12 | C8M | 23 | 15 | nBERR_IOB | 76 | 16 | E | 25 -FB_ORDER_OF_INPUTS | FOOBAR1_ | 17 | IOACT | NULL | 20 | iobm/IOS_FSM_FFd3 | NULL | 24 | iobm/IOS_FSM_FFd6 | NULL | 27 | nUDS_FSB | 33 | 28 | iobm/ES<0> | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 33 | iobm/Er | NULL | 34 | iobm/ES<2> | NULL | 38 | IORDREQ | NULL | 41 | nVPA_IOB | 77 | 45 | IOBERR | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 49 | nIPL2 | 92 | 50 | iobm/IOS_FSM_FFd2 | NULL | 51 | iobm/C8Mr | NULL | 53 | iobs/Load1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 1 | ram/RefDone | NULL | 2 | iobs/TS_FSM_FFd2 | NULL | 3 | cnt/Er<1> | NULL | 4 | cnt/Er<0> | NULL | 6 | iobs/TS_FSM_FFd1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 8 | cnt/Timer<0> | NULL | 12 | nUDS_FSB | 33 | 13 | ALE1 | NULL | 14 | iobs/Load1 | NULL | 15 | cnt/Timer<1> | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 16 | cnt/Timer<3> | NULL | 17 | cnt/Timer<2> | NULL | 20 | nIPL2 | 92 | 22 | iobm/Er | NULL | 27 | ram/RS_FSM_FFd5 | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 28 | iobm/ES<0> | NULL | 30 | cnt/IS_FSM_FFd1 | NULL | 32 | iobm/ES<1> | NULL | 33 | E | 25 | 36 | ram/RS_FSM_FFd1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 37 | cnt/LTimerTC | NULL | 38 | iobs/IOACTr | NULL | 39 | RefUrg | NULL | 42 | iobs/Clear1 | NULL | 46 | nBERR_IOB | 76 +FB_ORDER_OF_INPUTS | FOOBAR1_ | 49 | iobm/ES<2> | NULL | 51 | cnt/IS_FSM_FFd2 | NULL | 52 | nAS_IOBout | NULL -FB_IMUX_INDEX | FOOBAR1_ | 260 | -1 | -1 | 129 | 4 | -1 | 96 | -1 | 134 | 99 | -1 | 101 | 238 | -1 | -1 | 175 | 242 | 107 | -1 | -1 | 93 | -1 | -1 | -1 | 90 | -1 | -1 | 272 | 94 | -1 | -1 | -1 | -1 | 8 | 17 | -1 | -1 | -1 | 62 | -1 | -1 | 171 | -1 | -1 | -1 | 16 | -1 | -1 | -1 | 150 | 15 | 9 | -1 | 65 +FB_IMUX_INDEX | FOOBAR1_ | -1 | 37 | 128 | 3 | 4 | -1 | 6 | -1 | 8 | -1 | -1 | -1 | 272 | 103 | 50 | 15 | 16 | 17 | -1 | -1 | 150 | -1 | 1 | -1 | -1 | -1 | -1 | 21 | 94 | -1 | 141 | -1 | 96 | 242 | -1 | -1 | 24 | 84 | 27 | 10 | -1 | -1 | 0 | -1 | -1 | -1 | 175 | -1 | -1 | 14 | -1 | 9 | 101 | -1 -FB_ORDER_OF_INPUTS | FOOBAR2_ | 15 | ram/RS_FSM_FFd7 | NULL | 37 | ram/RS_FSM_FFd3 | NULL | 50 | ram/RS_FSM_FFd6 | NULL | 52 | ram/RS_FSM_FFd2 | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 0 | iobm/IOS_FSM_FFd6 | NULL | 4 | ram/RS_FSM_FFd3 | NULL | 5 | ram/RS_FSM_FFd2 | NULL | 8 | IOWRREQ | NULL | 9 | IODONE | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 11 | nVPA_IOB | 77 | 12 | C8M | 23 | 13 | IOBERR | NULL | 17 | IOACT | NULL | 33 | iobm/IOS_FSM_FFd3 | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 39 | ram/RS_FSM_FFd7 | NULL | 40 | iobm/IOS_FSM_FFd2 | NULL | 46 | iobm/C8Mr | NULL | 47 | iobm/IOS_FSM_FFd5 | NULL | 51 | ram/RS_FSM_FFd6 | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 52 | IORDREQ | NULL -FB_IMUX_INDEX | FOOBAR2_ | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 69 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 32 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 78 | -1 | 33 | -1 +FB_IMUX_INDEX | FOOBAR2_ | 90 | -1 | -1 | -1 | 22 | 23 | -1 | -1 | 134 | 99 | -1 | 171 | 238 | 13 | -1 | -1 | -1 | 107 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 93 | -1 | -1 | -1 | -1 | -1 | 38 | 35 | -1 | -1 | -1 | -1 | -1 | 34 | 30 | -1 | -1 | -1 | 40 | 51 | -1 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 0 | A_FSB<20> | 18 | 1 | A_FSB<14> | 12 | 3 | cnt/LTimer<9> | NULL | 4 | cnt/LTimer<8> | NULL | 5 | cnt/LTimer<7> | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 6 | cnt/LTimer<6> | NULL | 7 | cnt/LTimer<5> | NULL | 8 | nAS_FSB | 32 | 9 | cnt/LTimer<4> | NULL | 10 | cnt/LTimer<3> | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 11 | cnt/Er<0> | NULL | 12 | cnt/LTimer<1> | NULL | 13 | cnt/LTimer<12> | NULL | 14 | cnt/LTimer<11> | NULL | 15 | cnt/LTimer<10> | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 16 | IONPReady | NULL | 17 | IOPWReady | NULL | 18 | A_FSB<19> | 17 | 19 | cs/nOverlay | NULL | 27 | fsb/ASrf | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 28 | nWE_FSB | 29 | 30 | iobs/Clear1 | NULL | 31 | RAMReady | NULL | 36 | A_FSB<23> | 24 | 37 | A_FSB<13> | 11 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 39 | A_FSB<22> | 20 | 45 | A_FSB<18> | 16 | 46 | ALE1 | NULL | 47 | A_FSB<21> | 19 | 48 | cnt/LTimer<2> | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 49 | A_FSB<17> | 15 | 50 | cnt/LTimer<0> | NULL | 51 | cnt/Er<1> | NULL | 52 | cnt/TimerTC | NULL | 53 | A_FSB<16> | 14 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 0 | iobs/Sent | NULL | 1 | ram/RefDone | NULL | 2 | ram/RS_FSM_FFd7 | NULL | 3 | ram/RS_FSM_FFd5 | NULL | 4 | nRES | 91 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 5 | ram/RS_FSM_FFd4 | NULL | 7 | ram/Once | NULL | 8 | nAS_FSB | 32 | 9 | iobs/IOACTr | NULL | 10 | RefUrg | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 11 | RefReq | NULL | 12 | IOPWReady | NULL | 13 | ALE1 | NULL | 15 | IORDREQ | NULL | 16 | iobs/IORW1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 18 | RAMReady | NULL | 19 | A_FSB<20> | 18 | 21 | A_FSB<19> | 17 | 23 | A_FSB<23> | 24 | 25 | ram/RS_FSM_FFd3 | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 28 | nWE_FSB | 29 | 33 | fsb/ASrf | NULL | 34 | ram/RAMEN | NULL | 35 | A_FSB<14> | 12 | 36 | cs/ODCSr | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 37 | iobs/TS_FSM_FFd2 | NULL | 38 | cs/nOverlay | NULL | 39 | A_FSB<22> | 20 | 41 | ram/RS_FSM_FFd8 | NULL | 43 | iobs/TS_FSM_FFd1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 44 | A_FSB<13> | 11 | 45 | IONPReady | NULL | 47 | A_FSB<21> | 19 | 48 | ram/RS_FSM_FFd2 | NULL | 49 | A_FSB<17> | 15 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 50 | A_FSB<18> | 16 | 51 | ram/RS_FSM_FFd6 | NULL | 52 | QoSReady | NULL | 53 | A_FSB<16> | 14 -FB_IMUX_INDEX | FOOBAR3_ | 226 | 210 | -1 | 39 | 40 | 41 | 42 | 43 | 268 | 45 | 46 | 11 | 48 | 49 | 50 | 51 | 142 | 53 | 222 | 84 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 37 | 256 | -1 | 141 | 68 | -1 | -1 | -1 | -1 | 240 | 208 | -1 | 230 | -1 | -1 | -1 | -1 | -1 | 218 | 103 | 228 | 47 | 216 | 108 | 111 | 114 | 214 +FB_IMUX_INDEX | FOOBAR3_ | 36 | 37 | 38 | 21 | 148 | 5 | -1 | 43 | 268 | 27 | 10 | 11 | 12 | 103 | -1 | 51 | 142 | -1 | 45 | 226 | -1 | 222 | -1 | 240 | -1 | 22 | -1 | -1 | 256 | -1 | -1 | -1 | -1 | 56 | 47 | 210 | 63 | 128 | 39 | 230 | -1 | 46 | -1 | 6 | 208 | 67 | -1 | 228 | 23 | 216 | 218 | 40 | 54 | 214 -FB_ORDER_OF_INPUTS | FOOBAR4_ | 0 | ram/BACTr | NULL | 1 | iobs/IOACTr | NULL | 2 | A_FSB<21> | 19 | 3 | iobm/IOWRREQr | NULL | 4 | A_FSB<22> | 20 -FB_ORDER_OF_INPUTS | FOOBAR4_ | 5 | A_FSB<16> | 14 | 7 | A_FSB<17> | 15 | 8 | IORDREQ | NULL | 9 | A_FSB<18> | 16 | 12 | iobs/TS_FSM_FFd1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 13 | ALE1 | NULL | 14 | nBR_IOB_OBUF | NULL | 15 | ram/RS_FSM_FFd4 | NULL | 16 | iobs/Sent | NULL | 17 | RefUrg | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 19 | cs/nOverlay | NULL | 21 | A_FSB<19> | 17 | 23 | ram/RS_FSM_FFd8 | NULL | 25 | RefReq | NULL | 27 | fsb/ASrf | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 28 | nWE_FSB | 29 | 30 | A_FSB<20> | 18 | 31 | RAMReady | NULL | 33 | nAS_FSB | 32 | 34 | iobm/DoutOE | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 35 | A_FSB<14> | 12 | 36 | A_FSB<23> | 24 | 37 | A_FSB<13> | 11 | 39 | ram/RAMEN | NULL | 42 | iobs/IORW1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 43 | iobm/IOS0 | NULL | 44 | cnt/INITS_FSM_FFd1 | NULL | 45 | iobs/TS_FSM_FFd2 | NULL | 47 | IONPReady | NULL | 48 | cnt/INITS_FSM_FFd2 | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 49 | ram/RefDone | NULL | 51 | AoutOE | NULL | 52 | nRESout | NULL | 53 | iobm/IORDREQr | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 0 | iobs/Sent | NULL | 1 | AoutOE | NULL | 2 | fsb/ASrf | NULL | 3 | cnt/WS<0> | NULL | 4 | A_FSB<9> | 7 +FB_ORDER_OF_INPUTS | FOOBAR4_ | 5 | A_FSB<16> | 14 | 6 | $OpTx$$OpTx$FX_DC$354_INV$541.UIM | NULL | 7 | iobm/DoutOE | NULL | 8 | iobs/IODONEr | NULL | 9 | cnt/IS_FSM_FFd2 | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 10 | A_FSB<11> | 9 | 11 | iobm/IOWRREQr | NULL | 12 | cnt/WS<1> | NULL | 13 | IONPReady | NULL | 14 | iobs/Load1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 15 | iobm/IORDREQr | NULL | 16 | nRESout | NULL | 17 | cnt/LTimer<0> | NULL | 19 | A_FSB<20> | 18 | 21 | A_FSB<19> | 17 +FB_ORDER_OF_INPUTS | FOOBAR4_ | 22 | A_FSB<12> | 10 | 28 | nWE_FSB | 29 | 29 | iobm/IOS0 | NULL | 30 | cnt/IS_FSM_FFd1 | NULL | 31 | cnt/LTimer<1> | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 33 | nAS_FSB | 32 | 34 | A_FSB<17> | 15 | 35 | A_FSB<14> | 12 | 36 | A_FSB<23> | 24 | 37 | nLDS_FSB | 30 +FB_ORDER_OF_INPUTS | FOOBAR4_ | 39 | A_FSB<22> | 20 | 40 | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | NULL | 42 | nBR_IOB_OBUF | NULL | 43 | A_FSB<10> | 8 | 44 | A_FSB<13> | 11 +FB_ORDER_OF_INPUTS | FOOBAR4_ | 45 | A_FSB<18> | 16 | 46 | A_FSB<15> | 13 | 47 | A_FSB<21> | 19 | 49 | A_FSB<8> | 6 | 50 | cnt/WS<3> | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 52 | QoSReady | NULL | 53 | cnt/WS<2> | NULL -FB_IMUX_INDEX | FOOBAR4_ | 36 | 1 | 228 | 3 | 230 | 214 | -1 | 216 | 62 | 218 | -1 | -1 | 66 | 103 | 140 | 87 | 70 | 125 | -1 | 84 | -1 | 222 | -1 | 56 | -1 | 122 | -1 | 37 | 256 | -1 | 226 | 68 | -1 | 268 | 97 | 210 | 240 | 208 | -1 | 74 | -1 | -1 | 57 | 102 | 110 | 129 | -1 | 142 | 120 | 88 | -1 | 55 | 54 | 7 +FB_IMUX_INDEX | FOOBAR4_ | 36 | 55 | 56 | 57 | 196 | 214 | 60 | 97 | 26 | 9 | 202 | 29 | 66 | 67 | 50 | 33 | 70 | 89 | -1 | 226 | -1 | 222 | 206 | -1 | -1 | -1 | -1 | -1 | 256 | 102 | 141 | 68 | -1 | 268 | 216 | 210 | 240 | 260 | -1 | 230 | 125 | -1 | 140 | 198 | 208 | 218 | 212 | 228 | -1 | 194 | 69 | -1 | 54 | 65 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 0 | A_FSB<20> | 18 | 1 | fsb/ASrf | NULL | 2 | A_FSB<21> | 19 | 4 | A_FSB<22> | 20 | 5 | A_FSB<16> | 14 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 6 | ram/RS_FSM_FFd6 | NULL | 7 | ram/Once | NULL | 8 | nAS_FSB | 32 | 9 | cs/ODCSr | NULL | 10 | A_FSB<11> | 9 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 12 | cs/nOverlay | NULL | 13 | ram/RS_FSM_FFd5 | NULL | 14 | A_FSB<7> | 4 | 15 | ram/RS_FSM_FFd2 | NULL | 16 | ram/RS_FSM_FFd1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR5_ | 17 | ram/CAS | NULL | 18 | A_FSB<19> | 17 | 21 | A_FSB<3> | 96 | 23 | A_FSB<23> | 24 | 25 | RefReq | NULL -FB_ORDER_OF_INPUTS | FOOBAR5_ | 28 | nWE_FSB | 29 | 30 | ram/RASEL | NULL | 32 | ram/RS_FSM_FFd3 | NULL | 33 | ram/RS_FSM_FFd8 | NULL | 34 | A_FSB<5> | 2 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 36 | A_FSB<4> | 97 | 37 | A_FSB<13> | 11 | 39 | ram/RAMEN | NULL | 40 | RefUrg | NULL | 42 | A_FSB<12> | 10 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 43 | ram/RS_FSM_FFd4 | NULL | 44 | ram/BACTr | NULL | 49 | ram/RefDone | NULL | 50 | ram/RS_FSM_FFd7 | NULL | 51 | nRES | 91 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 0 | cnt/LTimer<9> | NULL | 1 | A_FSB<14> | 12 | 2 | cnt/LTimer<8> | NULL | 3 | cs/nOverlay | NULL | 4 | A_FSB<22> | 20 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 5 | A_FSB<16> | 14 | 6 | ram/RASEL | NULL | 7 | A_FSB<17> | 15 | 8 | A_FSB<3> | 96 | 9 | cnt/LTimer<5> | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 10 | A_FSB<11> | 9 | 12 | A_FSB<4> | 97 | 13 | ram/CAS | NULL | 14 | cnt/LTimer<1> | NULL | 15 | cnt/LTimer<11> | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 16 | cnt/LTimer<10> | NULL | 17 | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | NULL | 19 | A_FSB<20> | 18 | 21 | A_FSB<19> | 17 | 22 | A_FSB<12> | 10 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 23 | A_FSB<23> | 24 | 24 | A_FSB<15> | 13 | 27 | cnt/LTimer<7> | NULL | 28 | nWE_FSB | 29 | 30 | cnt/IS_FSM_FFd1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 33 | fsb/ASrf | NULL | 34 | A_FSB<5> | 2 | 36 | nAS_FSB | 32 | 37 | iobs/TS_FSM_FFd2 | NULL | 39 | cnt/LTimer<2> | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 40 | A_FSB<7> | 4 | 42 | cnt/LTimer<3> | NULL | 43 | A_FSB<10> | 8 | 44 | A_FSB<13> | 11 | 45 | A_FSB<18> | 16 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 46 | cnt/LTimer<0> | NULL | 47 | A_FSB<21> | 19 | 48 | cnt/LTimer<4> | NULL | 49 | A_FSB<8> | 6 | 51 | cnt/IS_FSM_FFd2 | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 52 | cnt/LTimer<6> | NULL | 53 | A_FSB<9> | 7 -FB_IMUX_INDEX | FOOBAR5_ | 226 | 37 | 228 | -1 | 230 | 214 | 78 | 79 | 268 | 63 | 202 | -1 | 84 | 31 | 190 | 33 | 34 | 89 | 222 | -1 | -1 | 168 | -1 | 240 | -1 | 122 | -1 | -1 | 256 | -1 | 81 | -1 | 32 | 56 | 182 | -1 | 172 | 208 | -1 | 74 | 125 | -1 | 206 | 87 | 36 | -1 | -1 | -1 | -1 | 88 | 69 | 148 | -1 | -1 +FB_IMUX_INDEX | FOOBAR5_ | 108 | 210 | 110 | 39 | 230 | 214 | 42 | 216 | 168 | 117 | 202 | -1 | 172 | 49 | 68 | 123 | 124 | 125 | -1 | 226 | -1 | 222 | 206 | 240 | 212 | -1 | -1 | 111 | 256 | -1 | 141 | -1 | -1 | 56 | 182 | -1 | 268 | 128 | -1 | 122 | 190 | -1 | 121 | 198 | 208 | 218 | 89 | 228 | 120 | 194 | -1 | 9 | 114 | 196 -FB_ORDER_OF_INPUTS | FOOBAR6_ | 0 | iobm/IOS_FSM_FFd6 | NULL | 1 | AoutOE | NULL | 2 | iobm/VPAr | NULL | 3 | iobm/IOS_FSM_FFd3 | NULL | 4 | nRES | 91 -FB_ORDER_OF_INPUTS | FOOBAR6_ | 5 | iobm/IOS_FSM_FFd4 | NULL | 6 | iobm/IOS_FSM_FFd1 | NULL | 7 | iobm/IORDREQr | NULL | 8 | iobm/Er | NULL | 9 | iobm/C8Mr | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 10 | nUDS_IOBout | NULL | 11 | iobs/Load1 | NULL | 12 | ALE0S | NULL | 15 | iobm/IOS_FSM_FFd2 | NULL | 16 | IOBERR | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 17 | iobm/ES<2> | NULL | 21 | IOL0 | NULL | 23 | IOU0 | NULL | 27 | nDTACK_IOB | 78 | 28 | iobm/ES<0> | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 30 | iobs/Clear1 | NULL | 32 | iobm/ES<1> | NULL | 33 | E | 25 | 34 | iobm/DoutOE | NULL | 36 | iobm/IOS_FSM_FFd5 | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 38 | ALE0M | NULL | 39 | iobm/ES<3> | NULL | 40 | IODONE | NULL | 41 | nLDS_IOBout | NULL | 43 | iobm/IOS0 | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 44 | nVMA_IOBout | NULL | 47 | iobm/IOWRREQr | NULL | 49 | ALE1 | NULL | 51 | iobm/IOS_FSM_FFd7 | NULL | 52 | nAS_IOBout | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 0 | iobs/Clear1 | NULL | 1 | iobm/Er | NULL | 2 | iobm/IOS_FSM_FFd7 | NULL | 3 | iobm/IOS_FSM_FFd3 | NULL | 4 | iobm/ES<0> | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 5 | iobm/ES<3> | NULL | 6 | iobm/ES<1> | NULL | 7 | nDTACK_IOB | 78 | 8 | nLDS_IOBout | NULL | 9 | IODONE | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 10 | iobm/VPAr | NULL | 11 | nAS_IOBout | NULL | 12 | iobm/IOS_FSM_FFd5 | NULL | 13 | iobm/IOS_FSM_FFd4 | NULL | 14 | iobm/ES<2> | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 15 | ALE0S | NULL | 16 | iobm/C8Mr | NULL | 17 | iobm/IOS_FSM_FFd2 | NULL | 20 | IOL0 | NULL | 24 | iobm/IOS_FSM_FFd6 | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 25 | IOU0 | NULL | 27 | nUDS_IOBout | NULL | 29 | iobm/IOS0 | NULL | 33 | E | 25 | 34 | iobm/DoutOE | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 37 | iobm/IOS_FSM_FFd1 | NULL | 38 | ALE0M | NULL | 41 | nRES | 91 | 42 | iobs/Load1 | NULL | 43 | iobm/IOWRREQr | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 44 | nVMA_IOBout | NULL | 46 | ALE1 | NULL | 48 | IOBERR | NULL | 51 | AoutOE | NULL | 52 | iobm/IORDREQr | NULL FB_ORDER_OF_INPUTS | FOOBAR6_ | 53 | IOACT | NULL -FB_IMUX_INDEX | FOOBAR6_ | 90 | 55 | 2 | 93 | 148 | 5 | 6 | 7 | 8 | 9 | 100 | 65 | 12 | -1 | -1 | 15 | 16 | 17 | -1 | -1 | -1 | 143 | -1 | 138 | -1 | -1 | -1 | 167 | 94 | -1 | 141 | -1 | 96 | 242 | 97 | -1 | 4 | -1 | 105 | 95 | 99 | 98 | -1 | 102 | 91 | -1 | -1 | 3 | -1 | 103 | -1 | 92 | 101 | 107 +FB_IMUX_INDEX | FOOBAR6_ | 0 | 1 | 92 | 93 | 94 | 95 | 96 | 167 | 98 | 99 | 28 | 101 | 30 | 31 | 14 | 87 | 34 | 35 | -1 | -1 | 126 | -1 | -1 | -1 | 90 | 139 | -1 | 100 | -1 | 102 | -1 | -1 | -1 | 242 | 97 | -1 | -1 | 32 | 105 | -1 | -1 | 148 | 50 | 29 | 91 | -1 | 103 | -1 | 13 | -1 | -1 | 55 | 33 | 107 -FB_ORDER_OF_INPUTS | FOOBAR7_ | 2 | A_FSB<8> | 6 | 3 | cnt/Er<1> | NULL | 4 | A_FSB<2> | 95 | 6 | cnt/TimerTC | NULL | 7 | A_FSB<17> | 15 -FB_ORDER_OF_INPUTS | FOOBAR7_ | 9 | ram/RASEL | NULL | 10 | A_FSB<6> | 3 | 12 | cnt/INITS_FSM_FFd2 | NULL | 15 | cnt/Timer<1> | NULL | 16 | cnt/Timer<2> | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 17 | RefUrg | NULL | 32 | cnt/LTimerTC | NULL | 35 | A_FSB<14> | 12 | 39 | cnt/nIPL2r | NULL | 40 | A_FSB<7> | 4 -FB_ORDER_OF_INPUTS | FOOBAR7_ | 41 | cnt/Er<0> | NULL | 43 | A_FSB<10> | 8 | 44 | cnt/INITS_FSM_FFd1 | NULL | 45 | A_FSB<1> | 94 | 46 | A_FSB<15> | 13 -FB_ORDER_OF_INPUTS | FOOBAR7_ | 47 | A_FSB<21> | 19 | 49 | cnt/Timer<0> | NULL | 50 | A_FSB<18> | 16 | 53 | A_FSB<9> | 7 +FB_ORDER_OF_INPUTS | FOOBAR7_ | 0 | A_FSB<20> | 18 | 2 | fsb/ASrf | NULL | 3 | cnt/Er<1> | NULL | 4 | cnt/Er<0> | NULL | 5 | A_FSB<16> | 14 +FB_ORDER_OF_INPUTS | FOOBAR7_ | 6 | cnt/LTimer<6> | NULL | 8 | nAS_FSB | 32 | 9 | A_FSB<18> | 16 | 10 | RefUrg | NULL | 12 | cnt/LTimer<4> | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 13 | cnt/LTimer<3> | NULL | 14 | cnt/LTimer<2> | NULL | 15 | cnt/LTimer<11> | NULL | 16 | A_FSB<13> | 11 | 17 | cnt/LTimer<0> | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 21 | A_FSB<19> | 17 | 22 | A_FSB<12> | 10 | 23 | A_FSB<23> | 24 | 24 | cnt/LTimer<5> | NULL | 26 | A_FSB<6> | 3 +FB_ORDER_OF_INPUTS | FOOBAR7_ | 27 | cnt/LTimer<7> | NULL | 28 | nWE_FSB | 29 | 29 | cnt/LTimer<10> | NULL | 30 | cnt/IS_FSM_FFd1 | NULL | 31 | cnt/LTimer<1> | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 33 | ram/RASEL | NULL | 34 | A_FSB<17> | 15 | 35 | A_FSB<14> | 12 | 39 | A_FSB<22> | 20 | 40 | A_FSB<7> | 4 +FB_ORDER_OF_INPUTS | FOOBAR7_ | 43 | A_FSB<10> | 8 | 44 | cnt/LTimer<8> | NULL | 45 | A_FSB<1> | 94 | 46 | A_FSB<15> | 13 | 47 | A_FSB<21> | 19 +FB_ORDER_OF_INPUTS | FOOBAR7_ | 48 | A_FSB<2> | 95 | 49 | A_FSB<8> | 6 | 50 | cnt/LTimer<9> | NULL | 51 | cnt/IS_FSM_FFd2 | NULL | 52 | A_FSB<11> | 9 +FB_ORDER_OF_INPUTS | FOOBAR7_ | 53 | A_FSB<9> | 7 -FB_IMUX_INDEX | FOOBAR7_ | -1 | -1 | 194 | 111 | 164 | -1 | 114 | 216 | -1 | 81 | 186 | -1 | 120 | -1 | -1 | 123 | 124 | 125 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 38 | -1 | -1 | 210 | -1 | -1 | -1 | 10 | 190 | 11 | -1 | 198 | 110 | 156 | 212 | 228 | -1 | 117 | 218 | -1 | -1 | 196 +FB_IMUX_INDEX | FOOBAR7_ | 226 | -1 | 56 | 3 | 4 | 214 | 114 | -1 | 268 | 218 | 10 | -1 | 120 | 121 | 122 | 123 | 208 | 89 | -1 | -1 | -1 | 222 | 206 | 240 | 117 | -1 | 186 | 111 | 256 | 124 | 141 | 68 | -1 | 42 | 216 | 210 | -1 | -1 | -1 | 230 | 190 | -1 | -1 | 198 | 110 | 156 | 212 | 228 | 164 | 194 | 108 | 9 | 202 | 196 -FB_ORDER_OF_INPUTS | FOOBAR8_ | 0 | iobs/IODONEr | NULL | 1 | fsb/ASrf | NULL | 2 | A_FSB<21> | 19 | 3 | iobs/IORW1 | NULL | 7 | A_FSB<17> | 15 -FB_ORDER_OF_INPUTS | FOOBAR8_ | 8 | IOWRREQ | NULL | 9 | ram/RASEL | NULL | 10 | cnt/nIPL2r | NULL | 11 | nBERR_FSB_OBUF.UIM | NULL | 12 | cs/nOverlay | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 13 | iobs/IOU1 | NULL | 14 | iobs/IOL1 | NULL | 16 | A_FSB<13> | 11 | 17 | ram/RASrf | NULL | 18 | A_FSB<19> | 17 -FB_ORDER_OF_INPUTS | FOOBAR8_ | 20 | ram/RAMEN | NULL | 21 | IOL0 | NULL | 22 | iobs/IOACTr | NULL | 28 | nWE_FSB | 29 | 29 | nLDS_FSB | 30 -FB_ORDER_OF_INPUTS | FOOBAR8_ | 30 | A_FSB<20> | 18 | 32 | IOU0 | NULL | 33 | nAS_FSB | 32 | 34 | iobs/TS_FSM_FFd2 | NULL | 35 | A_FSB<14> | 12 -FB_ORDER_OF_INPUTS | FOOBAR8_ | 36 | A_FSB<23> | 24 | 37 | iobs/Sent | NULL | 39 | A_FSB<22> | 20 | 42 | nBR_IOB_OBUF | NULL | 44 | cnt/INITS_FSM_FFd1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 45 | IOBERR | NULL | 46 | ALE1 | NULL | 47 | IONPReady | NULL | 48 | cnt/INITS_FSM_FFd2 | NULL | 49 | iobs/TS_FSM_FFd1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 50 | A_FSB<18> | 16 | 51 | nUDS_FSB | 33 | 52 | ram/RASrr | NULL | 53 | A_FSB<16> | 14 +FB_ORDER_OF_INPUTS | FOOBAR8_ | 0 | IOL0 | NULL | 1 | A_FSB<14> | 12 | 2 | A_FSB<21> | 19 | 3 | cs/nOverlay | NULL | 4 | A_FSB<22> | 20 +FB_ORDER_OF_INPUTS | FOOBAR8_ | 5 | ram/RASrr | NULL | 6 | iobs/TS_FSM_FFd1 | NULL | 7 | iobs/IOU1 | NULL | 8 | nAS_FSB | 32 | 9 | cnt/IS_FSM_FFd2 | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 11 | ram/RAMEN | NULL | 12 | cnt/LTimerTC | NULL | 13 | IOBERR | NULL | 14 | A_FSB<23> | 24 | 15 | cnt/IS_FSM_FFd1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 16 | A_FSB<13> | 11 | 19 | A_FSB<20> | 18 | 20 | A_FSB<16> | 14 | 21 | A_FSB<19> | 17 | 22 | iobs/IOL1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 23 | fsb/ASrf | NULL | 27 | cnt/nIPL2r | NULL | 28 | nWE_FSB | 29 | 29 | nLDS_FSB | 30 | 33 | ram/RASEL | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 34 | ram/RASrf | NULL | 35 | nBERR_FSB_OBUF.UIM | NULL | 36 | cnt/Er<0> | NULL | 37 | iobs/TS_FSM_FFd2 | NULL | 38 | iobs/IOACTr | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 39 | RefUrg | NULL | 42 | nBR_IOB_OBUF | NULL | 43 | IOU0 | NULL | 44 | iobs/Sent | NULL | 45 | A_FSB<18> | 16 +FB_ORDER_OF_INPUTS | FOOBAR8_ | 46 | ALE1 | NULL | 47 | cnt/Er<1> | NULL | 49 | A_FSB<17> | 15 | 51 | nUDS_FSB | 33 | 52 | iobs/IORW1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 53 | IOWRREQ | NULL -FB_IMUX_INDEX | FOOBAR8_ | 0 | 37 | 228 | 57 | -1 | -1 | -1 | 216 | 134 | 81 | 10 | 137 | 84 | 13 | 14 | -1 | 208 | 35 | 222 | -1 | 74 | 143 | 1 | -1 | -1 | -1 | -1 | -1 | 256 | 260 | 226 | -1 | 138 | 268 | 129 | 210 | 240 | 70 | -1 | 230 | -1 | -1 | 140 | -1 | 110 | 16 | 103 | 142 | 120 | 66 | 218 | 272 | 75 | 214 +FB_IMUX_INDEX | FOOBAR8_ | 126 | 210 | 228 | 39 | 230 | 41 | 6 | 7 | 268 | 9 | -1 | 47 | 84 | 13 | 240 | 141 | 208 | -1 | -1 | 226 | 214 | 222 | 62 | 56 | -1 | -1 | -1 | 2 | 256 | 260 | -1 | -1 | -1 | 42 | 25 | 137 | 4 | 128 | 27 | 10 | -1 | -1 | 140 | 139 | 36 | 218 | 103 | 3 | -1 | 216 | -1 | 272 | 142 | 134 GLOBAL_FCLK | C16M | 0 | 0 | C8M | 1 | 1 | FCLK | 2 | 2 diff --git a/cpld/XC95144XL/WarpSE.xise b/cpld/XC95144XL/WarpSE.xise index 142f7a3..108e444 100644 --- a/cpld/XC95144XL/WarpSE.xise +++ b/cpld/XC95144XL/WarpSE.xise @@ -15,35 +15,39 @@ - - - - - - - - - - - - - - - - - - - - - - - - - + - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -177,7 +181,7 @@ - + diff --git a/cpld/XC95144XL/WarpSE.xml b/cpld/XC95144XL/WarpSE.xml index c21e795..2c528c2 100644 --- a/cpld/XC95144XL/WarpSE.xml +++ b/cpld/XC95144XL/WarpSE.xml @@ -1,3 +1,3 @@ -WarpSE.rptC:/Xilinx/14.7/ISE_DS/ISE/xc9500xl/data/xc95144xl.chpWarpSE.mfd
Cpld - Unable to retrieve the path to the iSE Project Repository. Will use the default filename of 'WarpSE.ise'.INFO:Cpld - Inferring BUFG constraint for signal 'C16M' based upon the LOC constraint 'P22'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.INFO:Cpld - Inferring BUFG constraint for signal 'C8M' based upon the LOC constraint 'P23'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.INFO:Cpld - Inferring BUFG constraint for signal 'FCLK' based upon the LOC constraint 'P27'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.Cpld:1007 - Removing unused input(s) 'SW<1>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'SW<2>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'SW<3>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'nBG_IOB'. The input(s) are unused after optimization. Please verify functionality via simulation. +WarpSE.rptC:/Xilinx/14.7/ISE_DS/ISE/xc9500xl/data/xc95144xl.chpWarpSE.mfd
Cpld - Unable to retrieve the path to the iSE Project Repository. Will use the default filename of 'WarpSE.ise'.INFO:Cpld - Inferring BUFG constraint for signal 'C16M' based upon the LOC constraint 'P22'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.INFO:Cpld - Inferring BUFG constraint for signal 'C8M' based upon the LOC constraint 'P23'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.INFO:Cpld - Inferring BUFG constraint for signal 'FCLK' based upon the LOC constraint 'P27'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.Cpld:1007 - Removing unused input(s) 'C20MEN'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'SW<1>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'SW<2>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'SW<3>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'nBG_IOB'. The input(s) are unused after optimization. Please verify functionality via simulation. diff --git a/cpld/XC95144XL/WarpSE_envsettings.html b/cpld/XC95144XL/WarpSE_envsettings.html index 70443f0..305276d 100644 --- a/cpld/XC95144XL/WarpSE_envsettings.html +++ b/cpld/XC95144XL/WarpSE_envsettings.html @@ -206,7 +206,7 @@ -uc   -WarpSE-XC95144XL.ucf +C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf None diff --git a/cpld/XC95144XL/WarpSE_html/fit/ascii.htm b/cpld/XC95144XL/WarpSE_html/fit/ascii.htm index 9020cad..ca8e410 100644 --- a/cpld/XC95144XL/WarpSE_html/fit/ascii.htm +++ b/cpld/XC95144XL/WarpSE_html/fit/ascii.htm @@ -3,7 +3,7 @@ cpldfit: version P.20131013 Xilinx Inc. Fitter Report -Design Name: WarpSE Date: 4- 7-2023, 2:26AM +Design Name: WarpSE Date: 4- 9-2023, 4:14AM Device Used: XC95144XL-10-TQ100 Fitting Status: Successful @@ -11,22 +11,22 @@ Fitting Status: Successful Macrocells Product Terms Function Block Registers Pins Used/Tot Used/Tot Inps Used/Tot Used/Tot Used/Tot -121/144 ( 84%) 395 /720 ( 55%) 236/432 ( 55%) 97 /144 ( 67%) 71 /81 ( 88%) +125/144 ( 87%) 438 /720 ( 61%) 285/432 ( 66%) 100/144 ( 69%) 70 /81 ( 86%) ** Function Block Resources ** Function Mcells FB Inps Pterms IO Block Used/Tot Used/Tot Used/Tot Used/Tot -FB1 18/18* 24/54 24/90 11/11* -FB2 5/18 4/54 5/90 8/10 -FB3 18/18* 35/54 39/90 10/10* -FB4 15/18 39/54 77/90 10/10* -FB5 17/18 35/54 64/90 8/10 +FB1 18/18* 28/54 40/90 11/11* +FB2 15/18 16/54 16/90 8/10 +FB3 16/18 39/54 81/90 10/10* +FB4 17/18 42/54 62/90 10/10* +FB5 11/18 42/54 38/90 8/10 FB6 18/18* 36/54 68/90 10/10* -FB7 18/18* 24/54 37/90 8/10 -FB8 12/18 39/54 81/90 6/10 +FB7 18/18* 41/54 52/90 7/10 +FB8 12/18 41/54 81/90 6/10 ----- ----- ----- ----- - 121/144 236/432 395/720 71/81 + 125/144 285/432 438/720 70/81 * - Resource is exhausted @@ -42,18 +42,18 @@ Global set/reset net(s) unused. Signal Type Required Mapped | Pin Type Used Total ------------------------------------|------------------------------------ -Input : 32 32 | I/O : 65 73 -Output : 35 35 | GCK/IO : 3 3 +Input : 32 32 | I/O : 64 73 +Output : 34 34 | GCK/IO : 3 3 Bidirectional : 1 1 | GTS/IO : 3 4 GCK : 3 3 | GSR/IO : 0 1 GTS : 0 0 | GSR : 0 0 | ---- ---- - Total 71 71 + Total 70 70 ** Power Data ** -There are 121 macrocells in high performance mode (MCHP). +There are 125 macrocells in high performance mode (MCHP). There are 0 macrocells in low power mode (MCLP). End of Mapped Resource Summary ************************** Errors and Warnings *************************** @@ -75,6 +75,8 @@ INFO:Cpld - Inferring BUFG constraint for signal 'FCLK' based upon the LOC in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored. +WARNING:Cpld:1007 - Removing unused input(s) 'C20MEN'. The input(s) are unused + after optimization. Please verify functionality via simulation. WARNING:Cpld:1007 - Removing unused input(s) 'SW<1>'. The input(s) are unused after optimization. Please verify functionality via simulation. WARNING:Cpld:1007 - Removing unused input(s) 'SW<2>'. The input(s) are unused @@ -85,182 +87,186 @@ WARNING:Cpld:1007 - Removing unused input(s) 'nBG_IOB'. The input(s) are unused after optimization. Please verify functionality via simulation. ************************* Summary of Mapped Logic ************************ -** 36 Outputs ** +** 35 Outputs ** -Signal Total Total Loc Pin Pin Pin Pwr Slew Reg Init -Name Pts Inps No. Type Use Mode Rate State -nDTACK_FSB 8 17 FB3_9 28 I/O O STD FAST RESET -nROMWE 1 2 FB3_17 34 I/O O STD FAST -nAoutOE 2 4 FB4_2 87 I/O O STD FAST SET -nDoutOE 2 5 FB4_5 89 I/O O STD FAST -nDinOE 3 6 FB4_6 90 I/O O STD FAST -nRES 1 1 FB4_8 91 I/O I/O STD FAST -nVPA_FSB 3 9 FB4_11 93 I/O O STD FAST RESET -nROMCS 2 5 FB5_2 35 I/O O STD FAST -nCAS 1 1 FB5_5 36 I/O O STD FAST RESET -nOE 1 2 FB5_6 37 I/O O STD FAST -RA<4> 2 3 FB5_9 40 I/O O STD FAST -RA<3> 2 3 FB5_11 41 I/O O STD FAST -RA<5> 2 3 FB5_12 42 I/O O STD FAST -RA<2> 2 3 FB5_14 43 I/O O STD FAST -RA<6> 2 3 FB5_15 46 I/O O STD FAST -nVMA_IOB 3 8 FB6_2 74 I/O O STD FAST RESET -nLDS_IOB 6 10 FB6_9 79 I/O O STD FAST RESET -nUDS_IOB 6 10 FB6_11 80 I/O O STD FAST RESET -nAS_IOB 4 9 FB6_12 81 I/O O STD FAST RESET -nADoutLE1 2 3 FB6_14 82 I/O O STD FAST SET -nADoutLE0 1 2 FB6_15 85 I/O O STD FAST -nDinLE 1 2 FB6_17 86 I/O O STD FAST RESET -RA<1> 2 3 FB7_2 50 I/O O STD FAST -RA<7> 2 3 FB7_5 52 I/O O STD FAST -RA<0> 2 3 FB7_6 53 I/O O STD FAST -RA<8> 2 3 FB7_8 54 I/O O STD FAST -RA<10> 2 3 FB7_9 55 I/O O STD FAST -RA<9> 2 3 FB7_11 56 I/O O STD FAST -C25MEN 0 0 FB7_12 58 I/O O STD FAST -C20MEN 0 0 FB7_14 59 I/O O STD FAST -RA<11> 2 3 FB8_2 63 I/O O STD FAST -nRAS 3 7 FB8_5 64 I/O O STD FAST -nRAMLWE 1 4 FB8_6 65 I/O O STD FAST -nRAMUWE 1 4 FB8_8 66 I/O O STD FAST -nBERR_FSB 3 5 FB8_12 70 I/O O STD FAST RESET -nBR_IOB 2 4 FB8_15 72 I/O O STD FAST RESET +Signal Total Total Loc Pin Pin Pin Pwr Slew Reg Init +Name Pts Inps No. Type Use Mode Rate State +nDTACK_FSB 9 17 FB3_9 28 I/O O STD FAST RESET +nROMWE 1 2 FB3_17 34 I/O O STD FAST +nAoutOE 2 4 FB4_2 87 I/O O STD FAST SET +nDoutOE 2 5 FB4_5 89 I/O O STD FAST +nDinOE 3 6 FB4_6 90 I/O O STD FAST +nRES 1 1 FB4_8 91 I/O I/O STD FAST +nVPA_FSB 3 12 FB4_11 93 I/O O STD FAST RESET +nROMCS 2 5 FB5_2 35 I/O O STD FAST +nCAS 1 1 FB5_5 36 I/O O STD FAST RESET +nOE 1 2 FB5_6 37 I/O O STD FAST +RA<4> 2 3 FB5_9 40 I/O O STD FAST +RA<3> 2 3 FB5_11 41 I/O O STD FAST +RA<5> 2 3 FB5_12 42 I/O O STD FAST +RA<2> 2 3 FB5_14 43 I/O O STD FAST +RA<6> 2 3 FB5_15 46 I/O O STD FAST +nVMA_IOB 3 8 FB6_2 74 I/O O STD FAST RESET +nLDS_IOB 6 10 FB6_9 79 I/O O STD FAST RESET +nUDS_IOB 6 10 FB6_11 80 I/O O STD FAST RESET +nAS_IOB 4 9 FB6_12 81 I/O O STD FAST RESET +nADoutLE1 2 3 FB6_14 82 I/O O STD FAST SET +nADoutLE0 1 2 FB6_15 85 I/O O STD FAST +nDinLE 1 2 FB6_17 86 I/O O STD FAST RESET +RA<1> 2 3 FB7_2 50 I/O O STD FAST +RA<7> 2 3 FB7_5 52 I/O O STD FAST +RA<0> 2 3 FB7_6 53 I/O O STD FAST +RA<8> 2 3 FB7_8 54 I/O O STD FAST +RA<10> 2 3 FB7_9 55 I/O O STD FAST +RA<9> 2 3 FB7_11 56 I/O O STD FAST +C25MEN 0 0 FB7_12 58 I/O O STD FAST +RA<11> 2 3 FB8_2 63 I/O O STD FAST +nRAS 3 7 FB8_5 64 I/O O STD FAST +nRAMLWE 1 4 FB8_6 65 I/O O STD FAST +nRAMUWE 1 4 FB8_8 66 I/O O STD FAST +nBERR_FSB 3 5 FB8_12 70 I/O O STD FAST RESET +nBR_IOB 2 4 FB8_15 72 I/O O STD FAST RESET -** 85 Buried Nodes ** +** 90 Buried Nodes ** -Signal Total Total Loc Pwr Reg Init -Name Pts Inps Mode State -iobs/IODONEr 1 1 FB1_1 STD RESET -iobs/IOACTr 1 1 FB1_2 STD RESET -iobm/VPAr 1 1 FB1_3 STD RESET -iobm/IOWRREQr 1 1 FB1_4 STD RESET -iobm/IOS_FSM_FFd5 1 1 FB1_5 STD RESET -iobm/IOS_FSM_FFd4 1 1 FB1_6 STD RESET -iobm/IOS_FSM_FFd1 1 1 FB1_7 STD RESET -iobm/IORDREQr 1 1 FB1_8 STD RESET -iobm/Er 1 1 FB1_9 STD RESET -iobm/C8Mr 1 1 FB1_10 STD RESET -cnt/nIPL2r 1 1 FB1_11 STD RESET -cnt/Er<0> 1 1 FB1_12 STD RESET -ALE0S 1 1 FB1_13 STD RESET -iobs/IOU1 2 2 FB1_14 STD RESET -iobs/IOL1 2 2 FB1_15 STD RESET -iobm/IOS_FSM_FFd2 2 4 FB1_16 STD RESET -IOBERR 2 2 FB1_17 STD RESET -iobm/ES<2> 3 5 FB1_18 STD RESET -ram/RS_FSM_FFd5 1 1 FB2_14 STD RESET -ram/RS_FSM_FFd3 1 1 FB2_15 STD RESET -ram/RS_FSM_FFd2 1 1 FB2_16 STD RESET -ram/RS_FSM_FFd1 1 1 FB2_17 STD RESET -ram/RASrf 1 1 FB2_18 STD RESET -ram/BACTr 1 2 FB3_1 STD RESET -fsb/ASrf 1 1 FB3_2 STD RESET -cnt/LTimerTC 2 16 FB3_3 STD RESET -cnt/LTimer<9> 2 12 FB3_4 STD RESET -cnt/LTimer<8> 2 11 FB3_5 STD RESET -cnt/LTimer<7> 2 10 FB3_6 STD RESET -cnt/LTimer<6> 2 9 FB3_7 STD RESET -cnt/LTimer<5> 2 8 FB3_8 STD RESET -cnt/LTimer<4> 2 7 FB3_10 STD RESET -cnt/LTimer<3> 2 6 FB3_11 STD RESET -cnt/LTimer<2> 2 5 FB3_12 STD RESET -cnt/LTimer<1> 2 4 FB3_13 STD RESET -cnt/LTimer<12> 2 15 FB3_14 STD RESET -cnt/LTimer<11> 2 14 FB3_15 STD RESET -cnt/LTimer<10> 2 13 FB3_16 STD RESET -IOPWReady 2 5 FB3_18 STD RESET -nRESout 1 2 FB4_1 STD RESET +Signal Total Total Loc Pwr Reg Init +Name Pts Inps Mode State +iobs/Clear1 1 2 FB1_1 STD RESET +iobm/Er 1 1 FB1_2 STD RESET +cnt/nIPL2r 1 1 FB1_3 STD RESET +cnt/Er<1> 1 1 FB1_4 STD RESET +cnt/Er<0> 1 1 FB1_5 STD RESET +ram/RS_FSM_FFd4 2 4 FB1_6 STD RESET +iobs/TS_FSM_FFd1 2 3 FB1_7 STD RESET +iobs/IOU1 2 2 FB1_8 STD RESET +cnt/Timer<0> 2 4 FB1_9 STD RESET +cnt/IS_FSM_FFd2 2 6 FB1_10 STD RESET +RefUrg 2 6 FB1_11 STD RESET +RefReq 2 6 FB1_12 STD RESET +IOPWReady 2 3 FB1_13 STD RESET +IOBERR 2 2 FB1_14 STD RESET +iobm/ES<2> 3 5 FB1_15 STD RESET +cnt/Timer<1> 4 5 FB1_16 STD RESET +cnt/Timer<3> 5 7 FB1_17 STD RESET +cnt/Timer<2> 5 6 FB1_18 STD RESET +ram/RS_FSM_FFd5 1 1 FB2_4 STD RESET +ram/RS_FSM_FFd3 1 1 FB2_5 STD RESET +ram/RS_FSM_FFd2 1 1 FB2_6 STD RESET +ram/RS_FSM_FFd1 1 1 FB2_7 STD RESET +ram/RASrf 1 1 FB2_8 STD RESET +iobs/IODONEr 1 1 FB2_9 STD RESET +iobs/IOACTr 1 1 FB2_10 STD RESET +iobm/VPAr 1 1 FB2_11 STD RESET +iobm/IOWRREQr 1 1 FB2_12 STD RESET +iobm/IOS_FSM_FFd5 1 1 FB2_13 STD RESET +iobm/IOS_FSM_FFd4 1 1 FB2_14 STD RESET +iobm/IOS_FSM_FFd1 1 1 FB2_15 STD RESET +iobm/IORDREQr 1 1 FB2_16 STD RESET +iobm/C8Mr 1 1 FB2_17 STD RESET +iobm/IOS_FSM_FFd2 2 4 FB2_18 STD RESET +iobs/Sent 13 18 FB3_1 STD RESET +ram/RefDone 2 5 FB3_2 STD RESET +ram/RS_FSM_FFd7 2 7 FB3_3 STD RESET +cs/nOverlay 2 5 FB3_4 STD RESET +ram/RS_FSM_FFd6 3 7 FB3_5 STD RESET +ram/RASrr 4 9 FB3_6 STD RESET +ram/RASEL 3 8 FB3_7 STD RESET -Signal Total Total Loc Pwr Reg Init -Name Pts Inps Mode State -ram/RS_FSM_FFd8 11 12 FB4_3 STD SET -iobs/IORW1 8 19 FB4_4 STD RESET -IORDREQ 9 15 FB4_9 STD RESET -cs/ODCSr 2 6 FB4_10 STD RESET -iobs/Load1 8 18 FB4_12 STD RESET -iobs/TS_FSM_FFd1 2 3 FB4_13 STD RESET -RAMReady 10 13 FB4_15 STD RESET -ram/RS_FSM_FFd7 2 7 FB4_16 STD RESET -iobs/Sent 13 18 FB4_17 STD RESET -ram/RAMEN 12 14 FB5_3 STD RESET -ram/RASrr 4 9 FB5_4 STD RESET -ram/RS_FSM_FFd6 9 12 FB5_7 STD RESET -ram/Once 3 8 FB5_8 STD RESET -ram/RASEL 3 8 FB5_10 STD RESET -cs/nOverlay 2 5 FB5_13 STD RESET -ram/RS_FSM_FFd4 2 4 FB5_16 STD RESET -ram/RefDone 2 5 FB5_17 STD RESET -ram/CAS 13 14 FB5_18 STD RESET -iobm/IOS_FSM_FFd6 2 5 FB6_1 STD RESET -iobm/IOS_FSM_FFd7 3 6 FB6_3 STD SET -iobm/IOS_FSM_FFd3 3 5 FB6_4 STD RESET -iobm/ES<0> 3 6 FB6_5 STD RESET -iobm/ES<3> 4 6 FB6_6 STD RESET -iobm/ES<1> 4 6 FB6_7 STD RESET -iobm/DoutOE 4 8 FB6_8 STD RESET -IODONE 4 8 FB6_10 STD RESET -iobm/IOS0 5 12 FB6_13 STD RESET -ALE0M 5 11 FB6_16 STD RESET -IOACT 8 14 FB6_18 STD RESET -cnt/LTimer<0> 1 3 FB7_1 STD RESET -cnt/INITS_FSM_FFd1 1 7 FB7_3 STD RESET -cnt/Er<1> 1 1 FB7_4 STD RESET -cnt/TimerTC 2 6 FB7_7 STD RESET -cnt/Timer<0> 2 4 FB7_10 STD RESET -cnt/INITS_FSM_FFd2 2 6 FB7_13 STD RESET -RefReq 2 5 FB7_15 STD RESET -cnt/Timer<1> 4 5 FB7_16 STD RESET -cnt/Timer<2> 5 6 FB7_17 STD RESET -RefUrg 5 7 FB7_18 STD RESET -iobs/TS_FSM_FFd2 14 19 FB8_4 STD RESET +Signal Total Total Loc Pwr Reg Init +Name Pts Inps Mode State +ram/Once 3 8 FB3_8 STD RESET +RAMReady 4 8 FB3_10 STD RESET +ram/RS_FSM_FFd8 5 11 FB3_11 STD SET +ram/RAMEN 6 10 FB3_12 STD RESET +ram/CAS 7 13 FB3_14 STD RESET +iobs/Load1 8 17 FB3_15 STD RESET +IORDREQ 9 15 FB3_16 STD RESET +QoSReady 18 25 FB4_1 STD RESET +fsb/ASrf 1 1 FB4_3 STD RESET +cnt/WS<0> 1 3 FB4_4 STD RESET +$OpTx$$OpTx$FX_DC$354_INV$541 1 2 FB4_7 STD +iobs/IOL1 2 2 FB4_9 STD RESET +cs/ODCSr 2 6 FB4_10 STD RESET +cnt/WS<2> 3 5 FB4_12 STD RESET +cnt/WS<1> 3 4 FB4_13 STD RESET +IONPReady 5 16 FB4_14 STD RESET +cnt/LTimer<1> 11 24 FB4_15 STD RESET +cnt/WS<3> 3 6 FB4_16 STD RESET +nRESout 1 2 FB4_17 STD RESET +cnt/LTimerTC 1 12 FB5_13 STD RESET +ALE0S 1 1 FB5_16 STD RESET +cnt/LTimer<0> 22 34 FB5_18 STD RESET +iobm/IOS_FSM_FFd6 2 5 FB6_1 STD RESET +iobm/IOS_FSM_FFd7 3 6 FB6_3 STD SET +iobm/IOS_FSM_FFd3 3 5 FB6_4 STD RESET +iobm/ES<0> 3 6 FB6_5 STD RESET +iobm/ES<3> 4 6 FB6_6 STD RESET +iobm/ES<1> 4 6 FB6_7 STD RESET +iobm/DoutOE 4 8 FB6_8 STD RESET +IODONE 4 8 FB6_10 STD RESET +iobm/IOS0 5 12 FB6_13 STD RESET +ALE0M 5 11 FB6_16 STD RESET +IOACT 8 14 FB6_18 STD RESET +cnt/LTimer<9> 3 15 FB7_1 STD RESET +cnt/LTimer<8> 3 14 FB7_3 STD RESET +cnt/LTimer<7> 3 13 FB7_4 STD RESET +cnt/LTimer<6> 3 12 FB7_7 STD RESET +cnt/LTimer<5> 3 11 FB7_10 STD RESET +cnt/LTimer<4> 3 10 FB7_13 STD RESET +cnt/LTimer<3> 3 9 FB7_14 STD RESET -Signal Total Total Loc Pwr Reg Init -Name Pts Inps Mode State -IOWRREQ 15 21 FB8_9 STD RESET -IOU0 17 21 FB8_13 STD RESET -iobs/Clear1 1 2 FB8_16 STD RESET -IONPReady 5 17 FB8_17 STD RESET -IOL0 17 21 FB8_18 STD RESET +Signal Total Total Loc Pwr Reg Init +Name Pts Inps Mode State +cnt/LTimer<2> 3 8 FB7_15 STD RESET +cnt/LTimer<11> 3 17 FB7_16 STD RESET +cnt/LTimer<10> 3 16 FB7_17 STD RESET +cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 10 36 FB7_18 STD +IOL0 16 21 FB8_1 STD RESET +iobs/TS_FSM_FFd2 13 19 FB8_3 STD RESET +IOWRREQ 15 21 FB8_9 STD RESET +IOU0 16 21 FB8_14 STD RESET +cnt/IS_FSM_FFd1 1 7 FB8_16 STD RESET +iobs/IORW1 8 18 FB8_17 STD RESET ** 35 Inputs ** -Signal Loc Pin Pin Pin -Name No. Type Use -A_FSB<13> FB1_2 11 I/O I -A_FSB<14> FB1_3 12 I/O I -A_FSB<15> FB1_5 13 I/O I -A_FSB<16> FB1_6 14 I/O I -A_FSB<17> FB1_8 15 I/O I -A_FSB<18> FB1_9 16 I/O I -A_FSB<19> FB1_11 17 I/O I -A_FSB<20> FB1_12 18 I/O I -A_FSB<21> FB1_14 19 I/O I -A_FSB<22> FB1_15 20 I/O I -C16M FB1_17 22 GCK/I/O GCK -A_FSB<5> FB2_6 2 GTS/I/O I -A_FSB<6> FB2_8 3 GTS/I/O I -A_FSB<7> FB2_9 4 GTS/I/O I -A_FSB<8> FB2_11 6 I/O I -A_FSB<9> FB2_12 7 I/O I -A_FSB<10> FB2_14 8 I/O I -A_FSB<11> FB2_15 9 I/O I -A_FSB<12> FB2_17 10 I/O I -C8M FB3_2 23 GCK/I/O GCK/I -A_FSB<23> FB3_5 24 I/O I -E FB3_6 25 I/O I -FCLK FB3_8 27 GCK/I/O GCK -nWE_FSB FB3_11 29 I/O I -nLDS_FSB FB3_12 30 I/O I -nAS_FSB FB3_14 32 I/O I -nUDS_FSB FB3_15 33 I/O I -nIPL2 FB4_9 92 I/O I -A_FSB<1> FB4_12 94 I/O I -A_FSB<2> FB4_14 95 I/O I -A_FSB<3> FB4_15 96 I/O I -A_FSB<4> FB4_17 97 I/O I -nBERR_IOB FB6_5 76 I/O I -nVPA_IOB FB6_6 77 I/O I -nDTACK_IOB FB6_8 78 I/O I +Signal Loc Pin Pin Pin +Name No. Type Use +A_FSB<13> FB1_2 11 I/O I +A_FSB<14> FB1_3 12 I/O I +A_FSB<15> FB1_5 13 I/O I +A_FSB<16> FB1_6 14 I/O I +A_FSB<17> FB1_8 15 I/O I +A_FSB<18> FB1_9 16 I/O I +A_FSB<19> FB1_11 17 I/O I +A_FSB<20> FB1_12 18 I/O I +A_FSB<21> FB1_14 19 I/O I +A_FSB<22> FB1_15 20 I/O I +C16M FB1_17 22 GCK/I/O GCK +A_FSB<5> FB2_6 2 GTS/I/O I +A_FSB<6> FB2_8 3 GTS/I/O I +A_FSB<7> FB2_9 4 GTS/I/O I +A_FSB<8> FB2_11 6 I/O I +A_FSB<9> FB2_12 7 I/O I +A_FSB<10> FB2_14 8 I/O I +A_FSB<11> FB2_15 9 I/O I +A_FSB<12> FB2_17 10 I/O I +C8M FB3_2 23 GCK/I/O GCK/I +A_FSB<23> FB3_5 24 I/O I +E FB3_6 25 I/O I +FCLK FB3_8 27 GCK/I/O GCK +nWE_FSB FB3_11 29 I/O I +nLDS_FSB FB3_12 30 I/O I +nAS_FSB FB3_14 32 I/O I +nUDS_FSB FB3_15 33 I/O I +nIPL2 FB4_9 92 I/O I +A_FSB<1> FB4_12 94 I/O I +A_FSB<2> FB4_14 95 I/O I +A_FSB<3> FB4_15 96 I/O I +A_FSB<4> FB4_17 97 I/O I +nBERR_IOB FB6_5 76 I/O I +nVPA_IOB FB6_6 77 I/O I +nDTACK_IOB FB6_8 78 I/O I Legend: Pin No. - ~ - User Assigned @@ -278,275 +284,291 @@ Pin Type/Use - I - Input GCK - Global Clock X - Signal used as input to the macrocell logic. Pin No. - ~ - User Assigned *********************************** FB1 *********************************** -Number of function block inputs used/remaining: 24/30 -Number of signals used by logic mapping into function block: 24 +Number of function block inputs used/remaining: 28/26 +Number of signals used by logic mapping into function block: 28 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -iobs/IODONEr 1 0 0 4 FB1_1 (b) (b) -iobs/IOACTr 1 0 0 4 FB1_2 11 I/O I -iobm/VPAr 1 0 0 4 FB1_3 12 I/O I -iobm/IOWRREQr 1 0 0 4 FB1_4 (b) (b) -iobm/IOS_FSM_FFd5 1 0 0 4 FB1_5 13 I/O I -iobm/IOS_FSM_FFd4 1 0 0 4 FB1_6 14 I/O I -iobm/IOS_FSM_FFd1 1 0 0 4 FB1_7 (b) (b) -iobm/IORDREQr 1 0 0 4 FB1_8 15 I/O I -iobm/Er 1 0 0 4 FB1_9 16 I/O I -iobm/C8Mr 1 0 0 4 FB1_10 (b) (b) -cnt/nIPL2r 1 0 0 4 FB1_11 17 I/O I -cnt/Er<0> 1 0 0 4 FB1_12 18 I/O I -ALE0S 1 0 0 4 FB1_13 (b) (b) -iobs/IOU1 2 0 0 3 FB1_14 19 I/O I -iobs/IOL1 2 0 0 3 FB1_15 20 I/O I -iobm/IOS_FSM_FFd2 2 0 0 3 FB1_16 (b) (b) -IOBERR 2 0 0 3 FB1_17 22 GCK/I/O GCK -iobm/ES<2> 3 0 0 2 FB1_18 (b) (b) +iobs/Clear1 1 0 0 4 FB1_1 (b) (b) +iobm/Er 1 0 0 4 FB1_2 11 I/O I +cnt/nIPL2r 1 0 0 4 FB1_3 12 I/O I +cnt/Er<1> 1 0 0 4 FB1_4 (b) (b) +cnt/Er<0> 1 0 0 4 FB1_5 13 I/O I +ram/RS_FSM_FFd4 2 0 0 3 FB1_6 14 I/O I +iobs/TS_FSM_FFd1 2 0 0 3 FB1_7 (b) (b) +iobs/IOU1 2 0 0 3 FB1_8 15 I/O I +cnt/Timer<0> 2 0 0 3 FB1_9 16 I/O I +cnt/IS_FSM_FFd2 2 0 0 3 FB1_10 (b) (b) +RefUrg 2 0 0 3 FB1_11 17 I/O I +RefReq 2 0 0 3 FB1_12 18 I/O I +IOPWReady 2 0 0 3 FB1_13 (b) (b) +IOBERR 2 0 0 3 FB1_14 19 I/O I +iobm/ES<2> 3 0 0 2 FB1_15 20 I/O I +cnt/Timer<1> 4 0 0 1 FB1_16 (b) (b) +cnt/Timer<3> 5 0 0 0 FB1_17 22 GCK/I/O GCK +cnt/Timer<2> 5 0 0 0 FB1_18 (b) (b) Signals Used by Logic in Function Block - 1: C8M 9: iobm/ES<0> 17: iobs/Load1 - 2: E 10: iobm/ES<1> 18: iobs/TS_FSM_FFd2 - 3: IOACT 11: iobm/ES<2> 19: nAS_IOB - 4: IOBERR 12: iobm/Er 20: nBERR_IOB - 5: IODONE 13: iobm/IOS_FSM_FFd2 21: nIPL2 - 6: IORDREQ 14: iobm/IOS_FSM_FFd3 22: nLDS_FSB - 7: IOWRREQ 15: iobm/IOS_FSM_FFd5 23: nUDS_FSB - 8: iobm/C8Mr 16: iobm/IOS_FSM_FFd6 24: nVPA_IOB + 1: E 11: cnt/Timer<3> 20: iobs/TS_FSM_FFd2 + 2: RefUrg 12: iobm/ES<0> 21: nADoutLE1 + 3: cnt/Er<0> 13: iobm/ES<1> 22: nAS_IOB + 4: cnt/Er<1> 14: iobm/ES<2> 23: nBERR_IOB + 5: cnt/IS_FSM_FFd1 15: iobm/Er 24: nIPL2 + 6: cnt/IS_FSM_FFd2 16: iobs/Clear1 25: nUDS_FSB + 7: cnt/LTimerTC 17: iobs/IOACTr 26: ram/RS_FSM_FFd1 + 8: cnt/Timer<0> 18: iobs/Load1 27: ram/RS_FSM_FFd5 + 9: cnt/Timer<1> 19: iobs/TS_FSM_FFd1 28: ram/RefDone + 10: cnt/Timer<2> Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -iobs/IODONEr ....X................................... 1 -iobs/IOACTr ..X..................................... 1 -iobm/VPAr .......................X................ 1 -iobm/IOWRREQr ......X................................. 1 -iobm/IOS_FSM_FFd5 ...............X........................ 1 -iobm/IOS_FSM_FFd4 ..............X......................... 1 -iobm/IOS_FSM_FFd1 ............X........................... 1 -iobm/IORDREQr .....X.................................. 1 -iobm/Er .X...................................... 1 -iobm/C8Mr X....................................... 1 -cnt/nIPL2r ....................X................... 1 -cnt/Er<0> .X...................................... 1 -ALE0S .................X...................... 1 -iobs/IOU1 ................X.....X................. 2 -iobs/IOL1 ................X....X.................. 2 -iobm/IOS_FSM_FFd2 ...XX..X.....X.......................... 4 -IOBERR ..................XX.................... 2 -iobm/ES<2> .X......XXXX............................ 5 +iobs/Clear1 ..................XX.................... 2 +iobm/Er X....................................... 1 +cnt/nIPL2r .......................X................ 1 +cnt/Er<1> ..X..................................... 1 +cnt/Er<0> X....................................... 1 +ram/RS_FSM_FFd4 .X.......................XXX............ 4 +iobs/TS_FSM_FFd1 ................X.XX.................... 3 +iobs/IOU1 .................X......X............... 2 +cnt/Timer<0> .XXX...X................................ 4 +cnt/IS_FSM_FFd2 .XXXXXX................................. 6 +RefUrg ..XX...XXXX............................. 6 +RefReq ..XX...XXXX............................. 6 +IOPWReady ...............X.X..X................... 3 +IOBERR .....................XX................. 2 +iobm/ES<2> X..........XXXX......................... 5 +cnt/Timer<1> .XXX...XX............................... 5 +cnt/Timer<3> .XXX...XXXX............................. 7 +cnt/Timer<2> .XXX...XXX.............................. 6 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB2 *********************************** -Number of function block inputs used/remaining: 4/50 -Number of signals used by logic mapping into function block: 4 +Number of function block inputs used/remaining: 16/38 +Number of signals used by logic mapping into function block: 16 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use (unused) 0 0 0 5 FB2_1 (b) (unused) 0 0 0 5 FB2_2 99 GSR/I/O (unused) 0 0 0 5 FB2_3 (b) -(unused) 0 0 0 5 FB2_4 (b) -(unused) 0 0 0 5 FB2_5 1 GTS/I/O -(unused) 0 0 0 5 FB2_6 2 GTS/I/O I -(unused) 0 0 0 5 FB2_7 (b) -(unused) 0 0 0 5 FB2_8 3 GTS/I/O I -(unused) 0 0 0 5 FB2_9 4 GTS/I/O I -(unused) 0 0 0 5 FB2_10 (b) -(unused) 0 0 0 5 FB2_11 6 I/O I -(unused) 0 0 0 5 FB2_12 7 I/O I -(unused) 0 0 0 5 FB2_13 (b) -ram/RS_FSM_FFd5 1 0 0 4 FB2_14 8 I/O I -ram/RS_FSM_FFd3 1 0 0 4 FB2_15 9 I/O I -ram/RS_FSM_FFd2 1 0 0 4 FB2_16 (b) (b) -ram/RS_FSM_FFd1 1 0 0 4 FB2_17 10 I/O I -ram/RASrf 1 0 0 4 FB2_18 (b) (b) +ram/RS_FSM_FFd5 1 0 0 4 FB2_4 (b) (b) +ram/RS_FSM_FFd3 1 0 0 4 FB2_5 1 GTS/I/O (b) +ram/RS_FSM_FFd2 1 0 0 4 FB2_6 2 GTS/I/O I +ram/RS_FSM_FFd1 1 0 0 4 FB2_7 (b) (b) +ram/RASrf 1 0 0 4 FB2_8 3 GTS/I/O I +iobs/IODONEr 1 0 0 4 FB2_9 4 GTS/I/O I +iobs/IOACTr 1 0 0 4 FB2_10 (b) (b) +iobm/VPAr 1 0 0 4 FB2_11 6 I/O I +iobm/IOWRREQr 1 0 0 4 FB2_12 7 I/O I +iobm/IOS_FSM_FFd5 1 0 0 4 FB2_13 (b) (b) +iobm/IOS_FSM_FFd4 1 0 0 4 FB2_14 8 I/O I +iobm/IOS_FSM_FFd1 1 0 0 4 FB2_15 9 I/O I +iobm/IORDREQr 1 0 0 4 FB2_16 (b) (b) +iobm/C8Mr 1 0 0 4 FB2_17 10 I/O I +iobm/IOS_FSM_FFd2 2 0 0 3 FB2_18 (b) (b) Signals Used by Logic in Function Block - 1: ram/RS_FSM_FFd2 3: ram/RS_FSM_FFd6 4: ram/RS_FSM_FFd7 - 2: ram/RS_FSM_FFd3 + 1: C8M 7: iobm/C8Mr 12: nVPA_IOB + 2: IOACT 8: iobm/IOS_FSM_FFd2 13: ram/RS_FSM_FFd2 + 3: IOBERR 9: iobm/IOS_FSM_FFd3 14: ram/RS_FSM_FFd3 + 4: IODONE 10: iobm/IOS_FSM_FFd5 15: ram/RS_FSM_FFd6 + 5: IORDREQ 11: iobm/IOS_FSM_FFd6 16: ram/RS_FSM_FFd7 + 6: IOWRREQ Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -ram/RS_FSM_FFd5 ...X.................................... 1 -ram/RS_FSM_FFd3 ..X..................................... 1 -ram/RS_FSM_FFd2 .X...................................... 1 -ram/RS_FSM_FFd1 X....................................... 1 -ram/RASrf ...X.................................... 1 +ram/RS_FSM_FFd5 ...............X........................ 1 +ram/RS_FSM_FFd3 ..............X......................... 1 +ram/RS_FSM_FFd2 .............X.......................... 1 +ram/RS_FSM_FFd1 ............X........................... 1 +ram/RASrf ...............X........................ 1 +iobs/IODONEr ...X.................................... 1 +iobs/IOACTr .X...................................... 1 +iobm/VPAr ...........X............................ 1 +iobm/IOWRREQr .....X.................................. 1 +iobm/IOS_FSM_FFd5 ..........X............................. 1 +iobm/IOS_FSM_FFd4 .........X.............................. 1 +iobm/IOS_FSM_FFd1 .......X................................ 1 +iobm/IORDREQr ....X................................... 1 +iobm/C8Mr X....................................... 1 +iobm/IOS_FSM_FFd2 ..XX..X.X............................... 4 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB3 *********************************** -Number of function block inputs used/remaining: 35/19 -Number of signals used by logic mapping into function block: 35 -Signal Total Imp Exp Unused Loc Pin Pin Pin -Name Pt Pt Pt Pt # Type Use -ram/BACTr 1 0 0 4 FB3_1 (b) (b) -fsb/ASrf 1 0 0 4 FB3_2 23 GCK/I/O GCK/I -cnt/LTimerTC 2 0 0 3 FB3_3 (b) (b) -cnt/LTimer<9> 2 0 0 3 FB3_4 (b) (b) -cnt/LTimer<8> 2 0 0 3 FB3_5 24 I/O I -cnt/LTimer<7> 2 0 0 3 FB3_6 25 I/O I -cnt/LTimer<6> 2 0 0 3 FB3_7 (b) (b) -cnt/LTimer<5> 2 0 \/2 1 FB3_8 27 GCK/I/O GCK -nDTACK_FSB 8 3<- 0 0 FB3_9 28 I/O O -cnt/LTimer<4> 2 0 /\1 2 FB3_10 (b) (b) -cnt/LTimer<3> 2 0 0 3 FB3_11 29 I/O I -cnt/LTimer<2> 2 0 0 3 FB3_12 30 I/O I -cnt/LTimer<1> 2 0 0 3 FB3_13 (b) (b) -cnt/LTimer<12> 2 0 0 3 FB3_14 32 I/O I -cnt/LTimer<11> 2 0 0 3 FB3_15 33 I/O I -cnt/LTimer<10> 2 0 0 3 FB3_16 (b) (b) -nROMWE 1 0 0 4 FB3_17 34 I/O O -IOPWReady 2 0 0 3 FB3_18 (b) (b) - -Signals Used by Logic in Function Block - 1: A_FSB<13> 13: RAMReady 25: cnt/LTimer<6> - 2: A_FSB<14> 14: cnt/Er<0> 26: cnt/LTimer<7> - 3: A_FSB<16> 15: cnt/Er<1> 27: cnt/LTimer<8> - 4: A_FSB<17> 16: cnt/LTimer<0> 28: cnt/LTimer<9> - 5: A_FSB<18> 17: cnt/LTimer<10> 29: cnt/TimerTC - 6: A_FSB<19> 18: cnt/LTimer<11> 30: cs/nOverlay - 7: A_FSB<20> 19: cnt/LTimer<12> 31: fsb/ASrf - 8: A_FSB<21> 20: cnt/LTimer<1> 32: iobs/Clear1 - 9: A_FSB<22> 21: cnt/LTimer<2> 33: nADoutLE1 - 10: A_FSB<23> 22: cnt/LTimer<3> 34: nAS_FSB - 11: IONPReady 23: cnt/LTimer<4> 35: nWE_FSB - 12: IOPWReady 24: cnt/LTimer<5> - -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -ram/BACTr ..............................X..X...... 2 -fsb/ASrf .................................X...... 1 -cnt/LTimerTC .............XXXXXXXXXXXXXXXX........... 16 -cnt/LTimer<9> .............XXX...XXXXXXXX.X........... 12 -cnt/LTimer<8> .............XXX...XXXXXXX..X........... 11 -cnt/LTimer<7> .............XXX...XXXXXX...X........... 10 -cnt/LTimer<6> .............XXX...XXXXX....X........... 9 -cnt/LTimer<5> .............XXX...XXXX.....X........... 8 -nDTACK_FSB XXXXXXXXXXXXX................XX..XX..... 17 -cnt/LTimer<4> .............XXX...XXX......X........... 7 -cnt/LTimer<3> .............XXX...XX.......X........... 6 -cnt/LTimer<2> .............XXX...X........X........... 5 -cnt/LTimer<1> .............XXX............X........... 4 -cnt/LTimer<12> .............XXXXX.XXXXXXXXXX........... 15 -cnt/LTimer<11> .............XXXX..XXXXXXXXXX........... 14 -cnt/LTimer<10> .............XXX...XXXXXXXXXX........... 13 -nROMWE .................................XX..... 2 -IOPWReady ...........X..................XXXX...... 5 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 - *********************************** FB4 *********************************** Number of function block inputs used/remaining: 39/15 Number of signals used by logic mapping into function block: 39 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -nRESout 1 0 \/2 2 FB4_1 (b) (b) -nAoutOE 2 2<- \/5 0 FB4_2 87 I/O O -ram/RS_FSM_FFd8 11 6<- 0 0 FB4_3 (b) (b) -iobs/IORW1 8 4<- /\1 0 FB4_4 (b) (b) -nDoutOE 2 1<- /\4 0 FB4_5 89 I/O O -nDinOE 3 0 /\1 1 FB4_6 90 I/O O -(unused) 0 0 0 5 FB4_7 (b) -nRES 1 0 \/4 0 FB4_8 91 I/O I/O -IORDREQ 9 4<- 0 0 FB4_9 92 I/O I -cs/ODCSr 2 0 0 3 FB4_10 (b) (b) -nVPA_FSB 3 0 0 2 FB4_11 93 I/O O -iobs/Load1 8 3<- 0 0 FB4_12 94 I/O I -iobs/TS_FSM_FFd1 2 0 /\3 0 FB4_13 (b) (b) -(unused) 0 0 \/5 0 FB4_14 95 I/O I -RAMReady 10 5<- 0 0 FB4_15 96 I/O I -ram/RS_FSM_FFd7 2 0 \/3 0 FB4_16 (b) (b) -iobs/Sent 13 8<- 0 0 FB4_17 97 I/O I -(unused) 0 0 /\5 0 FB4_18 (b) (b) +iobs/Sent 13 8<- 0 0 FB3_1 (b) (b) +ram/RefDone 2 0 /\3 0 FB3_2 23 GCK/I/O GCK/I +ram/RS_FSM_FFd7 2 0 0 3 FB3_3 (b) (b) +cs/nOverlay 2 0 0 3 FB3_4 (b) (b) +ram/RS_FSM_FFd6 3 0 0 2 FB3_5 24 I/O I +ram/RASrr 4 0 0 1 FB3_6 25 I/O I +ram/RASEL 3 0 \/2 0 FB3_7 (b) (b) +ram/Once 3 2<- \/4 0 FB3_8 27 GCK/I/O GCK +nDTACK_FSB 9 4<- 0 0 FB3_9 28 I/O O +RAMReady 4 0 \/1 0 FB3_10 (b) (b) +ram/RS_FSM_FFd8 5 1<- \/1 0 FB3_11 29 I/O I +ram/RAMEN 6 1<- 0 0 FB3_12 30 I/O I +(unused) 0 0 \/5 0 FB3_13 (b) (b) +ram/CAS 7 5<- \/3 0 FB3_14 32 I/O I +iobs/Load1 8 3<- 0 0 FB3_15 33 I/O I +IORDREQ 9 4<- 0 0 FB3_16 (b) (b) +nROMWE 1 0 /\4 0 FB3_17 34 I/O O +(unused) 0 0 \/5 0 FB3_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<13> 14: RefReq 27: iobs/TS_FSM_FFd1 - 2: A_FSB<14> 15: RefUrg 28: iobs/TS_FSM_FFd2 - 3: A_FSB<16> 16: cnt/INITS_FSM_FFd1 29: nADoutLE1 - 4: A_FSB<17> 17: cnt/INITS_FSM_FFd2 30: nAS_FSB - 5: A_FSB<18> 18: cs/nOverlay 31: nAoutOE - 6: A_FSB<19> 19: fsb/ASrf 32: nBR_IOB - 7: A_FSB<20> 20: iobm/DoutOE 33: nRESout - 8: A_FSB<21> 21: iobm/IORDREQr 34: nWE_FSB - 9: A_FSB<22> 22: iobm/IOS0 35: ram/BACTr - 10: A_FSB<23> 23: iobm/IOWRREQr 36: ram/RAMEN - 11: IONPReady 24: iobs/IOACTr 37: ram/RS_FSM_FFd4 - 12: IORDREQ 25: iobs/IORW1 38: ram/RS_FSM_FFd8 - 13: RAMReady 26: iobs/Sent 39: ram/RefDone + 1: A_FSB<13> 14: nRES.PIN 27: nADoutLE1 + 2: A_FSB<14> 15: QoSReady 28: nAS_FSB + 3: A_FSB<16> 16: RAMReady 29: nWE_FSB + 4: A_FSB<17> 17: RefReq 30: ram/Once + 5: A_FSB<18> 18: RefUrg 31: ram/RAMEN + 6: A_FSB<19> 19: cs/ODCSr 32: ram/RS_FSM_FFd2 + 7: A_FSB<20> 20: cs/nOverlay 33: ram/RS_FSM_FFd3 + 8: A_FSB<21> 21: fsb/ASrf 34: ram/RS_FSM_FFd4 + 9: A_FSB<22> 22: iobs/IOACTr 35: ram/RS_FSM_FFd5 + 10: A_FSB<23> 23: iobs/IORW1 36: ram/RS_FSM_FFd6 + 11: IONPReady 24: iobs/Sent 37: ram/RS_FSM_FFd7 + 12: IOPWReady 25: iobs/TS_FSM_FFd1 38: ram/RS_FSM_FFd8 + 13: IORDREQ 26: iobs/TS_FSM_FFd2 39: ram/RefDone Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -nRESout ...............XX....................... 2 -nAoutOE ...............XX.............XX........ 4 -ram/RS_FSM_FFd8 ........XX...XX..XX..........X....XXXXX. 12 -iobs/IORW1 XXXXXXXXXX.......XX.....XXXXXX...X...... 19 -nDoutOE ...................XXXX.......X......... 5 -nDinOE ......XXXX...................X...X...... 6 -nRES ................................X....... 1 -IORDREQ ......XXXX.X.....XX....XXXXXXX...X...... 15 -cs/ODCSr ......XXXX........X..........X.......... 6 -nVPA_FSB ....XXXXXXX.......X..........X.......... 9 -iobs/Load1 XXXXXXXXXX.......XX......XXXXX...X...... 18 -iobs/TS_FSM_FFd1 .......................X..XX............ 3 -RAMReady ........XX..XXX..XX..........X....XXXXX. 13 -ram/RS_FSM_FFd7 ........XX.......XX..........X.....X.X.. 7 -iobs/Sent XXXXXXXXXX.......XX......XXXXX...X...... 18 +iobs/Sent XXXXXXXXXX.........XX..XXXXXX........... 18 +ram/RefDone ................XX.............XX.....X. 5 +ram/RS_FSM_FFd7 ........XX.........XX......X..X......X.. 7 +cs/nOverlay .............X....XXX......X............ 5 +ram/RS_FSM_FFd6 ................XX..X......X......X..XX. 7 +ram/RASrr ........XX.........XX......X..X.X..X.X.. 9 +ram/RASEL ........XX.........XX......X..X.....XX.. 8 +ram/Once ........XX.........XX......X.XX......X.. 8 +nDTACK_FSB XXXXXXXXXXXX..XX....X......XX........... 17 +RAMReady ...............XXX..X......X.....X...XX. 8 +ram/RS_FSM_FFd8 ........XX......XX.XX......X..X..X...XX. 11 +ram/RAMEN ................XX..X......X.XX..X..XXX. 10 +ram/CAS ........XX......XX.XX......X..X...XXXXX. 13 +iobs/Load1 XXXXXXXXXX..........X..XXXXXX........... 17 +IORDREQ ......XXXX..X......XXXXXXXXXX........... 15 +nROMWE ...........................XX........... 2 0----+----1----+----2----+----3----+----4 0 0 0 0 + *********************************** FB4 *********************************** +Number of function block inputs used/remaining: 42/12 +Number of signals used by logic mapping into function block: 42 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +QoSReady 18 13<- 0 0 FB4_1 (b) (b) +nAoutOE 2 2<- /\5 0 FB4_2 87 I/O O +fsb/ASrf 1 0 /\2 2 FB4_3 (b) (b) +cnt/WS<0> 1 0 0 4 FB4_4 (b) (b) +nDoutOE 2 0 0 3 FB4_5 89 I/O O +nDinOE 3 0 0 2 FB4_6 90 I/O O +$OpTx$$OpTx$FX_DC$354_INV$541 + 1 0 0 4 FB4_7 (b) (b) +nRES 1 0 0 4 FB4_8 91 I/O I/O +iobs/IOL1 2 0 0 3 FB4_9 92 I/O I +cs/ODCSr 2 0 0 3 FB4_10 (b) (b) +nVPA_FSB 3 0 0 2 FB4_11 93 I/O O +cnt/WS<2> 3 0 \/2 0 FB4_12 94 I/O I +cnt/WS<1> 3 2<- \/4 0 FB4_13 (b) (b) +IONPReady 5 4<- \/4 0 FB4_14 95 I/O I +cnt/LTimer<1> 11 6<- 0 0 FB4_15 96 I/O I +cnt/WS<3> 3 0 /\2 0 FB4_16 (b) (b) +nRESout 1 0 \/3 1 FB4_17 97 I/O I +(unused) 0 0 \/5 0 FB4_18 (b) (b) + +Signals Used by Logic in Function Block + 1: $OpTx$$OpTx$FX_DC$354_INV$541 15: A_FSB<23> 29: fsb/ASrf + 2: A_FSB<10> 16: A_FSB<8> 30: iobm/DoutOE + 3: A_FSB<11> 17: A_FSB<9> 31: iobm/IORDREQr + 4: A_FSB<12> 18: IONPReady 32: iobm/IOS0 + 5: A_FSB<13> 19: QoSReady 33: iobm/IOWRREQr + 6: A_FSB<14> 20: cnt/IS_FSM_FFd1 34: iobs/IODONEr + 7: A_FSB<15> 21: cnt/IS_FSM_FFd2 35: iobs/Load1 + 8: A_FSB<16> 22: cnt/LTimer<0> 36: iobs/Sent + 9: A_FSB<17> 23: cnt/LTimer<1> 37: nAS_FSB + 10: A_FSB<18> 24: cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 38: nAoutOE + 11: A_FSB<19> 25: cnt/WS<0> 39: nBR_IOB + 12: A_FSB<20> 26: cnt/WS<1> 40: nLDS_FSB + 13: A_FSB<21> 27: cnt/WS<2> 41: nRESout + 14: A_FSB<22> 28: cnt/WS<3> 42: nWE_FSB + +Signal 1 2 3 4 5 FB +Name 0----+----0----+----0----+----0----+----0----+----0 Inputs +QoSReady XXXXXXXXXXXXXXXXX.X..XX.XXXX.............X........ 25 +nAoutOE ...................XX................XX........... 4 +fsb/ASrf ....................................X............. 1 +cnt/WS<0> ........................X...X.......X............. 3 +nDoutOE .............................XXXX....X............ 5 +nDinOE ...........XXXX.....................X....X........ 6 +$OpTx$$OpTx$FX_DC$354_INV$541 + ............................X.......X............. 2 +nRES ........................................X......... 1 +iobs/IOL1 ..................................X....X.......... 2 +cs/ODCSr ...........XXXX.............X.......X............. 6 +nVPA_FSB .......XXXXXXXX..XX.........X.......X............. 12 +cnt/WS<2> ........................XXX.X.......X............. 5 +cnt/WS<1> ........................XX..X.......X............. 4 +IONPReady ....XX.XXXXXXXX..X..........X....X.XX....X........ 16 +cnt/LTimer<1> .XXXXXXXXXXXXXXXX..XXXXX....X.......X....X........ 24 +cnt/WS<3> ........................XXXXX.......X............. 6 +nRESout ...................XX............................. 2 + 0----+----1----+----2----+----3----+----4----+----5 + 0 0 0 0 0 *********************************** FB5 *********************************** -Number of function block inputs used/remaining: 35/19 -Number of signals used by logic mapping into function block: 35 +Number of function block inputs used/remaining: 42/12 +Number of signals used by logic mapping into function block: 42 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use (unused) 0 0 /\5 0 FB5_1 (b) (b) -nROMCS 2 0 \/3 0 FB5_2 35 I/O O -ram/RAMEN 12 7<- 0 0 FB5_3 (b) (b) -ram/RASrr 4 3<- /\4 0 FB5_4 (b) (b) -nCAS 1 0 /\3 1 FB5_5 36 I/O O -nOE 1 0 \/3 1 FB5_6 37 I/O O -ram/RS_FSM_FFd6 9 4<- 0 0 FB5_7 (b) (b) -ram/Once 3 0 /\1 1 FB5_8 39 I/O (b) +nROMCS 2 0 /\3 0 FB5_2 35 I/O O +(unused) 0 0 0 5 FB5_3 (b) +(unused) 0 0 0 5 FB5_4 (b) +nCAS 1 0 0 4 FB5_5 36 I/O O +nOE 1 0 0 4 FB5_6 37 I/O O +(unused) 0 0 0 5 FB5_7 (b) +(unused) 0 0 0 5 FB5_8 39 I/O RA<4> 2 0 0 3 FB5_9 40 I/O O -ram/RASEL 3 0 0 2 FB5_10 (b) (b) +(unused) 0 0 0 5 FB5_10 (b) RA<3> 2 0 0 3 FB5_11 41 I/O O RA<5> 2 0 0 3 FB5_12 42 I/O O -cs/nOverlay 2 0 0 3 FB5_13 (b) (b) +cnt/LTimerTC 1 0 0 4 FB5_13 (b) (b) RA<2> 2 0 0 3 FB5_14 43 I/O O RA<6> 2 0 0 3 FB5_15 46 I/O O -ram/RS_FSM_FFd4 2 0 0 3 FB5_16 (b) (b) -ram/RefDone 2 0 \/3 0 FB5_17 49 I/O (b) -ram/CAS 13 8<- 0 0 FB5_18 (b) (b) +ALE0S 1 0 \/4 0 FB5_16 (b) (b) +(unused) 0 0 \/5 0 FB5_17 49 I/O (b) +cnt/LTimer<0> 22 17<- 0 0 FB5_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<11> 13: A_FSB<7> 25: ram/RAMEN - 2: A_FSB<12> 14: nRES.PIN 26: ram/RASEL - 3: A_FSB<13> 15: RefReq 27: ram/RS_FSM_FFd1 - 4: A_FSB<16> 16: RefUrg 28: ram/RS_FSM_FFd2 - 5: A_FSB<19> 17: cs/ODCSr 29: ram/RS_FSM_FFd3 - 6: A_FSB<20> 18: cs/nOverlay 30: ram/RS_FSM_FFd4 - 7: A_FSB<21> 19: fsb/ASrf 31: ram/RS_FSM_FFd5 - 8: A_FSB<22> 20: nAS_FSB 32: ram/RS_FSM_FFd6 - 9: A_FSB<23> 21: nWE_FSB 33: ram/RS_FSM_FFd7 - 10: A_FSB<3> 22: ram/BACTr 34: ram/RS_FSM_FFd8 - 11: A_FSB<4> 23: ram/CAS 35: ram/RefDone - 12: A_FSB<5> 24: ram/Once + 1: A_FSB<10> 15: A_FSB<3> 29: cnt/LTimer<4> + 2: A_FSB<11> 16: A_FSB<4> 30: cnt/LTimer<5> + 3: A_FSB<12> 17: A_FSB<5> 31: cnt/LTimer<6> + 4: A_FSB<13> 18: A_FSB<7> 32: cnt/LTimer<7> + 5: A_FSB<14> 19: A_FSB<8> 33: cnt/LTimer<8> + 6: A_FSB<15> 20: A_FSB<9> 34: cnt/LTimer<9> + 7: A_FSB<16> 21: cnt/IS_FSM_FFd1 35: cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + 8: A_FSB<17> 22: cnt/IS_FSM_FFd2 36: cs/nOverlay + 9: A_FSB<18> 23: cnt/LTimer<0> 37: fsb/ASrf + 10: A_FSB<19> 24: cnt/LTimer<10> 38: iobs/TS_FSM_FFd2 + 11: A_FSB<20> 25: cnt/LTimer<11> 39: nAS_FSB + 12: A_FSB<21> 26: cnt/LTimer<1> 40: nWE_FSB + 13: A_FSB<22> 27: cnt/LTimer<2> 41: ram/CAS + 14: A_FSB<23> 28: cnt/LTimer<3> 42: ram/RASEL -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -nROMCS .....XXXX........X...................... 5 -ram/RAMEN .......XX.....XX.XXX.X.XX....X..XXX..... 14 -ram/RASrr .......XX........XXX....X...X..X.X...... 9 -nCAS ......................X................. 1 -nOE ...................XX................... 2 -ram/RS_FSM_FFd6 .......XX.....XX.XXX.X..X.....X..XX..... 12 -ram/Once .......XX........XXX...XX........X...... 8 -RA<4> X........X...............X.............. 3 -ram/RASEL .......XX........XXX....X.......XX...... 8 -RA<3> ....XX...................X.............. 3 -RA<5> .X........X..............X.............. 3 -cs/nOverlay .............X..XXXX.................... 5 -RA<2> ...X........X............X.............. 3 -RA<6> ..X........X.............X.............. 3 -ram/RS_FSM_FFd4 ...............X..........X...X...X..... 4 -ram/RefDone ..............XX...........XX.....X..... 5 -ram/CAS .......XX.....XX.XXX.X..X.....XXXXX..... 14 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 +Signal 1 2 3 4 5 FB +Name 0----+----0----+----0----+----0----+----0----+----0 Inputs +nROMCS ..........XXXX.....................X.............. 5 +nCAS ........................................X......... 1 +nOE ......................................XX.......... 2 +RA<4> .X............X..........................X........ 3 +RA<3> .........XX..............................X........ 3 +RA<5> ..X............X.........................X........ 3 +cnt/LTimerTC ......................XXXXXXXXXXXX................ 12 +RA<2> ......X..........X.......................X........ 3 +RA<6> ...X............X........................X........ 3 +ALE0S .....................................X............ 1 +cnt/LTimer<0> XXXXXXXXXXXXXX....XXXXXXXXXXXXXXXXX.X.XX.......... 34 + 0----+----1----+----2----+----3----+----4----+----5 + 0 0 0 0 0 *********************************** FB6 *********************************** Number of function block inputs used/remaining: 36/18 Number of signals used by logic mapping into function block: 36 @@ -608,120 +630,132 @@ IOACT ...XXX...X......X.XXXXXXXX.....X........ 14 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB7 *********************************** -Number of function block inputs used/remaining: 24/30 -Number of signals used by logic mapping into function block: 24 +Number of function block inputs used/remaining: 41/13 +Number of signals used by logic mapping into function block: 41 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -cnt/LTimer<0> 1 0 0 4 FB7_1 (b) (b) -RA<1> 2 0 0 3 FB7_2 50 I/O O -cnt/INITS_FSM_FFd1 1 0 0 4 FB7_3 (b) (b) -cnt/Er<1> 1 0 0 4 FB7_4 (b) (b) +cnt/LTimer<9> 3 1<- /\3 0 FB7_1 (b) (b) +RA<1> 2 0 /\1 2 FB7_2 50 I/O O +cnt/LTimer<8> 3 0 0 2 FB7_3 (b) (b) +cnt/LTimer<7> 3 0 0 2 FB7_4 (b) (b) RA<7> 2 0 0 3 FB7_5 52 I/O O RA<0> 2 0 0 3 FB7_6 53 I/O O -cnt/TimerTC 2 0 0 3 FB7_7 (b) (b) +cnt/LTimer<6> 3 0 0 2 FB7_7 (b) (b) RA<8> 2 0 0 3 FB7_8 54 I/O O RA<10> 2 0 0 3 FB7_9 55 I/O O -cnt/Timer<0> 2 0 0 3 FB7_10 (b) (b) +cnt/LTimer<5> 3 0 0 2 FB7_10 (b) (b) RA<9> 2 0 0 3 FB7_11 56 I/O O C25MEN 0 0 0 5 FB7_12 58 I/O O -cnt/INITS_FSM_FFd2 2 0 0 3 FB7_13 (b) (b) -C20MEN 0 0 0 5 FB7_14 59 I/O O -RefReq 2 0 0 3 FB7_15 60 I/O (b) -cnt/Timer<1> 4 0 0 1 FB7_16 (b) (b) -cnt/Timer<2> 5 0 0 0 FB7_17 61 I/O (b) -RefUrg 5 0 0 0 FB7_18 (b) (b) +cnt/LTimer<4> 3 0 0 2 FB7_13 (b) (b) +cnt/LTimer<3> 3 0 0 2 FB7_14 59 I/O (b) +cnt/LTimer<2> 3 0 0 2 FB7_15 60 I/O (b) +cnt/LTimer<11> 3 0 0 2 FB7_16 (b) (b) +cnt/LTimer<10> 3 0 \/2 0 FB7_17 61 I/O (b) +cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + 10 5<- 0 0 FB7_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<10> 9: A_FSB<6> 17: cnt/INITS_FSM_FFd2 - 2: A_FSB<14> 10: A_FSB<7> 18: cnt/LTimerTC - 3: A_FSB<15> 11: A_FSB<8> 19: cnt/Timer<0> - 4: A_FSB<17> 12: A_FSB<9> 20: cnt/Timer<1> - 5: A_FSB<18> 13: RefUrg 21: cnt/Timer<2> - 6: A_FSB<1> 14: cnt/Er<0> 22: cnt/TimerTC - 7: A_FSB<21> 15: cnt/Er<1> 23: cnt/nIPL2r - 8: A_FSB<2> 16: cnt/INITS_FSM_FFd1 24: ram/RASEL + 1: A_FSB<10> 15: A_FSB<23> 29: cnt/LTimer<1> + 2: A_FSB<11> 16: A_FSB<2> 30: cnt/LTimer<2> + 3: A_FSB<12> 17: A_FSB<6> 31: cnt/LTimer<3> + 4: A_FSB<13> 18: A_FSB<7> 32: cnt/LTimer<4> + 5: A_FSB<14> 19: A_FSB<8> 33: cnt/LTimer<5> + 6: A_FSB<15> 20: A_FSB<9> 34: cnt/LTimer<6> + 7: A_FSB<16> 21: RefUrg 35: cnt/LTimer<7> + 8: A_FSB<17> 22: cnt/Er<0> 36: cnt/LTimer<8> + 9: A_FSB<18> 23: cnt/Er<1> 37: cnt/LTimer<9> + 10: A_FSB<19> 24: cnt/IS_FSM_FFd1 38: fsb/ASrf + 11: A_FSB<1> 25: cnt/IS_FSM_FFd2 39: nAS_FSB + 12: A_FSB<20> 26: cnt/LTimer<0> 40: nWE_FSB + 13: A_FSB<21> 27: cnt/LTimer<10> 41: ram/RASEL + 14: A_FSB<22> 28: cnt/LTimer<11> -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -cnt/LTimer<0> .............XX......X.................. 3 -RA<1> X......X...............X................ 3 -cnt/INITS_FSM_FFd1 .............XXXXX...XX................. 7 -cnt/Er<1> .............X.......................... 1 -RA<7> .X......X..............X................ 3 -RA<0> .....X.....X...........X................ 3 -cnt/TimerTC ............XXX...XXX................... 6 -RA<8> ....X.X................X................ 3 -RA<10> ...X.....X.............X................ 3 -cnt/Timer<0> .............XX...X..X.................. 4 -RA<9> ..X.......X............X................ 3 -C25MEN ........................................ 0 -cnt/INITS_FSM_FFd2 .............XXXXX...X.................. 6 -C20MEN ........................................ 0 -RefReq ............XXX....XX................... 5 -cnt/Timer<1> .............XX...XX.X.................. 5 -cnt/Timer<2> .............XX...XXXX.................. 6 -RefUrg ............XXX...XXXX.................. 7 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 +Signal 1 2 3 4 5 FB +Name 0----+----0----+----0----+----0----+----0----+----0 Inputs +cnt/LTimer<9> ....................XXXXXX..XXXXXXXXX............. 15 +RA<1> X..............X........................X......... 3 +cnt/LTimer<8> ....................XXXXXX..XXXXXXXX.............. 14 +cnt/LTimer<7> ....................XXXXXX..XXXXXXX............... 13 +RA<7> ....X...........X.......................X......... 3 +RA<0> ..........X........X....................X......... 3 +cnt/LTimer<6> ....................XXXXXX..XXXXXX................ 12 +RA<8> ........X...X...........................X......... 3 +RA<10> .......X.........X......................X......... 3 +cnt/LTimer<5> ....................XXXXXX..XXXXX................. 11 +RA<9> .....X............X.....................X......... 3 +C25MEN .................................................. 0 +cnt/LTimer<4> ....................XXXXXX..XXXX.................. 10 +cnt/LTimer<3> ....................XXXXXX..XXX................... 9 +cnt/LTimer<2> ....................XXXXXX..XX.................... 8 +cnt/LTimer<11> ....................XXXXXXXXXXXXXXXXX............. 17 +cnt/LTimer<10> ....................XXXXXXX.XXXXXXXXX............. 16 +cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + XXXXXXXXXX.XXXX...XXXXXXXXXXXXXXXXXXXXXX.......... 36 + 0----+----1----+----2----+----3----+----4----+----5 + 0 0 0 0 0 *********************************** FB8 *********************************** -Number of function block inputs used/remaining: 39/15 -Number of signals used by logic mapping into function block: 39 +Number of function block inputs used/remaining: 41/13 +Number of signals used by logic mapping into function block: 41 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -(unused) 0 0 /\5 0 FB8_1 (b) (b) -RA<11> 2 0 /\3 0 FB8_2 63 I/O O -(unused) 0 0 \/5 0 FB8_3 (b) (b) -iobs/TS_FSM_FFd2 14 9<- 0 0 FB8_4 (b) (b) -nRAS 3 2<- /\4 0 FB8_5 64 I/O O -nRAMLWE 1 0 /\2 2 FB8_6 65 I/O O +IOL0 16 11<- 0 0 FB8_1 (b) (b) +RA<11> 2 2<- /\5 0 FB8_2 63 I/O O +iobs/TS_FSM_FFd2 13 10<- /\2 0 FB8_3 (b) (b) +(unused) 0 0 /\5 0 FB8_4 (b) (b) +nRAS 3 3<- /\5 0 FB8_5 64 I/O O +nRAMLWE 1 0 /\3 1 FB8_6 65 I/O O (unused) 0 0 \/1 4 FB8_7 (b) (b) nRAMUWE 1 1<- \/5 0 FB8_8 66 I/O O IOWRREQ 15 10<- 0 0 FB8_9 67 I/O (b) (unused) 0 0 /\5 0 FB8_10 (b) (b) -(unused) 0 0 \/2 3 FB8_11 68 I/O (b) -nBERR_FSB 3 2<- \/4 0 FB8_12 70 I/O O -IOU0 17 12<- 0 0 FB8_13 (b) (b) -(unused) 0 0 /\5 0 FB8_14 71 I/O (b) +(unused) 0 0 \/1 4 FB8_11 68 I/O (b) +nBERR_FSB 3 1<- \/3 0 FB8_12 70 I/O O +(unused) 0 0 \/5 0 FB8_13 (b) (b) +IOU0 16 11<- 0 0 FB8_14 71 I/O (b) nBR_IOB 2 0 /\3 0 FB8_15 72 I/O O -iobs/Clear1 1 0 \/4 0 FB8_16 (b) (b) -IONPReady 5 4<- \/4 0 FB8_17 73 I/O (b) -IOL0 17 12<- 0 0 FB8_18 (b) (b) +cnt/IS_FSM_FFd1 1 0 \/4 0 FB8_16 (b) (b) +iobs/IORW1 8 4<- \/1 0 FB8_17 73 I/O (b) +(unused) 0 0 \/5 0 FB8_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<13> 14: IOU0 27: iobs/TS_FSM_FFd1 - 2: A_FSB<14> 15: IOWRREQ 28: iobs/TS_FSM_FFd2 - 3: A_FSB<16> 16: cnt/INITS_FSM_FFd1 29: nADoutLE1 - 4: A_FSB<17> 17: cnt/INITS_FSM_FFd2 30: nAS_FSB - 5: A_FSB<18> 18: cnt/nIPL2r 31: nBERR_FSB - 6: A_FSB<19> 19: cs/nOverlay 32: nBR_IOB - 7: A_FSB<20> 20: fsb/ASrf 33: nLDS_FSB - 8: A_FSB<21> 21: iobs/IOACTr 34: nUDS_FSB - 9: A_FSB<22> 22: iobs/IODONEr 35: nWE_FSB - 10: A_FSB<23> 23: iobs/IOL1 36: ram/RAMEN - 11: IOBERR 24: iobs/IORW1 37: ram/RASEL - 12: IOL0 25: iobs/IOU1 38: ram/RASrf - 13: IONPReady 26: iobs/Sent 39: ram/RASrr + 1: A_FSB<13> 15: RefUrg 29: iobs/TS_FSM_FFd1 + 2: A_FSB<14> 16: cnt/Er<0> 30: iobs/TS_FSM_FFd2 + 3: A_FSB<16> 17: cnt/Er<1> 31: nADoutLE1 + 4: A_FSB<17> 18: cnt/IS_FSM_FFd1 32: nAS_FSB + 5: A_FSB<18> 19: cnt/IS_FSM_FFd2 33: nBERR_FSB + 6: A_FSB<19> 20: cnt/LTimerTC 34: nBR_IOB + 7: A_FSB<20> 21: cnt/nIPL2r 35: nLDS_FSB + 8: A_FSB<21> 22: cs/nOverlay 36: nUDS_FSB + 9: A_FSB<22> 23: fsb/ASrf 37: nWE_FSB + 10: A_FSB<23> 24: iobs/IOACTr 38: ram/RAMEN + 11: IOBERR 25: iobs/IOL1 39: ram/RASEL + 12: IOL0 26: iobs/IORW1 40: ram/RASrf + 13: IOU0 27: iobs/IOU1 41: ram/RASrr + 14: IOWRREQ 28: iobs/Sent -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -RA<11> .....XX.............................X... 3 -iobs/TS_FSM_FFd2 XXXXXXXXXX........XXX....XXXXX....X..... 19 -nRAS ........XX........X..........X.....X.XX. 7 -nRAMLWE .............................X..X.XX.... 4 -nRAMUWE .............................X...XXX.... 4 -IOWRREQ XXXXXXXXXX....X...XXX..X.XXXXX....X..... 21 -nBERR_FSB ..........X........X.....X...XX......... 5 -IOU0 XXXXXXXXXX...X....XX....XXXXXX...XX..... 21 -nBR_IOB ...............XXX.............X........ 4 -iobs/Clear1 ..........................XX............ 2 -IONPReady XXXXXXXXXX..X.....XX.X...X...X....X..... 17 -IOL0 XXXXXXXXXX.X......XX..X..XXXXX..X.X..... 21 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 +Signal 1 2 3 4 5 FB +Name 0----+----0----+----0----+----0----+----0----+----0 Inputs +IOL0 XXXXXXXXXX.X.........XX.X..XXXXX..X.X............. 21 +RA<11> .....XX...............................X........... 3 +iobs/TS_FSM_FFd2 XXXXXXXXXX...........XXX...XXXXX....X............. 19 +nRAS ........XX...........X.........X.....X.XX......... 7 +nRAMLWE ...............................X..X.XX............ 4 +nRAMUWE ...............................X...XXX............ 4 +IOWRREQ XXXXXXXXXX...X.......XXX.X.XXXXX....X............. 21 +nBERR_FSB ..........X...........X....X...XX................. 5 +IOU0 XXXXXXXXXX..X........XX...XXXXXX...XX............. 21 +nBR_IOB .................XX.X............X................ 4 +cnt/IS_FSM_FFd1 ..............XXXXXXX............................. 7 +iobs/IORW1 XXXXXXXXXX............X..X.XXXXX....X............. 18 + 0----+----1----+----2----+----3----+----4----+----5 + 0 0 0 0 0 ******************************* Equations ******************************** ********** Mapped Logic ********** + +$OpTx$$OpTx$FX_DC$354_INV$541 <= (nAS_FSB AND NOT fsb/ASrf); + FDCPE_ALE0M: FDCPE port map (ALE0M,ALE0M_D,C16M,'0','0'); ALE0M_D <= ((iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND nAoutOE) @@ -740,9 +774,6 @@ ALE0M_D <= ((iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd3 AND FDCPE_ALE0S: FDCPE port map (ALE0S,iobs/TS_FSM_FFd2,FCLK,'0','0'); -C20MEN <= '0'; - - C25MEN <= '1'; @@ -760,6 +791,10 @@ C25MEN <= '1'; + + + + @@ -784,7 +819,6 @@ IODONE_D <= ((NOT nRES.PIN) FTCPE_IOL0: FTCPE port map (IOL0,IOL0_T,FCLK,'0','0'); IOL0_T <= ((iobs/TS_FSM_FFd1) - OR (RA_11_OBUF$BUF0.EXP) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19) AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18) AND @@ -793,14 +827,17 @@ IOL0_T <= ((iobs/TS_FSM_FFd1) NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16) AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB AND + OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND cs/nOverlay AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (iobs/IORW1.EXP) OR (NOT iobs/IOL1 AND NOT IOL0 AND NOT nADoutLE1) OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay AND + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (nLDS_FSB AND NOT IOL0 AND nADoutLE1) @@ -812,15 +849,15 @@ IONPReady_D <= ((NOT iobs/Sent AND NOT IONPReady) OR (NOT IONPReady AND NOT iobs/IODONEr) OR (nAS_FSB AND NOT fsb/ASrf) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND - NOT nWE_FSB AND NOT IONPReady AND A_FSB(13)) + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND + NOT nWE_FSB AND NOT IONPReady) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND - NOT nWE_FSB AND NOT IONPReady AND A_FSB(14))); + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND + NOT nWE_FSB AND NOT IONPReady)); FDCPE_IOPWReady: FDCPE port map (IOPWReady,IOPWReady_D,FCLK,'0','0'); -IOPWReady_D <= ((nAS_FSB AND NOT fsb/ASrf) - OR (NOT IOPWReady AND NOT iobs/Clear1 AND NOT nADoutLE1)); +IOPWReady_D <= ((iobs/Clear1) + OR (NOT iobs/Load1 AND nADoutLE1)); FDCPE_IORDREQ: FDCPE port map (IORDREQ,IORDREQ_D,FCLK,'0','0'); IORDREQ_D <= ((NOT iobs/IORW1 AND NOT iobs/TS_FSM_FFd2 AND NOT nADoutLE1) @@ -838,15 +875,15 @@ IORDREQ_D <= ((NOT iobs/IORW1 AND NOT iobs/TS_FSM_FFd2 AND NOT nADoutLE1) FTCPE_IOU0: FTCPE port map (IOU0,IOU0_T,FCLK,'0','0'); IOU0_T <= ((iobs/TS_FSM_FFd1) + OR (nBERR_FSB_OBUF.EXP) OR (NOT iobs/IOU1 AND NOT IOU0 AND NOT nADoutLE1) OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay AND + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20) AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (nBR_IOB_OBUF.EXP) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19) AND + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18) AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) @@ -854,8 +891,6 @@ IOU0_T <= ((iobs/TS_FSM_FFd1) NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16) AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (nUDS_FSB AND NOT IOU0 AND nADoutLE1) OR (NOT nUDS_FSB AND IOU0 AND nADoutLE1) @@ -868,28 +903,24 @@ IOWRREQ_D <= ((A_FSB(22) AND A_FSB(21) AND NOT iobs/Sent AND NOT nWE_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Sent AND NOT nWE_FSB AND + NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) OR (A_FSB(22) AND NOT iobs/Sent AND NOT cs/nOverlay AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (A_FSB(22) AND NOT iobs/Sent AND NOT cs/nOverlay AND NOT nWE_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) - OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Sent AND NOT nWE_FSB AND + OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND + A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND NOT iobs/Sent AND NOT nWE_FSB AND + NOT nAS_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND + A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND NOT iobs/Sent AND NOT nWE_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND - A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND cs/nOverlay AND NOT nWE_FSB AND - NOT nAS_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND A_FSB(14) AND - nADoutLE1) + A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND NOT iobs/Sent AND NOT nWE_FSB AND + NOT nAS_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND - A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND cs/nOverlay AND NOT nWE_FSB AND - NOT nAS_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND A_FSB(13) AND - nADoutLE1) - OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND - A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND cs/nOverlay AND NOT nWE_FSB AND - NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND A_FSB(14) AND fsb/ASrf AND - nADoutLE1) - OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND - A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND cs/nOverlay AND NOT nWE_FSB AND - NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND A_FSB(13) AND fsb/ASrf AND - nADoutLE1) + A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND NOT iobs/Sent AND NOT nWE_FSB AND + NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) OR (NOT iobs/TS_FSM_FFd1 AND iobs/TS_FSM_FFd2 AND IOWRREQ) OR (iobs/TS_FSM_FFd2 AND NOT iobs/IOACTr AND IOWRREQ) OR (NOT iobs/IORW1 AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND @@ -899,13 +930,42 @@ IOWRREQ_D <= ((A_FSB(22) AND A_FSB(21) AND NOT iobs/Sent AND NOT nWE_FSB AND OR (A_FSB(23) AND NOT iobs/Sent AND NOT nWE_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1)); +FDCPE_QoSReady: FDCPE port map (QoSReady,QoSReady_D,FCLK,'0','0'); +QoSReady_D <= ((A_FSB(22) AND NOT A_FSB(17) AND + NOT $OpTx$$OpTx$FX_DC$354_INV$541) + OR (A_FSB(22) AND NOT A_FSB(16) AND + NOT $OpTx$$OpTx$FX_DC$354_INV$541) + OR (A_FSB(22) AND NOT A_FSB(13) AND + NOT $OpTx$$OpTx$FX_DC$354_INV$541) + OR (A_FSB(22) AND NOT A_FSB(14) AND + NOT $OpTx$$OpTx$FX_DC$354_INV$541) + OR (A_FSB(22) AND NOT A_FSB(10) AND + NOT $OpTx$$OpTx$FX_DC$354_INV$541) + OR (nRESout.EXP) + OR (A_FSB(22) AND A_FSB(21) AND + NOT $OpTx$$OpTx$FX_DC$354_INV$541) + OR (A_FSB(22) AND A_FSB(19) AND + NOT $OpTx$$OpTx$FX_DC$354_INV$541) + OR (A_FSB(22) AND A_FSB(18) AND + NOT $OpTx$$OpTx$FX_DC$354_INV$541) + OR (A_FSB(22) AND A_FSB(15) AND + NOT $OpTx$$OpTx$FX_DC$354_INV$541) + OR (A_FSB(22) AND A_FSB(12) AND + NOT $OpTx$$OpTx$FX_DC$354_INV$541) + OR (A_FSB(23) AND NOT $OpTx$$OpTx$FX_DC$354_INV$541) + OR (NOT cnt/LTimer(0) AND NOT cnt/LTimer(1)) + OR (NOT nWE_FSB AND NOT $OpTx$$OpTx$FX_DC$354_INV$541) + OR (QoSReady AND NOT $OpTx$$OpTx$FX_DC$354_INV$541) + OR (A_FSB(22) AND A_FSB(20) AND + NOT $OpTx$$OpTx$FX_DC$354_INV$541)); + RA(0) <= ((ram/RASEL AND A_FSB(1)) OR (NOT ram/RASEL AND A_FSB(9))); -RA(1) <= ((ram/RASEL AND A_FSB(2)) - OR (NOT ram/RASEL AND A_FSB(10))); +RA(1) <= ((A_FSB(10) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(2))); RA(2) <= ((A_FSB(16) AND NOT ram/RASEL) @@ -916,28 +976,28 @@ RA(3) <= ((A_FSB(20) AND ram/RASEL) OR (A_FSB(19) AND NOT ram/RASEL)); -RA(4) <= ((ram/RASEL AND A_FSB(3)) - OR (NOT ram/RASEL AND A_FSB(11))); +RA(4) <= ((A_FSB(11) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(3))); -RA(5) <= ((ram/RASEL AND A_FSB(4)) - OR (NOT ram/RASEL AND A_FSB(12))); +RA(5) <= ((A_FSB(12) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(4))); -RA(6) <= ((ram/RASEL AND A_FSB(5)) - OR (NOT ram/RASEL AND A_FSB(13))); +RA(6) <= ((A_FSB(13) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(5))); -RA(7) <= ((ram/RASEL AND A_FSB(6)) - OR (NOT ram/RASEL AND A_FSB(14))); +RA(7) <= ((A_FSB(14) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(6))); RA(8) <= ((A_FSB(21) AND ram/RASEL) OR (A_FSB(18) AND NOT ram/RASEL)); -RA(9) <= ((ram/RASEL AND A_FSB(8)) - OR (NOT ram/RASEL AND A_FSB(15))); +RA(9) <= ((A_FSB(15) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(8))); RA(10) <= ((A_FSB(17) AND NOT ram/RASEL) @@ -948,148 +1008,323 @@ RA(11) <= ((A_FSB(20) AND ram/RASEL) OR (A_FSB(19) AND NOT ram/RASEL)); FDCPE_RAMReady: FDCPE port map (RAMReady,RAMReady_D,FCLK,'0','0'); -RAMReady_D <= ((A_FSB(23) AND NOT ram/RefDone AND RefReq AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd4 AND NOT RAMReady AND NOT ram/BACTr) - OR (A_FSB(23) AND NOT ram/RefDone AND RefReq AND - NOT ram/RS_FSM_FFd4 AND NOT RAMReady AND fsb/ASrf AND NOT ram/BACTr) - OR (A_FSB(22) AND NOT ram/RefDone AND RefReq AND - NOT ram/RS_FSM_FFd4 AND NOT RAMReady AND fsb/ASrf AND NOT ram/BACTr) - OR (NOT ram/RefDone AND RefReq AND NOT cs/nOverlay AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd4 AND NOT RAMReady AND NOT ram/BACTr) - OR (NOT ram/RefDone AND RefReq AND NOT cs/nOverlay AND - NOT ram/RS_FSM_FFd4 AND NOT RAMReady AND fsb/ASrf AND NOT ram/BACTr) - OR (NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4 AND NOT RAMReady) +RAMReady_D <= ((NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4 AND NOT RAMReady) OR (nAS_FSB AND NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4 AND NOT fsb/ASrf) - OR (RefUrg AND NOT ram/RAMEN AND NOT ram/RefDone AND - NOT ram/RS_FSM_FFd4 AND NOT RAMReady) OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND NOT ram/RS_FSM_FFd4 AND NOT fsb/ASrf) - OR (A_FSB(22) AND NOT ram/RefDone AND RefReq AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd4 AND NOT RAMReady AND NOT ram/BACTr)); + OR (NOT ram/RefDone AND RefReq AND nAS_FSB AND + NOT ram/RS_FSM_FFd4 AND NOT fsb/ASrf)); FDCPE_RefReq: FDCPE port map (RefReq,RefReq_D,FCLK,'0','0',RefReq_CE); -RefReq_D <= (NOT RefUrg AND NOT cnt/Timer(1) AND NOT cnt/Timer(2)); +RefReq_D <= (NOT cnt/Timer(0) AND cnt/Timer(1) AND NOT cnt/Timer(2) AND + cnt/Timer(3)); RefReq_CE <= (NOT cnt/Er(0) AND cnt/Er(1)); -FTCPE_RefUrg: FTCPE port map (RefUrg,RefUrg_T,FCLK,'0','0',RefUrg_CE); -RefUrg_T <= ((RefUrg AND cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)) - OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2) AND - NOT cnt/TimerTC) - OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2) AND - cnt/Er(0)) - OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2) AND - NOT cnt/Er(1))); +FDCPE_RefUrg: FDCPE port map (RefUrg,RefUrg_D,FCLK,'0','0',RefUrg_CE); +RefUrg_D <= (cnt/Timer(0) AND NOT cnt/Timer(1) AND NOT cnt/Timer(2) AND + cnt/Timer(3)); RefUrg_CE <= (NOT cnt/Er(0) AND cnt/Er(1)); FDCPE_cnt/Er0: FDCPE port map (cnt/Er(0),E,FCLK,'0','0'); FDCPE_cnt/Er1: FDCPE port map (cnt/Er(1),cnt/Er(0),FCLK,'0','0'); -FTCPE_cnt/INITS_FSM_FFd1: FTCPE port map (cnt/INITS_FSM_FFd1,cnt/INITS_FSM_FFd1_T,FCLK,'0','0'); -cnt/INITS_FSM_FFd1_T <= (cnt/TimerTC AND cnt/LTimerTC AND NOT cnt/INITS_FSM_FFd1 AND - cnt/INITS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/nIPL2r AND cnt/Er(1)); +FTCPE_cnt/IS_FSM_FFd1: FTCPE port map (cnt/IS_FSM_FFd1,cnt/IS_FSM_FFd1_T,FCLK,'0','0'); +cnt/IS_FSM_FFd1_T <= (RefUrg AND NOT cnt/IS_FSM_FFd1 AND cnt/IS_FSM_FFd2 AND + NOT cnt/Er(0) AND cnt/nIPL2r AND cnt/Er(1) AND cnt/LTimerTC); -FTCPE_cnt/INITS_FSM_FFd2: FTCPE port map (cnt/INITS_FSM_FFd2,cnt/INITS_FSM_FFd2_T,FCLK,'0','0'); -cnt/INITS_FSM_FFd2_T <= ((cnt/TimerTC AND cnt/LTimerTC AND cnt/INITS_FSM_FFd1 AND - cnt/INITS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1)) - OR (cnt/TimerTC AND cnt/LTimerTC AND NOT cnt/INITS_FSM_FFd1 AND - NOT cnt/INITS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); +FTCPE_cnt/IS_FSM_FFd2: FTCPE port map (cnt/IS_FSM_FFd2,cnt/IS_FSM_FFd2_T,FCLK,'0','0'); +cnt/IS_FSM_FFd2_T <= ((RefUrg AND cnt/IS_FSM_FFd1 AND cnt/IS_FSM_FFd2 AND + NOT cnt/Er(0) AND cnt/Er(1) AND cnt/LTimerTC) + OR (RefUrg AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND + NOT cnt/Er(0) AND cnt/Er(1) AND cnt/LTimerTC)); -FTCPE_cnt/LTimer0: FTCPE port map (cnt/LTimer(0),'1',FCLK,'0','0',cnt/LTimer_CE(0)); -cnt/LTimer_CE(0) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); +FDCPE_cnt/LTimer0: FDCPE port map (cnt/LTimer(0),cnt/LTimer_D(0),FCLK,'0','0'); +cnt/LTimer_D(0) <= ((nROMCS_OBUF.EXP) + OR (NOT cnt/LTimer(0) AND cnt/LTimer(10) AND + cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) + OR (NOT cnt/LTimer(0) AND cnt/LTimer(8) AND + cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) + OR (NOT cnt/LTimer(0) AND cnt/LTimer(9) AND + cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) + OR (NOT cnt/LTimer(0) AND cnt/LTimer(11) AND + cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND + A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND + NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND + A_FSB(8) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) + OR (ALE0S.EXP) + OR (NOT cnt/LTimer(0) AND cnt/LTimer(3) AND + cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) + OR (NOT cnt/LTimer(0) AND cnt/LTimer(4) AND + cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) + OR (NOT cnt/LTimer(0) AND cnt/LTimer(5) AND + cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) + OR (NOT cnt/LTimer(0) AND cnt/LTimer(6) AND + cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) + OR (NOT cnt/LTimer(0) AND cnt/LTimer(7) AND + cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) + OR (cnt/LTimer(0) AND + NOT cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) + OR (NOT cnt/LTimer(0) AND cnt/LTimer(1) AND + cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) + OR (NOT cnt/LTimer(0) AND cnt/LTimer(2) AND + cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) + OR (NOT cnt/LTimer(0) AND NOT cnt/IS_FSM_FFd1 AND + cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) + OR (NOT cnt/LTimer(0) AND cnt/IS_FSM_FFd2 AND + cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2)); -FTCPE_cnt/LTimer1: FTCPE port map (cnt/LTimer(1),cnt/LTimer(0),FCLK,'0','0',cnt/LTimer_CE(1)); -cnt/LTimer_CE(1) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); +FDCPE_cnt/LTimer1: FDCPE port map (cnt/LTimer(1),cnt/LTimer_D(1),FCLK,'0','0'); +cnt/LTimer_D(1) <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND + A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND + NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND + A_FSB(9) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND + A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND + NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(8) AND + fsb/ASrf AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND + A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND + NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND + A_FSB(9) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND + A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND + NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(8) AND + fsb/ASrf AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND + A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND + NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(9) AND + fsb/ASrf AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND + A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND + NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(9) AND + fsb/ASrf AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) + OR (NOT cnt/LTimer(0) AND NOT cnt/LTimer(1)) + OR (NOT cnt/LTimer(1) AND + NOT cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) + OR (cnt/LTimer(0) AND cnt/LTimer(1) AND + cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND + A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND + NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND + A_FSB(8) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND + A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND + NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND + A_FSB(8) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2)); -FTCPE_cnt/LTimer2: FTCPE port map (cnt/LTimer(2),cnt/LTimer_T(2),FCLK,'0','0',cnt/LTimer_CE(2)); -cnt/LTimer_T(2) <= (cnt/LTimer(0) AND cnt/LTimer(1)); -cnt/LTimer_CE(2) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); +FTCPE_cnt/LTimer2: FTCPE port map (cnt/LTimer(2),cnt/LTimer_T(2),FCLK,'0','0'); +cnt/LTimer_T(2) <= ((cnt/LTimer(2) AND cnt/IS_FSM_FFd1 AND + NOT cnt/IS_FSM_FFd2) + OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND + NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) + OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND + cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); -FTCPE_cnt/LTimer3: FTCPE port map (cnt/LTimer(3),cnt/LTimer_T(3),FCLK,'0','0',cnt/LTimer_CE(3)); -cnt/LTimer_T(3) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2)); -cnt/LTimer_CE(3) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); +FTCPE_cnt/LTimer3: FTCPE port map (cnt/LTimer(3),cnt/LTimer_T(3),FCLK,'0','0'); +cnt/LTimer_T(3) <= ((cnt/LTimer(3) AND cnt/IS_FSM_FFd1 AND + NOT cnt/IS_FSM_FFd2) + OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND + cnt/LTimer(2) AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) + OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND + cnt/LTimer(2) AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); -FTCPE_cnt/LTimer4: FTCPE port map (cnt/LTimer(4),cnt/LTimer_T(4),FCLK,'0','0',cnt/LTimer_CE(4)); -cnt/LTimer_T(4) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND - cnt/LTimer(3)); -cnt/LTimer_CE(4) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); +FTCPE_cnt/LTimer4: FTCPE port map (cnt/LTimer(4),cnt/LTimer_T(4),FCLK,'0','0'); +cnt/LTimer_T(4) <= ((cnt/LTimer(4) AND cnt/IS_FSM_FFd1 AND + NOT cnt/IS_FSM_FFd2) + OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND + cnt/LTimer(2) AND cnt/LTimer(3) AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND + cnt/Er(1)) + OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND + cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND + cnt/Er(1))); -FTCPE_cnt/LTimer5: FTCPE port map (cnt/LTimer(5),cnt/LTimer_T(5),FCLK,'0','0',cnt/LTimer_CE(5)); -cnt/LTimer_T(5) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND - cnt/LTimer(3) AND cnt/LTimer(4)); -cnt/LTimer_CE(5) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); +FTCPE_cnt/LTimer5: FTCPE port map (cnt/LTimer(5),cnt/LTimer_T(5),FCLK,'0','0'); +cnt/LTimer_T(5) <= ((cnt/LTimer(5) AND cnt/IS_FSM_FFd1 AND + NOT cnt/IS_FSM_FFd2) + OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND + cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND NOT cnt/IS_FSM_FFd1 AND + NOT cnt/Er(0) AND cnt/Er(1)) + OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND + cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/IS_FSM_FFd2 AND + NOT cnt/Er(0) AND cnt/Er(1))); -FTCPE_cnt/LTimer6: FTCPE port map (cnt/LTimer(6),cnt/LTimer_T(6),FCLK,'0','0',cnt/LTimer_CE(6)); -cnt/LTimer_T(6) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND - cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5)); -cnt/LTimer_CE(6) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); - -FTCPE_cnt/LTimer7: FTCPE port map (cnt/LTimer(7),cnt/LTimer_T(7),FCLK,'0','0',cnt/LTimer_CE(7)); -cnt/LTimer_T(7) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND - cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6)); -cnt/LTimer_CE(7) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); - -FTCPE_cnt/LTimer8: FTCPE port map (cnt/LTimer(8),cnt/LTimer_T(8),FCLK,'0','0',cnt/LTimer_CE(8)); -cnt/LTimer_T(8) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND - cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND - cnt/LTimer(7)); -cnt/LTimer_CE(8) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); - -FTCPE_cnt/LTimer9: FTCPE port map (cnt/LTimer(9),cnt/LTimer_T(9),FCLK,'0','0',cnt/LTimer_CE(9)); -cnt/LTimer_T(9) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND - cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND - cnt/LTimer(7) AND cnt/LTimer(8)); -cnt/LTimer_CE(9) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); - -FTCPE_cnt/LTimer10: FTCPE port map (cnt/LTimer(10),cnt/LTimer_T(10),FCLK,'0','0',cnt/LTimer_CE(10)); -cnt/LTimer_T(10) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND - cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND - cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9)); -cnt/LTimer_CE(10) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); - -FTCPE_cnt/LTimer11: FTCPE port map (cnt/LTimer(11),cnt/LTimer_T(11),FCLK,'0','0',cnt/LTimer_CE(11)); -cnt/LTimer_T(11) <= (cnt/LTimer(0) AND cnt/LTimer(10) AND cnt/LTimer(1) AND +FTCPE_cnt/LTimer6: FTCPE port map (cnt/LTimer(6),cnt/LTimer_T(6),FCLK,'0','0'); +cnt/LTimer_T(6) <= ((cnt/LTimer(6) AND cnt/IS_FSM_FFd1 AND + NOT cnt/IS_FSM_FFd2) + OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND - cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9)); -cnt/LTimer_CE(11) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); + NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) + OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND + cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND + cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); -FTCPE_cnt/LTimer12: FTCPE port map (cnt/LTimer(12),cnt/LTimer_T(12),FCLK,'0','0',cnt/LTimer_CE(12)); -cnt/LTimer_T(12) <= (cnt/LTimer(0) AND cnt/LTimer(10) AND cnt/LTimer(11) AND - cnt/LTimer(1) AND cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND - cnt/LTimer(5) AND cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND - cnt/LTimer(9)); -cnt/LTimer_CE(12) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); +FTCPE_cnt/LTimer7: FTCPE port map (cnt/LTimer(7),cnt/LTimer_T(7),FCLK,'0','0'); +cnt/LTimer_T(7) <= ((cnt/LTimer(7) AND cnt/IS_FSM_FFd1 AND + NOT cnt/IS_FSM_FFd2) + OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND + cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND + cnt/LTimer(6) AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) + OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND + cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND + cnt/LTimer(6) AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); -FDCPE_cnt/LTimerTC: FDCPE port map (cnt/LTimerTC,cnt/LTimerTC_D,FCLK,'0','0',cnt/LTimerTC_CE); -cnt/LTimerTC_D <= (NOT cnt/LTimer(0) AND cnt/LTimer(10) AND cnt/LTimer(11) AND - cnt/LTimer(1) AND cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND +FTCPE_cnt/LTimer8: FTCPE port map (cnt/LTimer(8),cnt/LTimer_T(8),FCLK,'0','0'); +cnt/LTimer_T(8) <= ((cnt/LTimer(8) AND cnt/IS_FSM_FFd1 AND + NOT cnt/IS_FSM_FFd2) + OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND + cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND + cnt/LTimer(6) AND cnt/LTimer(7) AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND + cnt/Er(1)) + OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND + cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND + cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND + cnt/Er(1))); + +FTCPE_cnt/LTimer9: FTCPE port map (cnt/LTimer(9),cnt/LTimer_T(9),FCLK,'0','0'); +cnt/LTimer_T(9) <= ((RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND + cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND + cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/IS_FSM_FFd2 AND + NOT cnt/Er(0) AND cnt/Er(1)) + OR (cnt/LTimer(9) AND cnt/IS_FSM_FFd1 AND + NOT cnt/IS_FSM_FFd2) + OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND + cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND + cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND NOT cnt/IS_FSM_FFd1 AND + NOT cnt/Er(0) AND cnt/Er(1))); + +FTCPE_cnt/LTimer10: FTCPE port map (cnt/LTimer(10),cnt/LTimer_T(10),FCLK,'0','0'); +cnt/LTimer_T(10) <= ((cnt/LTimer(10) AND cnt/IS_FSM_FFd1 AND + NOT cnt/IS_FSM_FFd2) + OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND + cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND + cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9) AND + NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) + OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND + cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND + cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9) AND + cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); + +FTCPE_cnt/LTimer11: FTCPE port map (cnt/LTimer(11),cnt/LTimer_T(11),FCLK,'0','0'); +cnt/LTimer_T(11) <= ((cnt/LTimer(11) AND cnt/IS_FSM_FFd1 AND + NOT cnt/IS_FSM_FFd2) + OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND + cnt/LTimer(10) AND cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND - cnt/LTimer(9) AND cnt/LTimer(12)); -cnt/LTimerTC_CE <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); + cnt/LTimer(9) AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) + OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND + cnt/LTimer(10) AND cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND + cnt/LTimer(5) AND cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND + cnt/LTimer(9) AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); + +FDCPE_cnt/LTimerTC: FDCPE port map (cnt/LTimerTC,cnt/LTimerTC_D,FCLK,'0','0'); +cnt/LTimerTC_D <= (NOT cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(10) AND + cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND + cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9) AND + cnt/LTimer(11)); + + +cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND + A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND + NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(9) AND + fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND + A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND + NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(9) AND + fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND + A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND + NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(8) AND + fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND + A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND + NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(8) AND + fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND + A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND + NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND + A_FSB(9)) + OR (RefUrg AND NOT cnt/Er(0) AND cnt/Er(1)) + OR (NOT cnt/LTimer(0) AND NOT cnt/LTimer(1) AND NOT cnt/LTimer(10) AND + NOT cnt/LTimer(2) AND NOT cnt/LTimer(3) AND NOT cnt/LTimer(4) AND NOT cnt/LTimer(5) AND + NOT cnt/LTimer(6) AND NOT cnt/LTimer(7) AND NOT cnt/LTimer(8) AND NOT cnt/LTimer(9) AND + NOT cnt/LTimer(11) AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND + A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND + NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND + A_FSB(9)) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND + A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND + NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND + A_FSB(8)) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND + A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND + NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND + A_FSB(8))); FTCPE_cnt/Timer0: FTCPE port map (cnt/Timer(0),cnt/Timer_T(0),FCLK,'0','0',cnt/Timer_CE(0)); -cnt/Timer_T(0) <= (NOT cnt/Timer(0) AND cnt/TimerTC AND NOT cnt/Er(0) AND - cnt/Er(1)); +cnt/Timer_T(0) <= (RefUrg AND NOT cnt/Timer(0) AND NOT cnt/Er(0) AND cnt/Er(1)); cnt/Timer_CE(0) <= (NOT cnt/Er(0) AND cnt/Er(1)); FDCPE_cnt/Timer1: FDCPE port map (cnt/Timer(1),cnt/Timer_D(1),FCLK,'0','0',cnt/Timer_CE(1)); cnt/Timer_D(1) <= ((cnt/Timer(0) AND cnt/Timer(1)) OR (NOT cnt/Timer(0) AND NOT cnt/Timer(1)) - OR (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1))); + OR (RefUrg AND NOT cnt/Er(0) AND cnt/Er(1))); cnt/Timer_CE(1) <= (NOT cnt/Er(0) AND cnt/Er(1)); FDCPE_cnt/Timer2: FDCPE port map (cnt/Timer(2),cnt/Timer_D(2),FCLK,'0','0',cnt/Timer_CE(2)); cnt/Timer_D(2) <= ((NOT cnt/Timer(0) AND NOT cnt/Timer(2)) OR (NOT cnt/Timer(1) AND NOT cnt/Timer(2)) - OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2)) - OR (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1))); + OR (RefUrg AND NOT cnt/Er(0) AND cnt/Er(1)) + OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2))); cnt/Timer_CE(2) <= (NOT cnt/Er(0) AND cnt/Er(1)); -FDCPE_cnt/TimerTC: FDCPE port map (cnt/TimerTC,cnt/TimerTC_D,FCLK,'0','0',cnt/TimerTC_CE); -cnt/TimerTC_D <= (RefUrg AND cnt/Timer(0) AND NOT cnt/Timer(1) AND - NOT cnt/Timer(2)); -cnt/TimerTC_CE <= (NOT cnt/Er(0) AND cnt/Er(1)); +FTCPE_cnt/Timer3: FTCPE port map (cnt/Timer(3),cnt/Timer_T(3),FCLK,'0','0',cnt/Timer_CE(3)); +cnt/Timer_T(3) <= ((RefUrg AND cnt/Timer(3) AND NOT cnt/Er(0) AND cnt/Er(1)) + OR (NOT RefUrg AND cnt/Timer(0) AND cnt/Timer(1) AND + cnt/Timer(2)) + OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2) AND + cnt/Er(0)) + OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2) AND + NOT cnt/Er(1))); +cnt/Timer_CE(3) <= (NOT cnt/Er(0) AND cnt/Er(1)); + +FTCPE_cnt/WS0: FTCPE port map (cnt/WS(0),cnt/WS_T(0),FCLK,'0','0'); +cnt/WS_T(0) <= (nAS_FSB AND NOT cnt/WS(0) AND NOT fsb/ASrf); + +FDCPE_cnt/WS1: FDCPE port map (cnt/WS(1),cnt/WS_D(1),FCLK,'0','0'); +cnt/WS_D(1) <= ((nAS_FSB AND NOT fsb/ASrf) + OR (NOT cnt/WS(0) AND NOT cnt/WS(1)) + OR (cnt/WS(0) AND cnt/WS(1))); + +FTCPE_cnt/WS2: FTCPE port map (cnt/WS(2),cnt/WS_T(2),FCLK,'0','0'); +cnt/WS_T(2) <= ((nAS_FSB AND cnt/WS(2) AND NOT fsb/ASrf) + OR (NOT nAS_FSB AND cnt/WS(0) AND cnt/WS(1)) + OR (cnt/WS(0) AND cnt/WS(1) AND fsb/ASrf)); + +FTCPE_cnt/WS3: FTCPE port map (cnt/WS(3),cnt/WS_T(3),FCLK,'0','0'); +cnt/WS_T(3) <= ((nAS_FSB AND cnt/WS(3) AND NOT fsb/ASrf) + OR (NOT nAS_FSB AND cnt/WS(0) AND cnt/WS(1) AND cnt/WS(2)) + OR (cnt/WS(0) AND cnt/WS(1) AND cnt/WS(2) AND fsb/ASrf)); FDCPE_cnt/nIPL2r: FDCPE port map (cnt/nIPL2r,nIPL2,FCLK,'0','0'); @@ -1202,103 +1437,103 @@ FDCPE_iobs/IOL1: FDCPE port map (iobs/IOL1,NOT nLDS_FSB,FCLK,'0','0',iobs/Load1) FTCPE_iobs/IORW1: FTCPE port map (iobs/IORW1,iobs/IORW1_T,FCLK,'0','0'); iobs/IORW1_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND - cs/nOverlay AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd1 AND - A_FSB(14) AND fsb/ASrf AND nADoutLE1) + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND + NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd1 AND + fsb/ASrf AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND - cs/nOverlay AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd1 AND - A_FSB(13) AND fsb/ASrf AND nADoutLE1) + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND + NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd2 AND + fsb/ASrf AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND - cs/nOverlay AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd2 AND - A_FSB(14) AND fsb/ASrf AND nADoutLE1) + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND + NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd1 AND + fsb/ASrf AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND - cs/nOverlay AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd2 AND - A_FSB(13) AND fsb/ASrf AND nADoutLE1) + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND + NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd2 AND + fsb/ASrf AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND - cs/nOverlay AND NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND - iobs/TS_FSM_FFd1 AND A_FSB(14) AND nADoutLE1) + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND + NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND + iobs/TS_FSM_FFd1 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND - cs/nOverlay AND NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND - iobs/TS_FSM_FFd1 AND A_FSB(13) AND nADoutLE1) + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND + NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND + iobs/TS_FSM_FFd2 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND - cs/nOverlay AND NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND - iobs/TS_FSM_FFd2 AND A_FSB(14) AND nADoutLE1) + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND + NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND + iobs/TS_FSM_FFd1 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND - cs/nOverlay AND NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND - iobs/TS_FSM_FFd2 AND A_FSB(13) AND nADoutLE1)); + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND + NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND + iobs/TS_FSM_FFd2 AND nADoutLE1)); FDCPE_iobs/IOU1: FDCPE port map (iobs/IOU1,NOT nUDS_FSB,FCLK,'0','0',iobs/Load1); FDCPE_iobs/Load1: FDCPE port map (iobs/Load1,iobs/Load1_D,FCLK,'0','0'); iobs/Load1_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND - cs/nOverlay AND NOT nWE_FSB AND iobs/TS_FSM_FFd1 AND A_FSB(14) AND - fsb/ASrf AND nADoutLE1) + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND + NOT iobs/Sent AND NOT nWE_FSB AND iobs/TS_FSM_FFd1 AND fsb/ASrf AND + nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND - cs/nOverlay AND NOT nWE_FSB AND iobs/TS_FSM_FFd1 AND A_FSB(13) AND - fsb/ASrf AND nADoutLE1) + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND + NOT iobs/Sent AND NOT nWE_FSB AND iobs/TS_FSM_FFd2 AND fsb/ASrf AND + nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND - cs/nOverlay AND NOT nWE_FSB AND iobs/TS_FSM_FFd2 AND A_FSB(13) AND - fsb/ASrf AND nADoutLE1) + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND + NOT iobs/Sent AND NOT nWE_FSB AND iobs/TS_FSM_FFd1 AND fsb/ASrf AND + nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND - cs/nOverlay AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd1 AND - A_FSB(14) AND nADoutLE1) + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND + NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd1 AND + nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND - cs/nOverlay AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd1 AND - A_FSB(13) AND nADoutLE1) + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND + NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd2 AND + nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND - cs/nOverlay AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd2 AND - A_FSB(14) AND nADoutLE1) + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND + NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd1 AND + nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND - cs/nOverlay AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd2 AND - A_FSB(13) AND nADoutLE1) + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND + NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd2 AND + nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND - cs/nOverlay AND NOT nWE_FSB AND iobs/TS_FSM_FFd2 AND A_FSB(14) AND - fsb/ASrf AND nADoutLE1)); + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND + NOT iobs/Sent AND NOT nWE_FSB AND iobs/TS_FSM_FFd2 AND fsb/ASrf AND + nADoutLE1)); FTCPE_iobs/Sent: FTCPE port map (iobs/Sent,iobs/Sent_T,FCLK,'0','0'); -iobs/Sent_T <= ((A_FSB(22) AND A_FSB(21) AND NOT iobs/Sent AND NOT nAS_FSB AND - NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Sent AND - NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) - OR (A_FSB(22) AND NOT iobs/Sent AND NOT cs/nOverlay AND - NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) +iobs/Sent_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND + NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND + NOT iobs/Sent AND NOT nWE_FSB AND fsb/ASrf AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND + NOT iobs/Sent AND NOT nWE_FSB AND fsb/ASrf AND nADoutLE1) OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/Sent AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) + OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Sent AND + NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) + OR (A_FSB(22) AND NOT iobs/Sent AND NOT cs/nOverlay AND NOT nAS_FSB AND + NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (A_FSB(22) AND NOT iobs/Sent AND NOT cs/nOverlay AND + NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND - cs/nOverlay AND NOT nWE_FSB AND NOT nAS_FSB AND A_FSB(14) AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND - cs/nOverlay AND NOT nWE_FSB AND NOT nAS_FSB AND A_FSB(13) AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND - cs/nOverlay AND NOT nWE_FSB AND A_FSB(14) AND fsb/ASrf AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND - cs/nOverlay AND NOT nWE_FSB AND A_FSB(13) AND fsb/ASrf AND nADoutLE1) + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND + NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND nADoutLE1) OR (iobs/Sent AND nAS_FSB AND NOT fsb/ASrf) OR (A_FSB(23) AND NOT iobs/Sent AND NOT nAS_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (A_FSB(23) AND NOT iobs/Sent AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) - OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Sent AND NOT nAS_FSB AND + OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/Sent AND NOT nAS_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (A_FSB(22) AND NOT iobs/Sent AND NOT cs/nOverlay AND NOT nAS_FSB AND + OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Sent AND NOT nAS_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1)); FDCPE_iobs/TS_FSM_FFd1: FDCPE port map (iobs/TS_FSM_FFd1,iobs/TS_FSM_FFd1_D,FCLK,'0','0'); @@ -1306,31 +1541,20 @@ iobs/TS_FSM_FFd1_D <= ((iobs/TS_FSM_FFd2) OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr)); FDCPE_iobs/TS_FSM_FFd2: FDCPE port map (iobs/TS_FSM_FFd2,iobs/TS_FSM_FFd2_D,FCLK,'0','0'); -iobs/TS_FSM_FFd2_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND +iobs/TS_FSM_FFd2_D <= ((nRAS_OBUF.EXP) + OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND + nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19) AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18) AND + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20) AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16) AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20) AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(17) AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/TS_FSM_FFd2 AND - NOT A_FSB(14) AND NOT A_FSB(13) AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND cs/nOverlay AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2) OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr) - OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND - nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1)); + OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1)); nADoutLE0 <= (NOT ALE0M AND NOT ALE0S); @@ -1351,38 +1575,36 @@ nAS_IOB <= nAS_IOB_I when nAS_IOB_OE = '1' else 'Z'; nAS_IOB_OE <= NOT nAoutOE; FDCPE_nAoutOE: FDCPE port map (nAoutOE,nAoutOE_D,FCLK,'0','0'); -nAoutOE_D <= ((NOT nBR_IOB AND cnt/INITS_FSM_FFd1 AND - cnt/INITS_FSM_FFd2) - OR (cnt/INITS_FSM_FFd1 AND NOT cnt/INITS_FSM_FFd2 AND - NOT nAoutOE)); +nAoutOE_D <= ((NOT nBR_IOB AND cnt/IS_FSM_FFd1 AND cnt/IS_FSM_FFd2) + OR (cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND NOT nAoutOE)); FDCPE_nBERR_FSB: FDCPE port map (nBERR_FSB,nBERR_FSB_D,FCLK,'0','0'); -nBERR_FSB_D <= ((NOT iobs/Sent AND nBERR_FSB) - OR (NOT IOBERR AND nBERR_FSB) +nBERR_FSB_D <= ((NOT IOBERR AND nBERR_FSB) + OR (NOT iobs/Sent AND nBERR_FSB) OR (nAS_FSB AND NOT fsb/ASrf)); FTCPE_nBR_IOB: FTCPE port map (nBR_IOB,nBR_IOB_T,FCLK,'0','0'); -nBR_IOB_T <= ((nBR_IOB AND NOT cnt/INITS_FSM_FFd1 AND - NOT cnt/INITS_FSM_FFd2) - OR (NOT nBR_IOB AND NOT cnt/INITS_FSM_FFd1 AND - cnt/INITS_FSM_FFd2 AND NOT cnt/nIPL2r)); +nBR_IOB_T <= ((nBR_IOB AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2) + OR (NOT nBR_IOB AND NOT cnt/IS_FSM_FFd1 AND cnt/IS_FSM_FFd2 AND + NOT cnt/nIPL2r)); FDCPE_nCAS: FDCPE port map (nCAS,NOT ram/CAS,NOT FCLK,'0','0'); FDCPE_nDTACK_FSB: FDCPE port map (nDTACK_FSB,nDTACK_FSB_D,FCLK,'0','0'); -nDTACK_FSB_D <= ((A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18)) +nDTACK_FSB_D <= ((NOT QoSReady) + OR (NOT A_FSB(22) AND NOT IONPReady AND NOT RAMReady) + OR (A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16)) OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND - A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND NOT nWE_FSB AND NOT IONPReady AND - NOT IOPWReady AND A_FSB(14)) + A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND NOT nWE_FSB AND NOT IONPReady AND + NOT IOPWReady) OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND - A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND NOT nWE_FSB AND NOT IONPReady AND - NOT IOPWReady AND A_FSB(13)) + A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND NOT nWE_FSB AND NOT IONPReady AND + NOT IOPWReady) OR (A_FSB(23) AND NOT IONPReady) OR (nAS_FSB AND NOT fsb/ASrf) OR (A_FSB(22) AND A_FSB(21) AND NOT IONPReady) - OR (A_FSB(22) AND A_FSB(20) AND NOT IONPReady) - OR (NOT A_FSB(22) AND NOT IONPReady AND NOT RAMReady)); + OR (A_FSB(22) AND A_FSB(20) AND NOT IONPReady)); FDCPE_nDinLE: FDCPE port map (nDinLE,nDinLE_D,NOT C16M,'0','0'); nDinLE_D <= (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4); @@ -1393,9 +1615,9 @@ nDinOE <= NOT (((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB) OR (A_FSB(22) AND A_FSB(20) AND nWE_FSB AND NOT nAS_FSB))); -nDoutOE <= NOT (((NOT iobm/IORDREQr AND iobm/IOS0 AND NOT iobm/IOWRREQr AND - NOT nAoutOE) - OR (iobm/DoutOE AND NOT nAoutOE))); +nDoutOE <= NOT (((iobm/DoutOE AND NOT nAoutOE) + OR (NOT iobm/IORDREQr AND iobm/IOS0 AND NOT iobm/IOWRREQr AND + NOT nAoutOE))); FDCPE_nLDS_IOB: FDCPE port map (nLDS_IOB_I,nLDS_IOB,NOT C16M,'0','0'); nLDS_IOB <= ((iobm/IOS_FSM_FFd7 AND NOT iobm/C8Mr AND IOL0 AND @@ -1428,7 +1650,7 @@ nRES <= nRES_I when nRES_OE = '1' else 'Z'; nRES_OE <= NOT nRESout; FDCPE_nRESout: FDCPE port map (nRESout,nRESout_D,FCLK,'0','0'); -nRESout_D <= (cnt/INITS_FSM_FFd1 AND NOT cnt/INITS_FSM_FFd2); +nRESout_D <= (cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2); nROMCS <= NOT (((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20)) @@ -1457,66 +1679,41 @@ nVMA_IOB_OE <= NOT nAoutOE; FDCPE_nVPA_FSB: FDCPE port map (nVPA_FSB,nVPA_FSB_D,FCLK,'0',nAS_FSB); nVPA_FSB_D <= ((A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND IONPReady AND NOT nAS_FSB) + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND IONPReady AND + NOT nAS_FSB AND QoSReady) OR (A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND IONPReady AND fsb/ASrf)); - -FDCPE_ram/BACTr: FDCPE port map (ram/BACTr,ram/BACTr_D,FCLK,'0','0'); -ram/BACTr_D <= (nAS_FSB AND NOT fsb/ASrf); + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND IONPReady AND + QoSReady AND fsb/ASrf)); FDCPE_ram/CAS: FDCPE port map (ram/CAS,ram/CAS_D,FCLK,'0','0'); ram/CAS_D <= ((ram/RS_FSM_FFd7) OR (ram/RS_FSM_FFd6) - OR (A_FSB(23) AND NOT ram/RefDone AND RefReq AND NOT nAS_FSB AND - ram/RS_FSM_FFd8 AND NOT ram/BACTr) - OR (A_FSB(23) AND NOT ram/RefDone AND RefReq AND - ram/RS_FSM_FFd8 AND fsb/ASrf AND NOT ram/BACTr) - OR (A_FSB(22) AND NOT ram/RefDone AND RefReq AND - ram/RS_FSM_FFd8 AND fsb/ASrf AND NOT ram/BACTr) - OR (NOT ram/RefDone AND RefReq AND NOT cs/nOverlay AND NOT nAS_FSB AND - ram/RS_FSM_FFd8 AND NOT ram/BACTr) - OR (NOT ram/RefDone AND RefReq AND NOT cs/nOverlay AND - ram/RS_FSM_FFd8 AND fsb/ASrf AND NOT ram/BACTr) + OR (RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd5) + OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND + ram/RS_FSM_FFd8 AND NOT fsb/ASrf) + OR (NOT ram/RefDone AND RefReq AND nAS_FSB AND + ram/RS_FSM_FFd8 AND NOT fsb/ASrf) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND NOT nAS_FSB AND ram/RS_FSM_FFd8) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND - ram/RS_FSM_FFd8 AND fsb/ASrf) - OR (A_FSB(22) AND NOT ram/RefDone AND RefReq AND NOT nAS_FSB AND - ram/RS_FSM_FFd8 AND NOT ram/BACTr) - OR (RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd5) - OR (RefUrg AND NOT ram/RAMEN AND NOT ram/RefDone AND - ram/RS_FSM_FFd8) - OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND - ram/RS_FSM_FFd8 AND NOT fsb/ASrf)); + ram/RS_FSM_FFd8 AND fsb/ASrf)); FTCPE_ram/Once: FTCPE port map (ram/Once,ram/Once_T,FCLK,'0','0'); -ram/Once_T <= ((ram/Once AND nAS_FSB AND NOT fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND +ram/Once_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND NOT ram/Once AND NOT nAS_FSB AND ram/RS_FSM_FFd8) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND - NOT ram/Once AND ram/RS_FSM_FFd8 AND fsb/ASrf)); + NOT ram/Once AND ram/RS_FSM_FFd8 AND fsb/ASrf) + OR (ram/Once AND nAS_FSB AND NOT fsb/ASrf)); FDCPE_ram/RAMEN: FDCPE port map (ram/RAMEN,ram/RAMEN_D,FCLK,'0','0'); ram/RAMEN_D <= ((ram/RS_FSM_FFd7) OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND ram/RS_FSM_FFd8 AND NOT fsb/ASrf) - OR (A_FSB(23) AND NOT ram/RefDone AND RefReq AND NOT nAS_FSB AND - ram/RS_FSM_FFd8 AND NOT ram/BACTr) - OR (A_FSB(22) AND NOT ram/RefDone AND RefReq AND NOT nAS_FSB AND - ram/RS_FSM_FFd8 AND NOT ram/BACTr) - OR (A_FSB(23) AND NOT ram/RefDone AND RefReq AND - ram/RS_FSM_FFd8 AND fsb/ASrf AND NOT ram/BACTr) - OR (A_FSB(22) AND NOT ram/RefDone AND RefReq AND - ram/RS_FSM_FFd8 AND fsb/ASrf AND NOT ram/BACTr) - OR (NOT ram/RefDone AND RefReq AND NOT cs/nOverlay AND NOT nAS_FSB AND - ram/RS_FSM_FFd8 AND NOT ram/BACTr) - OR (NOT ram/RefDone AND RefReq AND NOT cs/nOverlay AND - ram/RS_FSM_FFd8 AND fsb/ASrf AND NOT ram/BACTr) OR (NOT ram/RAMEN AND ram/Once AND NOT nAS_FSB) OR (NOT ram/RAMEN AND ram/Once AND fsb/ASrf) OR (NOT ram/RAMEN AND NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4) - OR (RefUrg AND NOT ram/RAMEN AND NOT ram/RefDone AND - ram/RS_FSM_FFd8)); + OR (NOT ram/RefDone AND RefReq AND nAS_FSB AND + ram/RS_FSM_FFd8 AND NOT fsb/ASrf)); FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,FCLK,'0','0'); ram/RASEL_D <= ((ram/RS_FSM_FFd7) @@ -1548,23 +1745,11 @@ ram/RS_FSM_FFd4_D <= ((NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd1) FDCPE_ram/RS_FSM_FFd5: FDCPE port map (ram/RS_FSM_FFd5,ram/RS_FSM_FFd7,FCLK,'0','0'); FDCPE_ram/RS_FSM_FFd6: FDCPE port map (ram/RS_FSM_FFd6,ram/RS_FSM_FFd6_D,FCLK,'0','0'); -ram/RS_FSM_FFd6_D <= ((A_FSB(23) AND NOT ram/RefDone AND RefReq AND - ram/RS_FSM_FFd8 AND fsb/ASrf AND NOT ram/BACTr) - OR (A_FSB(22) AND NOT ram/RefDone AND RefReq AND - ram/RS_FSM_FFd8 AND fsb/ASrf AND NOT ram/BACTr) - OR (NOT ram/RefDone AND RefReq AND NOT cs/nOverlay AND NOT nAS_FSB AND - ram/RS_FSM_FFd8 AND NOT ram/BACTr) - OR (NOT ram/RefDone AND RefReq AND NOT cs/nOverlay AND - ram/RS_FSM_FFd8 AND fsb/ASrf AND NOT ram/BACTr) - OR (RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd5) - OR (RefUrg AND NOT ram/RAMEN AND NOT ram/RefDone AND - ram/RS_FSM_FFd8) +ram/RS_FSM_FFd6_D <= ((RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd5) OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND ram/RS_FSM_FFd8 AND NOT fsb/ASrf) - OR (A_FSB(23) AND NOT ram/RefDone AND RefReq AND NOT nAS_FSB AND - ram/RS_FSM_FFd8 AND NOT ram/BACTr) - OR (A_FSB(22) AND NOT ram/RefDone AND RefReq AND NOT nAS_FSB AND - ram/RS_FSM_FFd8 AND NOT ram/BACTr)); + OR (NOT ram/RefDone AND RefReq AND nAS_FSB AND + ram/RS_FSM_FFd8 AND NOT fsb/ASrf)); FDCPE_ram/RS_FSM_FFd7: FDCPE port map (ram/RS_FSM_FFd7,ram/RS_FSM_FFd7_D,FCLK,'0','0'); ram/RS_FSM_FFd7_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND @@ -1573,27 +1758,15 @@ ram/RS_FSM_FFd7_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOve ram/RS_FSM_FFd8 AND fsb/ASrf)); FDCPE_ram/RS_FSM_FFd8: FDCPE port map (ram/RS_FSM_FFd8,ram/RS_FSM_FFd8_D,FCLK,'0','0'); -ram/RS_FSM_FFd8_D <= ((A_FSB(23) AND NOT ram/RefDone AND RefReq AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd4 AND NOT ram/BACTr) - OR (A_FSB(23) AND NOT ram/RefDone AND RefReq AND - NOT ram/RS_FSM_FFd4 AND fsb/ASrf AND NOT ram/BACTr) - OR (A_FSB(22) AND NOT ram/RefDone AND RefReq AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd4 AND NOT ram/BACTr) - OR (A_FSB(22) AND NOT ram/RefDone AND RefReq AND - NOT ram/RS_FSM_FFd4 AND fsb/ASrf AND NOT ram/BACTr) - OR (NOT ram/RefDone AND RefReq AND NOT cs/nOverlay AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd4 AND NOT ram/BACTr) - OR (NOT ram/RefDone AND RefReq AND NOT cs/nOverlay AND - NOT ram/RS_FSM_FFd4 AND fsb/ASrf AND NOT ram/BACTr) +ram/RS_FSM_FFd8_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND + NOT ram/RS_FSM_FFd4 AND fsb/ASrf) OR (NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4) - OR (RefUrg AND NOT ram/RAMEN AND NOT ram/RefDone AND - NOT ram/RS_FSM_FFd4) OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND NOT ram/RS_FSM_FFd4 AND NOT fsb/ASrf) + OR (NOT ram/RefDone AND RefReq AND nAS_FSB AND + NOT ram/RS_FSM_FFd4 AND NOT fsb/ASrf) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND - NOT nAS_FSB AND NOT ram/RS_FSM_FFd4) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND - NOT ram/RS_FSM_FFd4 AND fsb/ASrf)); + NOT nAS_FSB AND NOT ram/RS_FSM_FFd4)); FDCPE_ram/RefDone: FDCPE port map (ram/RefDone,ram/RefDone_D,FCLK,'0','0'); ram/RefDone_D <= ((NOT RefUrg AND NOT RefReq) @@ -1652,7 +1825,7 @@ No. Name No. Name 6 A_FSB<8> 56 RA<9> 7 A_FSB<9> 57 VCC 8 A_FSB<10> 58 C25MEN - 9 A_FSB<11> 59 C20MEN + 9 A_FSB<11> 59 KPR 10 A_FSB<12> 60 KPR 11 A_FSB<13> 61 KPR 12 A_FSB<14> 62 GND diff --git a/cpld/XC95144XL/WarpSE_html/fit/defeqns.htm b/cpld/XC95144XL/WarpSE_html/fit/defeqns.htm index 1fa3ce9..64ae039 100644 --- a/cpld/XC95144XL/WarpSE_html/fit/defeqns.htm +++ b/cpld/XC95144XL/WarpSE_html/fit/defeqns.htm @@ -5,6 +5,9 @@ ********** Mapped Logic ********** + +$OpTx$$OpTx$FX_DC$354_INV$541 <= (nAS_FSB AND NOT fsb/ASrf); + FDCPE_ALE0M: FDCPE port map (ALE0M,ALE0M_D,C16M,'0','0');
     ALE0M_D <= ((iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd3 AND
      NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND nAoutOE) @@ -23,9 +26,6 @@ FDCPE_ALE0M: FDCPE port map (ALE0M,ALE0M_D,C16M,'0','0'); FDCPE_ALE0S: FDCPE port map (ALE0S,iobs/TS_FSM_FFd2,FCLK,'0','0'); -C20MEN <= '0'; - - C25MEN <= '1'; @@ -46,6 +46,10 @@ C25MEN <= '1'; + + + + FDCPE_IOACT: FDCPE port map (IOACT,IOACT_D,C16M,'0','0');
     IOACT_D <= ((iobm/IOS_FSM_FFd4)
      OR (iobm/IOS_FSM_FFd5) @@ -67,7 +71,6 @@ FDCPE_IODONE: FDCPE port map (IODONE,IODONE_D,NOT C8M,nAS_IOB,'0'); FTCPE_IOL0: FTCPE port map (IOL0,IOL0_T,FCLK,'0','0');
     IOL0_T <= ((iobs/TS_FSM_FFd1) -
      OR (RA_11_OBUF$BUF0.EXP)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19) AND
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18) AND @@ -76,14 +79,17 @@ FTCPE_IOL0: FTCPE port map (IOL0,IOL0_T,FCLK,'0','0');
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16) AND
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB AND +
      OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND cs/nOverlay AND
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) +
      OR (iobs/IORW1.EXP)
      OR (NOT iobs/IOL1 AND NOT IOL0 AND NOT nADoutLE1)
      OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND
      nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay AND +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20) AND +
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB AND
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
      OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
      OR (nLDS_FSB AND NOT IOL0 AND nADoutLE1) @@ -95,15 +101,15 @@ FDCPE_IONPReady: FDCPE port map (IONPReady,IONPReady_D,FCLK,'0','0');
      OR (NOT IONPReady AND NOT iobs/IODONEr)
      OR (nAS_FSB AND NOT fsb/ASrf)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND -
      NOT nWE_FSB AND NOT IONPReady AND A_FSB(13)) +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND +
      NOT nWE_FSB AND NOT IONPReady)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND -
      NOT nWE_FSB AND NOT IONPReady AND A_FSB(14))); +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND +
      NOT nWE_FSB AND NOT IONPReady)); FDCPE_IOPWReady: FDCPE port map (IOPWReady,IOPWReady_D,FCLK,'0','0'); -
     IOPWReady_D <= ((nAS_FSB AND NOT fsb/ASrf) -
      OR (NOT IOPWReady AND NOT iobs/Clear1 AND NOT nADoutLE1)); +
     IOPWReady_D <= ((iobs/Clear1) +
      OR (NOT iobs/Load1 AND nADoutLE1)); FDCPE_IORDREQ: FDCPE port map (IORDREQ,IORDREQ_D,FCLK,'0','0');
     IORDREQ_D <= ((NOT iobs/IORW1 AND NOT iobs/TS_FSM_FFd2 AND NOT nADoutLE1) @@ -121,15 +127,15 @@ FDCPE_IORDREQ: FDCPE port map (IORDREQ,IORDREQ_D,FCLK,'0','0'); FTCPE_IOU0: FTCPE port map (IOU0,IOU0_T,FCLK,'0','0');
     IOU0_T <= ((iobs/TS_FSM_FFd1) +
      OR (nBERR_FSB_OBUF.EXP)
      OR (NOT iobs/IOU1 AND NOT IOU0 AND NOT nADoutLE1)
      OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND
      nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay AND +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20) AND
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) -
      OR (nBR_IOB_OBUF.EXP) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19) AND +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB AND
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18) AND
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) @@ -137,8 +143,6 @@ FTCPE_IOU0: FTCPE port map (IOU0,IOU0_T,FCLK,'0','0');
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16) AND
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB AND -
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
      OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
      OR (nUDS_FSB AND NOT IOU0 AND nADoutLE1)
      OR (NOT nUDS_FSB AND IOU0 AND nADoutLE1) @@ -151,28 +155,24 @@ FDCPE_IOWRREQ: FDCPE port map (IOWRREQ,IOWRREQ_D,FCLK,'0','0');
      NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1)
      OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Sent AND NOT nWE_FSB AND
      NOT nAS_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) +
      OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Sent AND NOT nWE_FSB AND +
      NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1)
      OR (A_FSB(22) AND NOT iobs/Sent AND NOT cs/nOverlay AND NOT nWE_FSB AND
      NOT nAS_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
      OR (A_FSB(22) AND NOT iobs/Sent AND NOT cs/nOverlay AND NOT nWE_FSB AND
      NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) -
      OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Sent AND NOT nWE_FSB AND +
      OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND +
      A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND NOT iobs/Sent AND NOT nWE_FSB AND +
      NOT nAS_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) +
      OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND +
      A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND NOT iobs/Sent AND NOT nWE_FSB AND
      NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1)
      OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND -
      A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND cs/nOverlay AND NOT nWE_FSB AND -
      NOT nAS_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND A_FSB(14) AND -
      nADoutLE1) +
      A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND NOT iobs/Sent AND NOT nWE_FSB AND +
      NOT nAS_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
      OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND -
      A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND cs/nOverlay AND NOT nWE_FSB AND -
      NOT nAS_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND A_FSB(13) AND -
      nADoutLE1) -
      OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND -
      A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND cs/nOverlay AND NOT nWE_FSB AND -
      NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND A_FSB(14) AND fsb/ASrf AND -
      nADoutLE1) -
      OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND -
      A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND cs/nOverlay AND NOT nWE_FSB AND -
      NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND A_FSB(13) AND fsb/ASrf AND -
      nADoutLE1) +
      A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND NOT iobs/Sent AND NOT nWE_FSB AND +
      NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1)
      OR (NOT iobs/TS_FSM_FFd1 AND iobs/TS_FSM_FFd2 AND IOWRREQ)
      OR (iobs/TS_FSM_FFd2 AND NOT iobs/IOACTr AND IOWRREQ)
      OR (NOT iobs/IORW1 AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND @@ -182,13 +182,42 @@ FDCPE_IOWRREQ: FDCPE port map (IOWRREQ,IOWRREQ_D,FCLK,'0','0');
      OR (A_FSB(23) AND NOT iobs/Sent AND NOT nWE_FSB AND
      NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1)); +FDCPE_QoSReady: FDCPE port map (QoSReady,QoSReady_D,FCLK,'0','0'); +
     QoSReady_D <= ((A_FSB(22) AND NOT A_FSB(17) AND +
      NOT $OpTx$$OpTx$FX_DC$354_INV$541) +
      OR (A_FSB(22) AND NOT A_FSB(16) AND +
      NOT $OpTx$$OpTx$FX_DC$354_INV$541) +
      OR (A_FSB(22) AND NOT A_FSB(13) AND +
      NOT $OpTx$$OpTx$FX_DC$354_INV$541) +
      OR (A_FSB(22) AND NOT A_FSB(14) AND +
      NOT $OpTx$$OpTx$FX_DC$354_INV$541) +
      OR (A_FSB(22) AND NOT A_FSB(10) AND +
      NOT $OpTx$$OpTx$FX_DC$354_INV$541) +
      OR (nRESout.EXP) +
      OR (A_FSB(22) AND A_FSB(21) AND +
      NOT $OpTx$$OpTx$FX_DC$354_INV$541) +
      OR (A_FSB(22) AND A_FSB(19) AND +
      NOT $OpTx$$OpTx$FX_DC$354_INV$541) +
      OR (A_FSB(22) AND A_FSB(18) AND +
      NOT $OpTx$$OpTx$FX_DC$354_INV$541) +
      OR (A_FSB(22) AND A_FSB(15) AND +
      NOT $OpTx$$OpTx$FX_DC$354_INV$541) +
      OR (A_FSB(22) AND A_FSB(12) AND +
      NOT $OpTx$$OpTx$FX_DC$354_INV$541) +
      OR (A_FSB(23) AND NOT $OpTx$$OpTx$FX_DC$354_INV$541) +
      OR (NOT cnt/LTimer(0) AND NOT cnt/LTimer(1)) +
      OR (NOT nWE_FSB AND NOT $OpTx$$OpTx$FX_DC$354_INV$541) +
      OR (QoSReady AND NOT $OpTx$$OpTx$FX_DC$354_INV$541) +
      OR (A_FSB(22) AND A_FSB(20) AND +
      NOT $OpTx$$OpTx$FX_DC$354_INV$541)); + RA(0) <= ((ram/RASEL AND A_FSB(1))
      OR (NOT ram/RASEL AND A_FSB(9))); -RA(1) <= ((ram/RASEL AND A_FSB(2)) -
      OR (NOT ram/RASEL AND A_FSB(10))); +RA(1) <= ((A_FSB(10) AND NOT ram/RASEL) +
      OR (ram/RASEL AND A_FSB(2))); RA(2) <= ((A_FSB(16) AND NOT ram/RASEL) @@ -199,28 +228,28 @@ RA(3) <= ((A_FSB(20) AND ram/RASEL)
      OR (A_FSB(19) AND NOT ram/RASEL)); -RA(4) <= ((ram/RASEL AND A_FSB(3)) -
      OR (NOT ram/RASEL AND A_FSB(11))); +RA(4) <= ((A_FSB(11) AND NOT ram/RASEL) +
      OR (ram/RASEL AND A_FSB(3))); -RA(5) <= ((ram/RASEL AND A_FSB(4)) -
      OR (NOT ram/RASEL AND A_FSB(12))); +RA(5) <= ((A_FSB(12) AND NOT ram/RASEL) +
      OR (ram/RASEL AND A_FSB(4))); -RA(6) <= ((ram/RASEL AND A_FSB(5)) -
      OR (NOT ram/RASEL AND A_FSB(13))); +RA(6) <= ((A_FSB(13) AND NOT ram/RASEL) +
      OR (ram/RASEL AND A_FSB(5))); -RA(7) <= ((ram/RASEL AND A_FSB(6)) -
      OR (NOT ram/RASEL AND A_FSB(14))); +RA(7) <= ((A_FSB(14) AND NOT ram/RASEL) +
      OR (ram/RASEL AND A_FSB(6))); RA(8) <= ((A_FSB(21) AND ram/RASEL)
      OR (A_FSB(18) AND NOT ram/RASEL)); -RA(9) <= ((ram/RASEL AND A_FSB(8)) -
      OR (NOT ram/RASEL AND A_FSB(15))); +RA(9) <= ((A_FSB(15) AND NOT ram/RASEL) +
      OR (ram/RASEL AND A_FSB(8))); RA(10) <= ((A_FSB(17) AND NOT ram/RASEL) @@ -231,148 +260,323 @@ RA(11) <= ((A_FSB(20) AND ram/RASEL)
      OR (A_FSB(19) AND NOT ram/RASEL)); FDCPE_RAMReady: FDCPE port map (RAMReady,RAMReady_D,FCLK,'0','0'); -
     RAMReady_D <= ((A_FSB(23) AND NOT ram/RefDone AND RefReq AND NOT nAS_FSB AND -
      NOT ram/RS_FSM_FFd4 AND NOT RAMReady AND NOT ram/BACTr) -
      OR (A_FSB(23) AND NOT ram/RefDone AND RefReq AND -
      NOT ram/RS_FSM_FFd4 AND NOT RAMReady AND fsb/ASrf AND NOT ram/BACTr) -
      OR (A_FSB(22) AND NOT ram/RefDone AND RefReq AND -
      NOT ram/RS_FSM_FFd4 AND NOT RAMReady AND fsb/ASrf AND NOT ram/BACTr) -
      OR (NOT ram/RefDone AND RefReq AND NOT cs/nOverlay AND NOT nAS_FSB AND -
      NOT ram/RS_FSM_FFd4 AND NOT RAMReady AND NOT ram/BACTr) -
      OR (NOT ram/RefDone AND RefReq AND NOT cs/nOverlay AND -
      NOT ram/RS_FSM_FFd4 AND NOT RAMReady AND fsb/ASrf AND NOT ram/BACTr) -
      OR (NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4 AND NOT RAMReady) +
     RAMReady_D <= ((NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4 AND NOT RAMReady)
      OR (nAS_FSB AND NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4 AND
      NOT fsb/ASrf) -
      OR (RefUrg AND NOT ram/RAMEN AND NOT ram/RefDone AND -
      NOT ram/RS_FSM_FFd4 AND NOT RAMReady)
      OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND
      NOT ram/RS_FSM_FFd4 AND NOT fsb/ASrf) -
      OR (A_FSB(22) AND NOT ram/RefDone AND RefReq AND NOT nAS_FSB AND -
      NOT ram/RS_FSM_FFd4 AND NOT RAMReady AND NOT ram/BACTr)); +
      OR (NOT ram/RefDone AND RefReq AND nAS_FSB AND +
      NOT ram/RS_FSM_FFd4 AND NOT fsb/ASrf)); FDCPE_RefReq: FDCPE port map (RefReq,RefReq_D,FCLK,'0','0',RefReq_CE); -
     RefReq_D <= (NOT RefUrg AND NOT cnt/Timer(1) AND NOT cnt/Timer(2)); +
     RefReq_D <= (NOT cnt/Timer(0) AND cnt/Timer(1) AND NOT cnt/Timer(2) AND +
      cnt/Timer(3));
     RefReq_CE <= (NOT cnt/Er(0) AND cnt/Er(1)); -FTCPE_RefUrg: FTCPE port map (RefUrg,RefUrg_T,FCLK,'0','0',RefUrg_CE); -
     RefUrg_T <= ((RefUrg AND cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)) -
      OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2) AND -
      NOT cnt/TimerTC) -
      OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2) AND -
      cnt/Er(0)) -
      OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2) AND -
      NOT cnt/Er(1))); +FDCPE_RefUrg: FDCPE port map (RefUrg,RefUrg_D,FCLK,'0','0',RefUrg_CE); +
     RefUrg_D <= (cnt/Timer(0) AND NOT cnt/Timer(1) AND NOT cnt/Timer(2) AND +
      cnt/Timer(3));
     RefUrg_CE <= (NOT cnt/Er(0) AND cnt/Er(1)); FDCPE_cnt/Er0: FDCPE port map (cnt/Er(0),E,FCLK,'0','0'); FDCPE_cnt/Er1: FDCPE port map (cnt/Er(1),cnt/Er(0),FCLK,'0','0'); -FTCPE_cnt/INITS_FSM_FFd1: FTCPE port map (cnt/INITS_FSM_FFd1,cnt/INITS_FSM_FFd1_T,FCLK,'0','0'); -
     cnt/INITS_FSM_FFd1_T <= (cnt/TimerTC AND cnt/LTimerTC AND NOT cnt/INITS_FSM_FFd1 AND -
      cnt/INITS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/nIPL2r AND cnt/Er(1)); +FTCPE_cnt/IS_FSM_FFd1: FTCPE port map (cnt/IS_FSM_FFd1,cnt/IS_FSM_FFd1_T,FCLK,'0','0'); +
     cnt/IS_FSM_FFd1_T <= (RefUrg AND NOT cnt/IS_FSM_FFd1 AND cnt/IS_FSM_FFd2 AND +
      NOT cnt/Er(0) AND cnt/nIPL2r AND cnt/Er(1) AND cnt/LTimerTC); -FTCPE_cnt/INITS_FSM_FFd2: FTCPE port map (cnt/INITS_FSM_FFd2,cnt/INITS_FSM_FFd2_T,FCLK,'0','0'); -
     cnt/INITS_FSM_FFd2_T <= ((cnt/TimerTC AND cnt/LTimerTC AND cnt/INITS_FSM_FFd1 AND -
      cnt/INITS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1)) -
      OR (cnt/TimerTC AND cnt/LTimerTC AND NOT cnt/INITS_FSM_FFd1 AND -
      NOT cnt/INITS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); +FTCPE_cnt/IS_FSM_FFd2: FTCPE port map (cnt/IS_FSM_FFd2,cnt/IS_FSM_FFd2_T,FCLK,'0','0'); +
     cnt/IS_FSM_FFd2_T <= ((RefUrg AND cnt/IS_FSM_FFd1 AND cnt/IS_FSM_FFd2 AND +
      NOT cnt/Er(0) AND cnt/Er(1) AND cnt/LTimerTC) +
      OR (RefUrg AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND +
      NOT cnt/Er(0) AND cnt/Er(1) AND cnt/LTimerTC)); -FTCPE_cnt/LTimer0: FTCPE port map (cnt/LTimer(0),'1',FCLK,'0','0',cnt/LTimer_CE(0)); -
     cnt/LTimer_CE(0) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); +FDCPE_cnt/LTimer0: FDCPE port map (cnt/LTimer(0),cnt/LTimer_D(0),FCLK,'0','0'); +
     cnt/LTimer_D(0) <= ((nROMCS_OBUF.EXP) +
      OR (NOT cnt/LTimer(0) AND cnt/LTimer(10) AND +
      cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) +
      OR (NOT cnt/LTimer(0) AND cnt/LTimer(8) AND +
      cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) +
      OR (NOT cnt/LTimer(0) AND cnt/LTimer(9) AND +
      cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) +
      OR (NOT cnt/LTimer(0) AND cnt/LTimer(11) AND +
      cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND +
      A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND +
      NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND +
      A_FSB(8) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) +
      OR (ALE0S.EXP) +
      OR (NOT cnt/LTimer(0) AND cnt/LTimer(3) AND +
      cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) +
      OR (NOT cnt/LTimer(0) AND cnt/LTimer(4) AND +
      cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) +
      OR (NOT cnt/LTimer(0) AND cnt/LTimer(5) AND +
      cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) +
      OR (NOT cnt/LTimer(0) AND cnt/LTimer(6) AND +
      cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) +
      OR (NOT cnt/LTimer(0) AND cnt/LTimer(7) AND +
      cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) +
      OR (cnt/LTimer(0) AND +
      NOT cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) +
      OR (NOT cnt/LTimer(0) AND cnt/LTimer(1) AND +
      cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) +
      OR (NOT cnt/LTimer(0) AND cnt/LTimer(2) AND +
      cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) +
      OR (NOT cnt/LTimer(0) AND NOT cnt/IS_FSM_FFd1 AND +
      cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) +
      OR (NOT cnt/LTimer(0) AND cnt/IS_FSM_FFd2 AND +
      cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2)); -FTCPE_cnt/LTimer1: FTCPE port map (cnt/LTimer(1),cnt/LTimer(0),FCLK,'0','0',cnt/LTimer_CE(1)); -
     cnt/LTimer_CE(1) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); +FDCPE_cnt/LTimer1: FDCPE port map (cnt/LTimer(1),cnt/LTimer_D(1),FCLK,'0','0'); +
     cnt/LTimer_D(1) <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND +
      A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND +
      NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND +
      A_FSB(9) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND +
      A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND +
      NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(8) AND +
      fsb/ASrf AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND +
      A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND +
      NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND +
      A_FSB(9) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND +
      A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND +
      NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(8) AND +
      fsb/ASrf AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND +
      A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND +
      NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(9) AND +
      fsb/ASrf AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND +
      A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND +
      NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(9) AND +
      fsb/ASrf AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) +
      OR (NOT cnt/LTimer(0) AND NOT cnt/LTimer(1)) +
      OR (NOT cnt/LTimer(1) AND +
      NOT cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) +
      OR (cnt/LTimer(0) AND cnt/LTimer(1) AND +
      cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND +
      A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND +
      NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND +
      A_FSB(8) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND +
      A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND +
      NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND +
      A_FSB(8) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2)); -FTCPE_cnt/LTimer2: FTCPE port map (cnt/LTimer(2),cnt/LTimer_T(2),FCLK,'0','0',cnt/LTimer_CE(2)); -
     cnt/LTimer_T(2) <= (cnt/LTimer(0) AND cnt/LTimer(1)); -
     cnt/LTimer_CE(2) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); +FTCPE_cnt/LTimer2: FTCPE port map (cnt/LTimer(2),cnt/LTimer_T(2),FCLK,'0','0'); +
     cnt/LTimer_T(2) <= ((cnt/LTimer(2) AND cnt/IS_FSM_FFd1 AND +
      NOT cnt/IS_FSM_FFd2) +
      OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND +
      NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) +
      OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND +
      cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); -FTCPE_cnt/LTimer3: FTCPE port map (cnt/LTimer(3),cnt/LTimer_T(3),FCLK,'0','0',cnt/LTimer_CE(3)); -
     cnt/LTimer_T(3) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2)); -
     cnt/LTimer_CE(3) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); +FTCPE_cnt/LTimer3: FTCPE port map (cnt/LTimer(3),cnt/LTimer_T(3),FCLK,'0','0'); +
     cnt/LTimer_T(3) <= ((cnt/LTimer(3) AND cnt/IS_FSM_FFd1 AND +
      NOT cnt/IS_FSM_FFd2) +
      OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND +
      cnt/LTimer(2) AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) +
      OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND +
      cnt/LTimer(2) AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); -FTCPE_cnt/LTimer4: FTCPE port map (cnt/LTimer(4),cnt/LTimer_T(4),FCLK,'0','0',cnt/LTimer_CE(4)); -
     cnt/LTimer_T(4) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND -
      cnt/LTimer(3)); -
     cnt/LTimer_CE(4) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); +FTCPE_cnt/LTimer4: FTCPE port map (cnt/LTimer(4),cnt/LTimer_T(4),FCLK,'0','0'); +
     cnt/LTimer_T(4) <= ((cnt/LTimer(4) AND cnt/IS_FSM_FFd1 AND +
      NOT cnt/IS_FSM_FFd2) +
      OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND +
      cnt/LTimer(2) AND cnt/LTimer(3) AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND +
      cnt/Er(1)) +
      OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND +
      cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND +
      cnt/Er(1))); -FTCPE_cnt/LTimer5: FTCPE port map (cnt/LTimer(5),cnt/LTimer_T(5),FCLK,'0','0',cnt/LTimer_CE(5)); -
     cnt/LTimer_T(5) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND -
      cnt/LTimer(3) AND cnt/LTimer(4)); -
     cnt/LTimer_CE(5) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); +FTCPE_cnt/LTimer5: FTCPE port map (cnt/LTimer(5),cnt/LTimer_T(5),FCLK,'0','0'); +
     cnt/LTimer_T(5) <= ((cnt/LTimer(5) AND cnt/IS_FSM_FFd1 AND +
      NOT cnt/IS_FSM_FFd2) +
      OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND +
      cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND NOT cnt/IS_FSM_FFd1 AND +
      NOT cnt/Er(0) AND cnt/Er(1)) +
      OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND +
      cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/IS_FSM_FFd2 AND +
      NOT cnt/Er(0) AND cnt/Er(1))); -FTCPE_cnt/LTimer6: FTCPE port map (cnt/LTimer(6),cnt/LTimer_T(6),FCLK,'0','0',cnt/LTimer_CE(6)); -
     cnt/LTimer_T(6) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND -
      cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5)); -
     cnt/LTimer_CE(6) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); - -FTCPE_cnt/LTimer7: FTCPE port map (cnt/LTimer(7),cnt/LTimer_T(7),FCLK,'0','0',cnt/LTimer_CE(7)); -
     cnt/LTimer_T(7) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND -
      cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6)); -
     cnt/LTimer_CE(7) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); - -FTCPE_cnt/LTimer8: FTCPE port map (cnt/LTimer(8),cnt/LTimer_T(8),FCLK,'0','0',cnt/LTimer_CE(8)); -
     cnt/LTimer_T(8) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND -
      cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND -
      cnt/LTimer(7)); -
     cnt/LTimer_CE(8) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); - -FTCPE_cnt/LTimer9: FTCPE port map (cnt/LTimer(9),cnt/LTimer_T(9),FCLK,'0','0',cnt/LTimer_CE(9)); -
     cnt/LTimer_T(9) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND -
      cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND -
      cnt/LTimer(7) AND cnt/LTimer(8)); -
     cnt/LTimer_CE(9) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); - -FTCPE_cnt/LTimer10: FTCPE port map (cnt/LTimer(10),cnt/LTimer_T(10),FCLK,'0','0',cnt/LTimer_CE(10)); -
     cnt/LTimer_T(10) <= (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND -
      cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND -
      cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9)); -
     cnt/LTimer_CE(10) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); - -FTCPE_cnt/LTimer11: FTCPE port map (cnt/LTimer(11),cnt/LTimer_T(11),FCLK,'0','0',cnt/LTimer_CE(11)); -
     cnt/LTimer_T(11) <= (cnt/LTimer(0) AND cnt/LTimer(10) AND cnt/LTimer(1) AND +FTCPE_cnt/LTimer6: FTCPE port map (cnt/LTimer(6),cnt/LTimer_T(6),FCLK,'0','0'); +
     cnt/LTimer_T(6) <= ((cnt/LTimer(6) AND cnt/IS_FSM_FFd1 AND +
      NOT cnt/IS_FSM_FFd2) +
      OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND
      cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND -
      cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9)); -
     cnt/LTimer_CE(11) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); +
      NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) +
      OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND +
      cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND +
      cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); -FTCPE_cnt/LTimer12: FTCPE port map (cnt/LTimer(12),cnt/LTimer_T(12),FCLK,'0','0',cnt/LTimer_CE(12)); -
     cnt/LTimer_T(12) <= (cnt/LTimer(0) AND cnt/LTimer(10) AND cnt/LTimer(11) AND -
      cnt/LTimer(1) AND cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND -
      cnt/LTimer(5) AND cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND -
      cnt/LTimer(9)); -
     cnt/LTimer_CE(12) <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); +FTCPE_cnt/LTimer7: FTCPE port map (cnt/LTimer(7),cnt/LTimer_T(7),FCLK,'0','0'); +
     cnt/LTimer_T(7) <= ((cnt/LTimer(7) AND cnt/IS_FSM_FFd1 AND +
      NOT cnt/IS_FSM_FFd2) +
      OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND +
      cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND +
      cnt/LTimer(6) AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) +
      OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND +
      cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND +
      cnt/LTimer(6) AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); -FDCPE_cnt/LTimerTC: FDCPE port map (cnt/LTimerTC,cnt/LTimerTC_D,FCLK,'0','0',cnt/LTimerTC_CE); -
     cnt/LTimerTC_D <= (NOT cnt/LTimer(0) AND cnt/LTimer(10) AND cnt/LTimer(11) AND -
      cnt/LTimer(1) AND cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND +FTCPE_cnt/LTimer8: FTCPE port map (cnt/LTimer(8),cnt/LTimer_T(8),FCLK,'0','0'); +
     cnt/LTimer_T(8) <= ((cnt/LTimer(8) AND cnt/IS_FSM_FFd1 AND +
      NOT cnt/IS_FSM_FFd2) +
      OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND +
      cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND +
      cnt/LTimer(6) AND cnt/LTimer(7) AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND +
      cnt/Er(1)) +
      OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND +
      cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND +
      cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND +
      cnt/Er(1))); + +FTCPE_cnt/LTimer9: FTCPE port map (cnt/LTimer(9),cnt/LTimer_T(9),FCLK,'0','0'); +
     cnt/LTimer_T(9) <= ((RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND +
      cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND +
      cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/IS_FSM_FFd2 AND +
      NOT cnt/Er(0) AND cnt/Er(1)) +
      OR (cnt/LTimer(9) AND cnt/IS_FSM_FFd1 AND +
      NOT cnt/IS_FSM_FFd2) +
      OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND +
      cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND +
      cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND NOT cnt/IS_FSM_FFd1 AND +
      NOT cnt/Er(0) AND cnt/Er(1))); + +FTCPE_cnt/LTimer10: FTCPE port map (cnt/LTimer(10),cnt/LTimer_T(10),FCLK,'0','0'); +
     cnt/LTimer_T(10) <= ((cnt/LTimer(10) AND cnt/IS_FSM_FFd1 AND +
      NOT cnt/IS_FSM_FFd2) +
      OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND +
      cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND +
      cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9) AND +
      NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) +
      OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND +
      cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND +
      cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9) AND +
      cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); + +FTCPE_cnt/LTimer11: FTCPE port map (cnt/LTimer(11),cnt/LTimer_T(11),FCLK,'0','0'); +
     cnt/LTimer_T(11) <= ((cnt/LTimer(11) AND cnt/IS_FSM_FFd1 AND +
      NOT cnt/IS_FSM_FFd2) +
      OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND +
      cnt/LTimer(10) AND cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND
      cnt/LTimer(5) AND cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND -
      cnt/LTimer(9) AND cnt/LTimer(12)); -
     cnt/LTimerTC_CE <= (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)); +
      cnt/LTimer(9) AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/Er(0) AND cnt/Er(1)) +
      OR (RefUrg AND cnt/LTimer(0) AND cnt/LTimer(1) AND +
      cnt/LTimer(10) AND cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND +
      cnt/LTimer(5) AND cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND +
      cnt/LTimer(9) AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); + +FDCPE_cnt/LTimerTC: FDCPE port map (cnt/LTimerTC,cnt/LTimerTC_D,FCLK,'0','0'); +
     cnt/LTimerTC_D <= (NOT cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(10) AND +
      cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND +
      cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/LTimer(9) AND +
      cnt/LTimer(11)); + + +cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND +
      A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND +
      NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(9) AND +
      fsb/ASrf) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND +
      A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND +
      NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(9) AND +
      fsb/ASrf) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND +
      A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND +
      NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(8) AND +
      fsb/ASrf) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND +
      A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND +
      NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(8) AND +
      fsb/ASrf) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND +
      A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND +
      NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND +
      A_FSB(9)) +
      OR (RefUrg AND NOT cnt/Er(0) AND cnt/Er(1)) +
      OR (NOT cnt/LTimer(0) AND NOT cnt/LTimer(1) AND NOT cnt/LTimer(10) AND +
      NOT cnt/LTimer(2) AND NOT cnt/LTimer(3) AND NOT cnt/LTimer(4) AND NOT cnt/LTimer(5) AND +
      NOT cnt/LTimer(6) AND NOT cnt/LTimer(7) AND NOT cnt/LTimer(8) AND NOT cnt/LTimer(9) AND +
      NOT cnt/LTimer(11) AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND +
      A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND +
      NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND +
      A_FSB(9)) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND +
      A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND +
      NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND +
      A_FSB(8)) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND +
      A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND +
      NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND +
      A_FSB(8))); FTCPE_cnt/Timer0: FTCPE port map (cnt/Timer(0),cnt/Timer_T(0),FCLK,'0','0',cnt/Timer_CE(0)); -
     cnt/Timer_T(0) <= (NOT cnt/Timer(0) AND cnt/TimerTC AND NOT cnt/Er(0) AND -
      cnt/Er(1)); +
     cnt/Timer_T(0) <= (RefUrg AND NOT cnt/Timer(0) AND NOT cnt/Er(0) AND cnt/Er(1));
     cnt/Timer_CE(0) <= (NOT cnt/Er(0) AND cnt/Er(1)); FDCPE_cnt/Timer1: FDCPE port map (cnt/Timer(1),cnt/Timer_D(1),FCLK,'0','0',cnt/Timer_CE(1));
     cnt/Timer_D(1) <= ((cnt/Timer(0) AND cnt/Timer(1))
      OR (NOT cnt/Timer(0) AND NOT cnt/Timer(1)) -
      OR (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1))); +
      OR (RefUrg AND NOT cnt/Er(0) AND cnt/Er(1)));
     cnt/Timer_CE(1) <= (NOT cnt/Er(0) AND cnt/Er(1)); FDCPE_cnt/Timer2: FDCPE port map (cnt/Timer(2),cnt/Timer_D(2),FCLK,'0','0',cnt/Timer_CE(2));
     cnt/Timer_D(2) <= ((NOT cnt/Timer(0) AND NOT cnt/Timer(2))
      OR (NOT cnt/Timer(1) AND NOT cnt/Timer(2)) -
      OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2)) -
      OR (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1))); +
      OR (RefUrg AND NOT cnt/Er(0) AND cnt/Er(1)) +
      OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2)));
     cnt/Timer_CE(2) <= (NOT cnt/Er(0) AND cnt/Er(1)); -FDCPE_cnt/TimerTC: FDCPE port map (cnt/TimerTC,cnt/TimerTC_D,FCLK,'0','0',cnt/TimerTC_CE); -
     cnt/TimerTC_D <= (RefUrg AND cnt/Timer(0) AND NOT cnt/Timer(1) AND -
      NOT cnt/Timer(2)); -
     cnt/TimerTC_CE <= (NOT cnt/Er(0) AND cnt/Er(1)); +FTCPE_cnt/Timer3: FTCPE port map (cnt/Timer(3),cnt/Timer_T(3),FCLK,'0','0',cnt/Timer_CE(3)); +
     cnt/Timer_T(3) <= ((RefUrg AND cnt/Timer(3) AND NOT cnt/Er(0) AND cnt/Er(1)) +
      OR (NOT RefUrg AND cnt/Timer(0) AND cnt/Timer(1) AND +
      cnt/Timer(2)) +
      OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2) AND +
      cnt/Er(0)) +
      OR (cnt/Timer(0) AND cnt/Timer(1) AND cnt/Timer(2) AND +
      NOT cnt/Er(1))); +
     cnt/Timer_CE(3) <= (NOT cnt/Er(0) AND cnt/Er(1)); + +FTCPE_cnt/WS0: FTCPE port map (cnt/WS(0),cnt/WS_T(0),FCLK,'0','0'); +
     cnt/WS_T(0) <= (nAS_FSB AND NOT cnt/WS(0) AND NOT fsb/ASrf); + +FDCPE_cnt/WS1: FDCPE port map (cnt/WS(1),cnt/WS_D(1),FCLK,'0','0'); +
     cnt/WS_D(1) <= ((nAS_FSB AND NOT fsb/ASrf) +
      OR (NOT cnt/WS(0) AND NOT cnt/WS(1)) +
      OR (cnt/WS(0) AND cnt/WS(1))); + +FTCPE_cnt/WS2: FTCPE port map (cnt/WS(2),cnt/WS_T(2),FCLK,'0','0'); +
     cnt/WS_T(2) <= ((nAS_FSB AND cnt/WS(2) AND NOT fsb/ASrf) +
      OR (NOT nAS_FSB AND cnt/WS(0) AND cnt/WS(1)) +
      OR (cnt/WS(0) AND cnt/WS(1) AND fsb/ASrf)); + +FTCPE_cnt/WS3: FTCPE port map (cnt/WS(3),cnt/WS_T(3),FCLK,'0','0'); +
     cnt/WS_T(3) <= ((nAS_FSB AND cnt/WS(3) AND NOT fsb/ASrf) +
      OR (NOT nAS_FSB AND cnt/WS(0) AND cnt/WS(1) AND cnt/WS(2)) +
      OR (cnt/WS(0) AND cnt/WS(1) AND cnt/WS(2) AND fsb/ASrf)); FDCPE_cnt/nIPL2r: FDCPE port map (cnt/nIPL2r,nIPL2,FCLK,'0','0'); @@ -485,103 +689,103 @@ FDCPE_iobs/IOL1: FDCPE port map (iobs/IOL1,NOT nLDS_FSB,FCLK,'0','0',iobs/Load1) FTCPE_iobs/IORW1: FTCPE port map (iobs/IORW1,iobs/IORW1_T,FCLK,'0','0');
     iobs/IORW1_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND -
      cs/nOverlay AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd1 AND -
      A_FSB(14) AND fsb/ASrf AND nADoutLE1) +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND +
      NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd1 AND +
      fsb/ASrf AND nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND -
      cs/nOverlay AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd1 AND -
      A_FSB(13) AND fsb/ASrf AND nADoutLE1) +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND +
      NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd2 AND +
      fsb/ASrf AND nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND -
      cs/nOverlay AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd2 AND -
      A_FSB(14) AND fsb/ASrf AND nADoutLE1) +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND +
      NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd1 AND +
      fsb/ASrf AND nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND -
      cs/nOverlay AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd2 AND -
      A_FSB(13) AND fsb/ASrf AND nADoutLE1) +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND +
      NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd2 AND +
      fsb/ASrf AND nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND -
      cs/nOverlay AND NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND -
      iobs/TS_FSM_FFd1 AND A_FSB(14) AND nADoutLE1) +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND +
      NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND +
      iobs/TS_FSM_FFd1 AND nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND -
      cs/nOverlay AND NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND -
      iobs/TS_FSM_FFd1 AND A_FSB(13) AND nADoutLE1) +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND +
      NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND +
      iobs/TS_FSM_FFd2 AND nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND -
      cs/nOverlay AND NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND -
      iobs/TS_FSM_FFd2 AND A_FSB(14) AND nADoutLE1) +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND +
      NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND +
      iobs/TS_FSM_FFd1 AND nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND -
      cs/nOverlay AND NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND -
      iobs/TS_FSM_FFd2 AND A_FSB(13) AND nADoutLE1)); +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND +
      NOT iobs/Sent AND NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND +
      iobs/TS_FSM_FFd2 AND nADoutLE1)); FDCPE_iobs/IOU1: FDCPE port map (iobs/IOU1,NOT nUDS_FSB,FCLK,'0','0',iobs/Load1); FDCPE_iobs/Load1: FDCPE port map (iobs/Load1,iobs/Load1_D,FCLK,'0','0');
     iobs/Load1_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND -
      cs/nOverlay AND NOT nWE_FSB AND iobs/TS_FSM_FFd1 AND A_FSB(14) AND -
      fsb/ASrf AND nADoutLE1) +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND +
      NOT iobs/Sent AND NOT nWE_FSB AND iobs/TS_FSM_FFd1 AND fsb/ASrf AND +
      nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND -
      cs/nOverlay AND NOT nWE_FSB AND iobs/TS_FSM_FFd1 AND A_FSB(13) AND -
      fsb/ASrf AND nADoutLE1) +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND +
      NOT iobs/Sent AND NOT nWE_FSB AND iobs/TS_FSM_FFd2 AND fsb/ASrf AND +
      nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND -
      cs/nOverlay AND NOT nWE_FSB AND iobs/TS_FSM_FFd2 AND A_FSB(13) AND -
      fsb/ASrf AND nADoutLE1) +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND +
      NOT iobs/Sent AND NOT nWE_FSB AND iobs/TS_FSM_FFd1 AND fsb/ASrf AND +
      nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND -
      cs/nOverlay AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd1 AND -
      A_FSB(14) AND nADoutLE1) +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND +
      NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd1 AND +
      nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND -
      cs/nOverlay AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd1 AND -
      A_FSB(13) AND nADoutLE1) +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND +
      NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd2 AND +
      nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND -
      cs/nOverlay AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd2 AND -
      A_FSB(14) AND nADoutLE1) +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND +
      NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd1 AND +
      nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND -
      cs/nOverlay AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd2 AND -
      A_FSB(13) AND nADoutLE1) +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND +
      NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd2 AND +
      nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND -
      cs/nOverlay AND NOT nWE_FSB AND iobs/TS_FSM_FFd2 AND A_FSB(14) AND -
      fsb/ASrf AND nADoutLE1)); +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND +
      NOT iobs/Sent AND NOT nWE_FSB AND iobs/TS_FSM_FFd2 AND fsb/ASrf AND +
      nADoutLE1)); FTCPE_iobs/Sent: FTCPE port map (iobs/Sent,iobs/Sent_T,FCLK,'0','0'); -
     iobs/Sent_T <= ((A_FSB(22) AND A_FSB(21) AND NOT iobs/Sent AND NOT nAS_FSB AND -
      NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) -
      OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Sent AND -
      NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) -
      OR (A_FSB(22) AND NOT iobs/Sent AND NOT cs/nOverlay AND -
      NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) +
     iobs/Sent_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND +
      NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND +
      NOT iobs/Sent AND NOT nWE_FSB AND fsb/ASrf AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND +
      NOT iobs/Sent AND NOT nWE_FSB AND fsb/ASrf AND nADoutLE1)
      OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/Sent AND
      NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) +
      OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Sent AND +
      NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) +
      OR (A_FSB(22) AND NOT iobs/Sent AND NOT cs/nOverlay AND NOT nAS_FSB AND +
      NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) +
      OR (A_FSB(22) AND NOT iobs/Sent AND NOT cs/nOverlay AND +
      NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND -
      cs/nOverlay AND NOT nWE_FSB AND NOT nAS_FSB AND A_FSB(14) AND nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND -
      cs/nOverlay AND NOT nWE_FSB AND NOT nAS_FSB AND A_FSB(13) AND nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND -
      cs/nOverlay AND NOT nWE_FSB AND A_FSB(14) AND fsb/ASrf AND nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND -
      cs/nOverlay AND NOT nWE_FSB AND A_FSB(13) AND fsb/ASrf AND nADoutLE1) +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND +
      NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND nADoutLE1)
      OR (iobs/Sent AND nAS_FSB AND NOT fsb/ASrf)
      OR (A_FSB(23) AND NOT iobs/Sent AND NOT nAS_FSB AND
      NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
      OR (A_FSB(23) AND NOT iobs/Sent AND NOT iobs/TS_FSM_FFd1 AND
      NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) -
      OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Sent AND NOT nAS_FSB AND +
      OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/Sent AND NOT nAS_FSB AND
      NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) -
      OR (A_FSB(22) AND NOT iobs/Sent AND NOT cs/nOverlay AND NOT nAS_FSB AND +
      OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Sent AND NOT nAS_FSB AND
      NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1)); FDCPE_iobs/TS_FSM_FFd1: FDCPE port map (iobs/TS_FSM_FFd1,iobs/TS_FSM_FFd1_D,FCLK,'0','0'); @@ -589,31 +793,20 @@ FDCPE_iobs/TS_FSM_FFd1: FDCPE port map (iobs/TS_FSM_FFd1,iobs/TS_FSM_FFd1_D,FCLK
      OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr)); FDCPE_iobs/TS_FSM_FFd2: FDCPE port map (iobs/TS_FSM_FFd2,iobs/TS_FSM_FFd2_D,FCLK,'0','0'); -
     iobs/TS_FSM_FFd2_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND +
     iobs/TS_FSM_FFd2_D <= ((nRAS_OBUF.EXP) +
      OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND +
      nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19) AND -
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18) AND +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20) AND
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16) AND
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB AND
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20) AND -
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(17) AND -
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/TS_FSM_FFd2 AND -
      NOT A_FSB(14) AND NOT A_FSB(13) AND nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND cs/nOverlay AND -
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
      OR (iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2)
      OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr) -
      OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) -
      OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND -
      nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay AND -
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1)); +
      OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1)); nADoutLE0 <= (NOT ALE0M AND NOT ALE0S); @@ -634,38 +827,36 @@ FDCPE_nAS_IOB: FDCPE port map (nAS_IOB_I,nAS_IOB,NOT C16M,'0','0');
     nAS_IOB_OE <= NOT nAoutOE; FDCPE_nAoutOE: FDCPE port map (nAoutOE,nAoutOE_D,FCLK,'0','0'); -
     nAoutOE_D <= ((NOT nBR_IOB AND cnt/INITS_FSM_FFd1 AND -
      cnt/INITS_FSM_FFd2) -
      OR (cnt/INITS_FSM_FFd1 AND NOT cnt/INITS_FSM_FFd2 AND -
      NOT nAoutOE)); +
     nAoutOE_D <= ((NOT nBR_IOB AND cnt/IS_FSM_FFd1 AND cnt/IS_FSM_FFd2) +
      OR (cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND NOT nAoutOE)); FDCPE_nBERR_FSB: FDCPE port map (nBERR_FSB,nBERR_FSB_D,FCLK,'0','0'); -
     nBERR_FSB_D <= ((NOT iobs/Sent AND nBERR_FSB) -
      OR (NOT IOBERR AND nBERR_FSB) +
     nBERR_FSB_D <= ((NOT IOBERR AND nBERR_FSB) +
      OR (NOT iobs/Sent AND nBERR_FSB)
      OR (nAS_FSB AND NOT fsb/ASrf)); FTCPE_nBR_IOB: FTCPE port map (nBR_IOB,nBR_IOB_T,FCLK,'0','0'); -
     nBR_IOB_T <= ((nBR_IOB AND NOT cnt/INITS_FSM_FFd1 AND -
      NOT cnt/INITS_FSM_FFd2) -
      OR (NOT nBR_IOB AND NOT cnt/INITS_FSM_FFd1 AND -
      cnt/INITS_FSM_FFd2 AND NOT cnt/nIPL2r)); +
     nBR_IOB_T <= ((nBR_IOB AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2) +
      OR (NOT nBR_IOB AND NOT cnt/IS_FSM_FFd1 AND cnt/IS_FSM_FFd2 AND +
      NOT cnt/nIPL2r)); FDCPE_nCAS: FDCPE port map (nCAS,NOT ram/CAS,NOT FCLK,'0','0'); FDCPE_nDTACK_FSB: FDCPE port map (nDTACK_FSB,nDTACK_FSB_D,FCLK,'0','0'); -
     nDTACK_FSB_D <= ((A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18)) +
     nDTACK_FSB_D <= ((NOT QoSReady) +
      OR (NOT A_FSB(22) AND NOT IONPReady AND NOT RAMReady) +
      OR (A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16))
      OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND -
      A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND NOT nWE_FSB AND NOT IONPReady AND -
      NOT IOPWReady AND A_FSB(14)) +
      A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND NOT nWE_FSB AND NOT IONPReady AND +
      NOT IOPWReady)
      OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND -
      A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND NOT nWE_FSB AND NOT IONPReady AND -
      NOT IOPWReady AND A_FSB(13)) +
      A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND NOT nWE_FSB AND NOT IONPReady AND +
      NOT IOPWReady)
      OR (A_FSB(23) AND NOT IONPReady)
      OR (nAS_FSB AND NOT fsb/ASrf)
      OR (A_FSB(22) AND A_FSB(21) AND NOT IONPReady) -
      OR (A_FSB(22) AND A_FSB(20) AND NOT IONPReady) -
      OR (NOT A_FSB(22) AND NOT IONPReady AND NOT RAMReady)); +
      OR (A_FSB(22) AND A_FSB(20) AND NOT IONPReady)); FDCPE_nDinLE: FDCPE port map (nDinLE,nDinLE_D,NOT C16M,'0','0');
     nDinLE_D <= (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4); @@ -676,9 +867,9 @@ nDinOE <= NOT (((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB)
      OR (A_FSB(22) AND A_FSB(20) AND nWE_FSB AND NOT nAS_FSB))); -nDoutOE <= NOT (((NOT iobm/IORDREQr AND iobm/IOS0 AND NOT iobm/IOWRREQr AND -
      NOT nAoutOE) -
      OR (iobm/DoutOE AND NOT nAoutOE))); +nDoutOE <= NOT (((iobm/DoutOE AND NOT nAoutOE) +
      OR (NOT iobm/IORDREQr AND iobm/IOS0 AND NOT iobm/IOWRREQr AND +
      NOT nAoutOE))); FDCPE_nLDS_IOB: FDCPE port map (nLDS_IOB_I,nLDS_IOB,NOT C16M,'0','0');
     nLDS_IOB <= ((iobm/IOS_FSM_FFd7 AND NOT iobm/C8Mr AND IOL0 AND @@ -711,7 +902,7 @@ nRES_I <= '0';
     nRES_OE <= NOT nRESout; FDCPE_nRESout: FDCPE port map (nRESout,nRESout_D,FCLK,'0','0'); -
     nRESout_D <= (cnt/INITS_FSM_FFd1 AND NOT cnt/INITS_FSM_FFd2); +
     nRESout_D <= (cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2); nROMCS <= NOT (((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20)) @@ -740,66 +931,41 @@ FTCPE_nVMA_IOB: FTCPE port map (nVMA_IOB_I,nVMA_IOB_T,C8M,'0','0'); FDCPE_nVPA_FSB: FDCPE port map (nVPA_FSB,nVPA_FSB_D,FCLK,'0',nAS_FSB);
     nVPA_FSB_D <= ((A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND IONPReady AND NOT nAS_FSB) +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND IONPReady AND +
      NOT nAS_FSB AND QoSReady)
      OR (A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND IONPReady AND fsb/ASrf)); - -FDCPE_ram/BACTr: FDCPE port map (ram/BACTr,ram/BACTr_D,FCLK,'0','0'); -
     ram/BACTr_D <= (nAS_FSB AND NOT fsb/ASrf); +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND IONPReady AND +
      QoSReady AND fsb/ASrf)); FDCPE_ram/CAS: FDCPE port map (ram/CAS,ram/CAS_D,FCLK,'0','0');
     ram/CAS_D <= ((ram/RS_FSM_FFd7)
      OR (ram/RS_FSM_FFd6) -
      OR (A_FSB(23) AND NOT ram/RefDone AND RefReq AND NOT nAS_FSB AND -
      ram/RS_FSM_FFd8 AND NOT ram/BACTr) -
      OR (A_FSB(23) AND NOT ram/RefDone AND RefReq AND -
      ram/RS_FSM_FFd8 AND fsb/ASrf AND NOT ram/BACTr) -
      OR (A_FSB(22) AND NOT ram/RefDone AND RefReq AND -
      ram/RS_FSM_FFd8 AND fsb/ASrf AND NOT ram/BACTr) -
      OR (NOT ram/RefDone AND RefReq AND NOT cs/nOverlay AND NOT nAS_FSB AND -
      ram/RS_FSM_FFd8 AND NOT ram/BACTr) -
      OR (NOT ram/RefDone AND RefReq AND NOT cs/nOverlay AND -
      ram/RS_FSM_FFd8 AND fsb/ASrf AND NOT ram/BACTr) +
      OR (RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd5) +
      OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND +
      ram/RS_FSM_FFd8 AND NOT fsb/ASrf) +
      OR (NOT ram/RefDone AND RefReq AND nAS_FSB AND +
      ram/RS_FSM_FFd8 AND NOT fsb/ASrf)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND
      NOT nAS_FSB AND ram/RS_FSM_FFd8)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND -
      ram/RS_FSM_FFd8 AND fsb/ASrf) -
      OR (A_FSB(22) AND NOT ram/RefDone AND RefReq AND NOT nAS_FSB AND -
      ram/RS_FSM_FFd8 AND NOT ram/BACTr) -
      OR (RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd5) -
      OR (RefUrg AND NOT ram/RAMEN AND NOT ram/RefDone AND -
      ram/RS_FSM_FFd8) -
      OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND -
      ram/RS_FSM_FFd8 AND NOT fsb/ASrf)); +
      ram/RS_FSM_FFd8 AND fsb/ASrf)); FTCPE_ram/Once: FTCPE port map (ram/Once,ram/Once_T,FCLK,'0','0'); -
     ram/Once_T <= ((ram/Once AND nAS_FSB AND NOT fsb/ASrf) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND +
     ram/Once_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND
      NOT ram/Once AND NOT nAS_FSB AND ram/RS_FSM_FFd8)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND -
      NOT ram/Once AND ram/RS_FSM_FFd8 AND fsb/ASrf)); +
      NOT ram/Once AND ram/RS_FSM_FFd8 AND fsb/ASrf) +
      OR (ram/Once AND nAS_FSB AND NOT fsb/ASrf)); FDCPE_ram/RAMEN: FDCPE port map (ram/RAMEN,ram/RAMEN_D,FCLK,'0','0');
     ram/RAMEN_D <= ((ram/RS_FSM_FFd7)
      OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND
      ram/RS_FSM_FFd8 AND NOT fsb/ASrf) -
      OR (A_FSB(23) AND NOT ram/RefDone AND RefReq AND NOT nAS_FSB AND -
      ram/RS_FSM_FFd8 AND NOT ram/BACTr) -
      OR (A_FSB(22) AND NOT ram/RefDone AND RefReq AND NOT nAS_FSB AND -
      ram/RS_FSM_FFd8 AND NOT ram/BACTr) -
      OR (A_FSB(23) AND NOT ram/RefDone AND RefReq AND -
      ram/RS_FSM_FFd8 AND fsb/ASrf AND NOT ram/BACTr) -
      OR (A_FSB(22) AND NOT ram/RefDone AND RefReq AND -
      ram/RS_FSM_FFd8 AND fsb/ASrf AND NOT ram/BACTr) -
      OR (NOT ram/RefDone AND RefReq AND NOT cs/nOverlay AND NOT nAS_FSB AND -
      ram/RS_FSM_FFd8 AND NOT ram/BACTr) -
      OR (NOT ram/RefDone AND RefReq AND NOT cs/nOverlay AND -
      ram/RS_FSM_FFd8 AND fsb/ASrf AND NOT ram/BACTr)
      OR (NOT ram/RAMEN AND ram/Once AND NOT nAS_FSB)
      OR (NOT ram/RAMEN AND ram/Once AND fsb/ASrf)
      OR (NOT ram/RAMEN AND NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4) -
      OR (RefUrg AND NOT ram/RAMEN AND NOT ram/RefDone AND -
      ram/RS_FSM_FFd8)); +
      OR (NOT ram/RefDone AND RefReq AND nAS_FSB AND +
      ram/RS_FSM_FFd8 AND NOT fsb/ASrf)); FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,FCLK,'0','0');
     ram/RASEL_D <= ((ram/RS_FSM_FFd7) @@ -831,23 +997,11 @@ FDCPE_ram/RS_FSM_FFd4: FDCPE port map (ram/RS_FSM_FFd4,ram/RS_FSM_FFd4_D,FCLK,'0 FDCPE_ram/RS_FSM_FFd5: FDCPE port map (ram/RS_FSM_FFd5,ram/RS_FSM_FFd7,FCLK,'0','0'); FDCPE_ram/RS_FSM_FFd6: FDCPE port map (ram/RS_FSM_FFd6,ram/RS_FSM_FFd6_D,FCLK,'0','0'); -
     ram/RS_FSM_FFd6_D <= ((A_FSB(23) AND NOT ram/RefDone AND RefReq AND -
      ram/RS_FSM_FFd8 AND fsb/ASrf AND NOT ram/BACTr) -
      OR (A_FSB(22) AND NOT ram/RefDone AND RefReq AND -
      ram/RS_FSM_FFd8 AND fsb/ASrf AND NOT ram/BACTr) -
      OR (NOT ram/RefDone AND RefReq AND NOT cs/nOverlay AND NOT nAS_FSB AND -
      ram/RS_FSM_FFd8 AND NOT ram/BACTr) -
      OR (NOT ram/RefDone AND RefReq AND NOT cs/nOverlay AND -
      ram/RS_FSM_FFd8 AND fsb/ASrf AND NOT ram/BACTr) -
      OR (RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd5) -
      OR (RefUrg AND NOT ram/RAMEN AND NOT ram/RefDone AND -
      ram/RS_FSM_FFd8) +
     ram/RS_FSM_FFd6_D <= ((RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd5)
      OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND
      ram/RS_FSM_FFd8 AND NOT fsb/ASrf) -
      OR (A_FSB(23) AND NOT ram/RefDone AND RefReq AND NOT nAS_FSB AND -
      ram/RS_FSM_FFd8 AND NOT ram/BACTr) -
      OR (A_FSB(22) AND NOT ram/RefDone AND RefReq AND NOT nAS_FSB AND -
      ram/RS_FSM_FFd8 AND NOT ram/BACTr)); +
      OR (NOT ram/RefDone AND RefReq AND nAS_FSB AND +
      ram/RS_FSM_FFd8 AND NOT fsb/ASrf)); FDCPE_ram/RS_FSM_FFd7: FDCPE port map (ram/RS_FSM_FFd7,ram/RS_FSM_FFd7_D,FCLK,'0','0');
     ram/RS_FSM_FFd7_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND @@ -856,27 +1010,15 @@ FDCPE_ram/RS_FSM_FFd7: FDCPE port map (ram/RS_FSM_FFd7,ram/RS_FSM_FFd7_D,FCLK,'0
      ram/RS_FSM_FFd8 AND fsb/ASrf)); FDCPE_ram/RS_FSM_FFd8: FDCPE port map (ram/RS_FSM_FFd8,ram/RS_FSM_FFd8_D,FCLK,'0','0'); -
     ram/RS_FSM_FFd8_D <= ((A_FSB(23) AND NOT ram/RefDone AND RefReq AND NOT nAS_FSB AND -
      NOT ram/RS_FSM_FFd4 AND NOT ram/BACTr) -
      OR (A_FSB(23) AND NOT ram/RefDone AND RefReq AND -
      NOT ram/RS_FSM_FFd4 AND fsb/ASrf AND NOT ram/BACTr) -
      OR (A_FSB(22) AND NOT ram/RefDone AND RefReq AND NOT nAS_FSB AND -
      NOT ram/RS_FSM_FFd4 AND NOT ram/BACTr) -
      OR (A_FSB(22) AND NOT ram/RefDone AND RefReq AND -
      NOT ram/RS_FSM_FFd4 AND fsb/ASrf AND NOT ram/BACTr) -
      OR (NOT ram/RefDone AND RefReq AND NOT cs/nOverlay AND NOT nAS_FSB AND -
      NOT ram/RS_FSM_FFd4 AND NOT ram/BACTr) -
      OR (NOT ram/RefDone AND RefReq AND NOT cs/nOverlay AND -
      NOT ram/RS_FSM_FFd4 AND fsb/ASrf AND NOT ram/BACTr) +
     ram/RS_FSM_FFd8_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND +
      NOT ram/RS_FSM_FFd4 AND fsb/ASrf)
      OR (NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4) -
      OR (RefUrg AND NOT ram/RAMEN AND NOT ram/RefDone AND -
      NOT ram/RS_FSM_FFd4)
      OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND
      NOT ram/RS_FSM_FFd4 AND NOT fsb/ASrf) +
      OR (NOT ram/RefDone AND RefReq AND nAS_FSB AND +
      NOT ram/RS_FSM_FFd4 AND NOT fsb/ASrf)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND -
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd4) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND -
      NOT ram/RS_FSM_FFd4 AND fsb/ASrf)); +
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd4)); FDCPE_ram/RefDone: FDCPE port map (ram/RefDone,ram/RefDone_D,FCLK,'0','0');
     ram/RefDone_D <= ((NOT RefUrg AND NOT RefReq) diff --git a/cpld/XC95144XL/WarpSE_html/fit/eqns.htm b/cpld/XC95144XL/WarpSE_html/fit/eqns.htm index 520d247..a71522c 100644 --- a/cpld/XC95144XL/WarpSE_html/fit/eqns.htm +++ b/cpld/XC95144XL/WarpSE_html/fit/eqns.htm @@ -3,9 +3,9 @@ + +
+

Timing Report

+
Need help reading this report?

+ + + + + + + + + + + + + + + + + + +
Design NameWarpSE
Device, Speed (SpeedFile Version)XC95144XL, -10 (3.0)
Date Created Sat Apr 08 05:49:36 2023 +
Created By Timing Report Generator: version P.20131013
Copyright Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.
+

Summary

+ + + + + + + + + + +
Notes and Warnings
Note: This design contains no timing constraints.
Note: A default set of constraints using a delay of 0.000ns will be used for analysis.

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Performance Summary
Min. Clock Period12.200 ns.
Max. Clock Frequency (fSYSTEM)81.967 MHz.
Limited by Cycle Time for FCLK
Clock to Setup (tCYC)12.200 ns.
Pad to Pad Delay (tPD)11.000 ns.
Setup to Clock at the Pad (tSU)8.700 ns.
Clock Pad to Output Pad Delay (tCO)14.500 ns.
+
+

Timing Constraints

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Constraint NameRequirement (ns)Delay (ns)PathsPaths Failing
TS10000.00.000
TS10010.00.000
TS10020.00.000
AUTO_TS_F2F0.012.2551551
AUTO_TS_P2P0.014.58484
AUTO_TS_P2F0.010.5247247
AUTO_TS_F2P0.012.74343
+
+
+ +

Constraint: TS1000

+ + + + + + + + +
Description: PERIOD:PERIOD_FCLK:0.000 nS
PathRequirement (ns)Delay (ns)Slack (ns)
+
+
+
+

Constraint: TS1001

+ + + + + + + + +
Description: PERIOD:PERIOD_C16M:0.000 nS
PathRequirement (ns)Delay (ns)Slack (ns)
+
+
+
+

Constraint: TS1002

+ + + + + + + + +
Description: PERIOD:PERIOD_C8M:0.000 nS
PathRequirement (ns)Delay (ns)Slack (ns)
+
+
+
+

Constraint: AUTO_TS_F2F

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Description: MAXDELAY:FROM:FFS(*):TO:FFS(*):0.000 nS
PathRequirement (ns)Delay (ns)Slack (ns)
iobs/TS_FSM_FFd2.Q to IOWRREQ.D0.00012.200-12.200
nADoutLE1.Q to IOWRREQ.D0.00012.200-12.200
cnt/IS_FSM_FFd1.Q to cnt/LTimer<0>.D0.00011.400-11.400
+
+
+ +

Constraint: AUTO_TS_P2P

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Description: MAXDELAY:FROM:PADS(*):TO:PADS(*):0.000 nS
PathRequirement (ns)Delay (ns)Slack (ns)
FCLK to RA<11>0.00014.500-14.500
FCLK to RA<4>0.00014.500-14.500
FCLK to RA<5>0.00014.500-14.500
+
+
+ +

Constraint: AUTO_TS_P2F

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Description: MAXDELAY:FROM:PADS(*):TO:FFS(*):0.000 nS
PathRequirement (ns)Delay (ns)Slack (ns)
A_FSB<10> to IOWRREQ.D0.00010.500-10.500
A_FSB<11> to IOWRREQ.D0.00010.500-10.500
A_FSB<12> to IOWRREQ.D0.00010.500-10.500
+
+
+ +

Constraint: AUTO_TS_F2P

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Description: MAXDELAY:FROM:FFS(*):TO:PADS(*):0.000 nS
PathRequirement (ns)Delay (ns)Slack (ns)
cs/nOverlay.Q to nRAS0.00012.700-12.700
nAoutOE.Q to nAS_IOB0.00012.700-12.700
nAoutOE.Q to nLDS_IOB0.00012.700-12.700
+
+
+
Number of constraints not met: 4
+
+ +

Data Sheet Report

+ +

Maximum External Clock Speeds

+ + + + + + + + + + + + + + + + + + + + + +
ClockfEXT (MHz)Reason
FCLK81.967Limited by Cycle Time for FCLK
C16M90.909Limited by Cycle Time for C16M
C8M100.000Limited by Cycle Time for C8M
+
+ +

Setup/Hold Times for Clocks

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Setup/Hold Times for Clock FCLK
Source PadSetup to clk (edge) Hold to clk (edge)
A_FSB<10>8.7000.000
A_FSB<11>8.7000.000
A_FSB<12>8.7000.000
A_FSB<13>8.7000.000
A_FSB<14>8.7000.000
A_FSB<15>8.7000.000
A_FSB<16>7.5000.000
A_FSB<17>7.9000.000
A_FSB<18>7.9000.000
A_FSB<19>7.9000.000
A_FSB<20>7.9000.000
A_FSB<21>7.9000.000
A_FSB<22>8.7000.000
A_FSB<23>8.7000.000
A_FSB<8>8.7000.000
A_FSB<9>8.7000.000
E6.5000.000
nAS_FSB7.9000.000
nIPL26.5000.000
nLDS_FSB6.5000.000
nRES6.5000.000
nUDS_FSB6.5000.000
nWE_FSB7.5000.000

+ + + + + + + + + + + + +
Setup/Hold Times for Clock C16M
Source PadSetup to clk (edge) Hold to clk (edge)
C8M6.5000.000

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Setup/Hold Times for Clock C8M
Source PadSetup to clk (edge) Hold to clk (edge)
E6.5000.000
nBERR_IOB6.5000.000
nDTACK_IOB6.5000.000
nRES6.5000.000
nVPA_IOB6.5000.000

+
+ +

Clock to Pad Timing

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Clock FCLK to Pad
Destination PadClock (edge) to Pad
RA<11>14.500
RA<4>14.500
RA<5>14.500
nAS_IOB14.500
nLDS_IOB14.500
nRAS14.500
nRES14.500
nUDS_IOB14.500
nVMA_IOB14.500
RA<0>13.500
RA<10>13.500
RA<1>13.500
RA<2>13.500
RA<3>13.500
RA<6>13.500
RA<7>13.500
RA<8>13.500
RA<9>13.500
nADoutLE013.500
nDoutOE13.500
nRAMLWE13.500
nRAMUWE13.500
nROMCS13.500
nADoutLE15.800
nAoutOE5.800
nBERR_FSB5.800
nBR_IOB5.800
nCAS5.800
nDTACK_FSB5.800
nVPA_FSB5.800

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Clock C16M to Pad
Destination PadClock (edge) to Pad
nADoutLE013.500
nDoutOE13.500
nAS_IOB5.800
nDinLE5.800
nLDS_IOB5.800
nUDS_IOB5.800

+ + + + + + + + + + +
Clock C8M to Pad
Destination PadClock (edge) to Pad
nVMA_IOB5.800

+
+ +

Clock to Setup Times for Clocks

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Clock to Setup for clock FCLK
SourceDestinationDelay
iobs/TS_FSM_FFd2.QIOWRREQ.D12.200
nADoutLE1.QIOWRREQ.D12.200
cnt/IS_FSM_FFd1.Qcnt/LTimer<0>.D11.400
cnt/IS_FSM_FFd2.Qcnt/LTimer<0>.D11.400
cnt/LTimer<0>.Qcnt/LTimer<0>.D11.400
cnt/LTimer<10>.Qcnt/LTimer<0>.D11.400
cnt/LTimer<11>.Qcnt/LTimer<0>.D11.400
cnt/LTimer<12>.Qcnt/LTimer<0>.D11.400
cnt/LTimer<1>.Qcnt/LTimer<0>.D11.400
cnt/LTimer<2>.Qcnt/LTimer<0>.D11.400
cnt/LTimer<3>.Qcnt/LTimer<0>.D11.400
cnt/LTimer<4>.Qcnt/LTimer<0>.D11.400
cnt/LTimer<5>.Qcnt/LTimer<0>.D11.400
cnt/LTimer<6>.Qcnt/LTimer<0>.D11.400
cnt/LTimer<7>.Qcnt/LTimer<0>.D11.400
cnt/LTimer<8>.Qcnt/LTimer<0>.D11.400
cnt/LTimer<9>.Qcnt/LTimer<0>.D11.400
cs/nOverlay.QIOWRREQ.D11.400
fsb/ASrf.Qcnt/LTimer<0>.D11.400
iobs/IORW1.Qiobs/IORW1.D11.400
iobs/Sent.Qiobs/Sent.D11.400
iobs/TS_FSM_FFd2.QIOL0.D11.400
iobs/TS_FSM_FFd2.QIOU0.D11.400
iobs/TS_FSM_FFd2.Qiobs/TS_FSM_FFd2.D11.400
nADoutLE1.QIOL0.D11.400
nADoutLE1.QIOU0.D11.400
nADoutLE1.Qiobs/TS_FSM_FFd2.D11.400
IOL0.QIOL0.D11.000
IONPReady.QIONPReady.D11.000
IOU0.QIOU0.D11.000
RefReq.Qram/CAS.D11.000
RefReq.Qram/RAMEN.D11.000
RefReq.Qram/RS_FSM_FFd6.D11.000
RefReq.Qram/RS_FSM_FFd8.D11.000
RefUrg.Qcnt/Timer<1>.D11.000
RefUrg.Qcnt/Timer<2>.D11.000
RefUrg.Qcnt/Timer<3>.D11.000
RefUrg.Qram/RAMEN.D11.000
RefUrg.Qram/RS_FSM_FFd4.D11.000
cnt/Er<0>.Qcnt/Timer<1>.D11.000
cnt/Er<0>.Qcnt/Timer<2>.D11.000
cnt/Er<0>.Qcnt/Timer<3>.D11.000
cnt/Er<1>.Qcnt/Timer<1>.D11.000
cnt/Er<1>.Qcnt/Timer<2>.D11.000
cnt/Er<1>.Qcnt/Timer<3>.D11.000
cnt/IS_FSM_FFd1.QnAoutOE.D11.000
cnt/IS_FSM_FFd2.QnAoutOE.D11.000
cnt/Timer<0>.Qcnt/Timer<2>.D11.000
cnt/Timer<0>.Qcnt/Timer<3>.D11.000
cnt/Timer<1>.Qcnt/Timer<2>.D11.000
cnt/Timer<1>.Qcnt/Timer<3>.D11.000
cnt/Timer<2>.Qcnt/Timer<2>.D11.000
cnt/Timer<2>.Qcnt/Timer<3>.D11.000
cnt/Timer<3>.Qcnt/Timer<3>.D11.000
cs/nOverlay.QIOL0.D11.000
cs/nOverlay.QIORDREQ.D11.000
cs/nOverlay.QIOU0.D11.000
cs/nOverlay.Qiobs/Sent.D11.000
cs/nOverlay.Qiobs/TS_FSM_FFd2.D11.000
cs/nOverlay.Qram/CAS.D11.000
cs/nOverlay.Qram/RAMEN.D11.000
cs/nOverlay.Qram/RASEL.D11.000
cs/nOverlay.Qram/RS_FSM_FFd6.D11.000
cs/nOverlay.Qram/RS_FSM_FFd8.D11.000
fsb/ASrf.QIOL0.D11.000
fsb/ASrf.QIORDREQ.D11.000
fsb/ASrf.QIOU0.D11.000
fsb/ASrf.QIOWRREQ.D11.000
fsb/ASrf.Qiobs/IORW1.D11.000
fsb/ASrf.Qiobs/Load1.D11.000
fsb/ASrf.Qram/CAS.D11.000
fsb/ASrf.Qram/RAMEN.D11.000
fsb/ASrf.Qram/RASEL.D11.000
fsb/ASrf.Qram/RS_FSM_FFd6.D11.000
fsb/ASrf.Qram/RS_FSM_FFd8.D11.000
iobs/IOL1.QIOL0.D11.000
iobs/IORW1.QIORDREQ.D11.000
iobs/IORW1.QIOWRREQ.D11.000
iobs/IOU1.QIOU0.D11.000
iobs/Sent.QIOWRREQ.D11.000
iobs/Sent.Qiobs/IORW1.D11.000
iobs/Sent.Qiobs/Load1.D11.000
iobs/TS_FSM_FFd1.Qiobs/IORW1.D11.000
iobs/TS_FSM_FFd1.Qiobs/Load1.D11.000
iobs/TS_FSM_FFd2.QALE0S.D11.000
iobs/TS_FSM_FFd2.QIORDREQ.D11.000
iobs/TS_FSM_FFd2.Qiobs/IORW1.D11.000
iobs/TS_FSM_FFd2.Qiobs/Load1.D11.000
iobs/TS_FSM_FFd2.Qiobs/Sent.D11.000
nADoutLE1.QIORDREQ.D11.000
nADoutLE1.Qiobs/IORW1.D11.000
nADoutLE1.Qiobs/Load1.D11.000
nAoutOE.QnAoutOE.D11.000
ram/BACTr.Qram/CAS.D11.000
ram/BACTr.Qram/RAMEN.D11.000
ram/BACTr.Qram/RS_FSM_FFd6.D11.000
ram/BACTr.Qram/RS_FSM_FFd8.D11.000
ram/RAMEN.Qram/CAS.D11.000
ram/RAMEN.Qram/RASEL.D11.000
ram/RS_FSM_FFd1.Qram/RS_FSM_FFd4.D11.000
ram/RS_FSM_FFd4.Qram/RS_FSM_FFd8.D11.000
ram/RS_FSM_FFd5.Qram/RS_FSM_FFd4.D11.000
ram/RS_FSM_FFd7.Qram/RASEL.D11.000
ram/RS_FSM_FFd8.Qram/CAS.D11.000
ram/RS_FSM_FFd8.Qram/RAMEN.D11.000
ram/RS_FSM_FFd8.Qram/RASEL.D11.000
ram/RS_FSM_FFd8.Qram/RS_FSM_FFd6.D11.000
ram/RefDone.Qram/CAS.D11.000
ram/RefDone.Qram/RAMEN.D11.000
ram/RefDone.Qram/RS_FSM_FFd4.D11.000
ram/RefDone.Qram/RS_FSM_FFd6.D11.000
ram/RefDone.Qram/RS_FSM_FFd8.D11.000
IONPReady.QnDTACK_FSB.D10.000
IONPReady.QnVPA_FSB.D10.000
IORDREQ.QIORDREQ.D10.000
IOWRREQ.QIOWRREQ.D10.000
QoSReady.QQoSReady.D10.000
QoSReady.QnDTACK_FSB.D10.000
QoSReady.QnVPA_FSB.D10.000
RefReq.Qram/RefDone.D10.000
RefUrg.Qcnt/IS_FSM_FFd1.D10.000
RefUrg.Qcnt/IS_FSM_FFd2.D10.000
RefUrg.Qcnt/LTimer<0>.CE10.000
RefUrg.Qcnt/LTimer<10>.CE10.000
RefUrg.Qcnt/LTimer<11>.CE10.000
RefUrg.Qcnt/LTimer<12>.CE10.000
RefUrg.Qcnt/LTimer<1>.CE10.000
RefUrg.Qcnt/LTimer<2>.CE10.000
RefUrg.Qcnt/LTimer<3>.CE10.000
RefUrg.Qcnt/LTimer<4>.CE10.000
RefUrg.Qcnt/LTimer<5>.CE10.000
RefUrg.Qcnt/LTimer<6>.CE10.000
RefUrg.Qcnt/LTimer<7>.CE10.000
RefUrg.Qcnt/LTimer<8>.CE10.000
RefUrg.Qcnt/LTimer<9>.CE10.000
RefUrg.Qcnt/LTimerTC.CE10.000
RefUrg.Qcnt/Timer<0>.D10.000
RefUrg.Qram/CAS.D10.000
RefUrg.Qram/RS_FSM_FFd6.D10.000
RefUrg.Qram/RS_FSM_FFd8.D10.000
RefUrg.Qram/RefDone.D10.000
cnt/Er<0>.QRefReq.CE10.000
cnt/Er<0>.QRefUrg.CE10.000
cnt/Er<0>.Qcnt/Er<1>.D10.000
cnt/Er<0>.Qcnt/IS_FSM_FFd1.D10.000
cnt/Er<0>.Qcnt/IS_FSM_FFd2.D10.000
cnt/Er<0>.Qcnt/LTimer<0>.CE10.000
cnt/Er<0>.Qcnt/LTimer<10>.CE10.000
cnt/Er<0>.Qcnt/LTimer<11>.CE10.000
cnt/Er<0>.Qcnt/LTimer<12>.CE10.000
cnt/Er<0>.Qcnt/LTimer<1>.CE10.000
cnt/Er<0>.Qcnt/LTimer<2>.CE10.000
cnt/Er<0>.Qcnt/LTimer<3>.CE10.000
cnt/Er<0>.Qcnt/LTimer<4>.CE10.000
cnt/Er<0>.Qcnt/LTimer<5>.CE10.000
cnt/Er<0>.Qcnt/LTimer<6>.CE10.000
cnt/Er<0>.Qcnt/LTimer<7>.CE10.000
cnt/Er<0>.Qcnt/LTimer<8>.CE10.000
cnt/Er<0>.Qcnt/LTimer<9>.CE10.000
cnt/Er<0>.Qcnt/LTimerTC.CE10.000
cnt/Er<0>.Qcnt/Timer<0>.CE10.000
cnt/Er<0>.Qcnt/Timer<0>.D10.000
cnt/Er<0>.Qcnt/Timer<1>.CE10.000
cnt/Er<0>.Qcnt/Timer<2>.CE10.000
cnt/Er<0>.Qcnt/Timer<3>.CE10.000
cnt/Er<1>.QRefReq.CE10.000
cnt/Er<1>.QRefUrg.CE10.000
cnt/Er<1>.Qcnt/IS_FSM_FFd1.D10.000
cnt/Er<1>.Qcnt/IS_FSM_FFd2.D10.000
cnt/Er<1>.Qcnt/LTimer<0>.CE10.000
cnt/Er<1>.Qcnt/LTimer<10>.CE10.000
cnt/Er<1>.Qcnt/LTimer<11>.CE10.000
cnt/Er<1>.Qcnt/LTimer<12>.CE10.000
cnt/Er<1>.Qcnt/LTimer<1>.CE10.000
cnt/Er<1>.Qcnt/LTimer<2>.CE10.000
cnt/Er<1>.Qcnt/LTimer<3>.CE10.000
cnt/Er<1>.Qcnt/LTimer<4>.CE10.000
cnt/Er<1>.Qcnt/LTimer<5>.CE10.000
cnt/Er<1>.Qcnt/LTimer<6>.CE10.000
cnt/Er<1>.Qcnt/LTimer<7>.CE10.000
cnt/Er<1>.Qcnt/LTimer<8>.CE10.000
cnt/Er<1>.Qcnt/LTimer<9>.CE10.000
cnt/Er<1>.Qcnt/LTimerTC.CE10.000
cnt/Er<1>.Qcnt/Timer<0>.CE10.000
cnt/Er<1>.Qcnt/Timer<0>.D10.000
cnt/Er<1>.Qcnt/Timer<1>.CE10.000
cnt/Er<1>.Qcnt/Timer<2>.CE10.000
cnt/Er<1>.Qcnt/Timer<3>.CE10.000
cnt/IS_FSM_FFd1.Qcnt/IS_FSM_FFd1.D10.000
cnt/IS_FSM_FFd1.Qcnt/IS_FSM_FFd2.D10.000
cnt/IS_FSM_FFd1.Qcnt/LTimer<10>.D10.000
cnt/IS_FSM_FFd1.Qcnt/LTimer<11>.D10.000
cnt/IS_FSM_FFd1.Qcnt/LTimer<12>.D10.000
cnt/IS_FSM_FFd1.QnBR_IOB.D10.000
cnt/IS_FSM_FFd1.QnRESout.D10.000
cnt/IS_FSM_FFd2.Qcnt/IS_FSM_FFd1.D10.000
cnt/IS_FSM_FFd2.Qcnt/IS_FSM_FFd2.D10.000
cnt/IS_FSM_FFd2.Qcnt/LTimer<10>.D10.000
cnt/IS_FSM_FFd2.Qcnt/LTimer<11>.D10.000
cnt/IS_FSM_FFd2.Qcnt/LTimer<12>.D10.000
cnt/IS_FSM_FFd2.QnBR_IOB.D10.000
cnt/IS_FSM_FFd2.QnRESout.D10.000
cnt/LTimer<0>.QQoSReady.D10.000
cnt/LTimer<0>.Qcnt/LTimer<10>.D10.000
cnt/LTimer<0>.Qcnt/LTimer<11>.D10.000
cnt/LTimer<0>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<0>.Qcnt/LTimer<1>.D10.000
cnt/LTimer<0>.Qcnt/LTimer<2>.D10.000
cnt/LTimer<0>.Qcnt/LTimer<3>.D10.000
cnt/LTimer<0>.Qcnt/LTimer<4>.D10.000
cnt/LTimer<0>.Qcnt/LTimer<5>.D10.000
cnt/LTimer<0>.Qcnt/LTimer<6>.D10.000
cnt/LTimer<0>.Qcnt/LTimer<7>.D10.000
cnt/LTimer<0>.Qcnt/LTimer<8>.D10.000
cnt/LTimer<0>.Qcnt/LTimer<9>.D10.000
cnt/LTimer<0>.Qcnt/LTimerTC.D10.000
cnt/LTimer<10>.QQoSReady.D10.000
cnt/LTimer<10>.Qcnt/LTimer<10>.D10.000
cnt/LTimer<10>.Qcnt/LTimer<11>.D10.000
cnt/LTimer<10>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<10>.Qcnt/LTimerTC.D10.000
cnt/LTimer<11>.QQoSReady.D10.000
cnt/LTimer<11>.Qcnt/LTimer<11>.D10.000
cnt/LTimer<11>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<11>.Qcnt/LTimerTC.D10.000
cnt/LTimer<12>.QQoSReady.D10.000
cnt/LTimer<12>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<12>.Qcnt/LTimerTC.D10.000
cnt/LTimer<1>.QQoSReady.D10.000
cnt/LTimer<1>.Qcnt/LTimer<10>.D10.000
cnt/LTimer<1>.Qcnt/LTimer<11>.D10.000
cnt/LTimer<1>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<1>.Qcnt/LTimer<2>.D10.000
cnt/LTimer<1>.Qcnt/LTimer<3>.D10.000
cnt/LTimer<1>.Qcnt/LTimer<4>.D10.000
cnt/LTimer<1>.Qcnt/LTimer<5>.D10.000
cnt/LTimer<1>.Qcnt/LTimer<6>.D10.000
cnt/LTimer<1>.Qcnt/LTimer<7>.D10.000
cnt/LTimer<1>.Qcnt/LTimer<8>.D10.000
cnt/LTimer<1>.Qcnt/LTimer<9>.D10.000
cnt/LTimer<1>.Qcnt/LTimerTC.D10.000
cnt/LTimer<2>.QQoSReady.D10.000
cnt/LTimer<2>.Qcnt/LTimer<10>.D10.000
cnt/LTimer<2>.Qcnt/LTimer<11>.D10.000
cnt/LTimer<2>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<2>.Qcnt/LTimer<3>.D10.000
cnt/LTimer<2>.Qcnt/LTimer<4>.D10.000
cnt/LTimer<2>.Qcnt/LTimer<5>.D10.000
cnt/LTimer<2>.Qcnt/LTimer<6>.D10.000
cnt/LTimer<2>.Qcnt/LTimer<7>.D10.000
cnt/LTimer<2>.Qcnt/LTimer<8>.D10.000
cnt/LTimer<2>.Qcnt/LTimer<9>.D10.000
cnt/LTimer<2>.Qcnt/LTimerTC.D10.000
cnt/LTimer<3>.QQoSReady.D10.000
cnt/LTimer<3>.Qcnt/LTimer<10>.D10.000
cnt/LTimer<3>.Qcnt/LTimer<11>.D10.000
cnt/LTimer<3>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<3>.Qcnt/LTimer<4>.D10.000
cnt/LTimer<3>.Qcnt/LTimer<5>.D10.000
cnt/LTimer<3>.Qcnt/LTimer<6>.D10.000
cnt/LTimer<3>.Qcnt/LTimer<7>.D10.000
cnt/LTimer<3>.Qcnt/LTimer<8>.D10.000
cnt/LTimer<3>.Qcnt/LTimer<9>.D10.000
cnt/LTimer<3>.Qcnt/LTimerTC.D10.000
cnt/LTimer<4>.QQoSReady.D10.000
cnt/LTimer<4>.Qcnt/LTimer<10>.D10.000
cnt/LTimer<4>.Qcnt/LTimer<11>.D10.000
cnt/LTimer<4>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<4>.Qcnt/LTimer<5>.D10.000
cnt/LTimer<4>.Qcnt/LTimer<6>.D10.000
cnt/LTimer<4>.Qcnt/LTimer<7>.D10.000
cnt/LTimer<4>.Qcnt/LTimer<8>.D10.000
cnt/LTimer<4>.Qcnt/LTimer<9>.D10.000
cnt/LTimer<4>.Qcnt/LTimerTC.D10.000
cnt/LTimer<5>.QQoSReady.D10.000
cnt/LTimer<5>.Qcnt/LTimer<10>.D10.000
cnt/LTimer<5>.Qcnt/LTimer<11>.D10.000
cnt/LTimer<5>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<5>.Qcnt/LTimer<6>.D10.000
cnt/LTimer<5>.Qcnt/LTimer<7>.D10.000
cnt/LTimer<5>.Qcnt/LTimer<8>.D10.000
cnt/LTimer<5>.Qcnt/LTimer<9>.D10.000
cnt/LTimer<5>.Qcnt/LTimerTC.D10.000
cnt/LTimer<6>.QQoSReady.D10.000
cnt/LTimer<6>.Qcnt/LTimer<10>.D10.000
cnt/LTimer<6>.Qcnt/LTimer<11>.D10.000
cnt/LTimer<6>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<6>.Qcnt/LTimer<7>.D10.000
cnt/LTimer<6>.Qcnt/LTimer<8>.D10.000
cnt/LTimer<6>.Qcnt/LTimer<9>.D10.000
cnt/LTimer<6>.Qcnt/LTimerTC.D10.000
cnt/LTimer<7>.QQoSReady.D10.000
cnt/LTimer<7>.Qcnt/LTimer<10>.D10.000
cnt/LTimer<7>.Qcnt/LTimer<11>.D10.000
cnt/LTimer<7>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<7>.Qcnt/LTimer<8>.D10.000
cnt/LTimer<7>.Qcnt/LTimer<9>.D10.000
cnt/LTimer<7>.Qcnt/LTimerTC.D10.000
cnt/LTimer<8>.QQoSReady.D10.000
cnt/LTimer<8>.Qcnt/LTimer<10>.D10.000
cnt/LTimer<8>.Qcnt/LTimer<11>.D10.000
cnt/LTimer<8>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<8>.Qcnt/LTimer<9>.D10.000
cnt/LTimer<8>.Qcnt/LTimerTC.D10.000
cnt/LTimer<9>.QQoSReady.D10.000
cnt/LTimer<9>.Qcnt/LTimer<10>.D10.000
cnt/LTimer<9>.Qcnt/LTimer<11>.D10.000
cnt/LTimer<9>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<9>.Qcnt/LTimerTC.D10.000
cnt/LTimerTC.Qcnt/IS_FSM_FFd1.D10.000
cnt/LTimerTC.Qcnt/IS_FSM_FFd2.D10.000
cnt/Timer<0>.QRefReq.D10.000
cnt/Timer<0>.QRefUrg.D10.000
cnt/Timer<0>.Qcnt/Timer<0>.D10.000
cnt/Timer<0>.Qcnt/Timer<1>.D10.000
cnt/Timer<1>.QRefReq.D10.000
cnt/Timer<1>.QRefUrg.D10.000
cnt/Timer<1>.Qcnt/Timer<1>.D10.000
cnt/Timer<2>.QRefReq.D10.000
cnt/Timer<2>.QRefUrg.D10.000
cnt/Timer<3>.QRefReq.D10.000
cnt/Timer<3>.QRefUrg.D10.000
cnt/WS<0>.QQoSReady.D10.000
cnt/WS<0>.Qcnt/WS<0>.D10.000
cnt/WS<0>.Qcnt/WS<1>.D10.000
cnt/WS<0>.Qcnt/WS<2>.D10.000
cnt/WS<0>.Qcnt/WS<3>.D10.000
cnt/WS<1>.QQoSReady.D10.000
cnt/WS<1>.Qcnt/WS<1>.D10.000
cnt/WS<1>.Qcnt/WS<2>.D10.000
cnt/WS<1>.Qcnt/WS<3>.D10.000
cnt/WS<2>.QQoSReady.D10.000
cnt/WS<2>.Qcnt/WS<2>.D10.000
cnt/WS<2>.Qcnt/WS<3>.D10.000
cnt/WS<3>.QQoSReady.D10.000
cnt/WS<3>.Qcnt/WS<3>.D10.000
cnt/nIPL2r.Qcnt/IS_FSM_FFd1.D10.000
cnt/nIPL2r.QnBR_IOB.D10.000
cs/ODCSr.Qcs/nOverlay.D10.000
cs/nOverlay.Qcs/nOverlay.D10.000
cs/nOverlay.Qram/Once.D10.000
cs/nOverlay.Qram/RASrr.D10.000
cs/nOverlay.Qram/RS_FSM_FFd7.D10.000
fsb/ASrf.QIONPReady.D10.000
fsb/ASrf.QQoSReady.D10.000
fsb/ASrf.Qcnt/WS<0>.D10.000
fsb/ASrf.Qcnt/WS<1>.D10.000
fsb/ASrf.Qcnt/WS<2>.D10.000
fsb/ASrf.Qcnt/WS<3>.D10.000
fsb/ASrf.Qcs/ODCSr.D10.000
fsb/ASrf.Qcs/nOverlay.D10.000
fsb/ASrf.Qiobs/Sent.D10.000
fsb/ASrf.Qiobs/TS_FSM_FFd2.D10.000
fsb/ASrf.QnBERR_FSB.D10.000
fsb/ASrf.QnDTACK_FSB.D10.000
fsb/ASrf.QnVPA_FSB.D10.000
fsb/ASrf.Qram/BACTr.D10.000
fsb/ASrf.Qram/Once.D10.000
fsb/ASrf.Qram/RASrr.D10.000
fsb/ASrf.Qram/RS_FSM_FFd7.D10.000
iobs/Clear1.QnADoutLE1.D10.000
iobs/IOACTr.QIORDREQ.D10.000
iobs/IOACTr.QIOWRREQ.D10.000
iobs/IOACTr.Qiobs/TS_FSM_FFd1.D10.000
iobs/IOACTr.Qiobs/TS_FSM_FFd2.D10.000
iobs/IODONEr.QIONPReady.D10.000
iobs/Load1.Qiobs/IOL1.CE10.000
iobs/Load1.Qiobs/IOU1.CE10.000
iobs/Load1.QnADoutLE1.D10.000
iobs/Sent.QIOL0.D10.000
iobs/Sent.QIONPReady.D10.000
iobs/Sent.QIORDREQ.D10.000
iobs/Sent.QIOU0.D10.000
iobs/Sent.Qiobs/TS_FSM_FFd2.D10.000
iobs/Sent.QnBERR_FSB.D10.000
iobs/TS_FSM_FFd1.QIOL0.D10.000
iobs/TS_FSM_FFd1.QIORDREQ.D10.000
iobs/TS_FSM_FFd1.QIOU0.D10.000
iobs/TS_FSM_FFd1.QIOWRREQ.D10.000
iobs/TS_FSM_FFd1.Qiobs/Clear1.D10.000
iobs/TS_FSM_FFd1.Qiobs/Sent.D10.000
iobs/TS_FSM_FFd1.Qiobs/TS_FSM_FFd1.D10.000
iobs/TS_FSM_FFd1.Qiobs/TS_FSM_FFd2.D10.000
iobs/TS_FSM_FFd2.Qiobs/Clear1.D10.000
iobs/TS_FSM_FFd2.Qiobs/TS_FSM_FFd1.D10.000
nADoutLE1.Qiobs/Sent.D10.000
nADoutLE1.QnADoutLE1.D10.000
nBERR_FSB.QnBERR_FSB.D10.000
nBR_IOB.QnAoutOE.D10.000
nBR_IOB.QnBR_IOB.D10.000
ram/CAS.QnCAS.D10.000
ram/Once.Qram/Once.D10.000
ram/Once.Qram/RAMEN.D10.000
ram/RAMEN.Qram/Once.D10.000
ram/RAMEN.Qram/RAMEN.D10.000
ram/RAMEN.Qram/RASrr.D10.000
ram/RAMEN.Qram/RS_FSM_FFd6.D10.000
ram/RAMEN.Qram/RS_FSM_FFd7.D10.000
ram/RAMEN.Qram/RS_FSM_FFd8.D10.000
ram/RS_FSM_FFd2.Qram/RS_FSM_FFd1.D10.000
ram/RS_FSM_FFd2.Qram/RefDone.D10.000
ram/RS_FSM_FFd3.Qram/RASrr.D10.000
ram/RS_FSM_FFd3.Qram/RS_FSM_FFd2.D10.000
ram/RS_FSM_FFd3.Qram/RefDone.D10.000
ram/RS_FSM_FFd4.Qram/RAMEN.D10.000
ram/RS_FSM_FFd5.Qram/CAS.D10.000
ram/RS_FSM_FFd5.Qram/RS_FSM_FFd6.D10.000
ram/RS_FSM_FFd6.Qram/CAS.D10.000
ram/RS_FSM_FFd6.Qram/RASrr.D10.000
ram/RS_FSM_FFd6.Qram/RS_FSM_FFd3.D10.000
ram/RS_FSM_FFd7.Qram/CAS.D10.000
ram/RS_FSM_FFd7.Qram/RAMEN.D10.000
ram/RS_FSM_FFd7.Qram/RASrf.D10.000
ram/RS_FSM_FFd7.Qram/RS_FSM_FFd5.D10.000
ram/RS_FSM_FFd8.Qram/Once.D10.000
ram/RS_FSM_FFd8.Qram/RASrr.D10.000
ram/RS_FSM_FFd8.Qram/RS_FSM_FFd7.D10.000
ram/RS_FSM_FFd8.Qram/RS_FSM_FFd8.D10.000
ram/RefDone.Qram/RefDone.D10.000

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Clock to Setup for clock C16M
SourceDestinationDelay
IOACT.QIOACT.D11.000
iobm/C8Mr.QnLDS_IOB.D11.000
iobm/C8Mr.QnUDS_IOB.D11.000
iobm/IORDREQr.QnLDS_IOB.D11.000
iobm/IORDREQr.QnUDS_IOB.D11.000
iobm/IOS_FSM_FFd1.QIOACT.D11.000
iobm/IOS_FSM_FFd2.QIOACT.D11.000
iobm/IOS_FSM_FFd3.QIOACT.D11.000
iobm/IOS_FSM_FFd7.QIOACT.D11.000
iobm/IOS_FSM_FFd7.QnLDS_IOB.D11.000
iobm/IOS_FSM_FFd7.QnUDS_IOB.D11.000
iobm/IOWRREQr.QIOACT.D11.000
ALE0M.QALE0M.D10.000
iobm/C8Mr.QIOACT.D10.000
iobm/C8Mr.Qiobm/DoutOE.D10.000
iobm/C8Mr.Qiobm/IOS0.D10.000
iobm/C8Mr.Qiobm/IOS_FSM_FFd2.D10.000
iobm/C8Mr.Qiobm/IOS_FSM_FFd3.D10.000
iobm/C8Mr.Qiobm/IOS_FSM_FFd6.D10.000
iobm/C8Mr.Qiobm/IOS_FSM_FFd7.D10.000
iobm/C8Mr.QnAS_IOB.D10.000
iobm/DoutOE.Qiobm/DoutOE.D10.000
iobm/IORDREQr.QALE0M.D10.000
iobm/IORDREQr.QIOACT.D10.000
iobm/IORDREQr.Qiobm/IOS0.D10.000
iobm/IORDREQr.Qiobm/IOS_FSM_FFd6.D10.000
iobm/IORDREQr.Qiobm/IOS_FSM_FFd7.D10.000
iobm/IORDREQr.QnAS_IOB.D10.000
iobm/IOS0.Qiobm/IOS0.D10.000
iobm/IOS_FSM_FFd1.QALE0M.D10.000
iobm/IOS_FSM_FFd1.Qiobm/IOS0.D10.000
iobm/IOS_FSM_FFd1.Qiobm/IOS_FSM_FFd7.D10.000
iobm/IOS_FSM_FFd2.QALE0M.D10.000
iobm/IOS_FSM_FFd2.Qiobm/IOS0.D10.000
iobm/IOS_FSM_FFd2.Qiobm/IOS_FSM_FFd1.D10.000
iobm/IOS_FSM_FFd3.QALE0M.D10.000
iobm/IOS_FSM_FFd3.Qiobm/DoutOE.D10.000
iobm/IOS_FSM_FFd3.Qiobm/IOS0.D10.000
iobm/IOS_FSM_FFd3.Qiobm/IOS_FSM_FFd2.D10.000
iobm/IOS_FSM_FFd3.Qiobm/IOS_FSM_FFd3.D10.000
iobm/IOS_FSM_FFd3.QnAS_IOB.D10.000
iobm/IOS_FSM_FFd3.QnDinLE.D10.000
iobm/IOS_FSM_FFd3.QnLDS_IOB.D10.000
iobm/IOS_FSM_FFd3.QnUDS_IOB.D10.000
iobm/IOS_FSM_FFd4.QALE0M.D10.000
iobm/IOS_FSM_FFd4.QIOACT.D10.000
iobm/IOS_FSM_FFd4.Qiobm/DoutOE.D10.000
iobm/IOS_FSM_FFd4.Qiobm/IOS0.D10.000
iobm/IOS_FSM_FFd4.Qiobm/IOS_FSM_FFd3.D10.000
iobm/IOS_FSM_FFd4.QnAS_IOB.D10.000
iobm/IOS_FSM_FFd4.QnDinLE.D10.000
iobm/IOS_FSM_FFd4.QnLDS_IOB.D10.000
iobm/IOS_FSM_FFd4.QnUDS_IOB.D10.000
iobm/IOS_FSM_FFd5.QALE0M.D10.000
iobm/IOS_FSM_FFd5.QIOACT.D10.000
iobm/IOS_FSM_FFd5.Qiobm/DoutOE.D10.000
iobm/IOS_FSM_FFd5.Qiobm/IOS0.D10.000
iobm/IOS_FSM_FFd5.Qiobm/IOS_FSM_FFd4.D10.000
iobm/IOS_FSM_FFd5.QnAS_IOB.D10.000
iobm/IOS_FSM_FFd5.QnLDS_IOB.D10.000
iobm/IOS_FSM_FFd5.QnUDS_IOB.D10.000
iobm/IOS_FSM_FFd6.QALE0M.D10.000
iobm/IOS_FSM_FFd6.QIOACT.D10.000
iobm/IOS_FSM_FFd6.Qiobm/DoutOE.D10.000
iobm/IOS_FSM_FFd6.Qiobm/IOS0.D10.000
iobm/IOS_FSM_FFd6.Qiobm/IOS_FSM_FFd5.D10.000
iobm/IOS_FSM_FFd6.QnAS_IOB.D10.000
iobm/IOS_FSM_FFd6.QnLDS_IOB.D10.000
iobm/IOS_FSM_FFd6.QnUDS_IOB.D10.000
iobm/IOS_FSM_FFd7.QALE0M.D10.000
iobm/IOS_FSM_FFd7.Qiobm/DoutOE.D10.000
iobm/IOS_FSM_FFd7.Qiobm/IOS0.D10.000
iobm/IOS_FSM_FFd7.Qiobm/IOS_FSM_FFd6.D10.000
iobm/IOS_FSM_FFd7.Qiobm/IOS_FSM_FFd7.D10.000
iobm/IOS_FSM_FFd7.QnAS_IOB.D10.000
iobm/IOWRREQr.QALE0M.D10.000
iobm/IOWRREQr.Qiobm/DoutOE.D10.000
iobm/IOWRREQr.Qiobm/IOS0.D10.000
iobm/IOWRREQr.Qiobm/IOS_FSM_FFd6.D10.000
iobm/IOWRREQr.Qiobm/IOS_FSM_FFd7.D10.000
iobm/IOWRREQr.QnAS_IOB.D10.000
nLDS_IOB.QnLDS_IOB.D10.000
nUDS_IOB.QnUDS_IOB.D10.000

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Clock to Setup for clock C8M
SourceDestinationDelay
iobm/ES<0>.QIODONE.D10.000
iobm/ES<0>.Qiobm/ES<0>.D10.000
iobm/ES<0>.Qiobm/ES<1>.D10.000
iobm/ES<0>.Qiobm/ES<2>.D10.000
iobm/ES<0>.Qiobm/ES<3>.D10.000
iobm/ES<0>.QnVMA_IOB.D10.000
iobm/ES<1>.QIODONE.D10.000
iobm/ES<1>.Qiobm/ES<0>.D10.000
iobm/ES<1>.Qiobm/ES<1>.D10.000
iobm/ES<1>.Qiobm/ES<2>.D10.000
iobm/ES<1>.Qiobm/ES<3>.D10.000
iobm/ES<1>.QnVMA_IOB.D10.000
iobm/ES<2>.QIODONE.D10.000
iobm/ES<2>.Qiobm/ES<0>.D10.000
iobm/ES<2>.Qiobm/ES<1>.D10.000
iobm/ES<2>.Qiobm/ES<2>.D10.000
iobm/ES<2>.Qiobm/ES<3>.D10.000
iobm/ES<2>.QnVMA_IOB.D10.000
iobm/ES<3>.QIODONE.D10.000
iobm/ES<3>.Qiobm/ES<0>.D10.000
iobm/ES<3>.Qiobm/ES<1>.D10.000
iobm/ES<3>.Qiobm/ES<3>.D10.000
iobm/ES<3>.QnVMA_IOB.D10.000
iobm/Er.Qiobm/ES<0>.D10.000
iobm/Er.Qiobm/ES<1>.D10.000
iobm/Er.Qiobm/ES<2>.D10.000
iobm/Er.Qiobm/ES<3>.D10.000
iobm/VPAr.QnVMA_IOB.D10.000
nVMA_IOB.QIODONE.D10.000
nVMA_IOB.QnVMA_IOB.D10.000

+
+ +

Pad to Pad List

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Source PadDestination PadDelay
A_FSB<11>RA<4>11.000
A_FSB<12>RA<5>11.000
A_FSB<20>RA<11>11.000
A_FSB<22>nRAS11.000
A_FSB<23>nRAS11.000
A_FSB<3>RA<4>11.000
A_FSB<4>RA<5>11.000
nAS_FSBnOE11.000
nAS_FSBnRAS11.000
nAS_FSBnROMWE11.000
nWE_FSBnOE11.000
nWE_FSBnROMWE11.000
A_FSB<10>RA<1>10.000
A_FSB<13>RA<6>10.000
A_FSB<14>RA<7>10.000
A_FSB<15>RA<9>10.000
A_FSB<16>RA<2>10.000
A_FSB<17>RA<10>10.000
A_FSB<18>RA<8>10.000
A_FSB<19>RA<11>10.000
A_FSB<19>RA<3>10.000
A_FSB<1>RA<0>10.000
A_FSB<20>RA<3>10.000
A_FSB<20>nDinOE10.000
A_FSB<20>nROMCS10.000
A_FSB<21>RA<8>10.000
A_FSB<21>nDinOE10.000
A_FSB<21>nROMCS10.000
A_FSB<22>nDinOE10.000
A_FSB<22>nROMCS10.000
A_FSB<23>nDinOE10.000
A_FSB<23>nROMCS10.000
A_FSB<2>RA<1>10.000
A_FSB<5>RA<6>10.000
A_FSB<6>RA<7>10.000
A_FSB<7>RA<10>10.000
A_FSB<7>RA<2>10.000
A_FSB<8>RA<9>10.000
A_FSB<9>RA<0>10.000
nAS_FSBnDinOE10.000
nAS_FSBnRAMLWE10.000
nAS_FSBnRAMUWE10.000
nLDS_FSBnRAMLWE10.000
nUDS_FSBnRAMUWE10.000
nWE_FSBnDinOE10.000
nWE_FSBnRAMLWE10.000
nWE_FSBnRAMUWE10.000
+
+
+
Number of paths analyzed: +925 +
Number of Timing errors: +925 +
Analysis Completed: Sat Apr 08 05:49:36 2023 + +
+ diff --git a/cpld/XC95144XL/WarpSE_ngdbuild.xrpt b/cpld/XC95144XL/WarpSE_ngdbuild.xrpt index 5756812..a004b51 100644 --- a/cpld/XC95144XL/WarpSE_ngdbuild.xrpt +++ b/cpld/XC95144XL/WarpSE_ngdbuild.xrpt @@ -5,7 +5,7 @@ The structure and the elements are likely to change over the next few releases. This means code written to parse this file will need to be revisited each subsequent release.--> - +
@@ -54,7 +54,7 @@ - + @@ -66,38 +66,38 @@
- - - + + + - + - + - - - - + + + + - +
- - - + + + - - - - - - + + + + + + - +
diff --git a/cpld/XC95144XL/WarpSE_pad.csv b/cpld/XC95144XL/WarpSE_pad.csv index bdad161..3981cfa 100644 --- a/cpld/XC95144XL/WarpSE_pad.csv +++ b/cpld/XC95144XL/WarpSE_pad.csv @@ -1,7 +1,7 @@ Release 8.1i - Fit P.20131013 Copyright(c) 1995-2003 Xilinx Inc. All rights reserved - 4- 7-2023 2:26AM + 4- 9-2023 4:14AM NOTE: This file is designed to be imported into a spreadsheet program such as Microsoft Excel for viewing, printing and sorting. The comma ',' @@ -76,7 +76,7 @@ P55,RA<10>,O,I/O,OUTPUT,,,,,,,,, P56,RA<9>,O,I/O,OUTPUT,,,,,,,,, P57,VCC,,VCCINT,,,,,,,,,, P58,C25MEN,O,I/O,OUTPUT,,,,,,,,, -P59,C20MEN,O,I/O,OUTPUT,,,,,,,,, +P59,TIE,,I/O,,,,,,,,,, P60,TIE,,I/O,,,,,,,,,, P61,TIE,,I/O,,,,,,,,,, P62,GND,,GND,,,,,,,,,, diff --git a/cpld/XC95144XL/WarpSE_summary.html b/cpld/XC95144XL/WarpSE_summary.html index abc8842..e8c0f7c 100644 --- a/cpld/XC95144XL/WarpSE_summary.html +++ b/cpld/XC95144XL/WarpSE_summary.html @@ -2,7 +2,7 @@
- + @@ -25,7 +25,7 @@ No Errors - + @@ -65,9 +65,9 @@ System Settings - - - + + +
WarpSE Project Status (04/07/2023 - 02:26:24)
WarpSE Project Status (04/09/2023 - 04:14:44)
Project File: WarpSE.xise
Product Version:ISE 14.7
  • Warnings:
5 Warnings (0 new)4 Warnings (0 new)
Design Goal:
Detailed Reports [-]
Report NameStatusGenerated ErrorsWarningsInfos
Synthesis ReportCurrentFri Apr 7 02:25:57 202305 Warnings (0 new)0
Translation ReportCurrentFri Apr 7 02:26:03 2023000
CPLD Fitter Report (Text)CurrentFri Apr 7 02:26:16 202305 Warnings (1 new)3 Infos (3 new)
Synthesis ReportCurrentSun Apr 9 04:14:15 202304 Warnings (0 new)0
Translation ReportCurrentSun Apr 9 04:14:20 2023000
CPLD Fitter Report (Text)CurrentSun Apr 9 04:14:35 202306 Warnings (1 new)3 Infos (3 new)
Power Report     
 
@@ -77,5 +77,5 @@ System Settings
-
Date Generated: 04/07/2023 - 02:26:24
+
Date Generated: 04/09/2023 - 04:17:32
\ No newline at end of file diff --git a/cpld/XC95144XL/WarpSE_xst.xrpt b/cpld/XC95144XL/WarpSE_xst.xrpt index 3fb1391..46eb211 100644 --- a/cpld/XC95144XL/WarpSE_xst.xrpt +++ b/cpld/XC95144XL/WarpSE_xst.xrpt @@ -5,7 +5,7 @@ The structure and the elements are likely to change over the next few releases. This means code written to parse this file will need to be revisited each subsequent release.--> - +
@@ -75,11 +75,12 @@
+ - + - - + + @@ -88,11 +89,12 @@
+ - + - - + +
@@ -112,31 +114,31 @@
- - - - + + + + - - + + - + - - + + - + - + - +
- +
diff --git a/cpld/XC95144XL/_ngo/netlist.lst b/cpld/XC95144XL/_ngo/netlist.lst index 0be13d8..baa67b0 100644 --- a/cpld/XC95144XL/_ngo/netlist.lst +++ b/cpld/XC95144XL/_ngo/netlist.lst @@ -1,2 +1,2 @@ -C:\Users\Wolf\Documents\GitHub\Warp-SE\cpld\XC95144XL\WarpSE.ngc 1680848757 +C:\Users\Wolf\Documents\GitHub\Warp-SE\cpld\XC95144XL\WarpSE.ngc 1681028055 OK diff --git a/cpld/XC95144XL/_xmsgs/cpldfit.xmsgs b/cpld/XC95144XL/_xmsgs/cpldfit.xmsgs index b14994b..512f5b9 100644 --- a/cpld/XC95144XL/_xmsgs/cpldfit.xmsgs +++ b/cpld/XC95144XL/_xmsgs/cpldfit.xmsgs @@ -17,6 +17,9 @@ Inferring BUFG constraint for signal 'FCLK' based upon the LOC constraint 'P27'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored. +Removing unused input(s) 'C20MEN'. The input(s) are unused after optimization. Please verify functionality via simulation. + + Removing unused input(s) 'SW<1>'. The input(s) are unused after optimization. Please verify functionality via simulation. diff --git a/cpld/XC95144XL/_xmsgs/pn_parser.xmsgs b/cpld/XC95144XL/_xmsgs/pn_parser.xmsgs index b387d3b..1b091bc 100644 --- a/cpld/XC95144XL/_xmsgs/pn_parser.xmsgs +++ b/cpld/XC95144XL/_xmsgs/pn_parser.xmsgs @@ -8,7 +8,7 @@ -Analyzing Verilog file "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/CS.v" into library work +Analyzing Verilog file "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE.v" into library work diff --git a/cpld/XC95144XL/_xmsgs/taengine.xmsgs b/cpld/XC95144XL/_xmsgs/taengine.xmsgs new file mode 100644 index 0000000..f84336a --- /dev/null +++ b/cpld/XC95144XL/_xmsgs/taengine.xmsgs @@ -0,0 +1,9 @@ + + + + + diff --git a/cpld/XC95144XL/_xmsgs/xst.xmsgs b/cpld/XC95144XL/_xmsgs/xst.xmsgs index 1cbf9ad..800c459 100644 --- a/cpld/XC95144XL/_xmsgs/xst.xmsgs +++ b/cpld/XC95144XL/_xmsgs/xst.xmsgs @@ -5,13 +5,10 @@ behavior or data corruption. It is strongly advised that users do not edit the contents of this file. --> -"WarpSE.v" line 63 Too few port connections in instance 'cs' of module 'CS' +Signal <BACTr> is assigned but never used. This unconnected signal will be trimmed during the optimization process. -Output <SndRAMCSWR> is never assigned. Tied to value 0. - - -Input <A<11:8>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +Input <C20MEN> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <SW> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. diff --git a/cpld/XC95144XL/iseconfig/WarpSE.projectmgr b/cpld/XC95144XL/iseconfig/WarpSE.projectmgr new file mode 100644 index 0000000..851d751 --- /dev/null +++ b/cpld/XC95144XL/iseconfig/WarpSE.projectmgr @@ -0,0 +1,77 @@ + + + + + + + + + 2 + + + cnt - CNT (C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/CNT.v) + + 0 + 0 + 000000ff000000000000000100000001000000000000000000000000000000000202000000010000000100000064000000d2000000020000000000000000000000000200000064ffffffff000000810000000300000002000000d20000000100000003000000000000000100000003 + true + cnt - CNT (C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/CNT.v) + + + + 1 + Design Utilities + + + + + 0 + 0 + 000000ff000000000000000100000001000000000000000000000000000000000000000000000000f8000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f80000000100000000 + false + + + + + 1 + + + 0 + 0 + 000000ff000000000000000100000000000000000100000000000000000000000000000000000003a3000000040101000100000000000000000000000064ffffffff000000810000000000000004000000420000000100000000000000240000000100000000000000660000000100000000000002d70000000100000000 + false + + + + + 1 + work + + + 0 + 0 + 000000ff00000000000000010000000000000000010000000000000000000000000000000000000109000000010001000100000000000000000000000064ffffffff000000810000000000000001000001090000000100000000 + false + work + + + + 1 + Design Utilities + Implement Design/Configure Target Device + Implement Design/Optional Implementation Tools/Generate Timing + Implement Design/Synthesize - XST + User Constraints + + + + + 0 + 0 + 000000ff000000000000000100000001000000000000000000000000000000000000000000000000f8000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f80000000100000000 + false + + + 000000ff00000000000000020000011b0000011b01000000050100000002 + Implementation + diff --git a/cpld/XC95144XL/iseconfig/WarpSE.xreport b/cpld/XC95144XL/iseconfig/WarpSE.xreport index d642bf6..5519575 100644 --- a/cpld/XC95144XL/iseconfig/WarpSE.xreport +++ b/cpld/XC95144XL/iseconfig/WarpSE.xreport @@ -1,9 +1,9 @@
- 2023-04-07T01:51:28 + 2023-04-09T03:54:50 WarpSE - Unknown + 2023-04-09T02:22:59 C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/iseconfig/WarpSE.xreport C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL 2023-04-07T01:51:28 diff --git a/cpld/XC95144XL/webtalk_pn.xml b/cpld/XC95144XL/webtalk_pn.xml index ceb3cf0..d0e1ef3 100644 --- a/cpld/XC95144XL/webtalk_pn.xml +++ b/cpld/XC95144XL/webtalk_pn.xml @@ -3,7 +3,7 @@ - +
@@ -35,7 +35,7 @@ This means code written to parse this file will need to be revisited each subseq - +
diff --git a/cpld/XC95144XL/xlnx_auto_0_xdb/cst.xbcd b/cpld/XC95144XL/xlnx_auto_0_xdb/cst.xbcd index c543fcc48b9b2dc394c2ccebc2ce2b6ecfb0914f..da4b900f75abaf64d5221cb9593ee76d0293f094 100644 GIT binary patch literal 5187 zcmXX{c_38(*B*%o$yKRu3S-7n6Gp`hi5ScxqOz}3%D(R+L|iG%Ft$M`lBc-Q0lDqMekWWh?xk!$i| z`PZLq^5%R!5Z*IC+MnmjVUZCU&ixh?cZp*Z4D!5_Y+#LyzaWVLpgODk<@ zx*wZlxNUyF*AEoj2$}!s^>dPoEOn%{+m1b$OU<2;DbUF`h|zB(IwckjW$^jobPEhk!z{y?zYp{ z2Uq4oGA5mHQcmSNU@!V{(WqiwDqR)_yp3Jd1%cF@`8%)NfV_w{pthe`e1%ZhM*kAvn0eYM8rEIOfK zZ`mHvash%^_s$7U9PW5XoFC^*AL1Lbe7`+EE`Fc(@$oT}?wA?5QYp1xaHyQ-a?h;= zgS>=w-XnB0L`yc&yfel||E)#KA{-)Rx0!#Au`zlZ(1Pi*dYk;=qvaqV*SH>|;()%9S&jeS7ZWl9BvL$gzrD`-GIbq)#iql#Onue@W_NSLO(rnHgs2>qj$? z=ZXvGQ+pSh?sxGOI_Br@c_3JLA|m$q`>*O@ekG3vc0En+$qLa*HYG$9f0RtWwK{G= zW8R=0Aa~`Y1*S1YI*PAN=sgU3s?%sjSs&Hxnp$NUrhW5O*Uq15-$KRe&t?2Op{1o= zOwhd!t}E;#=uS%hGEI5H(jj9eiU<;8NmRN?QIf!|;`)pHp7$U9$HIKOp?n}=xNJb_ z-`Y9zLVGXd_!DpT4Z2~5;*FaK8@!aUnHaxk-&r5W`3YIU<$xN}s^bnSA674X$4wqTjhd& z3qL06tZltBVtw-im0zzxne2Jl{rl&-n&qrKqvsD9P}tetVH*+Mp}H7uDO!CfW4{q- zmv=aibUEnnuRjEtdu?g=ALnM>vK$>@S`-BZWLq?f{t9C4E}9*Cbj<97W=NeDySjH? zrkz}qg3&W+XnlK*nX+~GezC8rpsgLy7C~=RKX)p~wfr$jC-uJb`?I;6bG5#2u=j*& z&#_KxoS*+x`|K4Mnsvq8VGJJK@moS=j$`GHv{a_N=R5DpLG$FPZ;k-utSYQQnn#~{ zs~F*v(!X0V!kj8)Hn#;*FA z&nCk|PkG9y{k?6Z9XjNa-_qW1i6eWz`KF(|zItb5+V4VLzg4Cx!F}-jtzL(BB?-f4 zql0J{Zy)~raOq#&_7^966Cy&a>Ml=>oeN<1?kWz@X%bnQt0H!*7`~!=d%p`h!CvMb z5~>*0nE9x-H$^dBr@68?XKr+1`rwXh+5dUbPl?5~V)IhZf}U6-R|oAhPh;q~dTp@P zOhwq@Um0iwC+S5y*|n85B5L0|)nfbWUO%BK&uU6fH?AvoU9bZF1AJ|tnldJG&*Q98 zx14g5y96G&~ZD{?@(4A*G ziR-#f=@-Ihot@^18*jQDqkk{|^m^m$Iy}U$(d{_osj9oS7N(HZaPa&6`}FD#bdTEI z^SU2BmqvdzVlT??p4+jJ+Ma6ue0(nHL?*fGNrzpV)534R=7Ez}f=yQB`}U64O>#Xv z8_c=cBVvo@zP<61J$Y)KrNUMpxA~S+KD!E@&Snn9D{Guia~jUbP)Nagz5r)Vg#Q@U~kC2R3h)Bv~MMDOa-3d0#IZnN;eaPdFeI70 z0>;Epwl#!I`brJr!5C^>cNT;M(O3bBiCS8J5?aF_kdcUa$BdtAsw5PhCNY!H3jYzk zT7mCO$XMX^HF{dq@(HB*SwPVRgq5^dkfkYyk8hfTx;Q*&glf!$&SCuHVxbTW>l%F} z8_^ucV_ZS{$I`N|qZRfMn*oY_2kDAEB7)~u6$v~gQ?(e`Ua~An%A!9|xHHCqSNtD= zT2IT4MVIa+HgBiQjiOE}BO>uUh60eK1m;+C2>f7_HC0!UdmAC@2h`5*06=~+bq@pA zsmJ+bO--V&WFSNXVc^zI@EVz_!N~TI^;c#*NujUQA?&+I9nT_@i1v3-lg^;KAW+>3 zSOoxu5;1)NFvZajRb>eTvY;S-u+Ihb#DU%0TV{FXtINSGHuU<@>P2l`S2=`bNFfsyab_~!Jh z+tS0zXl^Y)pcrZ>0sUhF^$dfUpF~++fAgB%u6qc4X-zF?9bGiD=I~fsM+))6c!yuA z1ddZC1YoekdYs`JC=w4Q3XBZHP}wgT0!KF!>a?cD#zI$Jz%?AGD%`S$6UMhMMkBd= zX#edDYd2Z$DU3hd?`*`CBzjubYZ(PV#vCZ4f`)>C%u7Jr4;Yq=N%aR7YS+dH97!_g z7>4aE%OzpBvWi>-zLi~ya;llSr%@-1Q4A{hQV=MFN&djFR7^Kasv7@A;E0epK^PXK z$GKt6aWUm=+wv1_sz0k~=ZGH4X zoCS?Bp;UnpW4O7U|FG#{`Xo#{KoLVl$Ds?xQ3X3=3Il+J^;Kmgw~l5FyU@fj3tEA0 zxYq8NDV%V)?!Ti*ZXlYSgzi#HTM=M{6~Hq@M0b)pw3nPDK&Qi?0>-~I7V@ouMBw^c ze%hJpn*x7t5&t@p#%myWFRr!#s<50y%*G^Yy!pKh2yG|{Z=+z2rmaXaR*ouiOAvn( z=xLH?Hz=s}d#D9#YC|lv;R0siz{{^*(OWIhKFN>CQPe0U^A_N+==FZ=(iy6kjy(4P zLi!D<1FRTJf^g_jDrj`gmwJMc-9kE{h>(P5Zys_Y9e0WpW?j^&M+F$!Z%Kbm5T$-V z!1f;mq(64pjrP>GE91=YU0~T&9a-pAz#;3X+^m* z?*Ba~|HJq{-mKk5`fIvbJJ$I~dbtvK3B&3^MeU%R!-KHU=`4QKEX51@)Ga>a5^wv#%T{Wm}eO{U5-%CmV#|Hp(hH;*nE zz72%<&@5p}-6kMs$}!($h;k&5{%1&DuYmKs=KAkbqq>Iz$lhWIo`gGGT{JOcdX{%u65rLzHW(iQd)Obhi3j~HUX9Ah=CO*$&iC@c6 z11!jBvr0C7JNjzYM@K}pmq(E z&x8tj9pk2$6v_jk%AoDN_-M88sC&7;vK!fl%(EX`4Tqm=ZZ`>YzYi_hrFK;h#biBp znr*t3916u}g{bxVY9AVMk#w{b@7?g((O!Y&zGO5OD=$@2>Z)U}+-%ef)i$z~npR*_ zgl)4v{b+HUtsOu`>^n6}?YDBS3&wf@`SNZKFCtDF*=5xzun(S^eepp=ZJ_bzG0>6p zzR`X$bFa69N-m*V&dp&wT+YZ&DqMkmKCbs}jt&gu<`8_5ZVqR{C1Bv30{i>c$~Ur+ z*nvh-b#RFMzA?;S5e8Hh*xEw2S<=5<>Vi96fGD|Bv-+(LFkLB|uq;uhGC$kyHoNc) z6(N3Vwy1d!1~Re;^NMZ`vi?y74bR(KBL_Z zW_^AMQ@j`iCxiEmggF4FNKlHZVSfK3@#0F6Cfalx?2GmLLR~Q45{Qbw9W3z)0sAul ziz_J+wCOe287Bqqq?{=brF&|2A?F%w<|Lhbr~Dx%f>b1fa&x#B zun+F?Jrr9UKD1hcH0;fUHk}9$CPDfIJeV}pszhAxOXL^FON&2Cd%g3|6%fq2$O2d8;ruv7_J>;F2`^>q4^%{m<-pQSI3*;X zpxQxZJQFUiq~E1aqivMC60rkXFY&H?$ivt_r{R=rW-TH;)AY(wSVgi7qjwR_Hyp?A zCABDv?6j$9s;AZkfAe|0g<$#OyF|)k(?viet=~X?Rz)?i}HXihYN-JO4U^Cwijv;8lLa;ERO+0Tghc AYybcN literal 5117 zcmX9;dpwi>7oSKZsYgPn@XVs+l7y6@6`QQ6m^*V1#iUSf>Ea2si$)o0lE`f$QtnSK zkr}ylK}#i++KoZ`LG9{L8A$Jr0@$u(J2*i(scpUB#*(o7su~XvaeTld=X7tp@+C7W_ zLOJNf_`=zO;bsny1Y7cqUTKnSZ}!NpeuUmU$yVb{{@ie3_~+lD86V4+#dPj3KfXal(YRYzckdn?r=S5m*9^!{u(bm>XOS0^7{z1sBahQ3=3#jNOFVo4p}X}bGUut>P`yvM~bzrc#q ze-0fq-7~oJ@->OdpGDB|3`|yUL2$2A#Z$XGI*aG$d$oGQ$7U@t8*dk_Mal72;&M*j zuoXR${`t7xie_uJ^0vb(y6l?RJo}uFCKbTT$l}EOw9)CO!ABM>_bkdhZ^&x<)bJW! zdOJ7THMTV8@vSR8cB$gx>8-q=E)%M6RB14U8q|s_g+CcOuc*7b>c}*mIoI^)Ys0fF zmdf+yM>f*Zf;ZA8O8djp;MpHD3SA8;W;W+i$4he3+74IamHH1EQ_s&OCmVF+1;0M> z{**@W>$~Ne>)xkIy*o8zRz*t92n0P^#3s#3d%!yBX?`mEHa+Yr+fenJs__m+SgK1R zUByB>ohC(dk!ah9exXOrqPfbJNwuA|>O5H{uP!e!sIPj7HhFDy4O-sIJB^m4F{%d2 zA18>={@m?af?lgS9aC=Ql^BfR!+!iH@9>cbG{&H@W^>V@b;yM z!R^Nn0teOK4iGpl$tR`6->%_Y_e-RPy0W*Km>Y#Y4n&1-ek)xpqTHCAxosx&kzf7X zrXH#ENW|RIs(X5$$JWhH6?CPpDw?R!njU-CV^w`MJ)#hEGcDn9P(4=N*Vtogzn1T- zfXT-fjwTl4cD~NIx3hdZDq)YEPICe+7OwV*d}E5ca$d4*U&49EhZ!m74a&-$`0uoS z{LWEsZuSq~DduAD>5-l%Nj+D3N0@%vrOg@67?Mq;gHn#6-Eud{ zk`Gy(ON~Fc>-}ToK;|f}$4b$V_pYkFm z#v(2iT1Smf%3kdn#0;p-BOh113`j}Rs4vaCijs1}eR>*)+|RQA>vWR+Y~vW~SF{q* zv%Wc_uQlT2*>u%~{SFJv&atI$v;Uc%x}oY2T%n;-)YxF0i~l~Vn|ogI>vzg-e1A(| zK+2=3A7?Le+>3^B+G!q&jyKY&319M!6eVjNEQj5aD=Rgw&mRr zUT+PU*x&{PF@~(Kq#vLApup83&2eDUtbuij(KpA6Zf(l(%6I7U3P@434s`Si*rRM+ zV{z3k#qRZUMdF=+-6rf0d{ zOvbD`aPNBrXX^9mO?FMe-T9un3dhwhEty@^A8h(^_mHx*@>Y{XY`}y}c(&x=&7b{D(_y2-O$>quG*_2F0pEUVOz@6)xmrSkf6EBbF)pN7{}T z9|Kn1=9Q$-1W!VWx39g@71aSa7q-TI+43fZPnm_?Oo{E>a+m#k*xMIo_%#X%&r|3% z>7N%U+F?4^!Ad>i%{Q%EIm2m9boMbjqs`8V#;<%mrJhS@^5`>nABN0S(2^Jw{gP{A zL%TyBT4-ppL-xQ6Zb8o~M(|eJmQ;PhC%~lvVo<^g*Xmfz7%|ca>(f50cT3%p;TWDn zI&$k&2YAgo=xm4P{_OFsY7YTREgwcB+Mse1`jxNkMuGNG$6uD6Jt1yg1rObi)D;(hJj~jlq_ptUxW0p*^V^C3_#4meV+D9;>%Tyg_7+|8=6ju zMCfjt4AmV^?C$AdDir7EN5j69KYA&_Vxnf$9EJqvTF>5Fd3!xIA;zto;PdG@UHRU; z=lhAA7yhyVvlW7Gd!~QAF!q1>F0FjJAIhFM3@Meuz9hkZkt#ZqmQn>-eJBLKdP0uk zK&1z?hkccuR(pp@vmCWo!k2a91KP06Z?-1r&1 zu-**GlYL4J@Ii;lv8o!)1pBevOr}2&r^3EE`N9OkGa2MK^j8I|54X@4LV-#tGkuKe zFy8{yB2rXY>47`>H_-)bSX;#ZGdQ~A1q5$Lb0w@h^l1Z0=!H<=&6?6|CM~)$)9U;# z=m{6TEDDjZ{8xl>2{S{C>hGT_c+MlRxB+n3W;N#lxsf<(sAx8Pr4?NlHMbjjMHXm@ zLJ4F_9MfMe&cs-9@ZyvjaB(+;CR5_$;X`D>CXB6IoH@y2;o~I;rZLDj(Ob7!DK`U6 zY^#GF%yNRR=kW85xKwc_L#BvAB1m@V#d&~h0%FhVl!~>uksjwE7 z3!4c%v7tz}bx^lMfU+r5BDnn|fXKEJg<80e9=#|yG%!$__elEsi{odxK28bCHiYv;7nu4xhM^Z|^mY@7@g zn9*1{j2AQ!IU?4D`)NxOtcV2WScXcW>|x2s*grq;0+UquD3;qi60%IfPTP_=&ZycX zCauR{*aob%Bm^}AfzqJqb+l#r#im=WBr>YDTL6G9@P-=20MC7+@(j^36yx zQgm(>FOW}#Q^*u%Jgh^3bV302HNULcS6@~v`>0LtCJHpLp+)g<2?a7VhJK5U^dqQm z^AmW1VJiHFEI1$v4a0!@D0oY<{v1-PZ>kc4r*=WkrSQBsP?v;`xy_7lLB(V<$K>n& z##yaAU<_PApVh6pu&j=qMw2L~P=C{zw8frS3-IqL!iSsa{Yq9Vimau*m{1qgUpA9= zaXi)>{A))DdIcD6Qzpe;L)SJEk|pci!-Qiwmk1AQLBV8!hA33m1h{_zo7av^8A}># z{*u89cHF_Mv#JKo1lgrZ`wR8XzT8COO!Ki*? z|4p!3$zz0+!dpe5e~rNK2uPBsj|~H;)QQ+c_@5SZkX6-dCiqthJG0@o!D;W(Q;;90 z$S#|_gSe#>wog#KV1Fnz-IZr`a3?Bl6}MhZ&yr9@reri2>d9ModNny2d^3n74H^o%$V$b}D(1m+@S9-;Mlw=|w(PWW&gy};DeCRud;nF0YDB1N86^+xEJ6oA|5!U`@){;Gf+nxBk*mhq71329-b zAFoo=rDSXCc|fDIwQIv*k6Df<6c5;^7VLWi;d6kp90 zc3=t(941l>S^oP8*-glmgfmxF8|SIx1&ZG;c%tE9{i+JGcY=nt85M%X}77;xkND%h)M5^uut z*B93QW(1k9mN+H2p!0kWTzoLhcgBJVR%6#nxBGRQ0#bB8;91W0>|5tWE8WNsMS09vmW>@ z4Z1Z0X+{u6*sahLD^b_1UKW67@`2?B>_owt&h~NN0{o|f;Aq}^fop-`NN!}`V(p%x zBeHJq8f=FbpGC+YiwHPG{P!HEyyE*x9ydNj`X21ciIyG>e7pAPAB6x{-3Uz2zHgYhd+n$cdd;y zJnEcwPJ{nK!b#qBD1qO1B#m(X&i(NGQPt#U+INm|77=)eGVDLGrUk4{x*zT+s6pVY zbo?SvuC1Zm=s!Ve1f$~ahf9ulA_$RV92o@hL8;k)qOk^yO1~fe{NrZCQEAP&jW`%e z4gM2q&%vnV`{4oaZ4pPXH3uW(W^4SwI+0K#0rl|CQu7KD2;7BaQ$s$L4*l2epa z#~8y%IHd)twD?ajiooTA<`WiAe(Sxf?$Uy4&AO@&j*B5eEYW;8jTCU7u4V<;57|Z7SFHyNk%8vcTo1NP|z1JkKA_^w~@V{up2B%T<@5l!t$}0{%o^ z>07%a56L~E4*gwYKCw4NAL-a5I=+t3Rb3^(9(9&?0Qv5qzbi1k3E_r+>(iN;e?!|l zLIJ6y$QaW>`h+t=+VN!_VJ;2PBYp4+G8j?9oP&f@-ZJhczc2qUVn{k+#&Fm9eUi(B zxqigci@U||3z$a?#M6X}=l8Y!BFq^go>Cmd@6((l%sGn{$?~$1p~16Y)CK$Mr5=Ug zOzkW@ubns(BY)Hx6N%wVJ+*tv^C}NNa{;IUcRyJEh=iQT#Fums6Jo@3ihAxd$HE=k z+IfiF87fetx%K-Is=w_k9R?@e8sSm@oeh=i8~2*YoVB_ZKz_k`gC z{{cw&h1GDc+GHeq`fB#nG~FnNOdr5U2pIp2?8Sk3Js%OZ-Ja8O$T5}Y79~LCjW`idSR{9S{aY>aH6{qsx~x%)JjYf)-qi*N1)l(LTJ=)WKfuzTp`VZ rXk<($d&l?A`p&JnY7r}j=TMiCwyV5!Re<*Vl14_t)$jiUPYI>m diff --git a/cpld/XC95144XL/xst/work/hdllib.ref b/cpld/XC95144XL/xst/work/hdllib.ref index ad40c48..ebeea52 100644 --- a/cpld/XC95144XL/xst/work/hdllib.ref +++ b/cpld/XC95144XL/xst/work/hdllib.ref @@ -1,7 +1,7 @@ -MO CNT NULL CNT.v vlg65/_c_n_t.bin 1680848753 -MO CS NULL CS.v vlg22/_c_s.bin 1680848753 -MO FSB NULL FSB.v vlg37/_f_s_b.bin 1680848753 -MO IOBM NULL IOBM.v vlg73/_i_o_b_m.bin 1680848753 -MO WarpSE NULL WarpSE.v vlg52/_warp_s_e.bin 1680848753 -MO IOBS NULL IOBS.v vlg79/_i_o_b_s.bin 1680848753 -MO RAM NULL RAM.v vlg14/_r_a_m.bin 1680848753 +MO CNT NULL ../CNT.v vlg65/_c_n_t.bin 1681028050 +MO CS NULL ../CS.v vlg22/_c_s.bin 1681028050 +MO FSB NULL ../FSB.v vlg37/_f_s_b.bin 1681028050 +MO IOBM NULL ../IOBM.v vlg73/_i_o_b_m.bin 1681028050 +MO WarpSE NULL ../WarpSE.v vlg52/_warp_s_e.bin 1681028050 +MO IOBS NULL ../IOBS.v vlg79/_i_o_b_s.bin 1681028050 +MO RAM NULL ../RAM.v vlg14/_r_a_m.bin 1681028050 diff --git a/cpld/XC95144XL/xst/work/vlg14/_r_a_m.bin b/cpld/XC95144XL/xst/work/vlg14/_r_a_m.bin index 650870c36fc8bf49777800faf93dbc8a16c5a2bc..06c4a6cfa4d15d20f99636f610d82e39bc37ab6c 100644 GIT binary patch literal 21297 zcmeHN2e?+%vHkbHbW~LAM#bK-_W~Eh774zK9Tic)epT!pyT;yo*Vs#}v3FyQy%!LB zVu^_rC1$NTv#xUv_rH&yz^KI)vSaYKPoxSz2Q9$VEUS9Qp1hKz+wNlfHQkM)Vk=022LvDzDB zI`S)yGh?ST@6_<>tx>f(D@MIv-q%E5#G=0De|UD>*sK5a<(J=F>_yc79p_6&zv1{B z)NfShb(L2SSB}Uh7O$tgj%i<M+N-tym39Ar=kh*TJ&$#+bMHLg^?Xn-j`xCkG4U9Zuip>#YH#VQ;><0q9`}DM zM!$>d++Gj0@3^-3`>xjuX&9aMn7Y~mwax*i-oH*0nU(VAiW{8 zLgs|<(U_OHg&~VWmVzt?SqZ|+<+_j!Al&jskj)_c32p=7m=Dr4a06EFL}?w^%w7Hl z(zRvO=(Toh9R>A9NH;8I=w2hn?1lBcVfLue8;{w3y;kU}Kspa-9=OpMC?`NVwQjH$ z^YG0kaUC-dWCZ2~AzhFhY-le-dcazH%x=533|$)Wj{#|FwROy1a^jkuUINmkwPncQ zO$R~S7SavmmQj1yLRNs?sdZzkJumd!!s2Ta9n!iTw{i(2w{UIeK{~fI4;{q*Tn=e! z9m1SjK)5{Qg!VK9yRm95(7_lykn}|%O+~kU=lCGD?Lr89)iP?tNZ!DfG3Stiv?PqC zR!cTBR4mo>O)RE)=$O$^u7}tTt<2VPv9;GgI<;cWnAeBY{Oq7QC4*ls=KdlJCNuIFbv<(EuI2{D}E#w>sHk@vQJPdgr@+xFJa&?280eJ#F zJ`HjKEN@$b&p(TZSZTud=EV7u@(+T3Zqt=3Cc(ao3jICC&(@ko_V`N z*qOZ`d=1?XasULYtsMdx3ppHeB;;twu@LN{{o!?+w1BPz;sCA5?Ma>@70V?`ZzEKt zGNLD#S&4jZyA&XKXGj~mP^o4^)I}PtIl*f# z)_6@(mzb<30p!)baGAByx1AbwLYEFXyLZ$(yBZ+)ORuqPsYXN8=~o+!5}WHoXy)2^)!QJO|QG)L%QyOZ|#GYoF zjutbGXZ+Y}3@O!Ui27e)aZ^Mb?=|tLHnr4^8zp|~H8*X%rl^}YTJv46Ijr%TqHfh_ zO+L1A3~#)qs3Ss6J%`yN9dV=<_7>{^(&L^^x9y}T(4L*@km;tQ0yARYM(>HA(A6zBd z1-=fMzUcz_P?1YlZYK-VvUyeKtB1OMSd}~;&MGD{ebYlkKB5|E7sNW1>BH-C8~Laz z*{*DL$n?z^$j4O4c8RJ(rmuG(A187zQ=HX{GX`F{Z5&^ic43n*UhE9>*b^BGT^O%h zCsnYMft?;P=vJ$O*|UAbz&jOk8Zi#&s&k6lGy1FpBU>9~>&}FnrFiJIvt{_)7EBxC zFATys2XZa}%)MjBdOIkh*}y&^3*&sq1s*d~B-*IGRu zvk~`avM?@%T;{}CB5@W6MKl}OheoT_uE2by$IKduvsQ>^1AE7bS7E-|V`hs)dv|4! zoSZSnIq_P|*Ac)xXC%%^5aUM=yrD2HP%o$PJT%N>Z(=NTVLT6Su3*0hc54MAow0v( zd@jxyyu4}yhQZ`*^)@;&D=uDTW857v4)LsUJLJxYL$|#~3^CQZcLBPaF&xn6F0|q_ zjTn5Lq7B_n<%l7Mx5|4V_f>Gatpd0F9(OF%wZEY`=3PoQ%=B`5TfPs!aM zPX@)s%^HRrT*#jy7d8+4vykU1_>*ow1K;zm&kH?nX5N}R+S|;$^kIAr630u0Y27RN zh{G=|p0UE>W(-3-7xG%q9C$3#{=>$hyu9G*;aqZym0UsZ6=ypx3VB-*1S|@Fc36S?=tf93UFQ^|>aPI%3 z3ig)U?8C>dF9<#MYC+-{@u@;s?3H28{R;`u6~}#Q{fc8>c&qt5#=>UpFDlrlZu1WF zrRxiMtbT0^5y!AMW-9Oti|aJZxqq+3#dXHGZz^%|)g#7z7voTad*pB8+lWJt=ZW}? z*?e-jfcIQ#Td1^dcv?st;w3qy~`b7A5b0O{ii8H|dGc;*fhWIth9@nSqwsQ6XHa|qaQ{nc6 z2z+jc&dsHfBOcqOeGmFwm<=rU$79msUf<81nqp&rl#j=2NzW}z{Shzr$72%L$33bq z;;KI#ahG%MvgNv|FY+w|S-yfVTG(j`_*QV=vX%ZQR}c9)W5oV=Oj^b3ugn1GhC_}0 zQ9kxZpTLF9P~pY?sK%OJV~rRKtZTHPx5sUEZvPmIb*v8Q2N_VoS1Rm;&-H1b``F`n z{w(LX?r~-Vi~aGKw2s$bTe&!6#QwD9L(TQ*1V`BP(S{!Ps2Ur1jbF!DVCs*>)!`0t z?r+N6v_94{7_wmnA5_?BUHI%1Hux(*Vt;gAb$71W&|`l*CT;BXH>$+O{wN>MpG{*N zxV@qcJ@!X6w(uJEDH^)+>7HbE9qv}n-7?m}IyQq0gKS;FhZc6)1is8e^zu{ z_00wr`{OZbJFma3a&g9p{ZT&lXB3^_2%9n5&|`m8V+XIXeT)S*bF}MlcXsYhF&66> z4cQU0O9dZM*l8quySi^BI?^f7p415Q=Z)N0&{n52n_02|X z?2pH!L%seX%EcKY_DA{HpTp<`N0>h=6*gS4KdN!0*EpiW)$^pq)!`oF+@s6fbU4;> z6y(?nesE!@vG5(|KHh_3e^zl^_00wr`{Oa`M6Z8>a&g9p{ZT&l=VUs;5oX_zWy2Nw zqZ+4qjZ-UJJy%;?9qyUVJ)_J`r(i9oL(Zz;#}{@w3BI%4$3H#C{;cY_>YEKL_Qzw= z`Ck7#<>HJH`=fm9&xLe?BTUbrX2TWx<1y(HuW@mOtLL+hxR*QkvNAVagtc4>xuSxf zTiEFW_^x!{YRD1$qh}PoUzv^A*dLEc*LeM_m5Vb*?2q!XKiAO-jxarAsYdLNYTW2G zZm4ke{LvAYUo`n_d2^YYt_OA#*dLEccY6Ih zl#4S)?2q!XKX=gyjxaq#s7CCMYTWBJ?x}Ef{5s-3;N1Jm+;lhAav$Wu3VwTGCwz5G z54n%er}6w*Q;o3)Fa~KLa81|$4jm&sTF*xu0gAUTk`?S5W{4O}Ht~rH#uMO=kS87Q z#~H&u3{nBFA7_l1vmXJ^`HbtrnDc4KvyR(35sQ(Yg6J!!rWEKS1f@fFBYaPu;e3-0AX>HhJpJ+5tCkq{))#pgA*6iTysZzi)p40VHkV@}841^!MMPp=hrdK12J?i;`vV-k4U zpJB8S_a2>4gt6v?GM3&4_F)+-$iV;XxL%!dw4uj8HYi5xa65gBJm^XKByz1nE%9?_ zH~5ez&kk7xf>*4(31k!mk5%~y=dI;4_kd5D>GKM%x{6~7#OB#NZV+b-JH}MtH;jQ8 zoe+*sK?%n+eGmE3W7g)35%+2sIEfC-ZYO+RN?#G<0KQJ4l`fBl1+Lo(SCI6z$CJCR z>mNeb-V6&|w-YX->AS#P&-Hf#*Ra5KJN*Fe#K2wOb-SWb2gfkx!a$$wch!Ws3#7Zp z*y}3v*eAolX^JtZ_YVjm8}M)7YP^jY#)9-I2K9JM?L2gRuybRd3oig5WL`xLZ(X4d9DH7IRy;_AHwz8LMm8FwbQ-VCNdfKn(U7pQ1B9C*~C(D|^hA z&Wn9E3>^K=-Ofvb*Vp5@)~#HReKw2*J-^!-pHcHNfxETqvCoEqs$=AKUJhLQRO&g0 zJ2&>(uu#|Sydt-u?;7YB|{ z&v_NcNBhk4Y+Z=%Il^^*$Yv?_6rVgZK3(P(h^`6S`}$%}4I{_vxSiJrPxl}0Z3pMZ zo*Kr2&UHHv23Pk1a(8rY?5Sb!CFi=GHw0JLX>xaRZtSUHf$Mf23a))7^_)BV%-(GZ z(KST4_ADDIt#|lrnYV_FfcWvM?c(c*y)z6PyZ>Q!J8ur2T_e2yuFj3UGmHge40u~Y z^qc1XTb&zwXIS96ori;Kzb((Xn{#9D3xjKG44f`82AmxrI$yYsG0u&>GmHhD>vrB5TpcHJtv+I6@3bay z-OjDxs$b;p<#l843=4JL&U=8Xb&|WcuZz9g8?ukv!nJ4FOv&Eu4><^ODCBVG7#Di% zo$_^!G2q~HY2J?*2XgLPXr<>J!&orJfOi1oK#wO^d1CJj3tYGJ!QdVexcj>vduLeS zx}C>@dsyHeKpk=H-I0)^+!n4q%VtXU?pVn2kdq*%I!F77y)%rY7z57HkYk8(z<^vXc+*Tvzs}KH;j>$nE3vw7#@@BAlJuOU(b!e zZ-_Yb52|@5xAV>5{hmAy_>V2L(m7%n>%bgegyJ(#G%J^D~HzYc76i9C&}YLuHy@>_&>2D z=IeesV$kEdjf*(-TQ=AIEaXp->+607_;V459@niLTDRNz1@K-Zj{~_*D74bL4fA!s zT#1Y8R-F1Ro9liR@>=Bjx?chQdc>i}bt{L~?RI_>ytl~X(7J)W0eQPXe>o1oo`<{y zdB@{*-0-D4KLvT$ah-?v1A7QE&T;*&ZU=_X`FXtKe(lIN0lNkAp5uOf%h$Ml5@(Ef z|1k_}$H=-*3FEo=Aqj9gmOldaNf|RTW509!WX>4z_hJ}};NPcoLRZ{p%n-53?Tllb zKVu9B{JPJ^V{cgCDrRC8gU#~+-)i!gF&}X51K$hsUn34Zu2FS#KDeE6J8yKFU^XHInJzhQj*loM_LyyPHFzyGuA7UH;u6<$|%Rd5}RK|>q*rsV6 zKa(>CzLU2d2VwCT3Y#p>Yh%w%I*y8s$Hy?l>Y5@O$D#@6MN<)F%y{~8Ai-tj1r?jiWSpzAtV73$U-s`5(E_pC`bf~d;}1yxC{z@ z1Q9{5M6?^Ub!ioq;x1h%sNhy3;D#326h*BOQBhIU_3oO6Xw0y*n?^+`A50-^BPPzC}e1XG%xL#n9@h0K&d zZ2i^gi__G8lNO$*cCDWuUardCLbW}>hwul1+kh>er~d%l3#f z{tunIK9Tut-z3PFB$JA65ce8Yw|3GnaP=&(#no~B?vLcZ;QHX9{O5t^96d}orNB$T zi)Q+OlAi4x9?U&OUj|+=4aL1oWlm;t2u0BLIXAW%I1T(&3gTKcs<0i{@dNtn&|hO+ zCQn1cZmDgo59XfqH$Cq(^+sxf+T?Tf3=BKX{NmEw4tUA_KZ;NTDfh3w>o96ywD>cM zyAinG_PKfo*Sk4Z0UIXeY2l_N|J2+HVrX9>f~NNQ)ZVAUv=>KJg(=U8CdKFOk!bGn zS&a(e-+RCTst4}sA3%RURabj`u25ecPfz2)0jw&m_b>-TpBC!-Jx}z8e&15nY|nA%=L+Ic6DkdXxO<4cFUP&uETJ5GixyX zE6YL!_3V;yGS^vfMVy)=XjX|4ooX`IQMgZX+zsOMmtFTn{8Zp{;0%s6+GTGV6pLg- zjXBvP?Xs^z1n)?l<3!H0%f1~EESEmbiHxH0B}Z{>nKN_U3+_C}UFZ8Wj-Ji{PUbv5 zE(%Yv`WkvZ>*sS%N2fQ?r?c+!-kum`Gk*f}Pl0oRB2K!%ZsrBdW_498{TnCo-k>Ti?%{IPan#OD6yMi+&+Y`S5kvJmu8Rkf{ z-PmSkGFIk#1l;y1CqRUPQ$5ibeUI_0v&+Dcq;6fH+ zs@=q?T%rs2%=P(j+EdQ@H2a#G#!M!_T#tc!tmznPPrJz)7K=1Nu?K=PrqcpMrZbbR zoo@0fvlEwzikwS;$;?HUu?d%HO@a5;b7t5roWU$jRKM5Fa9(OUhWT5VRT+y+ zg<@yH&Y5-#+cEGqZnuU}vr`hjT8)s6n4&AFX&*%=E3!QkUDYw}MRun`@7pb<+(wgSoyI zm9Dd8{7P4FoaS&na07GEWhBC>B)uMP1X_V5z%88f8|u*x;wQ|`k_;2C4(7?jWMl9y z02cZ-JCiHzOj@fk_L?kpm7U4v>R4nkd^b71d3ILwNO5MxT;B}$QpbHY`)F3nfm@l2 zE~64Iv$_r5+krcQ-*e72Tvuk*S`&+`K-{oSxwcq;OZabFk|BR=H+|>yXMnAy zIZ+gO9#=1rgBr4y(1(oKkO--l%+^G{c{q`kN0snRsN2QEJypV&n|{UQ))%F1$JGuq zy1t)!&0Jr9d^|U^o2tA4yvba2s`5Ip)9k1(ioA_0_cLy(nOGm~VV_Zqj<)$7&RYuqhh z>x&mLb{P z%PRI{-e%exqpFS869416XPZ;8D=LJJJMn!eQF+XTW6c8HfK1mNk*KV58-0l;Ma8}a yqT=VC>O_Fs;eSP4?&kkN#C=|K@3FtI% z;Go9lfpd#ydW96w-$8yJz)@W9D%=Xr$=6o9!Rsjh|7oucEq#Fh)i@u$xW?S~1pwEb zbM_}T1V#gl6Td3v{eazp@ql7EZUk)Y9CPL8oPPF_KibXUg)x{f0OZ#=1l*bcdr;E? zKt7Ezh8$xx#vH|KR37z3j`{3ajhBz35PRe~)wHu0!S!Jc<>$viF|}$kw>4jDwQJ?o z%P&ept$N>kNy`njLFA#jmWt=aSQTfagxp36W^PaJRwiE8$yj_ z`nrVViFvYJ*)@{s>l%_LDY@e6_GEFA%`3lKNS=}>+htlKnZE8Jd8a(tE}9z2^z{hI zyC}K4O*~7lVkIYeY#$?&+it+_Bruv3j5-9xLLhXCG0rvy`}7mcx$Wt;6^cI2;a9vh zjvRcv%BE-BRJU8;o8j{wanE{?#P&G?xb0mut=Zx}kM{=SilK#l=*L_f?-8>yZeMV- zGmhyLdmwPM`9WF-yb+2m=6YF;HH?Fgx(BFUBL`-~O z$!9P1s3-db%#R07R6if>sldB?ve%4|!5i=Qfs?X~W8FRuzLR~vJmyioUhnNKPcc~? z#YFYSp_bIk{vo*2fFEVG%IfXK3=ztp`qP0kVg}}RgNPhrcuxKpI5YB?$F)~ogcZ&L z&W^FLPj&kTnE%A*y)cif(u+K{c>5O@d#%7Atn3RgKOeZzYlyd% z;w`oP(BacEUt9WIic*&Vmu0oM?iT?UXMF76lKI-w|L5p&C2&<%i~WBFT#@mh3YP=d zWPH@68@twwwXO!P%W^;!t_7}l-i{$`o=4{aH)Q;|ZvPa%8-3mz^LTFbCXX#Xpp8SE zu3^Q*^FT4nF&VM4Z^Qgn;P#BC#$w~ax@45X-Ms_2GfTPI?OWix%jftcu8_Ynd2IIS z!3q50;x37cm!WZ9@BWwzj&kn<9?1B6+`bpS2Yudvd0f&4JsYaMFf=d;+h35x?UABs z!W(>UKF;A67k5V72P_cdU{c5v8K>i@ym%aqLyoQm*-wLe3V0@~W#_Hkw})mP9>!;Z z=Q5s4`8e=o#xEUh%&V!=Q>?*bUqGGbffr*g?6N9dOUj_CUji>>8C3NPU||*h74R=- z{KIZP2H&rI-Ust|;QQ!cR6Fo}n1t=`NaFUJqG<=Y!bcu{aq(nW#eMb?g&4EJ#idh> zy=f{2<6FR+z}sF!apk2Ol6kmv?*Q*Q-`7WVHyd2+t{8Qf{d?5;9q@;&Rf$?*~c(yDz2<*YSx9S@Qt0iIj>bma-y%J^Zk94 zDzm{wRf>@+x6=}+vpAqzr{c=0_RPAl^OAr*MRXLX3ZK?V9oEcLnVqRpOqP?DL!D&- zJ&F`pRyED5%R^Ooy-#|{(dtswGJqZ*Yh|j;&QvKzs@zU^8A>YwdJ|AwSyhWymxrnv z0J}RwidL7ZRs{44Hy~4GcBV=(Qss8)hdQeQIy)3sRyEzL%R^PG16m`kAyxGSbpKjA zQ)PChN->YXS0WEUH40cf7b96txa8(0MH(MXd>F>9iB%2LppVUQ5g?{|)f# zX8f<+ejPqNy3nuUiM$SDu<=G)-~@xX_+XVrU2tY2JYH~$>F#yhPV1xp2w;Os-rq?; zYGG7>3$r1xQI^mx+L%{s-Z{pg#-_j~z{pCz++3>^n~y@m=q#Zv&BvflBQQ3rRXQbJ z%^ckG&4Dd4zAeqSLY;BI)>*Am^J>e!4HCA^5^zeS&44Yd@J-;i%XmJFHU`E!uk%&s zj@j_V!>1UXJ8q|D)R_QG%xaZ(;`PkI72E-sl<``@)|%_wQS%*9X9}=WR;$>Y%c4t8 z^4Wc7V3#bTE!}rVo!x*vvRb8Ge>-!q`BXrUFNtbP^XaJ50?f#Il$yVrIoNzJVDF6Q zD(wpB=_OI*_W@>R@jQ7Z1GAjhWy$#Mfqk8~n-od>84m1M$?He6v>tr3-8TgB@pHs( zitzE(S2sW1DD@mxOgvc?qc;e*(}CzU2RKOme6&Tgf3%pP^;8Ki+rhxx%9ON!(M|`z zcZmCjX7cU(626aueB)qCzG9+$#psLH?Q|G=;TO_$xcd26I_A1z40DH?m<=vAQH+|n zosL3-1;Ei+6E4INz>&@?ztmi}O1<=}x!GBB#i+U4=>#-59yl>;!sf>S$2qSR!a}BF zfs>q9-I6FhJoL^lQD$eN6eCe?C;Tv(P6bZOnotye=1r$KuN9%FlL7tYs=6gn_Th}E z5uPYfW@n-lBT;UrGtmIQyr#3fhMG_me)COdIIk6flA@QZ%>( zxGZZzQ5OIgJ1?;mbrEp6^Qv1EMX#6*JwmF?1{YN+MylLSSD_AmiA`5$U8oAb!lo;o z*OIXB6~ML5t8Q79BK1-xRc2?Z6eCq`ryJ4X2H>Ww3sqeMT<^SAfU2$oZgF09O9$`` zci8_>K(s`e4PRU;#YmLf=?*lw9k?@VLQyvZw>hsRp{QGdyR+UUQE$3q6CWkYY;aMO zVkFA#bUzy02Rz_4B%Y$~0`7HQD?(BC01r8@x+PI>xnolwCCY4YQIujN%I)+R8axU- zp4BQfc`rIJ{{*u1U{z7>!4trfF_!Z2=V{<6=d}lne-L=Yd94If9tNIuek4b1_VXbp z2v7~iJ_kJGytI(VZ=7kN^Li4_E81y3e9yaY6oTW+!zl9Dz67s*6c;rZhdM7Z7$bgb IO)oL$-|oCUg8%>k literal 10990 zcmds5XP8yh6@7=9Vdw@6C`eHe5Gg|u1Z=~AItmWXAcGZ=0VUw5L{PAyruW`^?>#ZS z_hu5)6Jw1g29p>yF_LK3+HbG-_B+m-aPxi15AXM_IcKlk);;&VbI+Sw9^Q6ysZ<&Y zB%raaYv%UyJl%+~8JOxIzXRYXZd@PS80X~sZ|wKd1i&8D zv^4V~(gMfX2{eaQH zSb)1Vcd9ACk-#iqF0cS#M_&5^4Q1<$Nd&kP|HttG?1C70% z%Q!y(7_xd(PiHG)yE|ch9%weRg{cdHrjDg;U0X3(4>?Hjgk zS;xKPTwq97Z>V@InBmnP^XF{_@lIe+Z#C^K*p2NSCh!oGN14G}!DI%|(A&KX z!$Ck}d#lmhM@znfobJsv1VQV7X96z+UJtwt_zZ4we*o`)$>Thjr z(?IZ_yyvuV!0Po=hmo`d_lPi-E5_MUTUdKOBZSG4CN-gAEOo=QEs zXwL_|=c3|0m3mC*X|Dy?w(XNgd2xSaEBSm*|8aUA3bg&WPBMLbX({IfO_XfAW1VFB z2884#d9rPDb&~0`_m=yYD!FE>bEi1nGq*u|1-k!4CD-g(?i8nF?q^MaUeqV&$+m;k zNv4l4ZY7_RC)-v~Cz(F}GeXJBm0YtOc(ORj=2pQos*+F3lkLk{Cz-y%A$g^eYrdeK zEKZ&I<>l!@ojdbn`?}Ogrf+CSUX>@?=e|xdeZxZXY9$Y|iD&6#Q*n~V^#C$?tpUy? zfzhgA)V-7ofzYRfadt7-rw?Jy>m0YOQ1o#Qzv8WN>m z#PxRs@VcOETC)*8k6&-&%Autm`Y{*Bula_I+X(KWjAJ^*UJP9AzI{02G98@2CoX>M z#Mv<=#vz{lp9*Zw_)XxpkmH59&VI3mPW;r>8{=T}V(qJ(AIT9{+_{WH4D&Ce6Qknd z!&00+NwS}Y_{*8c3-f);vzqk~7wZ{^c>Us(eFeBHy+)-Txb47>z^gxh1#Sa&(uYy& zaS7&^hIl>e3q5W${Jdv<+U?8_Uwmfz9Z)kOCcbmzvokX4$-W-*UBC_M=c8@x+@O=a zwJ}i!_s$!Er)L@0x_upd&+z$ym`C+GE!&|g0RwyftSQs*w1qN*_gl7=VLLCt1^~6uDA&s zhd8aeV&bYOW)zbVEBjTLzY=(L#`Av4ja#%VO5w7+26%0j@^ZId0pII^-gTlV{r_dehQS*_})dv508WBefS zp^WEJz8iRN#_tzx%==QMol2@chB_YwJ|1&nS5pD0 z+rW36Kg35hHyd1Rt{63!{e9H=9`J*#R;BrUnS;%527c)L1Rp__z7BlX?L&Q(D6_#u zQHqf$**`&@TY#TtwW`nKsLVrEKLdX5yw(;}>Bqp2oIlJ*sWKZ}RHYcHlKpGc`4#Y+ ztX5Uk{LDjDw*tR)UW*Q@^h@9u&QJ1Ds>}u#RVhZQWZ#K8cK~-~wW_KXWFD%z8~B~` zT6IvR+kxAhKio&DG8k#Vd;M7r}!vUW`m2W6eCr#dGu%8 zBU!Dgs`Z(Ns<>A^>bzDLREdZChn%14qg0s~D3ZX}#F(*!1j=3JoiUC*AKx!8UppiNor zk&#=rC46X-{2D9GZ_S#pc?+PGZpoUPoi$gCn!BCm zq0U@DFM7pQn(Lh^2b<3a^tRKAVCBU==wI$v~XDy4IP zvps%+j}m1zxVTh`ktny*2Gr>RHfFUdqVCEZ6m=nRk@H$XidqloxTh7CD6=zBijgR{ z(-zd(3|#Cz6ju>-cjlm|Uf>ev^#~{m|He(5oL}gpM41gPu9RXV%I$=ItER2M%m3Z`@yXNShGKEQ_p_Z?EkOv4t4*Tn8RA&P}kgtQvt4-Uh9Apf!lx@K#sTs z*aVCKb3o)7Zv-m99H2c^POLc&bBV~S9s5#_ZF*1_=NZRg96jQ^qfT+UPVNQQnU1M> zX}mgeM&?maw|QN}57WcLKraJ2fNtP$;0Ry^FbEt6oB*5*oDK{D8vvF*pkr*JwyjDN zK8!B5CUGwA(B7-FKnh%6Pa^okD#SP@JuB#4_p8 z>SoH@fs(X4tWg;^-_`7$ovv}imjmU=%D58OqK!Fisfpljl_n<|%9Uuek5z1x^AHz& zPZ%zxaNq6#9tVoRO~5O_mw*+HH?v{qG#X_en~&h1gT0N&{_;s&09*v{;av(`4qOQg z10%pFa1C%Ruo>6_ELz;Hs3mohhy|*mw}0^w+8P@`mc4nT8fwYHU){5m-&4|sB`r)= zGxv4<=^kB+cr;1vap}QzB#A%yn0Q!AP3;SbrB<6-^2FcVqd()3OL}DR>}=(!P5j-nR5;tl+NrE7w)tJONYJn?V$JU-)@OS&$v z=U?u5QhrZKPs!`~gL|Hq-&4{vf@gQDz3ukIKiu=IjAt(C`rz5y%CpxKzjM!XGM>4l z=LS#RopueA$ME95r4=Y$(VE`*3Y2J2r((Mi zn~2%R7o)@*CC*%RE*7UX^BUw^U*gLo&fHlp7AG<9GrU_C)_jG;najt;;w0w9#P1<# zDhiZnw@4E)`}mzNO;thWI&iT#IrFOESC2GTDNv#v&L(2^;a#^NzB(1#DQhBTAHV;k z8B>rsX)YG0Y4a5J1o0+`Ge^e7;w0vA;>V#h;|lsLaFxA9LzdpEzmr3m0BWAQoHa^3 zQN|&M2Vs((s3TWTxGD5cCmbl#-xztsHiv|Z2U0#fN7u(Zw6_5_kYhot-0QsE4=$d+ zeoLI6w~qwnI2S!F&$YKEd2znVL#q8Nb$iSOceA%|LA>2#d?aM%G@vJ@Q^-6kNF4C+ z0AiLIZ*#GkKJtOHo87q;uCXtMgo|fUdG^MQc^H?QxHIASW4H&ncM<-6@b@{dPn+m3 zF8Yg${>EV}dd&j6k}>0nj=2vZ_raL!V?2y{xAQAmquAFQ1N7M*pk{dhc*J?-#!Enc z%-sv@iMb?E9s?eA-kulQT+7|SzJ$Ni+x(wyzsIXsqr}5#9CBEmU?*yE9Q(~!9Ov>3@a!V|3*etmc#iR6!p(tui5v@Jt@CMb z53)vy`(qq(Szcu)YH{><4R|y1h~wc`F478b#5}ZL2i{IN=5eiGIIqv@IHtJxzBi8A zs6+c*;5~9Ih*vkF`KT2apP9J$%*4fKW*mKi4}p)OkMp0P{y5>ecb_KQ2jD*Q`EjmV S(>sXY2R`?F?de^og36ncO*pfof#ux!5%PNDAv z4gpts-``+-7~DdDJ^ksJdivSZf4+SGwSOsUE&~3qp6k64;M!PYF>o!g9jF52h+#7V1OzSz@dZpOs<#T>L_{y5*Llbx=Qd%*RjIa!-f zzA@N?(`e}1yf5O#bMqq5Gk^|YCeRC90?Y&YfvbRPfa`%Jz%qc1aUH`W)h!hk-~;I9 zXmsa}3GOCm*D*ObUaoMCEYe;c+xskfj@t*ym2vcaKyk9n3|*v$D(lS+yQEB9cWJb| z!RlPWsL9P$ZtiTL3l0S?!WeVdSQWvYDUFWQl=CrYA1+&#TVWS`{WLG6agVkEuL4D2 z6YwT*60pX66U)EZg+Z6+=63&m&RetWFE`|N;7(vAa5umQwi>t}SPKjQe0hg}2Z4so zD;2ezP7<*}RrK+%o}sO_c(U~7kt)>%3xD&PrTjG|tuLuQSlc1e@=y79CDUgZEDE_&w7nHnHssImxh|1t!io$fAE@fGc|KbFAFuhTGg~; zfZ#J;b3vwNF6rfYYyRXl@g@DY(uG0T-D;iP9{88nygE}emvnL7ntyuD>+;u>^oG1O zfApF+<*zB}&7o#btG(4h{oZTdlBtJ!V%Td88Nj9ZP}Ib*bsyCPRUCK{XN6 zhkLd*TXc7%V!O1Oi0R|^W`_G$q++{rnuzJ+2TJ0*B+gtrE*7UX^Ga$D;(H{{+#fC$ zCo%69-1GG{uaY=(5x7{K#JnW<8$_D>WGK*1bQ3Xs{Ov5w8X1|R>0)uJ%wx-6AJUX% zD9{c{6ES`G?$pJVRBUg26ES`K%_Yq`8JV}<#o{z=o`{|xen8@0GhEf{ecj11+V51N z4FeC6K(7y2JQT*k$9H*sjB{>7!fga!O*l}dACEk2n?u6IGo{)*3ZpR&<41roa%`{_ zJK|&hIE+VVwgpa4+c#G+&c&J*=h{b;xHw~w&b~!(fJ(~USp#h)m0eUw6OR~p# z#l|zMdW?Mun2fO`(Ov;wPI5ot$ZM5#RFy>V%T1baTs$g`x0(HxT(m&j^7g1 zu=gg3i!Z<8?86%4ke~0t>j}rX90CqE;E#ZRBjK6jXu=%?cZ?hxY_0u(kLR1izr2w{ zEZbWN#~R0hcOwrw9%#!+G1@yZ4&%3h_Y;nBT1c8XlMOlm*qiBrT_n75n z-(I$t%{=uPHK1rrqR~V#M&lFQm#02s5H&H9bE^My{ky%lndbTYzICNkO z;GLF#E3Sj!rT(xD^n5GY^JaSZKBzCddR>qHa~y<2anCuSd8D{vm3%ao|14KOhU;Db zch*n!-)q=s{)Y7X`Tr>UXBk(u(~qxWZ`GCFZ<*H)B=|*kt&P9uEXQAcJ= z1AHTo1ttP-1EvD+0A>LE1s(}B0<(c*fp(x1=mJgv76YdOT)oZ!Rsm~(cLDDP-UoaH z_yq77;Pb$jfUg1H0)7Ns1zZa-wE%U+nH`H;+GpZ+K2X~@eOg=d9Nb+4)D#;V*nsx{ zy^9Ol8pvF=ZY?_gBtmr^?QM;n`!7V6U8yTJbXrxcxfa~!jt&(5N1U76)riU>{$^^5 z9nGwG3DC2peQsCB@+HggBa7Jl9>tcqt$af)XK9g@ShXi1P}_h8v^RtMC**pWXWXcV z5veOS8KLl%knLz_o8JX~;T)hxOM64(Jcz%GOntGnNi9AOd364OxbxSsw6nM@bP(+Q zkgr2Ujh)lj+XI5w#sl}Pz5cjWoz{!0cOc)Z*fg)9@z}+)IyttZfgTO5&C}W-=W_`e z4##JashJr!imcj>-Ol)rp z{aqIdXU?|4J098I>T%3}6rrjPF8Ut=da=XoV;3LV3`Fn*SAd5Im^~D8q8?4vt0cM4s$G;(~VTq^Q;fVAQyH8Nh$0Lcy zY)|B?I=k@Sp8<8nu4XIXS7mjPbD%(Xj#$U?bI=z4xN2J3!!+ZM4EL?m5dReJ!|aSJ z?vHGb&{LH0S)n^!9dUQ~+o?yHCS*KnY*cCLKMsmb%eX$yXw2d{b0y+v#^VrolOxkc(${+{(eoV?gF}K)5Z@0$h-?QZMlP0cb{qg)sU$fDT4mf&T@z1Aj+7HNFyhFPpyR7Q{wbJGkV& z7IQ2j!6e+=0Bitm1U3Ow-U|F0xDEI%a3}D4;BMd^;9dYdDR4dcZ(s}X5by}_81Mw} z6!1U5vjAFK_%rYq;6>mi;1%F+0D4f^fu2M_HP8d719}3zQC2otKdS6HG?mv&rV3)z zTM&==0!#{Z6!=6EfJYUVG0$>bD^_lL4h&QL7#{ucj2}185ed&+(oxQ{KFhP-h?~uGOu{plbe!|-ndPYo zezSQ_NOwBXUt?nv(F$C7tFxcgyk&iw?+K z#F?fiJab9+cbEwiGF6k-GbB`=feNgb@muYFjGnaIk z^V~Dbb5A33SI)E|;h9T%n)4i%0M4 z_v5CL6?X824~lHp?pj?=J`?H~rOuW!8Wq!aaO2JN;l#M-l77^xqUY!=&(TJ_AvhKa zA5VDZl77;8j>+;IW5nyt^V12>T+%C?=h!UIu}0)U2Gi#fp1Gu7aGv9`JjWT4hagN} zOnBy!e%X1B&+;5^#Gl8Og|8+&b4kDMJSSv%PB7vx%=4QG&s@@PJI{%{N0s3y16SpB z#xoJI?*QL59`l9o0p)&MEachx4@KTtZd5XQe?YU z><7z{WcA}X8;mApjk`9uuZFf23jdiH_gvDeo##GTp8FV)2YgKbmGI0Z{kiiDM{dZ6 zBQ?fRwPNU2Fwt?Fns>c!5@%f|R!m}^gYiI6nqTOqh61E;XvG_F6qA@`RZhH7;>78b zi4~KWCsRCml;$SgB#x0xteC`iKo^b|t^8(*HSxlEkQFK>G0SkMS~}1CrNs3VAZQj|c;P}6&P+_Kn8Yl@ z0dh%vhs24)4-+dUF%L$1Iq~l#PMl(xSTTut!V!+Gt^6*D6UPx&sF=h&s@TmrZkmB<8-nzY{+wabgo~V#Orp277=LZ3>8#EMDG-Q-X} zljLcM6I(wMD<(0wg?l*hGZH8Ea3)qvV(#zubmHeEPHe_ZteC{ys10-C=Os?;s!Xhy z#N2TWcj6Z$PHc}%teC{yI_>4e+aw-I0aDn9@CKxjydp`=vb~*nyTqenUc5ozJxGd4 z%+13HCw^Jt#FxH_6_c2|fRRr8SBb}%bNFO8v0@UlY?KqfD)Cqohi`8aD<(0^MmzCq z5+^>QO{|#2{Jw_^9Iz zNQz0!vhjdvqFRX)A9f~IOyXCeo8ZLt5+}aUOsts1{CeXzto@#&(%(2HGx&NzVKOT~ z3ZHwtL0O35s%6OXQFxmoj8{<<-f!ScWnF}6r>d3uR$)9OlI?aY?vWzBZD^V(BhSUS zE~?(ZgEqY8aK%a;h2N zGE7pq5W%@@$py)JA}mJ{R+T6$Rj(_eu+}4vg)#~&5z6+@>g@j3fk!|@ibh$Uot=>- zoMFV)p?*N!7H%}*zHV?1&1&kQM!eC4 z`ti`QTf$dNI3=&+(s6s z6l_3Sn8HtuH{dHqVxx$SEJO+uoi`vVMq+cC7$$ZZ;_NhWBWg;=SYwNVO{@heOkCc8 zuNaBPrio#qmLayKiD6=vA-0Ry%tE9v5qSe^#b|w8niwWt8DeLe7$#a7;(QSgx5BDZ z*KmN4sv0{HgKbFI6-9>uM*v3wGl3>x7H~9h4A2V90pTE*gV$CdE>9DAmXeOK#&;^%YNO?UZHke&Qp7n%^z%+J63<8z z{cpn)&q@=+LuBn}swb`zajsd1cc>8+qxCsyVt8kkA+8az!wU5miI7LkZ!*M5X`*xC zxDI%i)gdiga$bTA!qsm4J@JAxk^7%?j5S`UAb)5~+#IH3BwmybK39RbK1~dNJz>=l z$b~gtnkHUXp~lP7L~bL~G1hpwf=8QO_39;Ok&9G~e=v$DXdw*({RH3?U@5Q+SOJ^{ zoDQ4`6oIpW)xcWdeBj-{dw`39OMv$R?*rb?TItH9W|UU8a%;@|n7dOBO!(2f!dp%FaVPA`a_lnVZ%p{fyh5C2Gew_v!i8CmTB#p3 z;T3s>kD2gud4*S*(El~+c*Lp*R)*{GRw=jj>V;yV#VKBBjE_-=;rKG}l~lYMv9Bg$ z(HG-<^d*#i&B_*`0^g=Zj3Zsont6kZVxdnyhBEqmD;bNv5$B_CqU_sNc7iG^Hx*AH z3F%pyLiC+D8_c^U{G$oKmsj|b34h>(;Vp~&7M3xNi(*(Xq93M%{*CL2KTZ?<8`l$m znkM=;t|$I1P4sVEPrORRQ>iFc=oVTCS3 zyhp^d%-XLdiqZOB5l^&QYF4gVsto}CpO2Y-3orzN9|1oBt^`=Y)c{%%;fY3A#3CF4 zMjL^f08EDn-+vLlDkF3$!Y5vYA8&+TQFIru8NdUMN+TWGU=1mKAeLLfR#~_H%z1ffVl7)OG>)G)<-!xRg{O9AL1hU*&|W(Cm;DEkYr zEh&hekMj`>q8F`fEh_R2Tgy1o2E;XDiur~q79Jl3pobW)Z)lhmM6aL>k1hIJQV_iq z=Oa9(=v6Djt|rm2b&MlDiMSf3m~WV3VR}#idWhlrhK5-|7yuMjRV4+{YjHk8B~{f{ zb{?-t_qc{Ah83o&hgzrLy%mUcY2rf_h&@F-KX#6PrM!ngF$jzer8g%k_QbcRiT)eK6Q_!JF0D}u-~ZaR z^Znn3Fswtu`@gCds0Vrhy8(TH-GKqXAb^}bfMLL1zzARzFa{V0OaLYU`vQ}JDZn(= zPJbpf=n~zOd%a!~b9X!u+Eu8UZh7|LGP~cRT3w8TSo%|th<=$+jKl-gg3GPO+g*){ zk$6y=_;3Yc=}$W!uo@q9M8#NR>5mQy;`LLSI*O5agseYgHTs{RVk91wCjQ;k=!r8$ z{D{@)w=#;c#-=n8pLV4-dg81!5ijzx#G}*1`zjERNfZ4sxVLUi6T^gI$568;&Jpoa zE37`XxR&ln)s1uJA&NeS#2J48FatOkI21S>I1*?88i8hDHqZhb3$y|4RI_#_*?l6; zCN{r{agdKo6aAjn6Fbwy$7P4BCzejP6x>{aSUOw!l(o@M1jX3K<7NFBBjU59^n4W~ zv2?a@g%SN@Q;fuuRHK5}MU-k(jKswve%5N-?ud$!SUQbYa9agp=`>!%O%;fx(|Da# z`KhHCtxKozU$8cAbTukQV(B#gVf8rwuT1b6B?*Qfj3xI{dBH%>e YWZ)D4(yC>^3g9&0bSkY0ti4e92QgR~lmGw# literal 19437 zcmeHO36xgFnf25$k7C}LF5H*T`EACCxU~4yx&C<9Ff)Ncr%A!V%!I;F!WSmSU zam>C&zZKw7v5{3`!!4$= zUCocSdZgc3{%`f$nSN*fMay^QH!5@eS$`l@If z4?v%f0sjBEYyGg!`i}Re38-QRJ`HblK_?u~oCcf)oC9$7xd2!RtOhOtE(hKP z{0s1Jzz2a30UrZC1^gHARp18TCV*)c&`_Syc~)!344f_i>YJxcX>XZ>vm1fBa&r?K z@HU`td0~4KnJd?>!G&){sG+l?y?Ndt_}C1*jD~X4Jgce|*?MqWIy+JL`6M^Ds~MGr z1CgsMceb$N#X#@Yj=5c(%N8%iLlXW8fnMd-xow=$m$9_WO03#55U6iL13Fs3eGs`m z=9x6=F+>{5GmTLCM9g+JwJ+!bzjP7MtF@!4c|OGKkH&J_OttuAHex+CgXE#|$s`-;t@Ck(f@zd41=y za~G__Eew441FM?OFneTq8IhsL)y-;d;|O41HLxVPz7Ywrqdi{F+E_Sawsp=a$oAD0 zCH&0@)pT-F{$~I;WM;=47>55wuD1CIw6%07(9qh^IkU3`T@c8tYo2--i>3mN<%M&b z>>Am6+++3bN03z~Bm?LeM0$zcFRB-ygOeWG3;CLPUHJD~Kts8!#R~YnS6k*CE&VIN z{^?wXdlmSY)U|fRLBq!d=WSCECo7J%q~d|d_KNokWp+7Toyq0!X=p^5h8Kr;-!dCj z8OTo{WP>cJkGBP5a?V_d-pxv4KO~pQ{_GWpJ`CAOb*)XYk$j#TRXfhviS^}~%gb%N zICgsPauY`<#tAYU8I=I*`40f&fDaYUmd6~_v1|Slxn#U1t=t27bjSjB2%mS1lBV{y zI4)MO2w7HwXR1_(af#{!t1sCU#Z#tw#5qde3H%Z_=s*B3q#E9*ywn%`0l;7YcQ#;J z3m*s2%H+nvWOI%NWTxP2Q~t?0k;Ep0Cxg+ z0rvp+0zU`t18@~3&J4c-9tIu-9tXAnPXbQ?zX5&=pp~WH0sjlU0K5eJ0oVqh<)uFX ze+IVWN&-*|^a2`y-aucJRZVssHFg}9$?LVju0g#e@nToOq|`uxPb2}jT}c`9EXT3i z%1wViI81f%-(IGQR(%la&WhKzo5*(Tsa0>YX7g$_L&*=R&Q^i1PYo`s|noM-PmPYrNRL`?gnJPS$pb)J3l zJo^}t6B*O~DbGUE1Dt2yJkP#Hd?>LjO-^|hl1_1+yXAStNeARj<~c3pSx9<_^X!-B z+0Tf4K`4h!g==3^XzX#zOb0EhTK)@(M~uZ&vSqg`4VNqtA1Cd$2;Nfd7he> z`NCzIk@74go#{LW=6Mb@;wy3Sw9R=A&hs2>#AnTO zPRg^8bguIplIN+H9zTqjI#Zs7r1PEU9(kU781b>hva~SeSxCCbc@E9<9BM>-#>RAN z%CnI4bmuuN&oh2tfy|FkrZZEXg`{UY&pq=z^+CapU#2A~&qC6r&U3Fk&%KQJgT%6Q zZpyQe^gQP|JkN8u5x-}i=chaiN#Ei;N91{qFyeFOSx$Ktl3wUM_s;Xw#~K$sOsi6! zg`{ho=g2(Ikw&~JvE=WJyDGiZ2}k95jxr(_X-t=;JPS#$aGs-ij_Ts4501*KDWeg) z5_r4um@lmZ^zF-dOo*}YYT%s=FmK0hD`z75{w)LpY08*Wjc(EAF1XM`Szp z)au>jGog+#y4Y$)V-ni-pMl8q-c-LAl3r_7(Q|B`=U5|dpdr%xQl5pR?{}W#@;t{G zaeZQ0`asIFkn}p|IX=&Gyb&KT&+Aj3g`^*Lo)hvsCm4~NAWR=kc@~m>+<8vS^PFfz zZtgIBGUZuF`f2C6PoC#KM%`b;g^B0h`h7PS&sAzXqdhj|NRm}IEz+a$4Pfq-$2ne zNG4WHVs0_T?L{m9p~M<^aX-ik6_c1{*i^0TXZ}dyMhcJ~L}4~2G0V6q8t(#*KNc~y zL&I87sF=ieAlBf-Kan`K)na1BB;EpHBOuM)I*Bh_h~l1!i4~KWW!ONjh<_?^YV*Uy zib=c)x;{?)Gl^5X7$#OsV(xInZEGw4g~X}t2rE=fVs2IJ=A7@BIJK`}V#Orpo ziMcKx=){jnoLWSiSTTvYz#inpnr)deCNbBpdjNU?{#xSHLes>GNz6s)Q0M%##Hkgdi4~KWtI1)22FWuLrTSTTtohj6$PKQD1=Rb^tuB<6~1gcH9gacX&F zV#Orp(rIre{=LMbDL{Gzh1rFN-)P(IyK7o`a;A#9Ta#a^hDcPJQW{STTt= zKsefoUzK>AIj=`yHYPF4#sC^5uZcL`#PQqPoE4LpWn-QAb%|3S(I!?*Vt!zc`>Po5 zc_XI2hBGmV`K3JGiEAWIeb$;-F^M-qIKheQB%VY8Qv9gn2}p`b%(97qX+piksSi67 zD<<)4(Ba1s^>%2KIQ4~QV#Orp*BifK?N)`#ZyflQI)3Ts9v;XFkmx3Z7~3%);@cFn z6JA9jzTd!^4DicI^-WHy+a5n3c}|WUHLY1b#5Wb{!ZaV^y93;*nfj)xQTq0=`eVgY zE%O9KNI^3>O;cso0L9`Sp^rfuUm-XS1LD+*I;Q2BPc!q)AR-0LiZnkM@V#=dalNr( zo57V&j3wF-XB?a>hCvS(Vv03=$CAs^C1Et!V}LjfKx&E*=TXEl359W{IZTbH*3*aC z1w4gHkb)jiUhMQd;dB$;8+E0i=c~uUttQmtLE+JPjz^p0PffVL<$ElA*@Ooa72ak- zJp*(+Cak5W>tu@v&1;8st{X6oW(+=Y0fSCY(eheo7CjxE29AGZcNfqm4l1ZgUs!d_733vOQ(XSNdTb|d}X6Jr@DaPwv zD2Et?Aiimw&<}NS0xJ~jfA)tkk)z%Ve*^)IWG6*+iD@2UMi?hV| z^G%f+FBNeC3z4`$%f?vaW!d0!-4L(H61fP;##rN(*&r8|*%*oIvcbo@A-+Sz6L}>d z)vj8+f?T9p{NW~os4ph0yF(XnI&da%Hn0R(3gBnba2{|z@D`v9TnMZME&<*OTn@Yq zcspJAA1EsPt_iPm!qX6|AsEB) zG%MSHb6tgEvBeo)Y>balhtc+7;3JuMEn*){$HMhVK70seAG0!y_*9!tXB=rgYvu_K zip6X4F_h8gQ|VavM3N7mMA@gUtn&7$Hu%pV3F&tp1uE95+QVTHl-{#<{Kv@%vfg9lX6& zi0c(RkA+Cw>B+`uy-~sQ6W;bXTk;%y#Yns@ON_H)72@q8USKuGL2pFGSmPa8VjSdE zh<9d*+uX(Y8t)Qug<1PaMlo97lO@I(+pInD-YhZB&{c>(7jdOo`?*9hTHhz)nN~~P z@|8>U;gA1&F~fiQ4~5{1z?Xrq0$&Hd349y)4giyYFPMPGDd2M=YyfTrFd_p6Tlg`6 z`xNk828{cFZ!Fk)CDcJi$RR-!R2u_j(@qJ2Whk5q}QA#&&o3R3{zB1Q0o-j z1W`6dVr@3~WH-cKB3^FRewZr88Y};qLqR`m6eF>Bwnl#&J+W_==)2Vu`-ymkwegqk zVicqGfGqL$ZioZ3#233E4$cyv=!RJNo8&94jeguH#x_>|s8>P$Oe7b@NUZ!-tb+a< zTrm4oURl0tMZQIaI4Z`zn5>p7=%04Q zNSq?#HD=*=niM0k@*|RhTb;FHBp#v~wT9p9h>DR|`K3m|m%1Syp>g_fL{ytntV! z5wmRNN%F+XFE3Z~s==u}r_8rGQ*HAc?rWjGn0Olx0;U271BU{K1Ji+{fMdwAzN_3G z?FsnXqZk`{oEoYiKKd#RRgA=@Eb-}Xh|O8zgWV8YMAY_!UyLb6>)9e|yWx+nM#V^M z74d`C4bM2DVkDlFCHn18PizL(&@x@)0I8Vgu&H6S+ zRE*XOM7)+nkZR9ey@Xt(TKo@f1VM|5Gr;k{3}7ZO3pfEd5oiPE0CRy(U_P*rDzj(J HrP5yjZ8zgk diff --git a/cpld/XC95144XL/xst/work/vlg65/_c_n_t.bin b/cpld/XC95144XL/xst/work/vlg65/_c_n_t.bin index e1ffb69e94e331dbf293200091c036ae92519615..6bb0e6976a301269e890270c1c5633f47cdb4507 100644 GIT binary patch literal 10687 zcmcgxXP8yh6@6!hDHNrM4GSnJ5fBkOMHq$whR)2u1d*l;h=D<>f+eK)-h1!8_a4&| zlbGI{F^MI`povN*Ywfq!%zlUW23~&Te5~*6y>>n4-h1wS!<~or-ccwN1_KFboILr0 z=A|8z_Z9VY8|DUJKX8W6qsr(9z>Nkt(|$BP&sVK~9$&4V*PDc%#{mDY`7x+PaG%-B zDBvK#bx>v>v~#{VlczzS4m1I@j|Ij7>jA}Zo*H!Km;um#5il1}-8={N=*L`ydZo-B zEAaV+|^OP#WM(VoU0 z>DQl+a`orK{N?hfZrlUzL);76@;%V{<(Jn!&+E~z+#b=N`^3EQ{?h(%kJVe;3$0c4 z<)c~q7kieCGxO3WyXr(V?ILumF~fOZ`L5@YJhvB_0mV{tR!n4TKlo) z(7M$t*Q#3Lj+R?F6c>9uT5R-{Jhuk}eI%c2@ltT{c+fRKJunEMJ_0xi7zLaOoDG}{ zOaLYWyzG|(9H#&c-E-R3w=SClaSTx3-MW17)LoEn1ZtZXa~T7GIx|3EP<_*my?d6m zKsp_0Z13LGwrokW?(oJHJKEd2Hg4iwa5+%ZO3PD#hK{Y=0hVHhOc2tHq5~yimpR0jE?4Su9bhbg80t{$vXPGn+ za^vE@vLA)cQf*y3Aq@d)I@#TSfV!4>8@FwPz}uzH@30}Fqz~h1U0sjJzD(P0pHkg0Y)ZMp38Sk}tBd}czXNpSk`tz2Z z0bB{p26$n4tjq%z01JV|z*2yZ6gR8`C_6lVtqL`ehyzizu0P@#Qn4+vv{nlo;Ue|wEnOKSA%dfL%)9`>C0 zZa!Am(ZO-BMO9d!F7`jY##tpbstO%jZOyyA<~h~ZROt9>YvPEqfp_x%8alDs!27-C z`PJ7{=mpi*{FB$bu=<(`y(rWi5_fBe8y@l+mz31#*Y&cHQ|F}}z2uQj++9o!O2@Kp z;VUG_(GJ22!L;E#FLsA%x`Im%M-LXK$~-u02)ED7gY9Um5KJ3imI|JwU>!wv+RJB zI92BH(+DW0Re~Jtfv*ru8{Tid!He=>d*~|!)5fn)#VnDa%Jv9W2&Qdt2ws*4+p|<5 zm^OaGDQ1O)lIP2V#c9L%?BV^}yW8ywwl^&d`rww{Ylm$mu*wq;gL^5QV7Yx!6sna_Iq0qZhe{qz@mjmK`x zV!5zuGmbSj0Gl%YD%aP-*5&eW&KU75GY&o+TWG{Aj=8VPxUJy!WSsUx`Qv^lm)0$v zzX2WxY|nC)_`y~#*A0kcZ#%MB?$1tOcjO_*<5%^&;kS!^9FWCd@A^n50fP`PJ(B7-mvA&BESMYWj7WwTL+UH|HM|*kOUwuTO~6|{H|t;MGQY00C*ooqrTXdANWC*E3f%O`25IwWWP-= z$J0_=JPnP5Klk`2z)!tSFCTTtagXJrUZnp5d43N3@)%-M^g#?y$X^4$$@pJ^`z<*R z$g1@-$iFMHeb))x_kbS*zjyyxK7S3|cYr^*K8G_#+$V8ypNvBs_lbLOH-j+CH||SC zj`1-LI@kLb;GT?UKlhU3fUNrbHF95(HD1sBXTg6CxZnMo-N(+Y$iYX?x_n~q#=%F| zR60+6enmcz<>Fh{eje3s-mI@|#ni*ZXZ%nW!(Yx1dVgG-&c{!>-+Z5IGhIE+M+|=h zKP)@s!Xr|A?scY)!A<6P6gW%*^8(Ho@c=iDju>XdEDjexDX(*hoEnuMV{w3|VazTuru=M`(G zNwDd=cZK&E4;15U8HIF__bZMyFD~WMCEzYA>F~vEW((9XI6d&w7ln+6-)QU3}kFpPE_F5dR92@K?pu4eWONI?fpJii?X^!#L#N&TVA?WarX#;I1df z0e-y+Jw5SGFb+0dA=lG3#BO(g#%^#q?z*_Rlg7bcpI5G@8<1~jo)6p(U{~NbQbs&? zaxbvYb@6>WsdHHt1lca^N7OAIrE3>gY#b{@AE-A1H<9CjUDr3R&N$e#I@i+y#NJ$t Nv{-*vq)Xvj_%COz?EL@$ literal 7432 zcmb`L37nQy6~@1haTrO^vTU(M5L65UAJkNGM>;8TZ_nhZE=iK#uzjwG|^Tx|tt=3MU z4SM^RubzEI?;vtzOVtoUdB0p}AY)zZ(mp6x@LIZAhw?RtdOhOq z%ACgAFVz=RYg_xhiFiJD=Xrjb&sb-zdDnY(EZlKGd*^jYlh-qrt+A}rxpiAZc{)SS zHJ_)s?bUXT|JS^hY@soycJw{GK*HP+dIFdT?gn-SyMlWIU6}^~wR?cR!R3RiSFP^H zyjbq~#lvd`S1iVy3MQ@}Tsbsv1J-*$Pydi+zXRwk0gU^CiHlCzc>0O~tOtO;)hC@i zvY}Lbtb)TMYmeS=BG%nOZwX>N8nl(7$@Z-u9#};U%zJ?e0~+yRV8@}Y#a@Q5V#6b+ zVoe6U151xzw+`bG3N=!!mnc-0g>fNh#lFbwru87w4!i(-0rY}Lf@gzwfsZhCO}jMJ zK4H!!PsN!U%^O4Ot#9i>UK=0jxLGB-dv?OD&7!dOzIe8o6?=qWEvqgMhD8lu>Tcf zOc`U0E>m|&W&83vJnlbZ3?9F3rT4s(aGc3_jQ_+KyNxkMm#OzjV@}F5ofLtaV~qQC zjImAW{kK>1)~Lx>O1IKIlF;|IJObh=XYTJR4dut93;;FFhK1!qj+3ky&s8%!y6H~t zxTKM6&Lisr>%BZ7;(OJ}<@Sw}te9R*PTt4KKJs#{BH5frR!pyLC+}M)m-{kKvSNA# zI%k%Rj*Ae<<~*`4iGGJX@NG1y`$FZW=#p_dR3tv=pc4SOuRXI&)S+YkxHY_Azifj74P|M zEBDaIJ*3Lj-CJ038e;)Ctl}RY{z1eRg}t+ST7J3;heoYmL3(ql?avf*`pI8e>#~oX z_rrN!xBVlib2u2xx%eHracT`A)7+L-x!Rwjz>3Uc=NE#C~{zFAaZM^yQ^O z+`i{G4Q?2$vL3tT54bytC8BMXh;}r1lnDAgOGP{CY5$gr_L#;k!s%h>(<@vfZ5^XH zwmI$4u@!e5+~X@w>C!$c_!;VH<*ATCtZ)+2^b>I2}AQ;(Mv5m3hk`X09wcbHu!h zMT7f9@FZ!}u=j4PX2Z6}p>Jmm{;psxII-eK;GP_{N9PE4JU9WI6#9KaQ$ATLF0ZHP z$kU#b7j%37F4TITt^+4$E&kRjbE}9l)`O>2JXGrxaB9WtN!UI5lkFzBSlSXxPI0ravxp zvxsZu{<)bI+WfOzI5b|NHF<^BJP)0ojOV(3^v{WY6S3!qJv(~;lG*nz>92zqf^)3L zZoSBkdfIg&X)kWvvdHE)Qv`uI5%@=uwOTJt!gBNQ^ZUWKQSz5dOK(mqdqu^)67JO% z2UT|Aoxzv8iJjjM=JNCJJFIbD174f+Rg|XFdJDK9V&(T9yKKbvIP(15`#V9;{TsoX zaxVTGz?;RXVV6${%(9P}BjyvdCeNjCXnd;n&xLv$IIpTz?!LZBc9^?%?MM~W^?y5f zN5#Jt?tF1-*xtz3VZXj%I}Y+yp*yh5%1#JxAxZ7sDbe3K`KA=Ek+$BOYryFQ-&b+( zhx>5mu*+B#r;ktXmdE%Y)Q6-~!#4kc@cqr?)3xt9^U3)r_?Y$Bt&iJ@epD=K~pw~xQeqkK!q&^99lQ{g_u+={0ICe)&eB$zRVqJbt%;mdSIBIC;KMg*UYX<*0 z`p;JUMd4pU?DJtSP*2OJT{tvqUsRCZoW}f8#eEs>s}%=T=J&1O{k7^-D?YKZ@s-B= z?3}Mt>ucZ}wGQr^K!5vu8~hCDH(4^x`OxS!=S#t56leL8#!~Y@N zk5ca9u*>C?P25~QfWi^;A=$qQ?#FdKxGTX=65k)Swc$SpzX;#_=!XBT3ZN|;{%iW* zfvdswp;@AymN&d`XuJ{je+l)gMqU;<5O&`1!lhjMzk&O0%3T_E-tciba`meCgL3Gt z%kR9x5!2@U5&S7@@sU&N_lAKqA7@Vx# zPdj&0&c)Y>Zx*M9ePm;GJbHyA=38W6@86q4uiRx}=Uq3Kcd~Hkd!%LDDv1zZD!k#q4}*l=`RaMP-_?3yb0TVQRS$pobP_&R61*Lm0M;V{PM`nt1BG2 WUS0TY{`06kG4xuse<{9#-MRyFAWNqJ diff --git a/cpld/XC95144XL/xst/work/vlg73/_i_o_b_m.bin b/cpld/XC95144XL/xst/work/vlg73/_i_o_b_m.bin index 672a9a01acd6220cdf91b852bfe23ac96bf59d5b..de7114c21bd5583e6c9b0d1150bbbb43609a71eb 100644 GIT binary patch delta 39 scmdnj#(1!eQTX!L((?=q4BSA>2*jLvdiom$a(I{x-41Q8=h<%t0OpGd^#A|> delta 36 pcmX@u#<;JIQQ-2|((?=q4BSA>2*hj~g>!hA^*0!7ZsOT*1^~=J3P1n= diff --git a/cpld/XC95144XL/xst/work/vlg79/_i_o_b_s.bin b/cpld/XC95144XL/xst/work/vlg79/_i_o_b_s.bin index ed5e86cd5cba9f2b4544b37ff098e6a4140e22ce..ceffd8d95397dfd0a3bddec0d7b8b565551f9305 100644 GIT binary patch delta 590 zcmeyI_bpHO^4HSy3=9n1K+FiloO*it8wC>CCl|7aOfF>+V6>Y2j?H=VP4Q%C>lP5-gUZ6(co`^m z4T$eU#k(Lh<3%WcB8Ucpzeu)$#Xx+ZzDFQQAOj`_3_8ZgsA7yy7#O%1pTPtt+nb3i zP6F|O;48=w5Cceo#eg)(6VE4qHdC&L1t?U85g62ruRy9n0H|^@g!TU$kPR~hCiVwi z49EvL?hO#XMbrNbi&~(0Y!FK(%bSZ!f{g`geFwxJq1J*OJ=xz}oEPeQ#-|{I03vxQ ARR910 delta 679 zcmZ9IyGjE=6o%)d*b!|M8-;Y~B%p%Y+4~X}R(9!ZY_?Ymb$kp9L*7CJk$5*o@Derg z9+E&=~jm8y_G|y)DR?4R2^N?rl^bNAQ~_Wx@>l%v06h{ z3wm;I3I+4Eox$me@g72zz~I)MFJMI7?9Y_qTt-fiIb{_M9UEzsJO@)eYvviwoO}`X zvIguNx(qquZ>3Cmb|jXNqpks6lhM#@?*qGnnkfzcMvlSHpc_}emU=mI=S_OpFJHm{ z&N7~risd#3v0k~$GPwt9Wtr_}ZP)QFdRVw}%TUS1JTi&c*R?nySq>o1ejBb)uz6{- zk%s(s8YO+(oMk_G#(DT&pjWdxS6cM{Z>NC1LmzJ9|8%f7=riE{HBaCj=!-c_cYBlv G-uwp@-CHLB